From 16eff30a8ec0da1ff5f063e811438c7f8c1eb387 Mon Sep 17 00:00:00 2001 From: Ganesh Gore Date: Sun, 20 Dec 2020 20:22:53 -0700 Subject: [PATCH 01/51] [Actions] Synced LVS netlist files --- SynRepoConfig/sync_files_qlsofa_hd.csv | 3 ++- SynRepoConfig/sync_files_sofa_chd.csv | 3 ++- SynRepoConfig/sync_files_sofa_hd.csv | 2 +- 3 files changed, 5 insertions(+), 3 deletions(-) diff --git a/SynRepoConfig/sync_files_qlsofa_hd.csv b/SynRepoConfig/sync_files_qlsofa_hd.csv index 214d025..e93b11f 100644 --- a/SynRepoConfig/sync_files_qlsofa_hd.csv +++ b/SynRepoConfig/sync_files_qlsofa_hd.csv @@ -1,4 +1,5 @@ SrcLoc, DestLoc FPGA1212_QLSOFA_HD_PNR/FPGA1212_QLSOFA_HD_task/,OpenFPGA_task FPGA1212_QLSOFA_HD_PNR/FPGA1212_QLSOFA_HD_Verilog/SRC/,verilog/OpenFPGA_Verilog/ -FPGA1212_QLSOFA_HD_PNR/fpga_top/fpga_top_icv_in_design.pt.v,verilog/gl/caravel_${PROJ_SUFFIX,,}_top.v \ No newline at end of file +FPGA1212_QLSOFA_HD_PNR/fpga_top/fpga_top_icv_in_design.lvs.v,verilog/gl/caravel_${PROJ_SUFFIX,,}_top.v +SOFA-Chips/HDL/common/user_project_wrapper_integration.v,verilog/gl/user_project_wrapper.v diff --git a/SynRepoConfig/sync_files_sofa_chd.csv b/SynRepoConfig/sync_files_sofa_chd.csv index b690165..89e0555 100644 --- a/SynRepoConfig/sync_files_sofa_chd.csv +++ b/SynRepoConfig/sync_files_sofa_chd.csv @@ -1,4 +1,5 @@ SrcLoc, DestLoc FPGA1212_SOFA_CHD_PNR/FPGA1212_SOFA_CHD_task/,OpenFPGA_task FPGA1212_SOFA_CHD_PNR/FPGA1212_SOFA_CHD_Verilog/SRC/,verilog/OpenFPGA_Verilog/ -FPGA1212_SOFA_CHD_PNR/fpga_top/fpga_top_icv_in_design.pt.v,verilog/gl/caravel_${PROJ_SUFFIX,,}_top.v +FPGA1212_SOFA_CHD_PNR/fpga_top/fpga_top_icv_in_design.lvs.v,verilog/gl/caravel_${PROJ_SUFFIX,,}_top.v +SOFA-Chips/HDL/common/user_project_wrapper_integration.v,verilog/gl/user_project_wrapper.v diff --git a/SynRepoConfig/sync_files_sofa_hd.csv b/SynRepoConfig/sync_files_sofa_hd.csv index c22dd43..1bc6d22 100644 --- a/SynRepoConfig/sync_files_sofa_hd.csv +++ b/SynRepoConfig/sync_files_sofa_hd.csv @@ -2,4 +2,4 @@ SrcLoc, DestLoc FPGA1212_SOFA_HD_PNR/FPGA1212_SOFA_HD_task/,OpenFPGA_task FPGA1212_SOFA_HD_PNR/FPGA1212_SOFA_HD_Verilog/SRC/,verilog/OpenFPGA_Verilog/ FPGA1212_SOFA_HD_PNR/fpga_top/fpga_top_icv_in_design.lvs.v,verilog/gl/caravel_${PROJ_SUFFIX,,}_top.v -SOFA-Chips/HDL/common/user_project_wrapper_integration.v,verilog/gl/user_project_wrapper.v \ No newline at end of file +SOFA-Chips/HDL/common/user_project_wrapper_integration.v,verilog/gl/user_project_wrapper.v From 70d0ecdcac7d3c988cf922b592669bbd07272429 Mon Sep 17 00:00:00 2001 From: Ganesh Gore Date: Fri, 15 Jan 2021 00:10:53 -0700 Subject: [PATCH 02/51] Added files to sync --- .github/workflows/perform_precheck.sh | 8 ++++++++ SynRepoConfig/sync_files_qlsofa_hd.csv | 2 +- SynRepoConfig/sync_files_sofa_chd.csv | 2 +- SynRepoConfig/sync_files_sofa_hd.csv | 2 +- 4 files changed, 11 insertions(+), 3 deletions(-) diff --git a/.github/workflows/perform_precheck.sh b/.github/workflows/perform_precheck.sh index c85e736..0361025 100644 --- a/.github/workflows/perform_precheck.sh +++ b/.github/workflows/perform_precheck.sh @@ -8,6 +8,13 @@ cd ./${DEST_DIR} echo "[Info] Running in directory ${PWD}" cp ../SOFA-Chips/${SCAN_DIRECTORY}/fpga_top_icv_in_design.gds.gz ./gds/ +if test -f "./gds/fpga_top_icv_in_design.gds.gz.sha1"; then + sha1sum --status -c ./gds/fpga_top_icv_in_design.gds.gz.sha1 + status=$? + [ $status -eq 0 ] && echo "SHA1 matched GDS is already merged ... skipping drc" && exit +fi +fpga_top_sha1=$(sha1sum ./gds/fpga_top_icv_in_design.gds.gz) + make uncompress echo "[Info] All files are uncompressed" @@ -80,3 +87,4 @@ if [[ 0 -eq $(git cat-file -e $CARAVEL_COMPARE_COMMIT) ]]; then /usr/local/workspace/${DEST_DIR}/checks/compare_caravel.txt echo "[Info] Create compare_caravel.txt" fi +echo $fpga_top_sha1 > ./gds/fpga_top_icv_in_design.gds.gz.sha1 diff --git a/SynRepoConfig/sync_files_qlsofa_hd.csv b/SynRepoConfig/sync_files_qlsofa_hd.csv index e93b11f..d6f2a8c 100644 --- a/SynRepoConfig/sync_files_qlsofa_hd.csv +++ b/SynRepoConfig/sync_files_qlsofa_hd.csv @@ -2,4 +2,4 @@ SrcLoc, DestLoc FPGA1212_QLSOFA_HD_PNR/FPGA1212_QLSOFA_HD_task/,OpenFPGA_task FPGA1212_QLSOFA_HD_PNR/FPGA1212_QLSOFA_HD_Verilog/SRC/,verilog/OpenFPGA_Verilog/ FPGA1212_QLSOFA_HD_PNR/fpga_top/fpga_top_icv_in_design.lvs.v,verilog/gl/caravel_${PROJ_SUFFIX,,}_top.v -SOFA-Chips/HDL/common/user_project_wrapper_integration.v,verilog/gl/user_project_wrapper.v +HDL/common/user_project_wrapper_integration.v,verilog/gl/user_project_wrapper.v diff --git a/SynRepoConfig/sync_files_sofa_chd.csv b/SynRepoConfig/sync_files_sofa_chd.csv index 89e0555..dc50024 100644 --- a/SynRepoConfig/sync_files_sofa_chd.csv +++ b/SynRepoConfig/sync_files_sofa_chd.csv @@ -2,4 +2,4 @@ SrcLoc, DestLoc FPGA1212_SOFA_CHD_PNR/FPGA1212_SOFA_CHD_task/,OpenFPGA_task FPGA1212_SOFA_CHD_PNR/FPGA1212_SOFA_CHD_Verilog/SRC/,verilog/OpenFPGA_Verilog/ FPGA1212_SOFA_CHD_PNR/fpga_top/fpga_top_icv_in_design.lvs.v,verilog/gl/caravel_${PROJ_SUFFIX,,}_top.v -SOFA-Chips/HDL/common/user_project_wrapper_integration.v,verilog/gl/user_project_wrapper.v +HDL/common/user_project_wrapper_integration.v,verilog/gl/user_project_wrapper.v diff --git a/SynRepoConfig/sync_files_sofa_hd.csv b/SynRepoConfig/sync_files_sofa_hd.csv index 1bc6d22..0df69ae 100644 --- a/SynRepoConfig/sync_files_sofa_hd.csv +++ b/SynRepoConfig/sync_files_sofa_hd.csv @@ -2,4 +2,4 @@ SrcLoc, DestLoc FPGA1212_SOFA_HD_PNR/FPGA1212_SOFA_HD_task/,OpenFPGA_task FPGA1212_SOFA_HD_PNR/FPGA1212_SOFA_HD_Verilog/SRC/,verilog/OpenFPGA_Verilog/ FPGA1212_SOFA_HD_PNR/fpga_top/fpga_top_icv_in_design.lvs.v,verilog/gl/caravel_${PROJ_SUFFIX,,}_top.v -SOFA-Chips/HDL/common/user_project_wrapper_integration.v,verilog/gl/user_project_wrapper.v +HDL/common/user_project_wrapper_integration.v,verilog/gl/user_project_wrapper.v From 128e8e6aa3a0ddc16f938a0d311b2199b2ec239e Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 31 Mar 2021 19:40:36 -0600 Subject: [PATCH 03/51] [Script] Add report timing script for connection blocks --- SNPS_PT/SCRIPT/report_timing_cb.tcl | 87 +++++++++++++++++++++++++++++ 1 file changed, 87 insertions(+) create mode 100644 SNPS_PT/SCRIPT/report_timing_cb.tcl diff --git a/SNPS_PT/SCRIPT/report_timing_cb.tcl b/SNPS_PT/SCRIPT/report_timing_cb.tcl new file mode 100644 index 0000000..948fcdb --- /dev/null +++ b/SNPS_PT/SCRIPT/report_timing_cb.tcl @@ -0,0 +1,87 @@ +##################################################################### +# A template script to report timing for Connection Blocks from post-PnR results +# using Synopsys PrimeTime +##################################################################### + +################################## +# Ensure a clean start +remove_design -all +remove_lib -all + +################################## +# Define environment variables +set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; +set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; +set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +set DEVICE_NAME "SOFA" +set TIMING_REPORT_HOME "../TIMING_REPORTS/"; +# Enable preprocessing in Verilog parser +set_app_var svr_enable_vpp true +# Enable reporting ALL the timing paths even those are NOT constrained +set_app_var timing_report_unconstrained_paths tr + +set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" + +set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" + + +set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" + +################################## +# Read timing libraries +read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" + +################################## +# Read post-PnR netlists +read_verilog ${FPGA_NETLIST_HOME}/${FPGA_NETLIST_FILES} +# Top-level module name +set DESIGN_NAME fpga_top; +#set DESIGN_NAME fpga_core; +link_design ${DESIGN_NAME} + +######################################### +# Setup constraints to break combinational loops +#source ${SDC_HOME}/disable_configurable_memory_outputs.sdc +set_disable_timing [get_pins */*/*chan*] +set_disable_timing [get_pins */*/*grid_pin*] + +######################################### +# Setup constraints for clocks +#source ${SDC_HOME}/global_ports.sdc + +################################################## +# Create programmable clock +################################################## +create_clock -name prog_clk[0] -period 1.999999988e-08 -waveform {0 9.999999939e-09} [get_ports {io_in[37]}] +################################################## +# Create clock +################################################## +create_clock -name clk[0] -period 1.999999988e-08 -waveform {0 9.999999939e-09} [get_ports {io_in[36]}] + +######################################### +# Setup constraints for paths +# Connection block name +set CB_NAME "cbx_1__0_"; +#set CB_NAME "cbx_1__1_"; +#set CB_NAME "cbx_1__12_"; +#set CB_NAME "cby_0__1_"; +#set CB_NAME "cby_1__1_"; +#set CB_NAME "cby_12__1_"; +set CB_CHAN_NAME "chan*"; +set CB_PIN_NAME "*grid_pin*"; +set_max_delay -from fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} -to fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} 2.272500113e-12 +set_max_delay -from fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} -to fpga_core_uut/${CB_NAME}/${CB_PIN_NAME} 7.247000222e-11 + +################################## +# Read post-PnR parasitics +#read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef + +################################## +# Report timing of Connect block +report_timing -from fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} -to fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${CB_NAME}_timing.rpt +report_timing -from fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} -to fpga_core_uut/${CB_NAME}/${CB_PIN_NAME} >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${CB_NAME}_timing.rpt + +################################## +# Finish and quit +# Comment it out if you want to debug +#exit From 17033730fef0f609e573321c71eb63bbc91e0e37 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 14:38:07 -0600 Subject: [PATCH 04/51] [Script] Update report timing script for CBs --- SNPS_PT/SCRIPT/report_timing_cb.tcl | 38 ++++++++++------------------- 1 file changed, 13 insertions(+), 25 deletions(-) diff --git a/SNPS_PT/SCRIPT/report_timing_cb.tcl b/SNPS_PT/SCRIPT/report_timing_cb.tcl index 948fcdb..4e34ef4 100644 --- a/SNPS_PT/SCRIPT/report_timing_cb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_cb.tcl @@ -35,53 +35,41 @@ read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm # Read post-PnR netlists read_verilog ${FPGA_NETLIST_HOME}/${FPGA_NETLIST_FILES} # Top-level module name -set DESIGN_NAME fpga_top; -#set DESIGN_NAME fpga_core; +#set DESIGN_NAME "cbx_1__0_"; +#set DESIGN_NAME "cbx_1__1_"; +#set DESIGN_NAME "cbx_1__12_"; +#set DESIGN_NAME "cby_0__1_"; +#set DESIGN_NAME "cby_1__1_"; +set DESIGN_NAME "cby_12__1_"; link_design ${DESIGN_NAME} ######################################### # Setup constraints to break combinational loops #source ${SDC_HOME}/disable_configurable_memory_outputs.sdc -set_disable_timing [get_pins */*/*chan*] -set_disable_timing [get_pins */*/*grid_pin*] +set_disable_timing mem*/sky*_fd_sc_hd__dfxtp_*_*_/D ######################################### # Setup constraints for clocks #source ${SDC_HOME}/global_ports.sdc -################################################## -# Create programmable clock -################################################## -create_clock -name prog_clk[0] -period 1.999999988e-08 -waveform {0 9.999999939e-09} [get_ports {io_in[37]}] -################################################## -# Create clock -################################################## -create_clock -name clk[0] -period 1.999999988e-08 -waveform {0 9.999999939e-09} [get_ports {io_in[36]}] - ######################################### # Setup constraints for paths # Connection block name -set CB_NAME "cbx_1__0_"; -#set CB_NAME "cbx_1__1_"; -#set CB_NAME "cbx_1__12_"; -#set CB_NAME "cby_0__1_"; -#set CB_NAME "cby_1__1_"; -#set CB_NAME "cby_12__1_"; set CB_CHAN_NAME "chan*"; set CB_PIN_NAME "*grid_pin*"; -set_max_delay -from fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} -to fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} 2.272500113e-12 -set_max_delay -from fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} -to fpga_core_uut/${CB_NAME}/${CB_PIN_NAME} 7.247000222e-11 +set_max_delay -from ${CB_CHAN_NAME} -to ${CB_CHAN_NAME} 6.02e-11 +set_max_delay -from ${CB_CHAN_NAME} -to ${CB_PIN_NAME} 6.02e-11 ################################## # Read post-PnR parasitics -#read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef +read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef ################################## # Report timing of Connect block -report_timing -from fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} -to fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${CB_NAME}_timing.rpt -report_timing -from fpga_core_uut/${CB_NAME}/${CB_CHAN_NAME} -to fpga_core_uut/${CB_NAME}/${CB_PIN_NAME} >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${CB_NAME}_timing.rpt +report_timing -from ${CB_CHAN_NAME} -to ${CB_CHAN_NAME} > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt +report_timing -from ${CB_CHAN_NAME} -to ${CB_PIN_NAME} >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt ################################## # Finish and quit # Comment it out if you want to debug -#exit +exit From a640f589eaac4bf59d152d89dbd74ba516700a10 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 14:45:00 -0600 Subject: [PATCH 05/51] [Script] Add report timing script for switch blocks --- SNPS_PT/SCRIPT/report_timing_sb.tcl | 76 +++++++++++++++++++++++++++++ 1 file changed, 76 insertions(+) create mode 100644 SNPS_PT/SCRIPT/report_timing_sb.tcl diff --git a/SNPS_PT/SCRIPT/report_timing_sb.tcl b/SNPS_PT/SCRIPT/report_timing_sb.tcl new file mode 100644 index 0000000..5a6c846 --- /dev/null +++ b/SNPS_PT/SCRIPT/report_timing_sb.tcl @@ -0,0 +1,76 @@ +##################################################################### +# A template script to report timing for Connection Blocks from post-PnR results +# using Synopsys PrimeTime +##################################################################### + +################################## +# Ensure a clean start +remove_design -all +remove_lib -all + +################################## +# Define environment variables +set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; +set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; +set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +set DEVICE_NAME "SOFA" +set TIMING_REPORT_HOME "../TIMING_REPORTS/"; +# Enable preprocessing in Verilog parser +set_app_var svr_enable_vpp true +# Enable reporting ALL the timing paths even those are NOT constrained +set_app_var timing_report_unconstrained_paths tr + +set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" + +set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" + +set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" + +################################## +# Read timing libraries +read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" + +################################## +# Read post-PnR netlists +read_verilog ${FPGA_NETLIST_HOME}/${FPGA_NETLIST_FILES} +# Top-level module name +#set DESIGN_NAME sb_1__1_; +#set DESIGN_NAME "sb_0__0_"; +#set DESIGN_NAME "sb_0__2_"; +#set DESIGN_NAME "sb_0__1_"; +#set DESIGN_NAME "sb_2__0_"; +#set DESIGN_NAME "sb_2__2_"; +#set DESIGN_NAME "sb_2__1_"; +#set DESIGN_NAME "sb_1__0_"; +set DESIGN_NAME "sb_1__2_"; + +link_design ${DESIGN_NAME} + +######################################### +# Setup constraints to break combinational loops +set_disable_timing mem*/sky*_fd_sc_hd__dfxtp_*_*_/D +# +########################################## +## Setup constraints for clocks + +########################################## +## Setup constraints for paths +## Switch block name +set SB_CHAN_NAME "chan*"; +set SB_PIN_NAME "*grid_pin*"; +set_max_delay -from ${SB_CHAN_NAME} -to ${SB_CHAN_NAME} 2.272500113e-12 +set_max_delay -from ${SB_PIN_NAME} -to ${SB_CHAN_NAME} 7.247000222e-11 + +################################## +# Read post-PnR parasitics +read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef + +################################## +# Report timing of Connect block +report_timing -from ${SB_CHAN_NAME} -to ${SB_CHAN_NAME} > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt +report_timing -from ${SB_PIN_NAME} -to ${SB_CHAN_NAME} >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt + +################################## +# Finish and quit +# Comment it out if you want to debug +exit From 3ed41a47047150cfc588d1522a976f9d88c78668 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 15:43:51 -0600 Subject: [PATCH 06/51] [Script] Add report timing script for CLB --- SNPS_PT/SCRIPT/report_timing_clb.tcl | 76 ++++++++++++++++++++++++++++ 1 file changed, 76 insertions(+) create mode 100644 SNPS_PT/SCRIPT/report_timing_clb.tcl diff --git a/SNPS_PT/SCRIPT/report_timing_clb.tcl b/SNPS_PT/SCRIPT/report_timing_clb.tcl new file mode 100644 index 0000000..80afddd --- /dev/null +++ b/SNPS_PT/SCRIPT/report_timing_clb.tcl @@ -0,0 +1,76 @@ +##################################################################### +# A template script to report timing for A CLB from post-PnR results +# using Synopsys PrimeTime +##################################################################### + +################################## +# Ensure a clean start +remove_design -all +remove_lib -all + +################################## +# Define environment variables +set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; +set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; +set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +set DEVICE_NAME "SOFA" +set TIMING_REPORT_HOME "../TIMING_REPORTS/"; +# Enable preprocessing in Verilog parser +set_app_var svr_enable_vpp true +# Enable reporting ALL the timing paths even those are NOT constrained +set_app_var timing_report_unconstrained_paths tr + +set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" + +set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" + +set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" + +################################## +# Read timing libraries +read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" + +################################## +# Read post-PnR netlists +read_verilog ${FPGA_NETLIST_HOME}/${FPGA_NETLIST_FILES} +# Top-level module name +set DESIGN_NAME "grid_clb"; + +link_design ${DESIGN_NAME} + +######################################### +# Setup constraints to break combinational loops +set_disable_timing */*/*/mem*/sky*_fd_sc_hd__dfxtp_*_*_/Q +# +########################################## +## Setup constraints for clocks + +########################################## +## Setup constraints for paths + +################################## +# Read post-PnR parasitics +read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef + +################################## +# Report timing of Connect block +# LUT4 output timing +report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/in -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut4_out > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut4_timing.rpt +# LUT3 output timing +report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/in -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut3_out > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut3_timing.rpt + +# Output selector timing +report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0/ff_Q[0] -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/fabric_out > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt +report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0/frac_logic_out[0] -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/fabric_out[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt +report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1/ff_Q[0] -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/fabric_out >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt +report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0/frac_logic_out[1] -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/fabric_out[1] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt + +# LUT output to FF input timing +report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut4_out -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0/ff_D[0] > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt +report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut3_out -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0/ff_D[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt +report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut3_out -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1/ff_D[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt + +################################## +# Finish and quit +# Comment it out if you want to debug +exit From 0ba5ec9b93f8056afe39b2a97d3f4550f7144f17 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 15:58:54 -0600 Subject: [PATCH 07/51] [Script] Add report timing script for I/O --- SNPS_PT/SCRIPT/report_timing_io.tcl | 64 +++++++++++++++++++++++++++++ 1 file changed, 64 insertions(+) create mode 100644 SNPS_PT/SCRIPT/report_timing_io.tcl diff --git a/SNPS_PT/SCRIPT/report_timing_io.tcl b/SNPS_PT/SCRIPT/report_timing_io.tcl new file mode 100644 index 0000000..7586457 --- /dev/null +++ b/SNPS_PT/SCRIPT/report_timing_io.tcl @@ -0,0 +1,64 @@ +##################################################################### +# A template script to report timing for A CLB from post-PnR results +# using Synopsys PrimeTime +##################################################################### + +################################## +# Ensure a clean start +remove_design -all +remove_lib -all + +################################## +# Define environment variables +set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; +set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; +set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +set DEVICE_NAME "SOFA" +set TIMING_REPORT_HOME "../TIMING_REPORTS/"; +# Enable preprocessing in Verilog parser +set_app_var svr_enable_vpp true +# Enable reporting ALL the timing paths even those are NOT constrained +set_app_var timing_report_unconstrained_paths tr + +set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" + +set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" + +set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" + +################################## +# Read timing libraries +read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" + +################################## +# Read post-PnR netlists +read_verilog ${FPGA_NETLIST_HOME}/${FPGA_NETLIST_FILES} +# Top-level module name +set DESIGN_NAME "cbx_1__0__logical_tile_io_mode_physical__iopad_0"; + +link_design ${DESIGN_NAME} + +######################################### +# Setup constraints to break combinational loops +set_disable_timing mem*/sky*_fd_sc_hd__dfxtp_*_*_/Q +# +########################################## +## Setup constraints for clocks + +########################################## +## Setup constraints for paths + +################################## +# Read post-PnR parasitics +read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef + +################################## +# Report timing of Connect block +# Inpad -> FPGA timing +report_timing -from gfpga_pad_EMBEDDED_IO_HD_SOC_IN -to iopad_inpad > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt +report_timing -from iopad_outpad -to gfpga_pad_EMBEDDED_IO_HD_SOC_OUT >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt + +################################## +# Finish and quit +# Comment it out if you want to debug +exit From 1b59daebc6591301d06c65a46934262bc6815648 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 15:59:33 -0600 Subject: [PATCH 08/51] [Script] Add comments --- SNPS_PT/SCRIPT/report_timing_io.tcl | 1 + 1 file changed, 1 insertion(+) diff --git a/SNPS_PT/SCRIPT/report_timing_io.tcl b/SNPS_PT/SCRIPT/report_timing_io.tcl index 7586457..57f0465 100644 --- a/SNPS_PT/SCRIPT/report_timing_io.tcl +++ b/SNPS_PT/SCRIPT/report_timing_io.tcl @@ -34,6 +34,7 @@ read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm # Read post-PnR netlists read_verilog ${FPGA_NETLIST_HOME}/${FPGA_NETLIST_FILES} # Top-level module name +# May sweep for all the io modules set DESIGN_NAME "cbx_1__0__logical_tile_io_mode_physical__iopad_0"; link_design ${DESIGN_NAME} From 062120ffd9c9e6e301a20425c90a8ddd06232055 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 16:39:19 -0600 Subject: [PATCH 09/51] [Arch] Update timing for SOFA architecture --- ...n_chain_nonLR_caravel_io_skywater130nm.xml | 189 +++++++----------- 1 file changed, 75 insertions(+), 114 deletions(-) diff --git a/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml b/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml index 21f4189..622dd8b 100644 --- a/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml +++ b/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml @@ -11,6 +11,9 @@ - 80% L = 4, fc_in = 0.15, Fc_out = 0.10 - 100 routing tracks per channel + - The timing is extracted from a TT corner (1.8V, 25C) + - TODO: Add multi-corners, in particular, SS corner + Authors: Xifan Tang --> @@ -186,21 +189,6 @@ - - - - + + + + - + - + - + 1 1 1 - + 1 1 1 1 1 - + 1 1 1 1 1 1 1 1 1 @@ -277,18 +256,17 @@ - + - + @@ -296,7 +274,7 @@ - + @@ -306,7 +284,7 @@ - + @@ -386,9 +364,9 @@ - - - + + + @@ -398,22 +376,22 @@ - - + + - - + + - - + + - - + + @@ -443,18 +421,10 @@ - - 235e-12 - 235e-12 - 235e-12 + 2.31e-9 + 2.31e-9 + 2.31e-9 @@ -462,20 +432,22 @@ - - + + + + - - - + + + @@ -505,20 +477,11 @@ - - 261e-12 - 261e-12 - 261e-12 - 261e-12 + 2.6e-9 + 2.6e-9 + 2.6e-9 + 2.6e-9 @@ -526,20 +489,22 @@ - - + + + + - - - + + + @@ -561,15 +526,27 @@ - - + + - - + + + + + + + + - - + + + + + + + + @@ -591,52 +568,36 @@ I[0] should be connected to in[0] --> - - - - - - - - - - - - - - - - @@ -650,7 +611,7 @@ - + @@ -662,7 +623,7 @@ - + From 7b49fa06842e1ef540bb737321dfa7d0db4dd7bb Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 17:53:53 -0600 Subject: [PATCH 10/51] [Script] Update report timing script for connection blocks so that timing reports are generated in 1 shot --- SNPS_PT/SCRIPT/report_timing_cb.tcl | 107 +++++++++++++++------------- 1 file changed, 56 insertions(+), 51 deletions(-) diff --git a/SNPS_PT/SCRIPT/report_timing_cb.tcl b/SNPS_PT/SCRIPT/report_timing_cb.tcl index 4e34ef4..c507922 100644 --- a/SNPS_PT/SCRIPT/report_timing_cb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_cb.tcl @@ -2,72 +2,77 @@ # A template script to report timing for Connection Blocks from post-PnR results # using Synopsys PrimeTime ##################################################################### - -################################## -# Ensure a clean start -remove_design -all -remove_lib -all - +# ################################## # Define environment variables set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; -set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; -set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; -set DEVICE_NAME "SOFA" +#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; +set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; +#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; +#set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; +#set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; +#set DEVICE_NAME "SOFA_HD" +set DEVICE_NAME "QLSOFA_HD" +#set DEVICE_NAME "SOFA_CHD" set TIMING_REPORT_HOME "../TIMING_REPORTS/"; # Enable preprocessing in Verilog parser set_app_var svr_enable_vpp true # Enable reporting ALL the timing paths even those are NOT constrained -set_app_var timing_report_unconstrained_paths tr +set_app_var timing_report_unconstrained_paths true set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" - set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" - + ################################## -# Read timing libraries -read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" +# Sweep all the CB design +set DESIGN_NAMES {"cbx_1__0_" "cbx_1__1_" "cbx_1__2_" "cby_0__1_" "cby_1__1_" "cby_2__1_"}; +foreach DESIGN_NAME ${DESIGN_NAMES} { + + ################################## + # Ensure a clean start + remove_design -all + remove_lib -all + + ################################## + # Read timing libraries + read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" + + ################################## + # Read post-PnR netlists + read_verilog ${FPGA_NETLIST_HOME}/${FPGA_NETLIST_FILES} + link_design ${DESIGN_NAME} + + ######################################### + # Setup constraints to break combinational loops + #source ${SDC_HOME}/disable_configurable_memory_outputs.sdc + set_disable_timing mem*/sky*_fd_sc_hd__dfxtp_*_*_/D + + ######################################### + # Setup constraints for clocks + #source ${SDC_HOME}/global_ports.sdc + + ######################################### + # Setup constraints for paths + # Connection block name + set CB_CHAN_NAME "chan*"; + set CB_PIN_NAME "*grid_pin*"; + set_max_delay -from ${CB_CHAN_NAME} -to ${CB_CHAN_NAME} 6.02e-11 + set_max_delay -from ${CB_CHAN_NAME} -to ${CB_PIN_NAME} 6.02e-11 + + ################################## + # Read post-PnR parasitics + read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef + + ################################## + # Report timing of Connect block + report_timing -from ${CB_CHAN_NAME} -to ${CB_CHAN_NAME} > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt + report_timing -from ${CB_CHAN_NAME} -to ${CB_PIN_NAME} >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt -################################## -# Read post-PnR netlists -read_verilog ${FPGA_NETLIST_HOME}/${FPGA_NETLIST_FILES} -# Top-level module name -#set DESIGN_NAME "cbx_1__0_"; -#set DESIGN_NAME "cbx_1__1_"; -#set DESIGN_NAME "cbx_1__12_"; -#set DESIGN_NAME "cby_0__1_"; -#set DESIGN_NAME "cby_1__1_"; -set DESIGN_NAME "cby_12__1_"; -link_design ${DESIGN_NAME} - -######################################### -# Setup constraints to break combinational loops -#source ${SDC_HOME}/disable_configurable_memory_outputs.sdc -set_disable_timing mem*/sky*_fd_sc_hd__dfxtp_*_*_/D - -######################################### -# Setup constraints for clocks -#source ${SDC_HOME}/global_ports.sdc - -######################################### -# Setup constraints for paths -# Connection block name -set CB_CHAN_NAME "chan*"; -set CB_PIN_NAME "*grid_pin*"; -set_max_delay -from ${CB_CHAN_NAME} -to ${CB_CHAN_NAME} 6.02e-11 -set_max_delay -from ${CB_CHAN_NAME} -to ${CB_PIN_NAME} 6.02e-11 - -################################## -# Read post-PnR parasitics -read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef - -################################## -# Report timing of Connect block -report_timing -from ${CB_CHAN_NAME} -to ${CB_CHAN_NAME} > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt -report_timing -from ${CB_CHAN_NAME} -to ${CB_PIN_NAME} >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt +} ################################## # Finish and quit From db203b369030d5189f50cd94996ae6df76b038ea Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 18:04:56 -0600 Subject: [PATCH 11/51] [Script] Update report timing script for switch blocks in the purpose of one-shot report generation --- SNPS_PT/SCRIPT/report_timing_sb.tcl | 103 +++++++++++++++------------- 1 file changed, 55 insertions(+), 48 deletions(-) diff --git a/SNPS_PT/SCRIPT/report_timing_sb.tcl b/SNPS_PT/SCRIPT/report_timing_sb.tcl index 5a6c846..9e426b7 100644 --- a/SNPS_PT/SCRIPT/report_timing_sb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_sb.tcl @@ -3,17 +3,22 @@ # using Synopsys PrimeTime ##################################################################### -################################## -# Ensure a clean start -remove_design -all -remove_lib -all - ################################## # Define environment variables set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; -set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; -set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; -set DEVICE_NAME "SOFA" + +#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; +set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; +#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; + +#set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; +#set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; + +#set DEVICE_NAME "SOFA_HD" +set DEVICE_NAME "QLSOFA_HD" +#set DEVICE_NAME "SOFA_CHD" + set TIMING_REPORT_HOME "../TIMING_REPORTS/"; # Enable preprocessing in Verilog parser set_app_var svr_enable_vpp true @@ -27,48 +32,50 @@ set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" ################################## -# Read timing libraries -read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" +# Sweep all the SB designs +set DESIGN_NAMES {"sb_1__1_" "sb_0__0_" "sb_0__2_" "sb_0__1_" "sb_2__0_" "sb_2__2_" "sb_2__1_" "sb_1__0_" "sb_1__2_"}; -################################## -# Read post-PnR netlists -read_verilog ${FPGA_NETLIST_HOME}/${FPGA_NETLIST_FILES} -# Top-level module name -#set DESIGN_NAME sb_1__1_; -#set DESIGN_NAME "sb_0__0_"; -#set DESIGN_NAME "sb_0__2_"; -#set DESIGN_NAME "sb_0__1_"; -#set DESIGN_NAME "sb_2__0_"; -#set DESIGN_NAME "sb_2__2_"; -#set DESIGN_NAME "sb_2__1_"; -#set DESIGN_NAME "sb_1__0_"; -set DESIGN_NAME "sb_1__2_"; +foreach DESIGN_NAME ${DESIGN_NAMES} { -link_design ${DESIGN_NAME} - -######################################### -# Setup constraints to break combinational loops -set_disable_timing mem*/sky*_fd_sc_hd__dfxtp_*_*_/D -# -########################################## -## Setup constraints for clocks - -########################################## -## Setup constraints for paths -## Switch block name -set SB_CHAN_NAME "chan*"; -set SB_PIN_NAME "*grid_pin*"; -set_max_delay -from ${SB_CHAN_NAME} -to ${SB_CHAN_NAME} 2.272500113e-12 -set_max_delay -from ${SB_PIN_NAME} -to ${SB_CHAN_NAME} 7.247000222e-11 - -################################## -# Read post-PnR parasitics -read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef - -################################## -# Report timing of Connect block -report_timing -from ${SB_CHAN_NAME} -to ${SB_CHAN_NAME} > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt -report_timing -from ${SB_PIN_NAME} -to ${SB_CHAN_NAME} >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt + ################################## + # Ensure a clean start + remove_design -all + remove_lib -all + + ################################## + # Read timing libraries + read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" + + ################################## + # Read post-PnR netlists + read_verilog ${FPGA_NETLIST_HOME}/${FPGA_NETLIST_FILES} + + link_design ${DESIGN_NAME} + + ######################################### + # Setup constraints to break combinational loops + set_disable_timing mem*/sky*_fd_sc_hd__dfxtp_*_*_/D + # + ########################################## + ## Setup constraints for clocks + + ########################################## + ## Setup constraints for paths + ## Switch block name + set SB_CHAN_NAME "chan*"; + set SB_PIN_NAME "*grid_pin*"; + set_max_delay -from ${SB_CHAN_NAME} -to ${SB_CHAN_NAME} 2.272500113e-12 + set_max_delay -from ${SB_PIN_NAME} -to ${SB_CHAN_NAME} 7.247000222e-11 + + ################################## + # Read post-PnR parasitics + read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef + + ################################## + # Report timing of Connect block + report_timing -from ${SB_CHAN_NAME} -to ${SB_CHAN_NAME} > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt + report_timing -from ${SB_PIN_NAME} -to ${SB_CHAN_NAME} >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_timing.rpt +} ################################## # Finish and quit From fdb37e05592dd75a921247b60a43c54c6d0e495b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 18:09:17 -0600 Subject: [PATCH 12/51] [Script] formatting --- SNPS_PT/SCRIPT/report_timing_cb.tcl | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/SNPS_PT/SCRIPT/report_timing_cb.tcl b/SNPS_PT/SCRIPT/report_timing_cb.tcl index c507922..fd5fb73 100644 --- a/SNPS_PT/SCRIPT/report_timing_cb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_cb.tcl @@ -6,16 +6,21 @@ ################################## # Define environment variables set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; + #set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; #set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; + #set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; #set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; + #set DEVICE_NAME "SOFA_HD" set DEVICE_NAME "QLSOFA_HD" #set DEVICE_NAME "SOFA_CHD" + set TIMING_REPORT_HOME "../TIMING_REPORTS/"; + # Enable preprocessing in Verilog parser set_app_var svr_enable_vpp true # Enable reporting ALL the timing paths even those are NOT constrained From 12af3b5fa3f722224cbaf8810615d0705ee9ec4b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 18:09:31 -0600 Subject: [PATCH 13/51] [Script] Update report timing script for I/O --- SNPS_PT/SCRIPT/report_timing_io.tcl | 27 +++++++++++++++++++-------- 1 file changed, 19 insertions(+), 8 deletions(-) diff --git a/SNPS_PT/SCRIPT/report_timing_io.tcl b/SNPS_PT/SCRIPT/report_timing_io.tcl index 57f0465..5714dfc 100644 --- a/SNPS_PT/SCRIPT/report_timing_io.tcl +++ b/SNPS_PT/SCRIPT/report_timing_io.tcl @@ -3,18 +3,24 @@ # using Synopsys PrimeTime ##################################################################### -################################## -# Ensure a clean start -remove_design -all -remove_lib -all - ################################## # Define environment variables set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; -set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; -set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; -set DEVICE_NAME "SOFA" + +#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; +set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; +#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; + +#set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; +#set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; + +#set DEVICE_NAME "SOFA_HD" +set DEVICE_NAME "QLSOFA_HD" +#set DEVICE_NAME "SOFA_CHD" + set TIMING_REPORT_HOME "../TIMING_REPORTS/"; + # Enable preprocessing in Verilog parser set_app_var svr_enable_vpp true # Enable reporting ALL the timing paths even those are NOT constrained @@ -26,6 +32,11 @@ set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" +################################## +# Ensure a clean start +remove_design -all +remove_lib -all + ################################## # Read timing libraries read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" From 514dbf045d36dfea58f47e2cd5e1d796b0886a44 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 18:10:06 -0600 Subject: [PATCH 14/51] [Script] Update report timinig script for CLB --- SNPS_PT/SCRIPT/report_timing_clb.tcl | 29 ++++++++++++++++++++-------- 1 file changed, 21 insertions(+), 8 deletions(-) diff --git a/SNPS_PT/SCRIPT/report_timing_clb.tcl b/SNPS_PT/SCRIPT/report_timing_clb.tcl index 80afddd..502a9bb 100644 --- a/SNPS_PT/SCRIPT/report_timing_clb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_clb.tcl @@ -3,18 +3,24 @@ # using Synopsys PrimeTime ##################################################################### -################################## -# Ensure a clean start -remove_design -all -remove_lib -all - ################################## # Define environment variables set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; -set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; -set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; -set DEVICE_NAME "SOFA" + +#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; +set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; +#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; + +#set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; +#set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; + +#set DEVICE_NAME "SOFA_HD" +set DEVICE_NAME "QLSOFA_HD" +#set DEVICE_NAME "SOFA_CHD" + set TIMING_REPORT_HOME "../TIMING_REPORTS/"; + # Enable preprocessing in Verilog parser set_app_var svr_enable_vpp true # Enable reporting ALL the timing paths even those are NOT constrained @@ -26,6 +32,11 @@ set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" +################################## +# Ensure a clean start +remove_design -all +remove_lib -all + ################################## # Read timing libraries read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" @@ -70,6 +81,8 @@ report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__ report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut3_out -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0/ff_D[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut3_out -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1/ff_D[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt +# TODO: Carry logic timing + ################################## # Finish and quit # Comment it out if you want to debug From f28ff97b8b5c5b290cda66ecfac4a82dc7cb4df6 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 20:28:38 -0600 Subject: [PATCH 15/51] [Arch] Move timing values to design variable yml so that we can reuse arch XML to model timing in different corners --- ...l_io_skywater130nm_timing_tt_025C_1v80.yml | 26 +++++++++++++++++++ 1 file changed, 26 insertions(+) create mode 100644 ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml diff --git a/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml b/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml new file mode 100644 index 0000000..98481e8 --- /dev/null +++ b/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml @@ -0,0 +1,26 @@ +L1_SB_MUX_DELAY: 1.61e-9 +L2_SB_MUX_DELAY: 1.61e-9 +L4_SB_MUX_DELAY: 1.61e-9 +CB_MUX_DELAY: 1.38e-9 +L1_WIRE_R: 100 +L1_WIRE_C: 1e-12 +L2_WIRE_R: 100 +L2_WIRE_C: 1e-12 +L4_WIRE_R: 100 +L4_WIRE_C: 1e-12 +INPAD_DELAY: 0.11e-9 +OUTPAD_DELAY: 0.11e-9 +FF_T_SETUP: 0.39e-9 +FF_CLK2Q_DELAY: 0.43e-9 +LUT_OUT0_TO_FF_D_DELAY: 1.14e-9 +LUT_OUT1_TO_FF_D_DELAY: 0.56e-9 +LUT_OUT0_TO_FLE_OUT_DELAY: 0.89e-9 +FF0_Q_TO_FLE_OUT_DELAY: 0.88e-9 +LUT_OUT1_TO_FLE_OUT_DELAY: 0.78e-9 +FF1_Q_TO_FLE_OUT_DELAY: 0.89e-9 +LUT3_DELAY: 2.31e-9 +LUT3_OUT_TO_FLE_OUT_DELAY: 2.03e-9 +LUT4_DELAY: 2.6e-9 +LUT4_OUT_TO_FLE_OUT_DELAY: 2.03e-9 +REGIN_TO_FF0_DELAY: 1.12e-9 +FF0_TO_FF1_DELAY: 0.56e-9 From 54df2a4f9777e9c3e73d7a8762e2d393d12db391 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 20:29:13 -0600 Subject: [PATCH 16/51] [Arch] Update SOFA HD arch to use timing variables --- ...n_chain_nonLR_caravel_io_skywater130nm.xml | 93 +++++++++---------- 1 file changed, 46 insertions(+), 47 deletions(-) diff --git a/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml b/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml index 622dd8b..84b2fbe 100644 --- a/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml +++ b/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml @@ -11,8 +11,7 @@ - 80% L = 4, fc_in = 0.15, Fc_out = 0.10 - 100 routing tracks per channel - - The timing is extracted from a TT corner (1.8V, 25C) - - TODO: Add multi-corners, in particular, SS corner + - Timing is loaded through an external yml file, so that we can model multiple corners Authors: Xifan Tang --> @@ -205,11 +204,11 @@ - - - + + + - + - + 1 1 1 - + 1 1 1 1 1 - + 1 1 1 1 1 1 1 1 1 @@ -256,10 +255,10 @@ - + - + @@ -274,7 +273,7 @@ - + @@ -284,7 +283,7 @@ - + @@ -364,9 +363,9 @@ - - - + + + @@ -376,22 +375,22 @@ - - + + - - + + - - + + - - + + @@ -422,9 +421,9 @@ - 2.31e-9 - 2.31e-9 - 2.31e-9 + ${LUT3_DELAY} + ${LUT3_DELAY} + ${LUT3_DELAY} @@ -432,8 +431,8 @@ - - + + @@ -441,13 +440,13 @@ - + - - + + @@ -478,10 +477,10 @@ - 2.6e-9 - 2.6e-9 - 2.6e-9 - 2.6e-9 + ${LUT4_DELAY} + ${LUT4_DELAY} + ${LUT4_DELAY} + ${LUT4_DELAY} @@ -489,8 +488,8 @@ - - + + @@ -498,13 +497,13 @@ - + - - + + @@ -526,26 +525,26 @@ - - + + - + - + - + - + From 7e4595068a382f98f31100529233bfb2b426dd12 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 20:29:30 -0600 Subject: [PATCH 17/51] [Script] Add design variables to task configuration files --- .../generate_fabric/config/task_template.conf | 1 + .../generate_sdc/config/task_template.conf | 1 + .../generate_testbench/config/task_template.conf | 1 + SNPS_PT/SCRIPT/report_timing_clb.tcl | 8 +++++++- 4 files changed, 10 insertions(+), 1 deletion(-) diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf index 222aee6..1a8d96d 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_fabric_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf index 24bc072..9f8fc0d 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_sdc_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf index 8ffa663..9ace75d 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_testbench_using_key_example_script.openfpga diff --git a/SNPS_PT/SCRIPT/report_timing_clb.tcl b/SNPS_PT/SCRIPT/report_timing_clb.tcl index 502a9bb..91a7314 100644 --- a/SNPS_PT/SCRIPT/report_timing_clb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_clb.tcl @@ -51,7 +51,13 @@ link_design ${DESIGN_NAME} ######################################### # Setup constraints to break combinational loops -set_disable_timing */*/*/mem*/sky*_fd_sc_hd__dfxtp_*_*_/Q +if {${DEVICE_NAME} eq "SOFA_HD"} { + set_disable_timing */*/*/mem*/sky*_fd_sc_hd__dfxtp_*_*_/Q +} else { + # QLSOFA and SOFA CHD use a LUT with carry logic, the memory is deeper in hierarchy + # Also QLSOFA and SOFA CHD use a different FF cell as configuration memory + set_disable_timing */*/*/*/*/*mem/sky*_fd_sc_hd__dfrtp_*_*_/Q +} # ########################################## ## Setup constraints for clocks From 2afd42bb45b8fb0f0f752420b816518328cafdd6 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 20:31:13 -0600 Subject: [PATCH 18/51] [Arch] Explicit comment SOFA HD arch --- ...eable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml b/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml index 84b2fbe..b5632c3 100644 --- a/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml +++ b/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml @@ -1,5 +1,5 @@ - - - + + + - + - - + 1 1 1 - + 1 1 1 1 1 - + 1 1 1 1 1 1 1 1 1 @@ -296,10 +265,10 @@ - + - + @@ -315,7 +284,7 @@ - + @@ -325,7 +294,7 @@ - + @@ -430,10 +399,10 @@ - - - - + + + + @@ -446,22 +415,22 @@ - - + + - - + + - - + + - - + + @@ -494,18 +463,10 @@ - - 235e-12 - 235e-12 - 235e-12 + ${LUT3_DELAY} + ${LUT3_DELAY} + ${LUT3_DELAY} @@ -513,8 +474,8 @@ - - + + @@ -525,8 +486,8 @@ - - + + @@ -556,20 +517,11 @@ - - 261e-12 - 261e-12 - 261e-12 - 261e-12 + ${LUT4_DELAY} + ${LUT4_DELAY} + ${LUT4_DELAY} + ${LUT4_DELAY} @@ -577,20 +529,21 @@ - - + + + - - + + @@ -612,15 +565,23 @@ - - + + - - + + + + + + - - + + + + + + @@ -642,52 +603,36 @@ I[0] should be connected to in[0] --> - - - - - - - - - - - - - - - - @@ -703,7 +648,7 @@ - + @@ -715,7 +660,7 @@ - + @@ -724,7 +669,7 @@ - + From 36b871bcbbb87b9fb1033bd33691316ec8be02d9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 21:00:53 -0600 Subject: [PATCH 22/51] [Arch] Name change for FF CLK2Q vairable --- ...nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml | 2 +- ...register_scan_chain_nonLR_caravel_io_skywater130nm.xml | 8 ++++---- 2 files changed, 5 insertions(+), 5 deletions(-) diff --git a/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml b/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml index 98481e8..82c1658 100644 --- a/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml +++ b/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml @@ -11,7 +11,7 @@ L4_WIRE_C: 1e-12 INPAD_DELAY: 0.11e-9 OUTPAD_DELAY: 0.11e-9 FF_T_SETUP: 0.39e-9 -FF_CLK2Q_DELAY: 0.43e-9 +FF_T_CLK2Q: 0.43e-9 LUT_OUT0_TO_FF_D_DELAY: 1.14e-9 LUT_OUT1_TO_FF_D_DELAY: 0.56e-9 LUT_OUT0_TO_FLE_OUT_DELAY: 0.89e-9 diff --git a/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml b/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml index 838f202..1730839 100644 --- a/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml +++ b/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml @@ -365,7 +365,7 @@ - + @@ -432,7 +432,7 @@ - + @@ -489,7 +489,7 @@ - + @@ -526,7 +526,7 @@ - + From 7059c6a014634ea09777d0488b58941dadce89c1 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 21:05:53 -0600 Subject: [PATCH 23/51] [Arch] Add timing variables for CHD arch but will update later --- ..._skywater130nm_chd_timing_tt_025C_1v80.yml | 26 +++++++++++++++++++ 1 file changed, 26 insertions(+) create mode 100644 ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml diff --git a/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml b/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml new file mode 100644 index 0000000..a7eb774 --- /dev/null +++ b/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml @@ -0,0 +1,26 @@ +L1_SB_MUX_DELAY: 1.44e-9 +L2_SB_MUX_DELAY: 1.44e-9 +L4_SB_MUX_DELAY: 1.44e-9 +CB_MUX_DELAY: 1.38e-9 +L1_WIRE_R: 100 +L1_WIRE_C: 1e-12 +L2_WIRE_R: 100 +L2_WIRE_C: 1e-12 +L4_WIRE_R: 100 +L4_WIRE_C: 1e-12 +INPAD_DELAY: 0.11e-9 +OUTPAD_DELAY: 0.11e-9 +FF_T_SETUP: 0.39e-9 +FF_T_CLK2Q: 0.43e-9 +LUT_OUT0_TO_FF_D_DELAY: 1.14e-9 +LUT_OUT1_TO_FF_D_DELAY: 0.56e-9 +LUT_OUT0_TO_FLE_OUT_DELAY: 0.89e-9 +FF0_Q_TO_FLE_OUT_DELAY: 0.88e-9 +LUT_OUT1_TO_FLE_OUT_DELAY: 0.78e-9 +FF1_Q_TO_FLE_OUT_DELAY: 0.89e-9 +LUT3_DELAY: 2.31e-9 +LUT3_OUT_TO_FLE_OUT_DELAY: 2.03e-9 +LUT4_DELAY: 2.6e-9 +LUT4_OUT_TO_FLE_OUT_DELAY: 2.03e-9 +REGIN_TO_FF0_DELAY: 1.12e-9 +FF0_TO_FF1_DELAY: 0.56e-9 From 4aea849cf915f201c357092d00d089bee91c207f Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 21:06:22 -0600 Subject: [PATCH 24/51] [Script] Add design varaibles to task configuration files --- .../generate_fabric/config/task_template.conf | 1 + .../generate_sdc/config/task_template.conf | 1 + .../generate_testbench/config/task_template.conf | 1 + .../generate_fabric/config/task_template.conf | 1 + .../generate_sdc/config/task_template.conf | 1 + .../generate_testbench/config/task_template.conf | 1 + 6 files changed, 6 insertions(+) diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_fabric/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_fabric/config/task_template.conf index cd63b08..2e59019 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_fabric/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_fabric/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_fabric_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_sdc/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_sdc/config/task_template.conf index 4c9c449..5c237ef 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_sdc/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_sdc/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_sdc_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_testbench/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_testbench/config/task_template.conf index 10575fb..4c1b999 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_testbench/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_testbench/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_testbench_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf index cb4179f..23d93bd 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_fabric_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf index ce0d515..8df8e62 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_sdc_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf index 8af1f08..3d61334 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_testbench_using_key_example_script.openfpga From b22584e7a19802a1631d2862f6623281a86cbb67 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 21:16:08 -0600 Subject: [PATCH 25/51] [MISC] Bug fixes for wrong paths in task configuration files; typo in arch files --- ...eable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml | 2 +- .../generate_fabric/config/task_template.conf | 2 +- .../generate_sdc/config/task_template.conf | 2 +- .../generate_testbench/config/task_template.conf | 2 +- .../generate_fabric/config/task_template.conf | 1 + .../generate_sdc/config/task_template.conf | 1 + .../generate_testbench/config/task_template.conf | 1 + .../generate_fabric/config/task_template.conf | 2 +- .../generate_sdc/config/task_template.conf | 2 +- .../generate_testbench/config/task_template.conf | 2 +- .../generate_fabric/config/task_template.conf | 2 +- .../generate_sdc/config/task_template.conf | 2 +- .../generate_testbench/config/task_template.conf | 2 +- 13 files changed, 13 insertions(+), 10 deletions(-) diff --git a/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml b/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml index 1730839..78852d0 100644 --- a/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml +++ b/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml @@ -503,7 +503,7 @@ - + diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf index 1a8d96d..83177c0 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf @@ -14,7 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr -arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_fabric_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf index 9f8fc0d..4e4f773 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf @@ -14,7 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr -arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_sdc_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf index 9ace75d..8549248 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf @@ -14,7 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr -arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_testbench_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_fabric/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_fabric/config/task_template.conf index 5c2cfba..63edfe6 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_fabric/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_fabric/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_fabric_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_sdc/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_sdc/config/task_template.conf index 78f595f..5a0c479 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_sdc/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_sdc/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_sdc_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_testbench/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_testbench/config/task_template.conf index fced52c..1e0ba61 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_testbench/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_2x2/generate_testbench/config/task_template.conf @@ -14,6 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_testbench_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_fabric/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_fabric/config/task_template.conf index 2e59019..313ec0a 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_fabric/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_fabric/config/task_template.conf @@ -14,7 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr -arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_fabric_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_sdc/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_sdc/config/task_template.conf index 5c237ef..f9ce99e 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_sdc/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_sdc/config/task_template.conf @@ -14,7 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr -arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_sdc_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_testbench/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_testbench/config/task_template.conf index 4c1b999..91083ef 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_testbench/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_testbench/config/task_template.conf @@ -14,7 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr -arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_testbench_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf index 23d93bd..c91fba3 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_fabric/config/task_template.conf @@ -14,7 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr -arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_fabric_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf index 8df8e62..53e507b 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_sdc/config/task_template.conf @@ -14,7 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr -arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_sdc_using_key_example_script.openfpga diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf index 3d61334..0ac1d09 100644 --- a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_testbench/config/task_template.conf @@ -14,7 +14,7 @@ spice_output=false verilog_output=true timeout_each_job = 1*60 fpga_flow=yosys_vpr -arch_variable_file=${PATH:OPENFPGA_PATH}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml [OpenFPGA_SHELL] openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_testbench_using_key_example_script.openfpga From 8196514c2699d61931bfcc9d84a56cfc986e6fac Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 1 Apr 2021 22:16:44 -0600 Subject: [PATCH 26/51] [Arch] Bug fix --- ...adder_register_scan_chain_nonLR_caravel_io_skywater130nm.xml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/ARCH/vpr_arch/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm.xml b/ARCH/vpr_arch/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm.xml index d107281..fa538ba 100644 --- a/ARCH/vpr_arch/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm.xml +++ b/ARCH/vpr_arch/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm.xml @@ -530,7 +530,7 @@ - + From 6939ac9676f503ff6e2da1b1ad3c4bd7c1a43180 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 09:57:27 -0600 Subject: [PATCH 27/51] [Doc] Update required packages for documentation compilation; So that svg image can display in PDF --- DOC/requirements.txt | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/DOC/requirements.txt b/DOC/requirements.txt index 314f232..c3e7e66 100644 --- a/DOC/requirements.txt +++ b/DOC/requirements.txt @@ -9,6 +9,12 @@ sphinxcontrib-bibtex<2.0.0 sphinxcontrib-tikz +# Package required to embed youtube video +sphinxcontrib-yt + +# Package required to convert SVG for latex building +sphinxcontrib-svg2pdfconverter + #Work-around bug "AttributeError: 'Values' object has no attribute 'character_level_inline_markup'" with docutils 0.13.1 #See: # * https://github.com/sphinx-doc/sphinx/issues/3951 From 375f3bffb6338a7e3176329eea476e15afe1f5cc Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 11:19:40 -0600 Subject: [PATCH 28/51] [Doc] Add device gallery to HD FPGAs --- .../hd_fpga/figures/qlsofa_hd_layout.png | Bin 0 -> 381491 bytes .../hd_fpga/figures/sofa_chd_layout.png | Bin 0 -> 365790 bytes .../device/hd_fpga/figures/sofa_hd_layout.png | Bin 0 -> 383018 bytes .../device/hd_fpga/hd_device_gallery.rst | 39 ++++++++++++++++++ DOC/source/device/hd_fpga/index.rst | 2 + DOC/source/device/introduction.rst | 1 - 6 files changed, 41 insertions(+), 1 deletion(-) create mode 100644 DOC/source/device/hd_fpga/figures/qlsofa_hd_layout.png create mode 100644 DOC/source/device/hd_fpga/figures/sofa_chd_layout.png create mode 100644 DOC/source/device/hd_fpga/figures/sofa_hd_layout.png create mode 100644 DOC/source/device/hd_fpga/hd_device_gallery.rst diff --git a/DOC/source/device/hd_fpga/figures/qlsofa_hd_layout.png b/DOC/source/device/hd_fpga/figures/qlsofa_hd_layout.png new file mode 100644 index 0000000000000000000000000000000000000000..61d666f289bde8eb267eb0fbea6e097a25ff40e7 GIT binary patch literal 381491 zcmV($K;yrOP)Px#1ZP1_K>z@;j|==^1poj532;bRa{vGi!vFvd!vV){sAK>D|D{PpK~#8N#Qk?z zR@t)d4P(x+ZF3@LF`*(z5F`ghP*Fg{oO4c?5mY2dP9g?W6cGa$$TioyPGQZJylYcq)-)X<~*Ecp| z{Nzb!=xG0Meg1FlxBHcKOq^o+|MmRJ+9#M!{ttcpciZphQ*>ZxGVXsYgEIG6Elt(F zB7>fh;s4g>|JD?lmHU->6&aNFKaoM1UtRRB=w6vyk>P)OztUe_TT8V+Y3h{!wBP@& z{ay!(9d!*2{+7Z|(n$4wR#_M7a|uPr-Pcl0k{0^|eHS zLQE=!DZu!&)zJBLkCwgy6xo+!OY@}4 znO{Ro7b77+C2Eb;mFz+0)s}lS^kp1HUL8Y`SqM%gvm%=ST1Y}#vdKI$w@P0+ zvIdb=Lz+BEe{)>?`_` z^~xNI9<}t0R83QKqi!IMq0Fam$QT75if&|VO(72>ahz#pW~zA=JZj7Msvx8DN&lM4 z7&4cl4{c?9-EktTGM=_Hv5R1Ls)d>Ax|XW{C;cn)YN+g@U`kn+(v)k84ds5BM^om| z5V=idem!I5nmC`>N|8ZR)~e`S8AnsFp^%KCKV_^>xDY!kO*tp?O|`HTENC)Dbfqrx zYKd<3w8x>VWrUuNk=R4=E5+9Y8!B7KI4YbgSWsk`DFIdVD0?jbg#mK1RNdNSNu`m zNc1Q+76LY%W-j<0Epy0v#Ya^7SJtaq^RNE=>%K~t$~sl!Dt@o8(t(D83+Xdf@jVp| zL{{mmtzcWuEB>U?sd7%_(iVLvYtXK$_o6GrW=o(K! z$9TMqCxDd6wPZ{U0jIX1lynA0vH;mP8ZV155@njmc~jX=;4_IbI>wqz9b(Qn6F2%A z>oC$-m+^YTG1L%3)R#H*6@bcuj13s3HIC5+Vicokj55|>w6V4TemYuXB-$8?^Bas~ zkdXrh;z;@mpvMnojEMkQ!-8=-lY}TmIpg&BOluYz<183sJXobreSJ%rLm^^oS^FT= zjmMyAGE@LGim9XeVmiVS0}WB6jIS$())5^U8d@_-8P8~hII}rp^rUQ=V#IL6DJmH? zjfcqjkuvXO$*{(Vyz1hxGiBXE4&%l$N@t4bc0A*aG?+YUB*p@g0Tx;eo2bboT@yxW ziSG2Q7(d!Z0555@@gOt}#UaMdMO|}&tXHm27$v$Gj@HD_7%jR{H<~22kP>L50TVQw zWqe%$L4Qm%WsM4ni@vn=$6zqln(;cO65R|KW2giOaW1jL81+f$8<~lHq@NJ7j=@a1 zHU?8o0h6`~#_9^_CNMz=+f-{J#u}n8O#}2zMl*J-g%GEY$TJN6N#hx#EhM5pO&mh9 znMuPLt3Dk=T}P4Am?2_!&GDKH(>7(;BvVES4zY(EScg| zSRtw@f;}NYU8SsH5^1w61a>F1rGxx2#&&70;-PTNc8l~L?$x{PCBBO z2_ln>V=zJ5L`G>Eqd!gpj_xFt-iA()>`U~lrDct#kzjxPNJftvAa)!rHXM(x*kX*5 zMHm{RVLVV|5SvJ_(iT~C4JU}4lEDjE7>fQi^hRK0>?*n#%_v>LykNpWQ_Ax(Q$=rf z7>}BPp%N4g1by?sm%uEST62$Z;$@ms>UY)UqO2D-c-Axff75PNo5#rD4iu}4$ z(N?#?NYL^Wxki>?Pc&N)b+M-i+ zky&4OL|X!imXQQ?3G#a5Cow`(t{Iv!#=rzE}KKY=z@#AI-c%YINFb6mcw}5=9pq(H5zx|?-eciFcw#NB?X z%pqetO~iesBQCQHa1*;)JE${5>|pPthpmG?bKS;a<7|q#$l&fh1bZ`cX4@>n$;x4kyanr-cO&u@u$@tn%!ogOXnJ!j1yNIsr#$)R| z4l7R+tXwAI=wXVr-6%X|K2O(iI691AuDh}5dpOQcf;GXVqn+sAMY%QvQHgxG=}c0G}DQ zEOnlWz39)zLldXjW?0M+jCzYsoIe-+3oZpO_SVy7-0?C-FWf!E$D9?t7|3=sa~vj! z99G!PF=q12f!Hn3#Li_5GaQUmdYUEJGI!}OJ~>8kZo)iQBk?0`TxaQtzl@YQhKY@} z#je9~l5=xp4K7YvxVetTYxYQHP8o&2lQ|15weU8ZkM}Hlv7G_V4im+Xr!!UTXes!y zo24as)|94&v%Qw!Pa9k75zO;6z(aKCJX3@Dvqc{&+l&xj(#6VBWO5sitGgbv?8J|q z%>`%EaFww=JOoqLgP1*gGLEwxvVj|htRMeDu zc#Ni$9a3@_38QML>#%USFClReEZ?w|<3~@jb%h^=SqCV2q{qVtBS=jhPw1JcoQ#-G zXtV_jxC=lW7v?AqCS!*f8gV^+0+(WDkPHh&ZG+c%LK>`0od?^22-SFUQ3an+E-C@0cl-MMsr zIw{G9B&Cew;*}{}zNF5BYeUFMGUonW3ywtCvOU0)xJ^4aGJhji)*c{ip$!*PEjf`n zi0gL^WW8o2Ca9BrLyz;a_VdwtJkA*>2LHx8|xLH6~z#D-1dO6+*Ty4c9}b`}Z#dU83^|`RoX~?4L}zwnT{h=(vN|bZ zm-C6ExpPaG__!&YI&4i!*c9%i52x|=APTQqlCgUwv3CAkTX&SB-djlBv4w*x?YO4s zEyj$vsF7r64d=@FQGzW)B92YuLW(vwFIftPEQvm+%gw81B*lt+dHpFZ7{tRn>Vm~_ zq{NQo?oD-)!p8IX{xFiG4M>TZz^$tjNsTj+rbX^;W5JXb4{xhee|IQ(5kABOEG2r; zCZbnv;EYcY(MwixKFFTSaT7>O)aLpHv178VHOiXn7pIUMr^l_^I)o)nCG4UR(HDo1 zepy@eH-P#)4YK#|Ce*`+%UgGHblxJ8wyxpeB3G`Tn@vXYI5JbsxDYduq-YK9-PR-} zVFPrZeiviJ?aYZJ$7^#f<1^0eapFSsH11y4BQ@Ndf(OI7oi%{aO>>A| zwvq_nje?hD1h1M$_@P;ZMF<{ljv*ppJh73Ji92h|<&>#nXG_xJ$BP{%iB6_+F>#vs zz*Np29Yw+Y3Bny_a^0Nh)8<5mnhVA(IUDTC_H|Z-p0Fh<%8rmTqW|+Vxsl-`cv;8# zH7ht4dXB}*Hj(k%BRDbi3dQwkA_9bNxSicgNMS;v- zxDrcq1Cqk6X)BvRTg6aX%8f;tGYLIv!^sn#oCtB?Wh!MSji#;!e-vT8b-|670mOZOOXgNb&;loJT%AW^qO*`(0O`@rq=Z|Lm*GTmgf3}{5w0u{fG*;Go)_`) z(*^jNROL*irqGpD!M;QXF6H!$HC$e@k24ml2;MP;_z-WxQq4(vq(ATM8-8Qu3Vl<;l**>i?!fx^*UCqolA)K zS|Z)|bH-yEr+vhTvi|#*=Wt8(UXtZ1;C2)MTacUK#id9qQcq8&I@g&C;WM~<*@O5n zC(b46QSrc#SB2&r-94LBpN+(sujR(vt(>)9OY-_j+z9sLYKT2K;*5_j+6aK%cvL=- z_;9g_=ri+@5OKsjaegyyT{jnCnF{E~QQR zoaH3XoLd3Zbo*7g$iGZ(Nnp7X^}YLY!bvlv##2T zEe8r#`_o#fOF_;IQiVv*%iP&F#*-FlPw8X9n*@}UC}(lp`6P%_CW|b2w(ofEkz-dEfx}y-5y);K5SR2w4#J|&= zxR@YzlIvFzr%+Qoi`o()%6J#Tj#?2O>_FH_JED#(;MAUlgddwD$IgTVTa%P9h02o2 zlI-ZS*v}YC8*}FREW~TkYF4a2ASLS*)HRjA;f@pnQ~yL@${(_IRDawa!WfOA1g=`o z`fd9qof9y}I@46EM@y|5t+m4?s+zEQr5-1OJXo>ZjAOxbSh{>XA)#(8TRNGO!TtmW zP9i#X5!-j0k$Pc1XChoVf6<3WkAp}~S}SZDKy2)CHf);C#mmct0NqGTnNM9=C<)E6 z>~2`Wjan-bDy_NJBCTKs2@MLN}Y zT*>vv%Q=2}E=M;ylN-C6yxQ}uf3}Ca;;$C9U3YiHYV>2d@5aU4PAs3P|mJ)H^m4d4agghKM z_cD$%vI|+Kwo#K2#g2_u1nr!{qiZXwXYq{O9pN0Z^E;LwBQK3sl zy(>4`EU9i9A!O*n;q6}Byd2KaJr-o0cP1m&mP@fyBm>juTDrA3k`Q;?YR(!DK85EVUgOvM=h`;PfSnzx@<2RCU+FddhGmdVxq#}C{*AlJBlVF?}Bf%+ZDO)!g z(fZ&J6_wk#T3}9QnIjjgOu1iT!sBvxDkKm+7X6(%<}P|)DB1LK(Zgc4Zl6lpm9df3qIf=BI14K4?kl1KXcBO^bBY@l@8`<|_mEh(4X=foa zJE?N~xt+6vYuC3*Fy6rNU?)OPdCAx=L`C~b#vCXCZ#k`PM@YZ4fK{s}bL6NuOO{O& z-k8gpC6kC0@>;ogDyM@Nuq;5b%LGg6Yqe>p>%ztJngse!#@1G!RqI!?YU2Skl)o+O z=%b@E0$sf^zk3QP>6p6VU@0d@uujU2B@6Ajl;%KPohdbS188a?}shHCJX@ zPsL~9JgjF<#NXEwJFDsV`T1iu!-|0A0Yt`~V(0#iEM4ux;gfstT;R&x++1dRF68jB zQ1`@%c8Im2Z+*S+fu8t#+K)5{}>4;~ZS&P40aiDl2=@STma7ovwuJJI%Ss zY!+`?#_|JeNhrC%wr?I2_#zI+@2;`*>n$w)elN?u7h-+4n2pzB@!uare$fNw8rVy=4Uw{8w+3G;PCUc?0tKb9nXWA z_f0hRKU`zZ)kEw&8OFowZ}2eL$i4tSZYTIsThWKQhVH~lO1^bj90gfL9N4g!l|c*0 z%*p5alc$*cP{YC>_ORlsqb&J;Cq5q&@c$~6MRog_y1|`0g*D8XAlc6BWt`u)Orr2u z%3IX9ac2xCcAVzqkz?%KA*F0o82+125dPsAd(*bz)_9T~6(^Y8ew#&alZkpggT%`R z2|93y4SosCx1Ptz)jO!XXHR9}NEuh1oq=yQnKMrn&laE+pzmwtt;VSFf;ec_8~k*Rgif2JSw*!1~SW*>hk&M}v>CWVyeb zU&WcI6YSWxp2H#g$$bBifM0gA=Hq4#yja18cPBaiW*OnFYgqPiCEok&2|j+9GsmUG zaSUOZ%O*~5Uq|(0SL$neQB^pQ-Lp4Qb@dqw7rK*}cZ{@k=)jN-n zRs4+DSK>2|HWE;Ak%cc~+17ZPmG91x*s_f1_&_$TTR~vJd_qp1WY_j!cJAB4p_AKL zy5bO5ZWIx?){ZS3q}mBO!t$+~$!z_Clg;@oc^kqk@fp8wcjNPY3j3aiv-8biEYgn? z6t#i*%jU9U|9*Du+t2Cn2-a@c#Fp(lIC3JGAi<08;y_LuiD3ECm9#yr=JK^9=6HKy zVeP~`-(^_XS`)a?3rCA7EMBw-E6Z7;rvMY(q-W(Uj9XKSw5QX2%Es(5i zFY7jK=FrjO%$>hLvad7jJ$R7KTX#^C-@wKmS11N(V|1Fdy#^x zlt&u{v>6E%SPfoBxOn3dO9J+?WvP^RXM;)l`Xz?n z)p4dVh|@Lu*;BKP;L??Bu1(=^)jr&g%;nI*3wVvWM#P$xl;0k~)3T1dsvb)0E(>y}Q`H1wcQ%8SjWHiR6FBs3|Zyr}3$dt*o9PFb*P*-b8{WJqSa1eZ;I zB&6n({p1-2f6Zd)Pfl!qwu-e4Ygp6l!>0OE?5RA%{N39ry7N6trmQ4n@jO!Z_{q98 zcv7!MX`UWSEzc5h;5yM~ZxNkxj$LO`iFx&gy{SRCHXdfh>tx)%xQFeJ7fF7r#kKg& zte(G*ef~MDvfWPPvOPS{pUP9E)T|xEMi(EhN7i#J^e}saL)dKMn5h7)%=L7edbhlMOpZ@rGgsCArK zJCEGxg*+=(=UHt}(qcvnp_LIH9mAHrQ3Ra|A#mkd$rf(0eB}<-uHDbUpl~*CIl}$} zVO+d)U$Td2QsQp&pt+W1KP+a)o25k69bn!S?>on)C82C~ zzs5F~!z2j-v_G1{E2&l*t9!B3dNGgFp0REBZbI*+5ca+u-&eV~e!S1}wu1!T4`f&K zezvunvppw~rAOjfylo|kaXAF}=CQzV2{9}8&~$wfFY45I*4l-mTbwz*{t+Gv=8%_P z#)TJ!*j`z~oc!C&`z3*ZkEfXTT5$em374*~W%rKN5>U2s_~2o-Y&_1=RlXbv*}>** zArjyovw6GtV9-f|kHp}yb`j^_KV!3yqW71n_)0K6(d>d>{u0jB@8;NtT^Pq6Wc}f7 zEZuvY{U;=_$Ho(rkSs~b2^I$~=iuR^k|}Ru$F2iHiZO%;!MC*3lbm`^c*Bx~i>Ti4vJiKNVWdP(;APoh-jw&_cA6pkcR8|pov-St zwYttYAunyoz%>6!U>eFhK*r-3Ia-4)E2q&`;YdSO4;pGGv48&*rkgq9ta-Se-E~I^cy@;^Hx6=a z(*cR#Z8&RfBW!6P)sn)0U)-H91T?o3#}eptl-z<6)~(r3@QyR&T}b8XvuDh#YUN1F z2I6YAaI9t(yK0xQsr@vY$`0eaDv)z)AF`%THc3lY(RfvZmsLG^Ri#eY77w-r?jtSY z3hOsGvwQm%u3v2>>g89gDLKyK=MUM{b{W6BS2@srh;46Iu<&s*OHc3OPX0CAN2HMG z^q7aiCVW%ck+-E1o#Pxi?d{1n$>`3eUg5x|qePsGVNc7Sm|b_7O+PGPebaXK`o^M(^q+pw!kWaZr)X4O~W^7U=5ejHEEdC4aC z#;|l+INQuuaoKM^U*%}7Nc*m^DCWkD5Z3VvZlb%sRx z01j4$a578mUwWQpuWqvHyb$5`GXw=~V^h!;$=rfDaW;hgdk^C4v5=(ww^*RFjabRR z8p3At{i9L5tnI{wsFB=?s^tFFdj!l~&FRC@?Aj8<{X10>Ftg5jYAr|G{D`R8$)w|W=JfH4c#PZ2 z_BnGozi}4d=j!l%p&HF)WAN}^$gRwmET6xe#0Oa{>$R(^mvn)v>rCn%Q4nV#D(T%&QPGk}UMVyN$S}3t64KfbXKU z?Am*n-Ft#KbvlyOYd5iHUy%5Ai0JezzWz%&c{G6~ek*8id&$)+In0~yCCQEre*WvQ zw6SHe-+E@uaK%q5wkb2s@msMPGjm&31T4nE(ukBZy0n(`qqS@Tl?4mfyxE%ZlT4&a zR9@#&-aXX#T?whDqk7+G?AT$fUZqD;q8V4NOyl5A53DSv<0IvvrR5x!1g@0yZyW(D zJegr_hkw8prkc-W@$z|0GdGjtl}w#(&dJj!nddW4l};W#c9y++PfG??$o_--*|cSc zY=c>_a5+bgohIn;adz(6MQv>@CwErhw|YAfuhy`?J&4_J7P0Q@Wvu`91PjltX61$> z1l@Yd=uPqXU(e?7qcCEdw{z-?0G2-9g;~&j4%}*H{+bPJS+&&Ub6^{XU3gjmMdB_z2D?%Wzm<%!VuHIVD-o-j7SA9mMsTkm#c}BH|ws zdHg8zeI2=f_c^hV4a{A)1jI?ndO4Mo=h8_yd6T%+;@DE69e*9b&i8ZK^4(Haez{)u z4`Y938LJ{CV@PYkbghtSMiPfh&vIDi-Tl={X6FSnDJ+d$*J|0cb~nod?MX|x!u7O2 zW9gbi*i!-XlMU>Dt!!+G z!~Be7Suq)e#Ai!te}Ul02+qBIOwSXXwGBX@7G?@=t?--VOaY(`qzGNx@^OW>7f zcpQ7c{){XlW!}&ip6vg)fyv=<#AJQoK=5hSgdJx6p-5g=z2Vrr$80#bnV>HP6YZM` zdm`EAo89dAkc9o=CG0v7#G*M~96x)M11G|`dNGR~dp5Cde=KWa%P`s=PTve|QF8=;3oSS?xmh4e=(mdat}>a`p^bc8KicS+`OoJ$#* z96b@r`IO7#Kgeh2xjqXB2?EB~^ z7(0${SQK+NtRN;Sku@jlnZD-+t8PSdu;DcO-YsS47b|c~J%;<~Osp2IlEq6`FvCipGiN7o`^psVU7yb84I?o$&_H>4 z+CWE3^#b+35ZG8@G!6AJLSQ;F`849=t)yg{j^6@5tZgOpTD%k+J4^fm<}%Y(nAB$- zwln65Fo9TG8%eh1gtN<7)~&PWY}jVP!#1#Kqbm{N8-%f^k)I!nmzOC~kvlkZY7?hJ zH?e!?d`_QQCH3!Q>I#y%6mg#;!J8;(G34eGYhqqbCF!LuNzZ)Qk?YU0xCLx|cO8qL zN|^CQ9Twl^GWq*l%>Qr?<6rJF|NF}X)h4P+lhEUf$a-*$tlT^7+jy8q)#_Y+rpM*B zaoldO;6a@OTgw6&zjHFvek{cFmnYbKU5@j|EGGUXg^7PoVa6Y_aF;pOTn=OLj`?hr zj4wMsk*q7(96dOPk~(|x8YXb7$%0Gu7Tj)gWozC-R>baR)7w&9-W4(Prw17Q^%0ui zHKPAhAya<7&cctinD1Xt_zeNW-bExP9U~$#os%((DQeOst9=Y{Z-$WeQjho#9_+a3 z%}&wd#@7!p{(Auv{+P@3uiKgO#S^Cfa1+b#9^&}p6;`yJV#A5K1f7{HrEW5hZx^s@ z!$I!UxpS@AjqA^i$f>s@?zs*A4GZzuX2zCx7cu=?9$J5GX8I3zq#R7c?Ar@W|1Jlw z@5`}DJc++#lqV7ov3jpRSDxJEPJsbeYv*&n#*|yNo}BpFnBr!2?qn>%`ND3TzbMD? zs|LpXDNF29fZ;Eq(;pLX_^yD>Z|~u}e>Nep{;c+Pm-7Fr;30%l$)4P6o4~_HbFMc{ z=j;n-&c0dAu5^DwLzfeEbQ3#wuOj^PZcAxBLsxTb-x`V@#dBc)V$Pn~AlO^a=8dx@Q(45hC?U|G zC7caiOMO8i3CRn%)96oTqb=F3Z8BF#Vwl zoj>Md`iE@Hzkh)BkC_~Kex4<(?6~$IoXor%9N#>T(i&^-JdyDl?a65NAi2SxlaE$1 zW34GWlXh_M;!zfVxQ5lw4{`XWhzZ{}G3Cn!EWR#b{2%XNEg9DQvjIFQjTSu};@JIJ zWR<&;{n(xIB2$vur*N~~lE^p@_8o9%)e2|MMeOCo@s)&}3=myQP~7ZI&b4D~-!hXO zn*-$97Iq(Sqq*@q=Z+sDA>NnVwrS)vP3C5U85bHIIsbeW>r>n~oV11&>z#zaR&Yr4 z6@6~25ZXMB9bHCb#3mxnZerzfN73&RHmsS&v*tV8z8=B$P4jSZ&}ZBFc{o{{;_n}T zg^d%w0V^aUlL{_i8J1R(nMp-wr5yYFuwedZ4s13cWdCHj-xwnUrF=9+U&=^b9sPeL zFy*Ha>SHunvCNP&A*Mt}PGi$@4;*dWqOdPIax;o;pSq{KRsnlOt8_dSV=HQ~_%SCZmpk`z0WTi51tG1ZOa_?hJ0 zT|j)aIpw)-RF*E`LXtSiUNf$rSkBR)xm=02<=B1?LNncnxo$`Jv(1v;CbR0pHkN#K zg5}@rVc#n+7D$%nFYQpR9Wn89xqWjkNq47kr^$y4u>ssa;YQYSTdIyMb_;{W|>wtie8*~(5Cdq1%SJ{-R|j?h$B^2)s^Ep_JJ zd2f<-x^v^iY|;))BQw;Kuziv}JXp-(TNWIBy;7p40OZYjwmd(^-Zzrsx zc9=5>8>uNUBkQ~_cMB}J-s(tf>;lrZIdk*aYEln*a{kObLQZ%ReaW7fYd)NKz66|TBr}M3ATnHaBrR(;S+|_1FY%NtbT-*B?nLjLO=g6Y zMBDsHydYU}(rPYd_>tDUoE`7IasMHdQ*XT3)xL*K??YJoT1eu}c1{-f6LZyt>>M|e zQf#PJ~gcb-=F=UG{2n(BH9*gH|x*ooQ)a|lbCOXx#iw!hfLl5ZB^`Q;s!yt%=S zSBE(MbQK5Q>}BiSr4&4{;`$LYs*63jUAvUX=%rjgXvdxXbBQ_N%b7Soc7$6Jo#sl` zMK^LTc@Z5ui`x&~$-E_cdtk?rt418E_GicQ6jr?nW&OM3l9g^Ds?CK zId##Vd$ktauV2cMv+GEXwj}O^7AdEukZ{bEgy1FIy1j_wDH91w_Y^W)$F>jq*!Ox3 z$J_R>{G*h%FG2}w-oY9ffA6!sB&E-x_J$J$)mG$G4xy&H4=ojgcwg3$;-;>YHTL4> z%?VO2&n6{a{4m~?jEhd(yyhauw%pEiCI5~U*_S=Jn(iff@FXSKf!c;C6hE{kD`Fc- z>t^#P%$dZ^f}vOs4jo^{`63A{X_k^rI+1nLiHjFzk#oz9lmuI1BP@7yKR~iAXHw(b z$-TXRlo;{1a(gMsZHW$bB>t3-*uh4)!2%~&W4!$qVCCSze7}`g+Rl+mZw)pxUGVb_ z#KzKr9b4^46u*iIwrBIY36gL0vSICF zcNTaC;NUzPPa!KO7grW|`{8cyNTAOwW;^vKCu=&DmHO1zj3hUEsFW=R0=8+Sgqv_a z%9!jcdL%>*BmbT*iDxxQkJTgV!elN)kC$e^%?sm54AFrvkQHpoZrcD>`s`)RysaE@3?#_Ig|s#HoQbmIYPAKCZ>+@;4iNHsHG97{ zC-jXCku8$aH*Vqf4LdGIjv(=jJ^7CeX=&(7@O32RQgTkl?3Fgm7Fr`F=d%&pk+O3KYV2 z5-^&P8abH<*YtQ*^EuZ}XtU4Rf?&7p1UW3@h|5a$%rzipmxpA@(elLe`W#gG+G}NsZDM ztQd$h8X!l(TjR*yiX%OoSVWr#&c-*W$!wH^Iz) zlAli!TTkXt`wlXjBxt=@$zic|MC)AEoHwNGK_9-z)#daGN6tCzV7Iv+A%RQSCKb$C zugzqgv?V2Khy+wUqVG)R@H-zVg~j1p?b-N2vfo#dr9ZJ@=j*M6Hm@N%T!Y#>+EmpH zptiXSPpXx7z|{Cc?9r+`FsbUnog1UMn4m9M7|*Tr38HWDog@?SKO-(Dj;8d^FwUQv z%;f~}!?;P}i<79Y>rGW*FZRxMAb8dhPC5F?cA5Cf5;pmGbLonLFMY9vJ~z^I$Vk=U zc80#{W$KhjJ#JqzSG~lY95#`x1a~fk8`D_VUG<(?`YBtE1k5J>m;p@{`XnUI#NO4A zdH#-A3W<3sWu@Ib7A;!AEL%r><_AhMAt}s@utTP7-82s4@e>%O{I*wrEIPWsz6YkX zPd{+gX3S`Xz$OxU)LNp{Oji23;pX6l$J}{1xX!`bdkG%S-YlGBi>FIJacm7*oBNB9 z9cd8IG}m_GNlh5620g`?6qbk1-jb)!v zThg1N+P+j*458uP07@R}Qk5~Dk6Gg=xnW7=V@H~@^!V~l58jk@Bdd87#kD=jY1ZOy z-Bezdhy#^Opt)F=+PcnE7U|MbGKd!iU1%3atE?Tst$U`_Ns3)`(~#PH6LPaA(R4?P zlG5?K7Fiohhf-6nPHV{!a$EXw_sL+cwT>aVO`V#W(UjlsLqp+USzCA2%bVqQKcnHk zJ>@rNQI%^!VfGZBWt;FmcPvlx^m$Y^nTo2h+;1?TT!^Hhd=L+dr%~`^G>_|y$;sEJ zw5ca$g+pmC8Ow{u{i(P=obm!AO7CjYaAy(?SB-g*XGCL;I&H;$C@a$>uf~|7>S2_X z>rqfMmfWXZ$ZZ-Xctho7Z0NHx;6E8ohiO$LbJ%)az%rh z$Ae|PLwWaj2)A3tP*W-Q6pxnDT9X^CBgt(4Omxtb>iVA4KJ3Bs(m_-|kTutKrnXUy z*QFhJThN=Ag-Yv7jbNavz8{bCMYakXstfff6Ps354y2%AFnMh~xZ6B}qEZ8@AL`Ih z*NxJGo{~BA8N`PEvI8FrzuR!w9Q+*OPa9rUL4P9L5=6!IH_es(s4VC%80{q)VFwy(x>EjFi;BE)RAx-(WtJ&z7w3o$ttl?lrS8sH z{&>GDB^7-+-!hSs23d;)@GL2Rn~OAgTsfGMrm@_7_BqMT-T7GDnZMnWaUTw*`i3V} zIj)rE8qtt#L-S<^UfmnZ(??yX$s0m-sRp;&hEQDBlbVX&Qf5x%QJtRHY6#aGbttbN zPTRvi)Qetg>N``{Abux!d{Wto7e&2zTH1#;@wYnBK~0hLD;hwZV5hO77qy~?nu5MG zm-eDf^iVHYX)GN~aqa-QK2X-(f!6X)lw}%FS7=Vjtx>c+Fr@U70~HUJ&4KOxiZDpIY>8%@n!BdT);O8GlV z@G(s?I7eoCYU44_8f!;qycey;*3JXph5p#tIk9br3khePi3+i1^%^}i4aPFsV2o@B zp<^)ecNv(zHp&+l)YZqbYS~1>f@esiv19XcZy_vCTxPrB;pKy?>q5NT=d#FiGLP>% zh~hq{MU>YrV0lt0i?8k|+m5ohPPA2a7U5-q6+NWf=tf(0XSvpy)=F7WMR!@CoU801 z*QFe(=|Y{{+b&MfUe<}a`tA}%yYRfMgNnTy8)RGGfeN`^*Cdg(O^pUoO0D#174ZM` zP>rvZOrT16GhD_k>Cfw$jyw~>d?B(lN#9mE{=ck0Kg#asL%t{$siCix# zgy^0~Mj_j_ijI6J?5Nr=k#kLA?3Vg&w8;4F0**H2>4?bNT-AxzdXcwEqM-C^leNDu zRpXg}prN)SZwtH2_?>trMDj|A^kYdUe#n!t^E>cHxnIsbE$_|ql8(HV`C3Xo6JU*^ zPR`Y}bmnEXfULFy?IQc@f=+V1C(nz!@j>MM;&CTlOUaFcctHc>)o|e*qGFD$9;C^Dq&LXQCEh6u$M}v7Qj`YnVk+VdN zFJ(;St$l^4J{EQ6Re=P9x;_$E1Rv7xy^Qr#u2r|ndh5kzMLne)>cwjzDCO<)mTDm+ z!Phg{Di~@IJ--rcwHJ4l5>`#hCN=U!|D{5#3ijR=2`*sj9bO53p9=mOW&KZO z>~D%i_oCl2$@HEm1S0#D4T9P7uBtVD@mSU(=S$l8DK>08<$dQyC$t_#g& z-Fa2pU3Az@?AMcLr6P}tA7 z6!5jl^%hxdbD0o`0KP@Ys!bHzBt-SGq&sg!sV$<&=fx5=D*C8isBD$2;#F~98f2b& ziAXJC*f)x@rPYbjDkRF+3P7tR+E&)}6Y#0=tr+>G%u!MO8HI||ii1CwDA*tdd?R2f z7sq*9BtR6flnVhhmkyAAy(N1S@X5W;gt*=p34uvOtEuVB`@$}~mi~(Ink2HdmPw|g z5VZjAdGTkwD(ufIAt99vV%!FCsA_TMuO3TgD1d)aFkArIpGxJt5O-6R08D`Qv_f&h zKB5cJl>qK#VK@~Kk2q$7a!o+gQZ!r@WS*8P0YYpby8kF-_gowyw@ua~PWMLirjYncIoBrr znnd5NBI~Q7ZsIf&bi~OO$5Y7tg*bniICiZN+jFtA;^6NKJ4?1JvWe3+tL~RI$@QiR ziN+G;pNrjJmk3EJ>n`n1t&FW?53QBra6&}S6*3pS)`(Noi}NViqf(x>iC!9IP0ix4 z&t&{oIo~AfdoJf+KO8E~&|ig_7SY)Yk@cs->)- z;8Ve>IHBUyvL02?6@51g4%JY~l4(nZ(I7Zej$g=HTT6r}#D2}9TLs5Y zMW$ymhB9ZHqB9w{QDl2Aa=ey%8b#l=l9`k>O28C6KUIjiKuBD4*sfq-#%U1y)f5e; zUcrT|tw~7ciH!A33CcwSg$R34B?Mh3gw!s6q6EGgnXg%F*e-Lmh+XQ0WNMV)E&5m9 zyHl-C?AlVMkctv4Kl$ZT#SeunUx@7OD%=Skh2$0ewiJvIOpH<`0!mi*Lj3MczTi^i zXp!r+WrJyupw(8YU`DZpqB9v=#&1!M1*1yfQ099ocu|7M6Y=TR{2^km9@IbR&!yAq zH06%roviPr%->RGNcwqqNs^{8dx28vxiWu&7xs=GY}(>ZY?wP|j@hz)<7Bi9$1zHO zJY!U!SNiq0kg5-y87SYF8jbp>k*o|fl?=>@m~eY`uU~^MuQwziNjV&sZd)h(Nz>uD}?b> zoau#>4GPc|Rysb$=G7RW+6DGwD~9*$}4fiRsn3ifaslMAuk>afk{@R0+=ZIr6~M`823{q z(OU?kyO35VzLTi;P7J1GGi^e=jp7(erlTA`5r?Z2P}c|$mA|pnNd~Am#cL^tKjili zvXH2+$Smtpetz{v?oq(-x==En;+`r{G)wl^ASBcv*PDe%+Jqcl%e)_iB)=$>>_Hr~ zU71TFm_it>LSF3xwwD4vr5skW93?AKfY&V1PPH!?V4HxUP5LNA_e6m6Qh@zToam+O zw+d0#O22x^Aet2dko~7Bhg1{YN%kRqU&z>Pa@-`XK^(O~ac)`rQz8CWlJ&h5&@0ZZ z1gIKukbJSVlASio{wo>lTggb2%>uf4$hHUP_lHAt^;Z6-g=Ae{HJLN9>`< zt;o|ZxT&t~M5XBXiS$(*r&apZ$XH5KW%+Wf=s=Zuh}~KwYfyq^yAb&6B58`l2x*r~ zhWDUei^q-qB@oEH5?q?ZvFap~C==N$V{s=TfPRuWiVZ|hiVR91P_ok+h1_I(CCIkOx*Ej!>*~Zda{N{> z_o1L8Ur6v)=4w;ysSttKNclbKGX*n>9YrrH{m7U~*{=9kqe3)F=KiaG6P3%@iX5^g)t`=JeeL4otZpMg$n}l z^7B_cAqez6jJq&nn$)h*Ax;$KYLOK)o$RRs+Hxi*6>U&bqEGcwDcZvE^&lmQTNZ(U3D@p0a zVV@~ZDNfxi>2jSoZ>?k?wNh3+5m2-Vnbe9RYeex?qFiOaQNX8UFSS+OB#KG-AwXYhSIJ;h<92~oZ*>My01kWppdPjd>el*ptS zM=2wft$M4l)06@zhD1v z`%QAKT9K=E*BdGE6&tnG2sY(>WpgJg znx%x4pjItGyFp}Zl1!&rno|C@%G#9@St-q)O3->CC048KSIf4%p_fz|k^r>!qf-2$ zy+VSuWN9rGl3D)Ro=9n^YQLS65?IN)KIv4lEalGxO4+99QRaK1n)jb}{hzj0!C9-6 z?+v0$2?%%%qx zq@EqX!yGM=BGk#xnMB;V&*UCME=CTf;KoE^BS&*3dm7=1BgxF1%%wAyTtDkeO~i6q zPx?|CzlgYpi`e|dQbM2l67tN4?O!iq{riP%{jiiBF9O;7WCyO|JkI^r|qZXy>C~s_9CPaDkoIIb3xB#9;_)`|^!_6y; zNUvB+So=Emy<5$uuUE76%T@oB|9@%w-mT#Hn@t=oU(fdRIRvG9bM(4DXDhZ4DQh_T zbR~yHt^-Q{-`4)Ww1c9HF6?IPOTy8 z^jc|ah)&qR{)ELGtJp)(OW9Y(SM>Uyw1aP#5cJmnU)#HX?kBV^=5C1}H#1zhkvWHp zC8D3&01h@S;Y9s1LhJoG{bT{hn&)!xxfh2&E+Xi|Jc8cO<;eRv9DEbNwzqp(`*9EJ zKJH@wi=9MO@8WuK5Mj~&1Rq_**`N)aJ-CsmquV$evXz6OE7+5?j6?aNrzZ#5UbmUu zPvrdbEgX5aiLll!B-d=^!h_8uUK87$pUakHXZGeUChX}hLY{6B9B$y0*y>dK8nNvX z4!rjh418MKAz9ZEj`Q@ubM z^jmwiPPR%@_LXxhL|2QY`N-P6RBI1482W zDHEv6*N~F21NBm>R|@%6-Zr7};V7ye4JSSMbKw&Q9_8L7F(IAX_iqrJaFIJXCEUER zi_FVYd7Nj;rp?Nyu}x4{eNc5c`bHyBQ@+Nke4%b!{g6A{(S1qcvNu>> ztVh4N0lk;Cm_09K)Yp{^{Ng!--n>NP#cPHn-a`LuCiCyU#_>cxHb-w^pIONCw7Xa& zXEWo%U1nT=%*0C%u_|oAs`)h|-acpW$CnKG@PZ*<$Qa807q1vB$D`i8VPfqEhDF>% zC#8ak$&E}-Zo@S43FfC8m=Myy;I$8>Jz(_CLZ)TD$Gr9n44=Pb=$CB___~qdBHx&I zuNnFF1;gISTr%$G(guC})IR%CX)op6ONPIFh34D$jIDaYsGCI?-Ku2z-FhtUH(_$G z7Tx>?j9Oly_u>tDuim2f{1xgiMQ%m*-?#Ulwu8TX#pn<38QJ`bes@Y3bgPulZdan! z^cMYh-!SUsOGdtz^-BN2vgRQle{H`TYp7fw`SvBFM8`@SEp4nEkNxnL(eGZ-r@D;6 zHBIy?tl_hwW;E_J@cEu(ta2LBz50L&Ep4caE{1;hgkj&d{H`g-(!ObC^w&=r{bf6& zzG!F6SE8@4o{8M8jQv*TlzrWg?-|$n4&CB*jEi4ldaE9-<2TU{&Bfrz9kkEhXK>^- zhR0rI;JsorKg!zPyhZ)14~%;MO2+xf;BRDIKfjmlbM(Lek|_skhVU(N8JYGsTHSxdF(stolX>lr2cW4@DP z@dNemo-#^sG*dQj8UMl*mWb8NZ82$Q7hQIkzh3ijt{bcjs+xfq0Lj_ACvnEq@cOcKD=2!lhUih>Zt2Zv; z*pa8$&Aq`S-+G2EDnNg81Db(Xuso8=6al?^_8X?(YGvw!dS>K5WAeR5rar32|IRa9 z8a^<-xDAUVMcAKcU}khXlQY_JO=`gI*h3t`N|_N{f?Zq_))6(BY`w*#ZCO|cxVnC-cZ@wS_opRK|&p%K%lGA74WF)^x?DHmHYO|EC^g(pms<4NbrFpVx| zazqhR!-^TV=@zC38D%@k9VjEq@w1iqF#mcpd z?MzP=Lq}C&cCJ#!D`!G<8RO-eNlYoGN#X>_O-zbZ=925_txS$@z$~r-^H|YCTr-x5 z&zKfoD`UvGk=2+dHer?eRMuI|^w1)awd|kmT)ApHIi`}S7u#eknKxa=OQ^&mzJ}?M zLW(JkOwW431dg>=nQ-Yap7lA{ zo{z-i(q)|EFR&!92#4520`neWe=doDynD<_jb?uNO{S+G#<}P`W*PA~ROT`DZVEGt zGce7J#J=FV$a@)=f_qqIM&MYMj`^K%tRBZR_0DO`i(+M+r?AP3#^UBRtfgWxzi}6{ z>^v+Umtm9h2)lcQGEOgJb}W<2alF*bjHCB)3@u?n+;e6I)iH71eT>)VVzsM|>1)!M zwI`OT>qYie4;brp7vqh&3|k(>gjF}NalFaixz$9h^5$8^0NR8fl)ux}Ncmh-)QOtH zzTCd5Pfm^#**7n6J~e}k%&R1)-sWn?J#J=g=E40L6h5BF=53=eoS@Gb<-7j|1JE@b z{JYmEgus+t>KyZ^f-TIj*(kQME0FmG)FrI#X6Ui-!#|cfB>`b(T~Xn~4FWiA;}* zEM+cd9+t|s+(KlW!Q)D6)!54XcVxcXHPTOx3oAs15_9sal#j>Bz8vRQ+sM8ZMHRLb zm(3)vMC7ZnCa2zpTa8v^)z1)_EVxr+L7vF`Te~lFJ*>9mQH_-x%UG3WBF9u7mYIqS z<|4c3pu(KT71Lx6IafPf`k70AnfrI+{MK?s*1IC>-D(S!KJw%^SLS$7VJ-Uoq`QmF zQ%HF_mGe)glT~X$QRxh7WIaU{rrfKa$o+aF@@jOY>62HhNAACD^<{2D8OxA@8sksf zYGVp(#;cACYUJKJ9dhe6DXP<;q)Ln8Ds3Js_tqGQ4aZSjZbWVII4VkvD6TXjU#>l@ z(UG;O%Nn)C{<@TwP7>M2bEnRPJQ=^BWFo~SW-^}*cPd0@RTFtsIbLirS@b=T(z5Y# zj~*2jI#iZRE1phq;Z#b>r;Gk3if$(Hpk^Am)n=lj>Ez3~{0bA%hl$u}oHP@;HcrMg zp`g-O`ifkQlLTKz6g5oXag`ibDev!%qomr9qVh=;mYb3%cFGm|-L0I?gKDvp*sr*9 zvdlSwd>KobBVUnI?#r(j$K!Hi*^Za7q{+F*)p`^*=<~Q%Q|vC+q;G+;KIPkGirod* zxfL^182Qia{aaJ)s`!S|?}6C=vDmXdcN(>V#Rn>k+EP?zPf?M*VBC@Wb*|(z*o(cL zWNmwhPq>7KYZ^uqr0Vg0#7O%%rubcC&a{V|Fli&$!;RM3Av9O?q`i1BRrl4Ua_dd) z;}Il;T9JOijpIjmv3k{d4j$RZx(x@}yZaQ!gT2T|ao|FNIcwG`f9ldxy~wI#AQhwT zzx)<6KCLx8U@#Egak*%;r4@*ni|O+jnf>q)c?{t_A0ljHoOa zOVvGn>Xerz%hY&X+J&!*dXQ~#jH+I6PxAvi2Y998 z*$XvFo~cpMrp9wAL0>9Qfs`j0LWI9OR8zeUaP5s6^^Iz($NY`T6Tl`l%IcISoE@oF z7+raqRQc(Nit_YBd5uEZDi08pCrrrE>i1Ipt~KbLE^ekBtAOtfT5l;%k}bwOo7i>osK#MIL2r zW&f?xO5`3nr#y9Qs#Oy*R3l&3RM`G0gH>MPP@WzsPburrZ6cF40RFPie~2 zDn)MPNu#nRWi5&hmB;%n(&|M9SN_h(RrOf$GnOBZq$aUq3?MLPH2s~ zsw!cWua{PfUK$!ZQY$hv)pSt3)}p*>qUcD`f4j(}Jhgi&*lUxfdTl~^5~!S4o+4Lv zq@k`8wGGOHBe9e6^tW1hkkCPeo#%4z>tF5pM)no?-pbg@Q)U(Bvej(Ri zJsd~+sHa1ECOyhKlZMP~zeUm$_!q7kJz z-6*`%lZ0~`k`PT`^Tq&<968F`O@SOa7RI`@dq_wK;MTQSWM)ia<7VYw6`C+scbpK| zFtqiC{+__JFw`H8p5|cIt3hLz1W+E<}Q+{^yGDQAMTnR<5ib`P&zQ1$CE2@*V~7?_aSVJ&f?hpDhH-S z^CW&F^;dMLzdx9oTRo|M*pJF;DM<@H7Xy#xY1t_5mJQ)i=`dQdKjUrAaO!ULrM;*R zFKUPK==NvA;=`!A{WUUrchKK{V%mF6Ta{ z@y<{Rv-?y2a0oRu11NgfgQ|kww3Usd^3mrKwT4pu=rfA%^^w+#`l5c~IKx!q6z2`# zan1nhiUzCxZdm_N)>=JE^{au#Vu?rv{V2ZOM!|UeqX9( z?AE%^dD1YD>f(Wv=JuuX!RHhRm`Wb>p!QL3(P2MI@5#FIdeKtQgVsmgc~&rhmb^hc zf2=`6#Ypmshj2&qUvOXK6P*>_9zbQT6qj|92}%Dlk+WFe&QsfBoK`zS7a$FA0he}N_+kg>K_fGUFLgPHJTTX`m5~n zNbvIDc5jLw59G0o-}ZC_brs4J(b3YspXjHbWaBbUMPHt@^rN{%bf4LY{M(%=d)$}u z+kGj!*H84*M@UO-^JpOTvbK8VE&sy7)K(0qvSfz#Bx;LB&?H=}_M`8epZps_yZS_64X?23;o=|U8$UWl(_Ire2X1{ z?i@Kp%5gh#BOSRHy@-nme&nS4Q$5+>IKX(%`yKJ~| zW+v&;UgT%bBlC=-l&R}^bb1xH!~Drg^r0ozgKJSzPR7q6J9Ht%~tdKtQ*%OY^lCHm)xj1+!1{~ z4%<%lWgk*g=aPD0F{Md?+)SIw<=E+zhWk@@VGAct%^)Sxnfpl|l!wao)a4|mJ4o4Q z%l+$?WJa##`pNZNJ2jgdVa_s#m1MpSJkItcVxI@e5p#HyvXI;uXKrRJBr8ez$1?up zB)OA!+MVl1Jh*e(fqNp)rEqVF(yrV(zmU6U){_?_WqZ0U_cDBmmhlVoJ-HO+NAz}I z;@qIOT$y36)L#!EFW8k!L5}2HTt)eX^~43ckbB;r8>a*lr(L-! zws;UWo9dh;q$bbdTI3>bNBWSn$&$L10OBK@1!tSN8aan^XFa%h)<)Lqz|}LJoC@~i zLgWVC++RddiW67EoVb&?n8*x2GUC0dh+II{O+O+MT)7@Km&a$kxp>rr3tZ>D#lGvX8t1_k^IR?s zd`{AGZ(1rPSSVR)xh8o@Qfgn5%=O-6qK;W|DRUM9Ysa(yKmvY#q3qayNXp3toH=2~ ztwgblU}@VX6ZDjSJEyCu`d^y5+J^rmFl}8qp)r+_#(fCfG?Gh^j8n&*xsf`b#7uAM zvlj5xd2zA}0bGgkq3D{a>PrS$cjuA1e-X{+=8$p3mRpyEt&ez;f5V?!*WGx0TWa(a zYf7(~(@dS+h3rLOLjFTCE126X#)PtctDNpz0`5gh$ zdC~JRPp+jcAoiFGPp&N&qMs=sUrW*+UoukWaW27^@>`y?U0TJ{{6N(Y>1(p)aXoki zx3hgnKjXyLk+VoXGFf!7fb3W^0gpIv^n7l_imXD6HJLsXUs=SHhZ}^bJh^plJMpIi z#EI8)J#-n3SC?|-s4WlTeS{p%xtunO?A!B&&|G<&XG?RvMDc3LlEv|Jf>x1|>?6)9 zf#9?wO~yO{gIM2^_OyF47DYL$-QPPj=6!@)APuW zT|tdF_aoQ_wgTk17A~Yt?0P?4>~qqG8_GZQ2sb4;X(1QSES8`q!T6RE zyv9qGW6RYXbDrLx&bz#sWQ%Q{=DTrCNc;TB*+N8Kq9<#qKmxdX(vkauow!itbp;{A zTa&42p1{MKc9L-haObu;kF&;d?XnTEHx_X?T>Rz28m^z1M@EuAJI~GL+C!<3VwMnn z!-w)*Gu{_ZFD3ZoR??ETb1vANJF${%97|`NTOh~#m*S`PHH*}KA)xbHQcSCGG+58d z70a=<(P5R}QcjKvn552JB9Xd4)yuVWwt_Aj5)F=Uj%MEZ{JhW|DV zA%p4My{7yVjU6oB89-RjX?8BzP1KPDwk_YtrHcZNguYTfNqT%^3=c1M=Sh>)=TaZP zmNN31)oI>!fM%USa>rdFXqGVZ^z8)NTx9#WV%BPxaKOBreQD z%Amy(ZQO{63LrdmKB-|s7HMlq3E#xo!z(0;%;n6%`83{GMVkQoW};+55k9nLd2;TE z5Zz75vZ8!QNbwqv5?qA$yg+#$;@6((Y<-(WG*E5 zfCFjgmvLb4djb95ZSa zCwB!A6C6p{fdxV!p2TezqKXS3>+&kHGmda2Wi{DxZcsrf?S zl6CGBIZrI(jPl=_u1fZL#E-DE3%C$%$#*excu}y3yW%kQ1^$vLEa&{uHN@{8xOO5$T&8i)`NL&HK+G@lb5!gE8#AZ zi6~#2ka-gvhzxR(a_1CrNxLaHzlbWysB?rQ?~5MNV|No2;>(58lHmqbCeKmz{{reu7jrjjF%cn42oDhwJh_UpEN3nUOE!CY4yT1!6C}fk z+POwBwTIGOyU3C(t~S?F0>&aT;sUu8Hka#hYq^-bmBWYTOF6Ti$b;sTJ#;7Tm}I`^ zHj1DB%F}! zzdVp~x&Gjq1lvegDz5oURwmhIqAOXM^SF9zCzn&bxRvQa+GRfpbce{eELBBiAbI7x z$S>R=fo=mi7Zz}@VKWbkc5(ml7A^}e3(n6b_w;xv55 zB$C%0BWKwjDmF*qFz^cAhJ`G*J%XoIEYqzbv9Uadr(GhGt}A=EaE>{K;%qLds`U=mTZJ*tYA>5+hOpNul9|>gaW_4|!dX#x&kD!MdMBw4 z@%Wh~Gt2xe*7gVRvJPdIWg_#;Wc(SIm~9a*$D!C*gt1^oC@al_WUPbiogIX)jO{x8 zB(~F|ah|oGxfa*(nwo~c{ch&jZo$wfHK9c7k9tQ^NP&FTQY(@qiNcn%+{C}vp3$ask?kbOJR(PEo$lFXx7 zX&H&H=yl~8t5_#Qc9AuGw7(SLq@U=XE=ZrWUZBntFCUaO{6rCT& z-)0vJ><-{(eFF=NbjI}z3_BB(^FjMAS=oCc2^dL^!1hdpO zj(PU!_)fmSY_o7&tU_2YD}njS8qDKlOwp}fgy`@D9*)VF&WK{ItTEChgk@8=utx0T zXmOtL)=9Y8gtEkDKMSWvv1H~o=2+ce_N)lzS{-EFq-28KZV+G_#v-@%IEu|@%}B!0 z{3=dn2l2JvE^~!rFMX{X_u%2Q7tg6uAy}pp;dFx8Gxo94_9%;IhT}gYge5ah%DT_t zY!Qu}**WH0M-w2nT_Uz$W*0=Tdon&Vj|ONq{~r*CKJZJhCE(8|cKVq7J;N=s}SC5!(7fi)JY~ zCbx;4d|3c!jlb<4))+>x+aZ7$7bog=9Tx&iW_piT7Ot-Jm?D{2xJHKOK=a2MN zd&MxdR&=^PV@%iQ4C?q2?XF)jw97LzyM2M@pbvCcd&9(T&oS)s9iuwG!m7)2{0IJl zu5x~0hi}pD{3ByKf5pI#Z5$XNvZy_idzxhKH!|icx~aWpOs6(Rboz)!mmlT$Yq|Fu z)H{5~bdk-y?_1^#dV^tyR}517POg1NkFK>0?*3Npe=Tb%MzeP@{W~_$t;1W@xPxU4 z+FjnD+3^_~UElM0_YZWDu|AV|Mt6BCYb>XC$7+~H1I)05t_b&Z1k< z_3%!w_`KU&vHMe|_H1Fzu&>ZlD`G;I*9`0YwVZz^*I!}K?Ioi-ekb<)UXH)v^B%7m z)wL80!GZsscpre}jIn z_bea$grOatV$%H^j5~ZK?KQ@tS6#9H06Fg8;Ty(w`I2!RJ}|E1S4`>Nj{k^Pj1k*R zRQrLET}7u|8W=Bl?I*T0k@Zd$yK8o8W}@hCe5V(Tm38|M{tj!I-$d<$U`zDZS?n!X zHt+r#??Hb=UF`*j`uv%hGS-M5^_Ykq%sPC}K*2`e4(&`3437}3cj)n)0eu9AouA3v zZ&2&_oPIq%(yO!5_r3Vc*R1UGMCN%Vwt7q7&aau!xmsj>F7kYZzQ{SS^9R&Bz7ct! zqOaDDNAK_0*yk5Uc4!go)rrnt3f{j_Z55r)?AFZeo@tzR*+PCi+J&RNJwCn*v9h%x#wvxlp-%{3?n8V1P}Og38!Nki`YK3qZ|X`1axY_wWasm^ zc_~%0%nQU^jp9_=ZNk!XNY4o(E6bMLEDN@-)?uQNDPuGyNExZTQZf2>1g2w%?r1YK zbcV5MwIL~Myoul8#O*eB65H*$Shk9|rp3fn?B`C+Tr$$eabWgL8m^Dynet;(Y4w#I z_*ke$dsR2KZ8<@U9^4;vlk3JeNi)8}W{+*SjXR82ubZqjxG9W%gYuQtxO`U5)Xsmy zwEGVX765k=N9ZG99@XuybnNg4^hD8{KmV&J^=o={_zBIPKQOfC&vfbVcSfrHgx{!d zncVpc#`OFXT0LZpF5fV)+aH9$eiQ=xGrC>>O&lKvb@~TdJ^vu&_Z_1J#NLCxp=-xK zFs0}B;?O?}2)<=z*SD-3_$M*iSM=)iHM$~`X4gN^U&!X@fS&|t-_u#hwNuAm7~M-D zt8WEBUoo=NpU~{_7rJ!(lU(~NV>|vG3jz7ueqZA=_zR50K?kV)O&t3NdUY2k?I8{( zAkypjM|3*)r7u^u_t7 zhy%?Y{*%o27YP^&QU8L85U-BhKdM`s==w)#0&vl-p*XLW%&Q}Pb%d;YiF0>W`$f+E zO^ETmIOb16;(x+x)HfK(oSlTIM|Jp{kk4P4)8{)(MGwQoe&f6TQ3A;y=_h&~r34MN ze@9#FsIT^S^u#`+#mPr>eJRBA6=p&fYX<#8#`sF+|BDdVpM}7FV7QQxqBqSBzepfz z<8vX7fg+<$$0lY9VXPVaR}6%3G==2)iu3jD{GR?@{vpo)i;&e{RI+xHK-jIb;HaDE zN$oF8=>8{`4)}thvL*vr$Am853vs-`NCJsgkMHTz@dtW~UB=43ai}M5O zs*CO?364f}{fTiR+XM+@J-Ymik>Gc_jIG=0M`5WnD}r}&Jn;8;)QGVJ_U!S65h z>?PQhfZ0*VP(#SowcpRI==o>5OJMxG>tE;~c2pBQt9AU65#kGGo&JDVpJGnV%piAp z8oOuaFi(hXnY5INH(6xii|-;IY#dyfvtS`Xx@Sn&e#Pm)0Gca@@vKJqwN7^`vwKtZ zuoETsHAp?Nl(a-g)(at;x{hVdxi#4CSjf_|OW71+&DD$RxOg^zovTb4FT^!gI7<0? zw5E>n?=vthw6#YvQrfaWBZ55E61Hap2~Ed2*c!p1f@^G3{)0+QDz{oZxRdO`;c3Sx zKR1IH4az$#$`=Q^@lC$+?obyN9X>?$bSThxNXCRrGIcWW(bz3zVifcH-DZC8RuUxj zFWVZ0?TAxM5+fRS`Tq#G{~`|gHv!AP3n2a`*~Rw~DI~iX`UfGf7h;$%(CzV!ME5_@ zLmXtRIN{RK@0s4|HCh5fjUInuP?tY2K*sAMU>_oe)amvYG1A`|Bo3f21UE(^{#bEj zzt6s=yEv(ZL|g*_=2!uVod9foKOrzNSQl|J-5y^Gf&E0E?k@@M^H<3R|1QS-j*gxF z$jDybiW7e&ncFvv==>AvJ$|9H+}}aDCV;jO!kF7nvXa3P;l)||2;ubTD6$W^%@VH^ zHhLdor}s%V&ppLL+nZQODdRK$G|T55W}88DsUH;%x$QdZ45%h5UU zc$%HW*)ECQZgF_dk7vE-K5os9X1?gxenu9nXP;rO_fb}RZD+sBRbmZquywYi=Kj0! z^4-nO*&&3^jNzo?Sys(HiSNP#teJnD{c}#TZq_;6rCjoxFLHSuWxe+)c6mm!&M^hg z$qDS4lS06p^DLMb#a8blB1068lh3hS&YkiLV!P)dW-mC$T-!_dOKB48eu-TRLs&ll z5c|9%nQwVR%Asi1EsA2DcQBjg2C;wPITo5mv)<_rVAeTH z89P6bxjtd6oxfjnbCKB-B3QTJD1kn~1k4j%dK@6qI+-M^40d}4vB~QMfu3hr;(G)) zhm*Kkq_Wma=AD0-g+7~D;CmQfr(^g}y2XJx*GQdzfIx52gTrl1dwdYW|5EHGfw9LQ z1P{N^UGOuqhmgOJrBU}cOzQa^i-!M^(UQ4LkSuC=w=adHzGa+bkln=D^}78XGa+!@ zPC{N?B)AF=hj$jD9Q1b;fsGR^jOzYJ36j4sMsPS)FgSPEKZMM_4VqqWzs`Vv1w0}U`QnLGrKVax6 zf!5(i^c=p$XxbaBH2#3K5Zys3-LqGu5;*P}b0ts)NCtOG;{t14S7UE)ji-+<7WU3W z+hq{``7@6A1kh5Ysd_(7`7G9pk^!`p_NMICbdIf9OlsmxGVZJ)^wu&?H*DtE^Yuiw zE#qqSQsSc4vCH3ut^Q6-Fi>Z#?qD?Z_0iHX`9xqEIy$P~LFx#3_0t={;ti%8b2-TI zC98;SU(Ugo`#JbvIqTX@+4S}(ms%&0nPkppz1`F&&QZPGr+mNYd0B7XNe1?+rYkGg z9AMLokF45K&w?{gSh2gFl~J|K*_@5purlm>G_k`pjGH@Suo@o6REdO>yZb z^%A*yNaX1#S)rZ~rLkm#pGo<0MACZ=$wJhGpgKt=q~86{BA=4+Nmcc*gp*l3!Xw5Y36{()~_-q!W!-02~tq}kId{VE!CRi7LBvFJ16 zBlL)l^rNM5D9@{8ocgXjeeA-u6*j8(gBn`8QqtU$wu-@gyg!+Ovo_S14WOn;`HI9q zUetU>!L8vOIcP<7tGdY2o0_V=JgXf{b*?rCwwm*_YO3@dL2Z41+UmMfTcE|Rbrw{W z&*FJSZ<%KlrBBBaA8Aj@SzX?jYpOm~tOU7d)x$`S2_Q7efXWtQ^7Cd=l;ce4%@v$l zvz+^h+bGF)<-t7dAMU+0YqAcqm2iH4NUaw7M<479n zd+@rfAKyr+Q5d%bp`3r8t{!Qjp2<&gT4Q*$LmX!O$-!Xph*9_Nr#}NG|=ns3taLun7FyS42jNeL0 z)y^=jXY`*SC9$ED*m`oT*}{@B-{L#Gkg&VPx4l)RHhwK8t-&x{`RBO^2= z3m*G{0Y;K-j%<~T{Cl=aRz76xM@Fc>XP8kl0}S6X$fTXohW9WT_6&nAFIhUhjO*^% z1Pr;0uT&L*9o~~L^)|kCzIggOV&y&)ACDDm(mu_mp0(_&Z8UJM*T~BI@^koi@ zYqTgn@4|YCqW5FnDR1peQ;VdAWgS#sb$nUdolvX8EErMGx~*?nAOC^E1yfsuGU2eOq8PCLx@5geuP|GG5R0qN_o>y zB9)Sgj}&s#6~b{4vT`5t3sZ$KY=m^wB~9)pC4g2(=+OD^bdl8BOroc5*T2$RqMrgT z_0CE^DH}!#8Ol8|z5j}{kd8*TADAj>^SS{)O9}G>YI46$_wSf0L^w<`s+|J7F$#f6 zI^MO@pV5=CI*U95g%o=WfmsV#T6g+_PEz{x6S)k96qodtC_PZJGbvg72+-A(l2#{* zqC(gIc(c8^t}Ayhc@unKEKkINRNrxuNTpn_zG+AN{!u)y>nVosrTT@y%cc&T3mHm! z+*}$Oy9*h0`}8JueFu^wMi3XifR+X!3*~KZN!g#28WFL53eCm+h17n1BVRe6uS@C< zHyZPMsD6RaD&st@QzJj8FMIYn(paaX`sG1GbqAhG|GN8q*s*n%kmaOLZ{f?F%01`iPA3f`Ax#gLX%@^e zb!U;eJ9CZvm^a>wdDCo|G0}#_HclLLU4yf(CxPSLnKx-3GfZaVW2edffDPcexZ<+K9Jab zp0qT5Mw2*JTTLfQZ%t-e{~CJEK4D(&fjAs*z6KMCHy5#M;neCZR^U8)r+nYBc=9%`?o;`l&^4&O>b(ZFO`_jsAtwCh(K@B1Z- zq#{}@RfvDb`$SKP#eepEJeSR7-je04owYC7ruUN!H;jN!7m>Lwx$n9OtKxw}+QJhS!*W9!xe&1-GOIG%@s<-MVGcOy2z)ngg6YDJmCgda8 zuksU|R||%$~+dQ`JWcm7iv{R`mRpz$}EormKih`G8() zV{a~;@FgvJFwH_5P12{Ws)J;BoybmKLQLcacC4Mx{i`R*yJJsr{&-6BB(ut1OYyB; z+|FG?;R7cc?s!v>qrCnwi=s#KxbbL-oVO!*`8I+#?Wdw;fa-f`%2!FB7s)yv*^_>H zx)4;4PXtyj`mFCsO40x!Fdtg$2B`F+`uJm=5ZHy!guuLnzy=9{DPIllDgYA$|XjYsYtJO9?wcf}=^7pBX6G{ou|YF%|rdmO0cU zFb|e7CkUzO3)VUdMn?$Fhe{>VQ|)V3_WcX1y1%1eKf$uvztc;q7VTcj-)w~#W&Tk; z|0=lsH~I+Cb`rd6_V|dKkm!zHQr$?>FiaAKjxwKG_b=$$`%C7#)w9X%DeK+q+3NY2 z8&3J0alA{|th>ZI-6q}cJb?~7nCrCy54ZX3w%^G%lf$eTlFLEsJ(A&0QT^;o^|8dt zPBc{wCO>{A+q8s7k~}&7d^?N2iDk=)81~1-6Zz7QoL8R{wl0u3@ADj#GSpbt0L=*_ z&@vc=d zW&CbVO<7BfjSCl-1hU!Ii@;fP2(SvoL^}<&zU}nu`kLXrf1+czf6z@)wq!z|OQceh zXfsw6Kdk#-(Hr~=GsQUFBwA>QLG>iPANyYrn67|fjDV?!5MgH_#9<<1Xy3nLBlnK# z{yk=5v`qtqu!J-f0vp{!`Ge=rbdu6_l0?km0)P7`)fNQX1bsHs89v|{| zh6n&h3jj=I-j%(+S7l&hRT{_F zHw30+l*+q4?NZWR3Uw42`_fW7ROaie$}C@2_uxj{e75-=!fjFjh57TydpMB;tLCwP z;U4zP-Os*7n_048E!%u{aLj)xn|*e3*e{560W0v^=t6n1D>3VLu-wj%=BH8?*9aL& z_TOBjM!AsSo;3!Nt@ix?34x6j0y7o@nZAZ)ggE8Fc#=t?qwdP#3|fLWI5lCY8q592p=% zwc|4(s#h5F{7U+NBXhl_f47$ycmK$+9zO|z{mdYl*I35UQU3NS7#-SOvN9pCK58G? zDJAJH=|4uur?=YQ7%EwxsoZPQ>79_CWQ?+wk)8h{=YN*q`W555y}(BT`H>!fVu(HD)esw00uO$&D>8zHGAyV)dA_oYGHarOk0%Y+YHl z(20OyYYCokisrmujltF4s$|Zth6MMJGZg@1Q7j0%4Di5m=Yc-lNgP z9F5`h;{dKaA4}B1Eo`t@!}f*q7(dQ}(MChj&>n?>?ym%8Lcyfor~x2 zWv&}dC4D&*9g63U90HFQ;Jo`XOSf0z9&mwc*;YKt@5Af7A+#5arnsmZRT8}w$NNy) zhf@}p36+xR$DTj(Q;!0&^e(Z(^&~U&ma^LT2C>%pq)t!b(fm?Ersor6UCZ{FkC>`k zD~$goeLMew!M*++H6gH0(gsPC_)LhbTgPu0-{+5F{68^XGNu2AyZ?@>YFXE`VRBS4 zCxRe3E9RVY&N(4jGAJrJX9N`_sDL1tb50l#a{xs#hqZK>mMfvRgX=SenuA05cPS4G{Ss(&`)O(D$nEn;A&piNaEopmfywNJr(4%pU-zFUrqC z>S`|PZ?ym{zteA6$ak&Oe-u^tBc6tznE&4_$@{A1yz3lE^chO6D3^LkLJGj1 z{L=svFw}IV=~f%IXAh<#-;#UvEu@Tga=Z>=-^|#TKb^=0F-+| zbl*mn|6($Nrm-?`F6qG@Yz&>x+@W5?%s#+q`zsjeZ0F2@BuawA2^ix~LqiMI5-m*v zFa^wY7lyHVMR)n#@LvZoNmh!|vNZS^ea&Abmi~mXB+jk^F#Ep&Oi7jszK{la3qH}vWL75(i$(a-KP{fB*K z(9rh`u#sa75Ma&|#WnUb-G_X_a^Tlk&y_eF`2*Htzr|(J2Lc>E5pMek+rDq$#;Lmevl;Rao^Fi=X0^}KNDg9HEzSjQuh9aUen*+9s1ibrLJC!nll|(pU{)z2d5Dt%6oKEIup0Vk+5eQfxErfac>rz zHx1|Pj!CRs(HnDnb8(5Ls+A}l{PZlb>C8h)mu;@<@*E|P`*5x|;@^>j4&R=oT-lI;Xa zT3^vt;Fl@@8!qrsRMG&+Kw+&u3l!g>q4_5)48Oxl=X-&w08HZ>I;sDLnn-wUIfkv& zOTuJ_o@r= zD@JhslCEm4{Qn&QQ?0Rnxs}x4nr*2|*j8xG(S1Yk8oz**Q+~fw7&*3*8P>a*;k=fM zwS(EUb3EhRhH(A-*x#>}-P7b|QyZz1HeWQgASK?3!<#c1UhtVG%98vZI)?=RvQepOjW$G-v0NC2j(ChFbbH_X*P5Yg^W3~Ke9b{$?} z-tiq~Z9meo(;M2heSuYnj~E$$jeeI`7}>s}gGm$Z&0e8v{sk?~<#S!WM7Ps@rkj1q z{7wxtw|b1ejKgMKKG4DJA)Sot>D*b$viJg{E~3t)97FkTtIk&$Z26w0#&2kD+$3c^ zMZfEL^lUHF!tO2;XCGntwDWjOKZf6&ZB)+O#p=Pe%rd;s9G!Dy^(PJt5omOZxZ%N)WE{ACRg-(QCR{t>K*P!A+^=cD!HX{JIy8gw z3dy@N*m&kBMTb^#;lgOH*L5T$$Q0`ymUI*wX=mL7JKIiw0GO>kU6fsKyLKkb&xEQ? z6R0TdLr&~+0!P*|v*RUJIvrTE?0lRm`(1XG;4scyuVIXkaEa^9slv9L36sVJw>*O0Q8B z7!SFIdGD(j_qstSND>93fmEaZ>!`JY(W>=U!w{tmF#0x*sLA^=mC z$+>;ah3J40oV~1zvb)fI1z__2KYI;KS?;F(ZX33yc?dXc@tx<7`_u?Fr7a;Z+M68- z@nkRF#&o+?)LmReRk=GOtbACvYB7Z=ox~0{r)skqTViZ^b=8>oux=#GEuv@V3XIxh za^&<>)`$DzH!6<%_gbmytW2O*>R5YT6qxT&0a){YDZpI*1~5Ybn7#naOyWej2G&iK znQ{?dPXK1GCzeeBW-p-Xr}eD>P2N|{>HD)P9vw8^SVsYvg(T$2 zmcKDXlD0Cb*+LSwmBEh~NJ2NzeS@|B*BFbM)7SVU*7Ym;inVmn{2OB`n@mv!5*8+?>Nbt`Q**s%lh$U?$gd(0i)(4p54nD+ezE30qm>Lfwq_LlBuVk1r86FT%yjBWN-6whnv7No_(l>`-R1ADk`470fN8uF3;8vf&3|L8*iT#Sj|`L!4H1B~ReOtpGQm=^qmy!d zK`eob`u75-KdAtwDaSCE|0w`#F9FzIR9LY7cjTG|BZC3xpG|HRC8zXPo8 z{~Lfc{0=Z>{VnC^jeX-NinQSIErHj609ePr0ZdN-rt=4Y8B-(xQ?5h)H-Oc;2*CQ# zaM4J0S5bLi1+eQbL<+#p)cp=HMS&^nd#M1nW;}Oq*{SX{D%-m$Yoy&gVZ_SNF#@oj zDu5|B1e6Q^bpo)p0L{|vuyl%TC~{6fzxAH z7vYWXs3ZYTYt?>}F9l%Axn4duk~Q8VrR{!KV2|s52iR5tSg~>!@=nYDEdXrMSKSVm~w5*Ra+F8a=rC$0CN;j4b&7{Ct%YT<)tS8a}Z#;$op;*bIPTN zw(_^G#8zkh->?*bB{lyulQlj{9E(Mi*mTiUu5Ep$gSdb`Vp~mge?dbMYkRSrcABE% zB=IWq58G=icOeCC>Ywm8{Ebj;QC-a>UZw0-x_^>mea2eA=PJju)B07M&0pxOBzLus zxM+XL9C<%Z?>E)d5NpkUlRAm}&`{jVC#E{xWQF4cg1S9rh4U>c+#cg^e3b<67 zC#>~r#@Ro?u*GiNoNEXYWteQ+gs;IX;zy@StUIc99D9DH84r(G&~UUZhw7bK{=uC! zZ^PJKvX6?)(^PLiMd7wx6zwS{A#o(~#p`)WURyHo!**N?GVD?sY zb?AV#MKgkYZK$js%brvH*_7!e&C732z(hq%#%b8+#k-xvOd&Q zw&2AbEv`ury|`nCYQm-GZy z%I2g7Dm!-KXe(k@P2%Ql$(ZtYW1Xhzdl$|c5gs;*d)Hi508^$TD3g~j+%RNSz+i4% zQJ#1Ez3hfN?I=zQV8a$Wj$a={4~rt4Bw_T|ZDeVu2Mkre!bF=S&Rm&7RfRiKd-Rlg z_7fGTfKi}RtD!3YX}tz1t4%ntC7-eV%4ykr3wusZ5(O5B-^f(%*9*X;t&~M1l{(d( zp3EA*zETIt^vbzj(U!V2r(|nu3bUq)Vlz~oi?R>rKLfCC3J3&XE&c#7djXi+-vHK1 z;={r~ASJ&$3#j^t0&@}t)9;08BvW zDoV&%>m%({W8hZ-*pHZsBT!SG;1ETpJnm*D-&Yf`wbuQMjFsO+&3#~bn;%)J|56m* z*P^2Sf|1^z=_ZNPUgJw_wZ5mT-cNMY{xfY9u*$fy(|O5Efo_b{yN$-zSm^vBb^1}t zm9Zj9%tF70LCtG$RDZ%Ki}wU}d&XF`L%3@mW|7)?mUq6)D4T0^>ar6%*D8X$pC`cN zBFoypBy?n?B+u@mh=mW*_Lq0I;p~xS9J=R3)Qd%UfAlAzB!Lyv{aEF<6rZ`{h>s2< zKYuZ&_b%qJh^erkZrD5bq>FtwY#p3s9R1;0NCjY~4xO>IG{$SWDOHuOl$F_0oH(ED z;Q>@G^`*dbCHc`2jCggO)TYU7zcZfe*Gy>K)|LB}&G>LxU3GV%p}r-X21k&p_JZwQ z&XP4Io8%FhF2Us9_Iv5j?^OY7VfYyr2}(ne;8v2cnoGt~0Zjfj5x}+( zfLTc}8%cnLi4@-|05khLz(lz%6$`nv)pytkU|T3K{$B!2*~UuQMohVztH!lM11O9( z<e;LSIH@0Ep#u?P#Hc|oLxxnN>%|LQj z^-!r}WkpwI#ZhII)q4W4WZzL-zNm+?v1#KKLmAX+96#Qi#PA6!fGPE8x?~__sdMhU zAuGcNaqot$>X8s-i@S!p_FSqnCc9$d9%OG+R`rA`!-4`AxZ2-y+^kr_{#9EU+kH4S^+Twxp3k)Ij?|wVAiz-;aa1;6{rz@(<1HgnSN32}(E-K} zI7++bo7sD89vg!a@E;W=iq(jxm)rBARv;^Nx?D4yHU8acysfMHyGa0c?{;%Gmvo{q z)m?zxfk$$T2Lki|od7fcJHW(3DgbLI05dZbn<&34N=vy0<{-dOtfCTk7NW!yW!6mq zW+w{%?+Wbi0841~Go$7I=32kttQ=GKEv?kQ6@dMf-paLJDN9}BH`+)Y93(z1RF4+@ zB5vR>5_>;O{JvvZs~?Hg{{lCOS2exA(o*-&^cH}*XuK65{vbeA9&P$F#xfpSs(r#u z=QRtZoMcg8oix7`z&%ufO-)pvZi{n-1jSRCFR+=inB3SLcE)6pGHfNeBN9m(QcUE6 z6x^JqFn!@tdbkcFv;Ricb~%AZi-)Wo6DCQvn<~*fyew+|v<+8l4LIGhQ6(t!l5aKN#fqwuO9Hlo06{(Wa|Te{lWW9HBY z%dR#Adv~KOe;C`#ov6(5VS^M@x-^{11z~JmwT9u(E)e|1bYh;5W#5?v&OS| zvn6}?k73?OZ*tc}krO_bJ*!udGi3+k9RfIAH)sjH|t zGaff|C3B4%`%5-6(5e7agKCZ(^y*i~^M1`fzlQwV5lR9M+;QzY;W+*`NYW^EZdhh6^p@ z6uz8OD<^Pd$y&;$mk}S}>flqQ3b1y3*C! zjxJUM1Yo@cVE^GRq%|ha7MNR@6YA4dr1(HCoEaiu$|q)70I@S8h@Q3*zs0@`zIvGK zXY<+dXgFtXwx>b4ZGO6iYIkF09d$)5_$-eitK%n1%xmzSoki-1EDCJ*;OBOPdB$f6 zv%W;i&_eD8)DYsblWAkhNnTxpoyBR%7B4X{d`Wx5PXZcc-qlCxsMi=thEUUcL913T zur+u{M%SMhY4{NX0hpD@Y&+f0|HlLD;az1rc}*T)mY-L(xwUr;npJkD zPCzCqEicE2vciSjYS2>wPg&#q`Atm$SO*H%kEijjj;IoC)jIW$YkE=;JY2w_A%GC; z^gmNz0x;D)u){6M2pPkjJ66;;{9Z@Jiq@WMMs(m9>M#GUw3G|{ify}fO@lbU9yHeW z6g8yJ)4&)*Be5yM^)A+0@i6WoP9=Mw!hd zYe^Ngk!vj6l;sH-C zv=G%Mki9UNHQu8Ix}8ulpMs z8X_RHe-l^qC4p0#s0zA6fa?*`W^QBGk`2UK9VfWsw~TJ~g89=oGGyF17A=?{qGbUU zZpCb}IfbXeC00-Lq3Nb0_oVHgTx-VZDkt`wFkIhdHTj{viylf8o|Fk^z2*|JkvYIyTd z$>w>Ib$1c%e}s77<9LVeVbrZJh<%>K#)s26cHf1XQx4oc&`Kram5nEwq=K<4ld0>lDpfMSa{HN&~`Kz9NRjX?_e%+DxZ8&#;*B ziH<|R!Di+sj2C=K$MMgx9VQ(kBXt*$y`xlLQc(#Y?nq6h07o{7lxc5w(@{N;-2^h`ZvMF3B z@uMQRgvqY%oV_%gGc`kr^LHYCMIXYK4J3T=R8}n=%xVu8Vm&4iwaA0Do^x2`?M{%- z0xn#4C2zG4UZXOozb8rc1LAA`(8(ro~qn zh%m6!zr~~$?^)I2BZguhTZm9lX5p(f|A=mzTTGvtPUzejte&x!jnQ$GrGyhRvYJIL zt};o#mQgNqneRD*ev>U&x-fvGUfE>yIKeEli!7PBglqS#RhJ~5UDD^qwjLZk-jcnI zmgGO3%*t0j6c(mYz9fm#Wr6Hk7Q>d9bP`j>NP-*8&MHS%_*>x6-3(J}Yi#W;uyOdq zwrZ9RR+zYS#KOEat5=w@Z+mZc9Ua2z>|kPxcj23~i@Z&B_$TjS@xBw}RL^E}xf``- zI&th|d(NIPK-v9Axz5sbwHfQ?C$io6J1R|16FD`P#Ninv4-RC`kZ8uWxx^yF3lxk^ z=RrgSxpTc(xHJh*k6cC!J3@;t0s!+bu{8OLcFLTTt}p3e`UH!PU(vqvM=U$PVNkm- zD75+I-z}uh52{Io|L0psZ5d>ms%t?_*NwP-cr2TeJ8|z`OKx4a=H3l~M}slh*~XNX zETitOfocaLC4qS&fIyd+=Udi zSl@!}ne!-H??(N@W*j@_N~GUHMw*x4V}FBj%}z4Lw3_Wj>FnMgDs4Y+i9 zG~t1+G&Sn*?4AHtsrx-0inp|-Fk^|db!%yJ9chFAy8&jPCU&sZS8V9?ib*c7ahmu8 zF8#k{fZY>D_Ig2g`yX-W@e!wi62C*f!)e@iIQD8{g7Zg$?LXo?^fit>zo5ssH*}l& zlI{~8FnM||0rNZwn!c9ebxCZ?+Q4#$a;9rsU|zF&{Kls;aNuwz&YQ#FUV+5*-9$w9 zgCs6|#;T|oYMLxmyNJCIm0n-ggPKDvIo;qy@!dtlzbL|Y$yU70_u}PngoP$&$ys@r z%$&)bI5d)j`584JF{UReR-CINhAVh&aM-*D3T zIxAhmS=&F6@ZJGT9UaD)cBfgU`z8M z%jPs(v1M1mSYrHpQIKs*;M{4fnm2>I$ZkaV%px;t12=BF(r{I5n^;3-+C|AGd%{9i zabUk4$0}M=Q|TgQJFwPcFvqHg$+21rsQxDk?5Y8eB*4xewG@DbaHe)SWjmbNvuyx3 z&iA9{Ob^xs%oG(kKnA}AqGBPXtZO$62=kxD!P6dWu5x8hl`RK%b|52cI_cq4ICQ{{ zt2alGpHjpC(=x*PpJkEVCg$`iV$PsM7W7NSb5JG=dgkKVYA-oa!8~lzp!S44lWiw6 zsCfy)I_#!*=W5Jb7tpukUIyx2!+Tl?x9^I=tL?&_6YV%rZA01Ck$5cW$AM~7j_+v6 z!L1!Ram0?|t-Z<1T+ZDG&3T}L`2XD&(n#$+-p#(D()c42LDETZLTicnw!hM)?RS{A{Y*Eb$GErqiFl*$>C);0Ci0nNy zm?fgZd(Z`PN1ev6*$w7vykU&?J4QH{F}z1Q!!6h1ZMBnJ^D^@L){r&z1-aQdoNnyM zwFV_-w7Ff`om+=nbLnbVHk= zvB$y10TYYv*w{M%ei2`JjL6bPUUoK^+lVad(w=ZXW68QEoV%|_=>r)+FB0*7Qz$Yo zi@;aeBtHwK?z$;&BzRw3(&X+n9Uk41jCV=0wFGwK&E_;sDddpWH|*?mifobk3B%Kg z9TUokA^wc$xRb^D=Ls^{%^|D%EY?0p_m&rNGAgH&{%O_x79H{C9mKP1sY{1T2e;Gv zj!s&Cm5%utXR(XJG~Nj;er1aO*Qz@xjsh?zoqrNw+8-Du$6DM@c^Fn+60nv6FeeF0 z1z=qTV9ow%fc=D>bukyuPgFhnqC5iAa7&M4m5T&m=G=cIR`F&tE>w>vap@3(C(K~| z%9Z5Ajwdt1o$QdMl*CM>IL@2kIbMW&Td{4UEseK2sP*c+S)vJhG>qU0d8deP6j^AVf(!&;_NcGsBIFLoIUTjHTL-yJL%2O6o zo-mGzqzQyB=}+9sVDdA=xYHn?zok65{x4Es0uvR$BnJPp0M) zt@yG|jT?35hgK}uG^_SKVAiZ2eqPp?&xq} zi#Hk3R@`oC#j#xj2_M&w;~B%bcczN~tt0h!ItX;y$-wI>FG(JEnsfh{1yxzInKf!I zo7Ru!*#k9-lV{V{xljhF3k|nh(sZ*OZ)!&4GbEd__E|i-Y@xclc~6dg_nIBC{sXAF zXvpoG)*RWofY6CEC{7&7zH(R2pLC$^oIRJ%b>vE|AxEmrD2??Z(=V2Q>3&?eIDq_w zkpvE!&xx!h+&baH<(e)uUT-fkVI+yHC-+6=DVrW2UOxn%p&5+r8A#^T+l-Uu2MPSPVu9NTsM}E-6im>V zKpO6~<#ydbR!kVlmZ*6&UA2}rwc_DrE1uMu@%(OEcIHoH@o=#{>qpQi-*2kb{oe{O zWxn8l0GQT)t^!j4)>7>=tK~BnCI0-yt!T;^X{q(IoX?loXg1M9_iM}~)?0|(>@4F- zM?P<@{((8ozQec0U&Q$+PnY~80DB{^@6gkEg5ThaM2_7@(%{p?4?oJ@aaC*@wUxvP zhe(=wltp8`ndv^9*<&YCFmyYmy(&31^eDUAoukNo4fk&xOr2Pr;U0tfOQ3AnmnmB5XGy*dZ{nsT|$bhlh7t(R5df2R8*G%7TlMl$7OnR7=#<^`&gxa8XsuD9+3hFpTA5 z`DC77R=}dB+ND~VzxME+HrM6%yZ7Yxo67aFHrzNmn#7=mL=U<`+RQw*m&|2j(R?;- zT0wb!4C_|y#7^@hnZcnvmG3sy=?jpQU9R<{PIBIlB(@%_)A&e>lJGzR#(Rqb)8@)? zcNPzr$@%@ZJW-ydRNbi15UZlD`uo(*!8o^@!It%20x3(?BS-)2MAq)o=Qe*(V9KLJ z*2=SxqSh5?wf#?2V2Xv*mbji{^dl9m-s92vBUb&yLiYRxOWU{f=>8fvs~=_Dzs0Ke zPdM5AfK{JwakKmacbg9cSp9^(^G9r)U(;n!16>Aw!gRpb%p7=!r9*Gx-~R=E{hzaA z$Q`nKUm&t~BOa!wSu=Gr!$u7y%sqts{@W?+SxL2B1$$eZBXP8c^oy11LGCXEV9MOZ zI}+0k*R*(jNrT24>SR4xgwKcd1b-Pv(&I?h-S=SU{gGV1-I-^1b%^qBkDYCIta|jq zwz~r^ZVta&$S#&$>Dtwb?q*KdwKo+DDVF43D>;BJ#~XSQelMJ9A9jlh+svHTJ6ZkM zkE_aRqN2ENO3*5k1s`A0RNV3vL`AdG^g<1xh@<`G3%O>2ZoyPWcHtg6a z{c?IJk1tyC=t3(2pd}BR)Hqh!g9~{RsYn+ErUF>!-vK7DdQ;O=0G9SUz@#jd0uy-N ztsO@EiqTxS)PeLU8#2V^HHg}4ki>LP`cs+M+IZfPrgO@)gzf@QO&;IVC)#ra<#};T z>a~JxIn#J5s!*BKdS7DU=Jmb;l4<0}jpOKnk=(s+!~=Q%(Iq`zT<^-U%{~O$9VByB zGTS%JCV#yrCHd}@=6aC7rW8x{ovaTJS3MThBy~_=d$PI*C28Jl+%%b#{IT+#SwxOI zM9Abg8lUJF$Dov(8;#dpnbdm$k)FlexNR=6Edcu` zuYtM!0bt6rkj4TqWi<*ri4|*!-PZpF0JgBrPgJ$}$ozJ1=+x~q?YsX>SL^pU*?xhY z#YaqgKcrLN2bj6NqT`T9SSUAFY#LZ#{|aNb229=L|3hBVssBgXkGf2+N!|>dJA{c7 zXEAxgT#85flGH1dl`dOY(tHaOI{M>2&z&hfX0py@2cD>})Y{=t5^lT|9$3apO)4?#jU~-Lzrb?JyD^#1hw-$%?lt ziEnV{-1%lakU&uGQr#Ac-d8;gtfrb{({S5>#!-V+tO}t_P`xJ|eCf4i@ zoK%3nr$OAZ5NyQan5iib;eSaN-G{hoG~qu!o5v5G-wzSuS>;ELcwEqaOKVz&cj;Z~X#L6cu|C0gs`!%p{ zh|u|wiw5rq6AP)WSV*ahqwX7=wVu&ab&2K&)ni2FTED6`uyNJ;hQ$V-S=Ib6w2@sl(oV;yJgQ(>%8q|pjY=M)rt8mH*a~owUg#hgDcOh-;?1apY*cf*r#NR?>n+-Mf z9jUlHg}i%7L_Wx6T|*V&jb)@=N#nxFuGF75;rh+iG@b8C(-|X?{8BM_JroQ1d|?^a zb-&?E?^`VE7e?HGc*=ThB4OAr)f5U(o%0m+xnx?{c{RT0oZc+%;FZ`VX5&oMk)m+4Kuz#b(sXgmI6fL)aYb)_2#K?AvXz6Wt$%QTisfhg}g zcRDj^pbr)KlX=`YnZ&RFMp;I4|FkzxFKekLzCOF7M`>sZ-PO*J>|e>QJ&V~>xrC#Y ziz$shj;-1Sa#worLh9aBr^l1KdZe$IO21}RbnmbgljdbOw5q{X`y~O>)46(f2Jy=# zQGcPkYGKU=$<#M$`jQ?xfcxjIqzp~fl#jiYGa2O&%hCOlX^^C=Jg)T5TFAd&15+OQ zH#1OHdU%hE;U|H_&#Gme|1%YsGA33Se$Vk1@9|PrRh6=Ig4En=N7| z!_CgINaG$pYEKBaIzZr*P-gWWL*BqVHo5F#Pw(R#FlwM|S&le1d)1RVFV6p-Sf1DD zbLEyEcN?@MX=<_IxjVC8m$T||3CrGWBH*_bkB(TMomJ)+G5|WCni>QI19iW ztp6|*-^$J&OUu64c6K4c*NKz6$8qN5Ad%}USXZ!~)hS67ZrX!qZY~+6TRBlUj3Y$@ z*nilZbNl;oexEsyL@g*Y!tY<#=i$^%Tvz`@Wv63AOi5D#Y==n7<(;>)NWT_8-K(TJ zY~yf0k&0G_Sk!wjb9xryYmq%2Z!5l1_t$GCO{%sAe zU2!GUeImIL;oPrpu6mN7>7M|YvKoce-vFjO?xuR^T0Yxb?*o1GepEr^KNVn7clQ>* zvZv)ombQ3Hi;f>KGX5Eh&Tp~m_!PT#@91FhoR(c*(AxAZ&2663(YR3(&U2=8eS?ww zuZ_hk3~V0L%=|4zj*l2Nw34xd^O)1?1ak%+B6C;;UZy3?(W)U>^D*mspJT}&U;HQe zkUgY;4fa(ObS&p!+Xj-xt`v3btlBfSU8Z&CD?YOkVK!Q|528bfh^?5eE zm|LPYPPkshW1s~5;3Udiibx((EdV=@yT&U#^pA0{=L_a))=P%H$pm=LW@Ti^h5<|P*~cM88Z(upy&SxV9G`O93IzNs4j3T z%jw*`X+c83P-@OPaJi-%UgHLHVABA;yed$VetagucK@;l4{vFz=8x6vo5m)#cz5c>mp}zbd#5~l|J`xDzom}kr5syeNaJ3LL`l1EAL)Y=gxgKsy7cKV6?xS zzp)Bns!cuq9{|%9fc?)m_4qr$lm!iy<#ZH)83}llHRF1zeZ|aXzcNTmxr6tg3oykp zE@=5P)kYujGQvxAb?ExORJq!|fGwmmAC%e?RC`63*>QYF z$1usoo%H^PDe8WZJ-rTbuys8NBg1LB-i`Vj8hmlS8BMjVMD1&Gr?xc*w)Ek|?opJl zUqoikJo1aClbaVnLf&dh%Kg}1)<*=D2|<3gSUU}*lbsE=4xO;K>-P)ebw@$g!*Ej=TfyUhi3$cDSY)zWQDAQ!lA04f_at08!pd053=X3LnDUTlNa{Icb>PCX1vYy`7r2d>at7rQ&-nNRu%I@41s6M!) z#=GmCC{7#2n2D3PTHj6TDt~9K5KCAjaWYT!Y^Jhc;-fn{?B6_xVGc9dRaVW6F@6-M zo}o1T0678o1o|h)3SG(LI|eGHs9eOaJ>HEYmCM;*Ie|kJW7xehiyrOv;x+mhp2MfG zzj%dePlkKf48@MOq$GJTQJ%}G&bLzCIc=;ph_*2O-om^hvzC9`=V%1p7A2dWGx zh?>g%JDq5_rX&J2>JGWmx7GFkg#!EfZwl-$;u8L%THLa~##f}a|Ba!VA89WZ>>mP5 zN7TK>PommBVXgO$RyyCKBLFkeQ#Sd1O;YP`7^Cq{6?3k7-{GRIY}xk%9W}nCkHmTx z9c7V&-vOp<^VU^MSsv;)0oY&V*itXG?-(KDCcW7^{PaJeY&6_blC!1mH&|*uRqZ}* zt@pEP>76bDVI6^^qw=7)#B?_qAI-$=m`a^B)xVUa`)Bm^9~0%imEDOIM32g2qvt-V ze2)?}zKqn7b*%5*NRsJRR(H!~jLjml1{G6iznNn5ZB({-K+cdTo?e!?P?Y#p1J3U< zqV9wSwTFx-%k585;V4oPR-b(Z#+4E;NoxVyGjkU;fWwlg+jHrr&n11+A*vW9WB5pQxps7xIyh~4Y?ZIbwA~OV> z59Rw$#U`nyCEOVzec78cSIx+cpGRTb5-#prK*W;SOc}70gt!$PyU>IBd-^KH^5nX% zK-G%kwE=Wc+ryki9$c<>R9(mW@{At0&bTsiSQxwZF64ewAGWRwp?60wP92`aO~sPl zR2P-rjOv6q#@H^P=2#HRrY)eqc^tz`cQe?ij``hoQk>X_Ck>*&q-`GF(;`019f$Tg zj2p3t2?K_*u(uzR8~~L-YQOff#O)e$O#|6eI$6M@tGZ@*O_W$uovE~KdsVwUzHBJK z){*y`iQU#GW3?R_9`QUEh1w`qdEd6y)6T}8<|X?~Ez_8JQTOmm47ZHWyt zi8m*;ccixdj`12#v6AC-Gx$~6jjnbAp>7h7c9PsR)RoO{ zzN4eE6S>-3Tx1OSHvbV{y|1P0ujwRZ*^4N!(fmqvF~6&fm9_#}Wkm|baky!Ghjp{> zurc_V)-s+fq;7f|F9cwJ#jwR))~uaLW$tK_eP>FW`LH8$J~_d2+321^h)oPzt&^x2 zDAs;bENOjWDeqoDb>D+*>GXoU9x=SAaZ=qFydwa+bC$+eFp)G|uJ*ci8g@^kb zQj#-SvV1WqX(cRJ;6Zu0FFUpkVtZLP0t0NYcIbkIT{nT3EjIT5L4jFg>0m-v%l3Ht zS+ccsAloWjSeN1{K^}*ne3Dkvk(wC6w(4$dE$POEGwmc>n{n&3vXQ8A&E$80 zeK&dw*JN;Su|7xGh(zLgCa|aH3B1iySky6r)oyvDOj*RO=!F8bfp|`vMf}QO0*0^0 zS?4jGWYC*Rr>g0G5P_$;r{djm$ zi?Y% zroR^lwI*q&_beZDfzow$s%IFLJDX1gv{B1qanRkz_R#-X0Jto zJtU>oPXe&7u#&iT)%yk~?eAzQfN8D$ly34_Q{5lY5QWuIlvoc@_>KZF9dQjNq6CdK z-_ly`rKrUB0BQNp7OJIK{v*J?W1CYgD@P}jJv@hEyA31_FJ?}& zUHF+iAai65cl^(i*mEb#`#fO2(+eUTKQTxKekYB$SQ~yV0DFOs#usAizNM7_*mJXP} znkln6vURXnM?)H9KsMfJMg8T@Ts$zAobaLKrFqfUEk%?_51MLhR0F%|T3ce4O<;ES zU=|Np#LVu~nKx!2lZGtE$|#kn$TdVo&*a##3G6B#Kz`}~rVa97aJQ`tcMWHESudLI z3M>T#_wH*`UNDgf{nj#NR1~L950pXQk!R<0R1;3moU^7Z+msy{Hk8LKq2{nFH}7b1 zL+V&BadGFYJt;E_*qSjY6gTsM)S=DzxbM>CHNb@ztU3XE^yOe+_ z;bis6W_yn^Dthdrr2TUW`o;4_t+NVXx9cPsp0KC>Y%{K&X-m<%KBBaS;_Weq#DsJf zE}c(ITpo)Sc~e~EMaAZURB!7}NU#DhW%1Qs*x3Ge0JF5S!p_kg>#iMH;bSeCZX%az zMzgaxiGx+=Dat>bc-0Bjc!{Ui_ zi(&V`ZFm~TGQas@mbAFX#);>+T;;Cz}6b06vS7Jk( zM19=7)?Sod4{n^BC<1zy?vj(>Qyk8I3oa@wCp3+vg`xcXcFJ zZ&~w5fY5YFQ#I}5*{!ZbEs0`n;6m0V``|xwJPl|2^IRZ&@0wTwIhQ97)x@TXb-QNE zook{Zuj$dy(2S;s8f;4%O#0jmwq-l;_A#`$09|Ad9sYg`0gHk$uNE3tceiqkOs6ISZ4NNW8p69qJua!f}}QA}bP4Mc?* zsT9}`wAKAL)U>{(b?YxgDcunp`axp$8(Qi7m6q~;GtK7`&p+VT;;(q?evSL?O_}O6VWR01Xn*hv70QQHw zkjl&2+73H&OZ+_~ixv%LM|m%z{HL-Zbt6IkYe-JdltMzuNRH+BsmW~F*pEB44xFj% z&xM_4s>d_#NCp3X4eYu=sLG|5h|wuz49#M*T@~qlYnZ2dmHE=COIn^{|HxA;YF5I~ zHYXWiRDy5k%k&o@n5Zik&&B5pRFqv@Oa(x#G?i5%{!a$jcc`m<#=&|6wP)w3CTc1( zlJCm^JXW!ojM&a%c`Vo*+Lt{M-FbG(B`wvXT1~Yw*lOFU+WPiw zebz;eV*bE6Tt7E}+)RI5`^IziWlPmyd@bO4R@<8To66iSNigzKE~MVMrzQh`9Lpxn zCCPU^$v(c^Iy#zX0*zoqg-z1=vzh8?jdv?Z=^QBXnfIrqp8jv$f}u3DP6Ohjp^p{J!=|jdWyw%CVF8Oy$m;V>c9lmqe0h?jiA5S=(3_Y zJiFVG>$f^{UjTGu*EFV0*+AH`KyF_g&7IRLnPB6^_Vg%Gj%|2wx2@R7X6)TCp9KRp z3T%h7f4??`k&}3QtAlD`NM&d8i#zAj$EZ;N_VvF^ftg9{*l9echu#Z;$rH>3GA*^f z!&2hSLj4|To!>J;{@+#mM*-MZI7$+4DY4dG;|V<^hC550)U+SdyhS7SV)M+@1!!U; zTkHLaR?VK#OuIqUY!m+N?&Gcdn~b5KRXc6l8+;OA%6QS0vi~8#e!@ccgD9}KG6r9x ztt~)ov6m5s`jHzQkD64m)Ii*@T*AfTP6CJM}QH=74k5Hcg2%$|uz?9 zM^wBg@yWr&L@%YJ%!Q(j-8pxx9T)fX;B;j>o=DLDQGs2Rfn08XiGYDo#P*0|pW78? z>zA;k^*KCSe94NA4>&mJv-tEc8P(!5!;HRUxpZ7_-6!JXpNh2pPCDrav;~A_;@MjX zME)xSEc_n;toz>prkaUwRZQ)f`8<{ZqpWoLK&;ov(iLPynb0Vfsp*nAJJt+gTksUB z(nqjsTR&>9bfQ+drggIwFRv&I42q(V!F+7L3DcbenAbglrg~>`v%GQaQOU{LN!&kU z&Z}eQJUJoK{ajZrpLG)J>cYj-{keFf6X!3_V8+V`^_ zwCTltqv_MK;a>=_zhWk8YLrc(!2cY*+vefe>I!WH0(Nb#;%az=>@H84XmD8|(?E~r zx9Dy7SfKYr;BuXj^3$osEe!N)G1h<0K;0X-HM@h6!6WS2KESeh14e2unKSShM?&}G zF0rkMfKEEU2*5u44ltdM|3eFD^&hT*{fwTPvZ;rBUsRo2^OsC$_J(<#o0!_}9_gJv z<74m^k7l3oZt++I$}Tqb-OZ8#{uB%m!Qxm*u~juC9Uil>?^>~tzfWYnf6bJOl|4DX zt0nhpZ79we$l=2?1z@3gc?J@f6veXTA;iaJ6W~8gwI=@N0!4v2;^^um0P7(NOj#4( z>^~|n2RlbmU}gmRn6YQO*qJ>YNlqAqf5<5OqbK6EY6PK?^YB~IlM^+zlolIHAh+f0 z9xG1oY01O8>fDwJKD}nh*JCzQC*av;bDq^>Q%D(_NvTUIfsUc1O-vzebRIcVGC8xT zg6v_XgiI~RcXA?OAQGutzXfW@AVa!*hx9fMN-=te5SK>jHWL7vVpln(z9j)A=Zl?YhtQ3F=NVVkH7SgHB1YqhK0yGuCl(}63SCQJybv_ZO_leTZ z%C>4>tEN%d=)D(!iEI~G_7n^7JHR9hT2^rBjIu|en#y)RxvI;t(h${pU=8<0#mXRh zQ0u~_y+cS08_n!dsZ1Fe&+K8L5{FOS*%)0jOXktxG7vFzkeV!3e@oK* zr-`ZxWk#O_CiE?0La+5K8{~lqRag&?YVx_oS>!i*j>Ji z_3=IMn=y-}Q-?Eipf78~?bu#sOU*wk5UD|V+i)r*A-=dxu(DAn<+iS(aA#=2xyEKB0_#+mHjGKAc+ z@$A|;T-qg;R%%!9m=nw0Ej}#QdWx<9ti6)J^}ohe60oBvtgZ$>&|dRL0ob2ZiP%k) zl)a%OSOJ(~Y0Y&%3c#ekYQJG<@PftT4iGW9h=>_k#Ln2u;px?^pRk5?Q`5;CS5A4a zb!_NXO~~X7@_OZq`>GUMxtrqlPsr~V#j8t>+`OhneVs9Pj||}MaY>YtWXm`7Vf)sh zWG1d5$Y&+7@lp7NL=X{~NLt!Ls!JsnO8XGt=YYL)H_WViV`VKC(yj|?7S>jDwXw!h znVK&z6Z4irIy0VKH5E9uX4QHhJa!Z@t16pid-CwvT0~mq5-!|oD;Y~$2A>8^R}JN} z`Vz>Rs-Ss)xjD}#6mUxO1IMi{64GxCp#u}-1S<)$mx>JtBx7PAdDHx;nVUlP&`A6z z$KyUBh{S%U=&${O=2{|?4U{d+l-EzR)A^B3n&SDDM{)GOV}O)hZu~0~_1{S6yv9}n z##SI>v!mD{DhWdBu&Yl#?5}iUh4;RH9lZu_#@he%1+GRi-HrmFXbq^Z5!$Rh=F7x zAN_Zfclwp~YOmy2qUHpiEhY1I)&7OiVu{;GhEr4jgo{Nf*UpXQ`hBr;V(EUW)nM=L z1*}Ro<#~gq%H}oR5bIa3OWnzlWW~(jK=n8d7mp;)eK@P;1&|OLM^Hoxkx{D%Nt{De z^ad7nKY?ABOK50aB7VU(PL#QG^3VV-6s#s;=3;i2O%cV>lYQlb1rmcrWsksTZhy`k zxBGn|#k*=eyX-(^&ICec`EX#Xyh{3Tu5dh$>nyl<%|K-QD6w^Yc_ejwD4)M4_V&7D z*0n2oar=%d*Bf-GyKTkod)+C`SWe|86P`VhtSg_tFSfPuRy(Tl0$HEef%@l4!qXRP zr^%C>?by81h5JYQ^Qcjcmk-ob+w|Rirbb4R0hjhn;YxjTZq#e@Lb1T-yAis^MO0iz zK8O{6e@&Z)hiY7@9Z2%B!IJG;s?_ZbWz&yGttj2nj?%noyt&<0RF)C<@9ObNj30s|aWVZc56wxDEi|R2q{24n*ZVsBtwXP3X=}Qt*CC5M0QR^+E9SYc) zyPoPT&3Sa+h`Q4boH*#h_U%Sub#-~zq|V7B?P(OnQh%WfX<=hHdTcORd7U}BeFFC% zcHz)vU0&a5!81t?w;pKoNY3f(xe0W%$YJiJL|)|w;iLHq4N-wD^*_^3V%|wzS;1II z_CI2#`MuQTM-c&E(@o=NhRay#E?{mhs==EJ>byIz#upbg`SQFrPcNwP_L>n5vo>)^=RF5Ho+fgnc-hex0t!pLUuh#s$y8p7^;8x|?4kJ^y~HjymyYTq z9p|i}K<2L)$a|dxh}njJWxRmSSzB3K|0j{lqR=ED)paF1HTx6YC2*C8W83RXnazGc zPqL@B1f^;XezkYlXek>dJSA8A75iHMMhCSoBmlm~S-#&|U}~cKD`O;+DI1QeYu~4* zNgAhidUIjF8&?nYS^EKVMd~^Wk z_swAUx)Az{a%!c1KrF$1+G}0KLi;YgG#XX5Kuy`H*`kbs6+XN@*q>*WV)>UW;ogoB zqTVdId1M%u4)*2Bsez(O#&Ei7JaxOrbK|fL4f6ikLK!5Ui@A1S5jT%@<^Jxm+}$^X zBh_w%dM={opa(T4tVC7Wap{B$=c=a@yJQ@fcTcAFpaZpgd&+l*P`cKWt+6AyacC&l z4mxt@ur>GhjbnR!GMO=bxp3B*bBBj>_J|9$$3~LjH;+?gk{l1Y$@@K|jw85zycd~% z&K${J%at9|xO#9fwTEoEShko*&)Hl&F@_uaoj7%H7`raov19KNLYB_q!9L0Ghpjkz z(wW-BL)n<#pPbmqH16-kmA!+wzM~(FQrG=ygDLS}#?vFN)a@Eg?UCM`+A^D7M)lZB z?AdGnEb2;GGUq2+Nc<`LKRU^vcNK*)P6m8ii49HdpXnnmVUWa3wSgp6o!8R;KhQyv zpM@kjWg}T&L(&7Hp?6>92t`MBe zv+){V&5P)b_=^Hl*M1@i_@l)Cui{Q#U@Qr@bF-i5B=u}5>QT8iYc1+Wd?j3lJhli0X& z8-elZ#BEMxMY1pXhXUDEK9SSA`Vj7CiM7pm9QzN(vAYF*`t(N4!NpmnzHFVH>Fnx2 zXSa^{`I_*^+W`AB>H0IMubv~WZ7NV+AdsmwW zSGD-!idc)A1{_Y=PL%7nRE@ZeNB6ZP_RMB?pM6C4+RK8LJ6L72pY@|QbKO0cbtAn9 zoSeY&G3lgquVa)*+%}Tsx=QD3i{(;R{}EFqkR(`ID|hh(U<1^DV4eA&#X^3jo!CHS z=VE8EjCPVO&E$7u`CVN;qbbmElB{DRGFe}MqCDGZBd}{J-}O`zUR_Zilvw-{)0k^Wt7(8uB~R&DEP-S#{V z?Q59R^$bDX>KNVn0>eAq$Fk!kOgmq~#{3H1EKf7S?3xUWCIR#}m^M>Z?)Zd}{ws`i z-_W6js6-i9`eJ9t>fK?t>n)a89LKHI4ThTDq;HqYbnkeDK3&8jS)8I{iz`^PyNpfe zI=b8JrnmWd`gcBqLx@nvkyu42>vI77@2GrrRWmfAjNblV!H7@uKO z`&!1BonVCNSq8SfN1qP2a52438_DL@)>j$XWk20p?V_9MBL;T3fxVRD)b%Li+Fv8I z^CQB|E;7jM2##H@)5ZK4mKM@Bt?x6)%+0cv zJ|7{8u%-N8t<_I-Z1yYtM6Fcn|AkRHpD~o!Y1jNubdh+pl5$)n9-Sl(jFlLYm~l{6 zM%BN|^ntgee~)0*S^A-E9ZnrD0415Z2O%EwyiB-F!C_q>p-b zc!8m`pRM&VMzpv@&vx~+>AD+d`)b_UUl&k*!9=rq@|}*dLgxpxqz*=M{GK|0#ze+M z2Yqog&Az9DB--WzD>bb*sx{4eOB|aUeTPnq4|1*_1z^tvV9)8OThE-~nfQws2^^L} z;;clfmqZdjqJRZ0t}$DyfsI`Ys2*Ctw4UQB8d6QAb2&Q)9w5HuRWgRh3b0&gP%h%% z(BQ!}vFo+^l2H0_CUq*62ggyca|LOeJxO`Cp4Cs&+1Rw2J$IIHc;`H}#P=fHeF*mU zy|HoYf^}DOTx|QGW^QGPVk0fAt?BCQNHe>3_ywBt=5kw+d@Ttn_hS6ZLrnWv&ER(j zn9;O@nEN@Lxo^tDE9yK|)`7aF&eeMwT&q`8&1rgcTkJ^icJ{XZj;)XF41 zyKW%ZDWBN}ds)_EADbsv@hYm2;_1tXTAYs0ym*pFo?(atKnt;KR?R-jAbl?&`XoU5 z8VilL()kZ@)ql%i^)HCA|AjHlzoe_$6MAaCr?;{si1t_V*)Jr>USpu~7AnEUiWJZHNO%liVD>Dk}d*BH)Y1N z9K%VnW*Z3vJ+Ymd&7}O+A5fDF)k5mqPWvsZ#3pPJ3u&YFSYZ2_UScCVYkq;5_IrA1 zeWaD$p zf^JfuUh;WY0d*HqA)O@&*ow`t(0fi79SL%2zt*BO9n}6!)qbsvzCugdNz*{N_V)v3 z&A-D%?;W!{eqgBd>k!p0)4$*-Nkmy4!OlPum)5tE5dNaN2H8#&f`h>W%r)x;&Og#Z z`bbTbZ?k6KVlVbQQtVu$w26t>G-Z;riF~J{Bo`~ONXpF`2SYgr^@nuOcqdBZ&uB^g zZFQx;S~j6(^icZXYw4TsnIx~{T|TR}|8J#%wE0Kruh-IEFLBg*g@xf;bTuENCyA%M z;VUe4UeH}sRu7#w=;}!vwRlEbqqlTZe@Ra3ADFE95KColmcFQPi9I`wXJR405a;lY z_S%Y~k?*U2i>o*X3mu7tR&Ow9_NAQjdyJdElYYK~kEx>YJ~2?^2WhhxVn07g>pYb*`!v9#S?)tYq5#du?}uEXS< zZ6*Hfq@7(vaXCr5nF>^Oqz@eA_o31sHu}Gyt0V3~Pufh9x8j0~#6m8gvw_HYNyN{L zr#vj4b72L9IPGPY`e&AkWv+DFM~+P{QS;Nt>baTij#aEP+e(D~1yY7Y^5n7;4dU7q zh&{Zn$8!%&QFiT%m)93p_d2MCfmuHE) z6UFX(hCHb?;_Fj7qSnMVUQ%{;R#UC*^Z2el=a+6^V~a01)~lXbE)fLv&8DjNer6k$ zGFRgji#5K%ztsbFxisR{`~c%xy~WMwTNZZ`b!G5_WU$wCkpR%w_!eFLMtXJqgt-Jm zXQMZ`8GOYE2{Lcn-x#d(9sNa>jg$_Y((D(;w)n(2$sm1Od`%~#S2%S2$cVNdm}dVi zX5C)XanwtCPnUr>@;gj>ea6V_1!3l2Gg2v2`x}NxM-MgpGh?-W!%hOkx#e%THT#BM zwjTtXKQN-J*ofv&Xxr;4-KV^#|CD#w4ta;3O#_pxp0ldMZ}gS=^pw{`QE#I<{lbtA zztUf_ptIrkv}}D37rPhOxBeODL9cO{_?$6Tl8vWJ_85rw;jQ}+UjfS;f#||E*O+Yi5trtlL}k6Avy|=7Roc4!M|wJZ$>4z>=r!X@tY&|S z^RjQT8T$=g9A7fT;w@vOJ*VovV2s%LaRz^3Y}-FEpxq}N+cscjT8F90YfMdlrMvkr z^z8K&E>jwD^pN&hCaQOmw42)pY%T6H-})glG+!`Ql;U_%H^Ys7WQ4JlBgw_3^IJN$ z`z+`78HY9>7&f4RfiBPJ=_v4-E6K|JJ*FeRr&r5Y{0wLLy^r+|^zHec;a#N;lb>N0_LhM?<=o8QG0gNSDWX>9t9?aR zfx*~T-!QH9_Y4)l_ZKDR*6cf+&E8?rLCTi?@89xU2J3&tfd1cLy(98LIc0agsnL3AAU58(CoS1Ko@sVbkdgQRFfnEIx=@d&wk;fx!di zT;@NK`aGuhl6UkN^@S*ur%bVV%jCBAags67Pm<130rSER&zauxy(qWuWvqS61jk=+ zv-_1n?SEpP@%QvK`GpqdlAt>MNVAqdq9x$f6YJbn;61e2duFuylEob!l5E+;JiW)v z5y;M0ZxE{}3T((Ornt_gV90TT#|hFsPs)f`9@h!LZmX$wxKbYbQsyY0-8_`6 z!Am(;zKpo1tML3PjkHUv@qQ{|=e0YBpV+WD$&dJnVXT_B6lWJ3EW7F9Xyt^xdEb8r zn60%9Zsyh)TXw*6g(VMf*>dBG33=Byuvnnw{c1a2ulKR``3}}z$YtmCPTaU^%;Rg# zcy?Z!=T~%iby*!{xmZOW9!ahwvDf!x+MXn7Y9ZMJH&AVV5MR@M%s0Hs!e+Jjv_8O* zK{xPjwv`brYZ&i#h52SR43h!kXe@(x&{Gypdxw|%8-nJ%AS>uSDQQ2jV)RXXov#qP zTs~u8&uZHyJgx5#WOai8yNjgEdO`G%23AbIO?b!?yzMU#KkO#cgYGgq{stihUl5S+ ziV-XBups#b8|K}^bI=u*+g>BMYd!wf_lfFqkN7cP;Wzm?ONZ8z7WtN?J#XRZdXJg* z=b08PpG#^YapNb3ExyY5jW1XbaGR(R5Ae5rfS*-8zSegM>~oLR$Ru9bGD zo{{1Af|Y}>;^%N&&g~ij)?X3YSL!qE4bk3j@%FgJ+(i%Z@PEmm0Vi3wq!Iu1SMaM6 zfbRH;iP2Y?>VJpOwNHtjdXX@@3#_odg|GDAieA!g(;gDD;1Ov)GU&#fCo|$L(|cTC z%AEZK1>C{c^DfJ`JY-t=3x;{$CVBpS4la2~<| zKidY@^n66R^qG&?yco|X_|I!(LC*#`mejjPEnbOFnR()CX8GJ9%;!Gd0grJHc_{EX z!z!ywMA}?qrF|{wqaKhl<2gxQFB#tdG*NMHSU9AKxxE^R41JDQ)IG*|UL>R9B?E_D zCQtwr@AZzQZg&Wf^IBtigBXd&)cy~Moc4g#K5xX%-6G8UDJzy;XR*r>mU-P`ZrLlA zmb_r?_P0z4yM(8^)O*EiRt|4qq3Z*JZLSd4Y7>|-mSwu^k56GY9+76q2c7N;F#S?(vS#cdLXCGeLQx+l6;$qbpylf*l zP&7y270JR686*W}u(l+QoY!+W{lu8mWr3tmP9S>uB%B=Guy8fO*3J%Ff!M##0}}=2 zWMN4ct2THBI&k@@EmtmdpmKjHE7Mchw7;0}ta#S%JWgsx0>uZqP`$G+XHFP%_DnlY z9ciOlwC>ea<$}H;PiGc#O6M&Xov!2M8cJN>6v~}9k}@iXjdQaoSsczbFL$2Ctfh3` zG-7?1P?!@z&e&w8>uo1+>QNaSk*xKMVU=$P`76U&?LLQf`*X-$9ZtdWP?oF+Ab5d4 zd1HM^nCV0MbT85-FDGM$4~f$~2w1QjKY3+Nkk?c%+&z{O?75KmIm=0%;fLpfB`oxt zN5RxNBurb#x~cvoP4gvsnis`meMp<-OUPnRR?S^X*b*ssng=OU)(|&6oMqk~td@+B zJH?-bF@g9kUq$>BZ?a|tk~rCqj43NfndVL0RCl6gEG2QN)M?fdGUf!3IyRK#$#I0u z^q2BI$ebL&nnfP0njK2UqyS=PtR`o4Bt_$9vtisMvL>t|aZH+gXE8~$mXSK!lf-HB zStG|!ndC|ItN>Qd@g`0F_Fpubm7ep6nd;5@8S~gEWv5N|Bx>psIk!ksrq7kO@RWg> zD1$bU*m(5Isiy?~_gNvvJ4n4krrQqFS1Jm#@_Zm?>1_tYsV zQt!3WKH(%xSu5p-5jS%c(K8~6ogYl>?0LjZmj6wS71%A8{t6~?Ryg5O*EQ~egv|&d zM%pnWbR%nKgt2k-O4f~wlD3xio3NI2`CjT2Y3K2)h?(b4+V~aHXMxhj(@7p5NyH*w ziJKMDfBvkT9wNt$CS5+8HGQG9wKsVq;z*kmCjG6Pn-`I@ml3+io#1(1tdTy>ofJg= zcn@hCcS(xw#Lx4Pe({j@@L=UqKO(0tC2fii$y5ADoxXyo3Cl>C=O@X>oropw1TI=6 z@v6k6I|)*+$T>bzr&&_J`9v+4O2WMPB+LjUep&#D6T?Xx7f;%R2olCdk~BSyWhk@7u=nzcf{A0cI}WYq#MiPxp7JskZONqkOEVvQ)6+$oD?ti-c&s>Jq` zl~RVcDi(t0EFofTILYBbq`EJ`f9*0nN+QTeDP!b-<6)XsSl|65euj5Q9Faj&U3ZlNQvpmA z*qz&kT-msk_09>LD4x#h$7%T7ze{!U4w8#g$+{g&&0QOIMy(`n$ST$@nuD#Q3&!0$ zVeV*ym97211I)%w0A_88ao2Wu1URsFn-gbtSdhKOhuE+r;+FZ7y)vE9B?0nY4rN_r2Kyy|E|Wf@f}{y$#LY+{duo~lw0thKfI`1Q z3Zr+jaMf1H+$EF`Dj{clFcN3dN z?WAnTUb4oQ5Hc%^O(Sz9fQuyfHd|Mipd*ZA>ZFY%9wKU#uo@+lSq)`ubOu ztecWX_UIBa#}tt{FWvDXOKHl2I_OVbj=5whqgt zY*-Fa^Rq~ZIU#+vUdqm8^RSKNO~_`|v?6I+X^%1P-5(~-WHc4WLljF&; zCv2i**cLfnp47icly(*A<8~7?JC3Yz$*dn!Dd)SLoC({=khYAQvXQk@@`#aoWRKe_ zgR_(Z>9^GJn~9vhRr+z0#MOE^=Nvh%w6}aGW84;UM##_6n%`;j7|^ia^I{jS9J1rak&ZmLq0Vg~?9=P|e3rp+MeSSm^%VKvO9oZ%LUy}|?dh|VNo~Z! zbiE@%m&M)CEvy<|g~zB9tXp`3HNEdLLH9nsCRgw`slv~A7v5&&cv}?XWnM}^hdjdV z*E8F{nn8=V<7r+=WSgCMn^v=^ODPNN$|W!_vA}W*fxQp0)UJZ1=3DVFmoh9%)p;i?EcP(hZab6R zN(r8Mnh@g>f}E>a(5p(0S;{ig3OSaPWwHk^vFJV>58x$#uQ1z6ptPN@)Yr%OFe^G9 zWQqJe!LC3C>S;o)%EVf3V`=aG%(d9gLd$)GcHBc)$4dM=Y{TEQ5^s|Ve9g8?8QY}% zayiacd`vdW`E6#3{U#QS-$`KqJuH=DdGtBXB8v)XNBO>S6{|Xyv$j(OLB?C~GAqX0 zSo*K?HYu-4&beIrU^9Nw4l`{Fnbm(AA)}Atp|qjnPCRW(S!_|s63aa-GL`c(*(+_e zjhOb^Sluq4;e+!DTyc^x<4sJk+9>_MRr;q~+Dwis7S?O{E|zrPj<@SBJj|p{#+7)Q zY{RQdmDGQSlyQ&%{iBnha%kj?qGRWX~zybq-}N+AZ@fl$_ngUgr7;i#Coy3HnXD34yo%7sk4k1X`jV% z9Irw9m_0@8V%K7PJBiEcd4Lt8PBYqOC-W@!+VT@@2yn0R8ThX7$N#MR12Cusq3b? z{?({#7;~--cWSk`UDuKF@?KP397*U_PrS>*SiUU)_sZ2QFApN=fViPu6FGXK2O$BL zxH#F<#i6q(FaemI)xQHwSTQbAtS>sn|Av1BV9^88M6WG#TUp;UuQ5m!OFw zGro}R71JqR--`>!%{f!mgEN)JG+o#F{aMJH`g}L0kZWq6DK@)E=+JD|x@1#mBEfH7 z!YHFX1PO48MlRugv@hY~m$GDH0KTK=;@5vGBlK#B?RQHu&0$dtXT%-|&8H|CbSud- z4~YB~K*a1~S?ocvBnQbJaa1zfVX;)ZS-5;F>6vx-EUF?u@}ej-QFBv{lQ!yzWWrq( zj!RR`B3>olf7Kib0-plHrtJ|Ma$2(CUMc4+Av2B=Jbx!EGtLs|U(TB71Eeh9ElQgyP7Q}W&^k|!J` zXvRJ!`W+=X>6+N6gQPD!Msj2wtK^*4PN^hsbQQT1_KK}NDBn3G>gJSW^0Oq4x=8AX zD`bwkCTiojD4$*U%_wDcytKXdHnCY7$xb{@`20d?^Kwyr)vTBH+%RgtsFCAD3os)` zpOf!h69saD4U>+LH)@xt%PO&P6|C_(NI`fl(UQ#aqv~*%Y#%J%I$=l+fj+3{Y1h1|f(DQAciWg5Cj>LBeDm0QD7 z_hKSe?j${6mnbq(KvOD2)$Sm5>@HO-C5=5M?@R1Yx=7;WlcLD>iyA#FF}YXl=YD)8 zCNrY15aoW5Q1=SfB^_t&v97!J(j6Ni>bb|HL zhB?w7nW8qcd`_}zwY;S56@{jh5i#Q+t7ab;g?fU*;m0VDW6yFvPII*nw9sk7EY@mdyXz5AUNWAhuOY9WI2I>SQX(os^>30qB#EbW z&Z-qZ9$eAo#-Vo9pD+;j)}G9mE|gXdVO?N=CByVRv6v#w4-KcPUW` zK6owlpla73*5{c~d%6=Rs?9iE-A=Vs@gD(pRqYcydtD`9U@~!iaw!y5lQQHalUv_p zv0)uMhF;|IoYO>)*iG=%Q}{{n`VM+PZ{25%)B1`Tn(vq+DsGP2Q)a8ZV2IAw0+R3X zuzbQS$9oL2y-lBH^`dg#GpT(OGn^XfEx|K!&MSI%Yh=~@ub64|j490?GGFHjOEe$g zseX@98Xp&oW0~O%rs_*MJzmqJ^(998ykh9= z$Ak=f%-j}tiJ1A0;jYgZXmFny&A(*4`YXn2zhJyh6P_KOv$XRAmN`C=pAAe`yC&!M zh`#M_Fmmz}+`L~fWx+FMcYJ_*{};>~`;HMB51G>LHB&VnGgI?9Bh;TUqwQO!wY|?W zn+J^4y~0@ihm6*L#CZKiM!7W7H{=zQy&llh?Iz>x?y_v**Yxe!$jG*jq>M%;YCIPe z{Dpk}1#^wRV!qiM$=aWo-t0AFH6Jn2@D1bC9y46}Vfu_0EFRFnD6?8txWA--*E&YF ze#8XB=SyS!(K^E;+@c*aD{2BvA;VZPCQMrmJST<3b`yS-+vl((>5 z6Yg{0;5zOhJ=)i?Q0#}lS_9r{O^nfe$S~WNOzZQIv5HdfEq$$Zi6NctFw5yRQ}l1+ zKH@8;_IyIWsrMN@xq*2TUed?tA=6ub$rP!_0y(!iQr7f#Qun^n=A&OTZ`^x^b(Xdh z6*0@@JNg^l#dnna-|;4MW<6$sa|6rVzh*+KCi=I1#u(k_OqFw+qVbvu+F!EVlq_u4KcheK>f_(VXv4s>M7$T zw!DpRODr}py7@gu>fB?VW)n-*9x+Gv9lcw=z(_UM@jWvH{JtZ1vU=!Ml13fnkN`Z= z`na^|OZofjK~$Vo z+xb1bqQ`?X7CbuBk|#B7I96)U*@KfP-H=I9em)yE#Zg#TLFUF1%6G-Ft8y5}_nWiQ z$AxZo!!WUQ5`b9=z|8+>A%6#$ZC6wLysgn0>(Fg zB!eHqHGgBf)i;E7-_IF13n$)F2YwxvG6^pW2lgx%SaYUvkMs)PtuN5&HuE($WCisFNUuOlQnj0E2>(${CQDsm;U zrO8AbNhdVgi-M3a;sS$7PERGzGm-6aB@``+qjYHk>)lhy_u0Uvz-0EP=aS>Kij1W( z@^=npD|1O$8%|bTGJ&bHh>mq9CCHPISU=XKr?GjRBpL5jY+SmQyya`i^^rCS4`X{` zG^J}+lk4Tf2Co3B5^_Yj`I3_!#j4Ce(pLMB5EMvYL@?9}hBvk4dNm)!bi7P@$UlT<^Vmb*PVRFnUqT*JvA|seQsZ;gJY|7?H z|1HQM*E5@dMG+*%#8J)-Cp@FgBH{&1EEeuOx4ME-8VLgvUm*CN7$&h&2?Y z=CLg~n;dUniu^p;;2lJGa2CPqvnkmns;Eq2W^E{mE7!1Le?CFso)l(oA}K79Rcj+i z4GSeYK8T{oNH(XXkmnyJ=N881;)~q5aI-RVwd8B*9up@RO8@=OMzdV-gWs$5~5lK;O0tdGilIj~l#$sug zz(mT@vRN4x!p_5$td&?u2vn9{4kRJlpQNAwwnt=8?3FC##7ljYF%!zxh90tc?hhwu+E;36c0nWJ|<4>6=KlER19Of@BIk3Yj-7 z3zH6on3!fWX>2$#i;J0Uwv#!nu8`RJ9gD?Yy31Jh(tgh_mt(AV+(Ph_NOJqFr_`pD zG_l5^de=x9n!uCG4k(+@G+k-Ixijv%G|CSmbNbcQGqG@tXY{G6B=a4&gy|2IMj>E(ntcN z!&252;1?9Zx~y%i^p6%ojuQ*nhchAt&+qEa#a*4mLTdg2VEJ6rc*mguH^dgMC1p?+ zr4E^-jor#@n*st&wv*6lI|ql93XDR@2ytgqlo#t`)5!2Gq9Sb?yEn9AS7uvwr<$`X z#fI%kJ=mTB$CBy}Q$FC{FLe+Nge%2hE^FAhg#jn0+2ADGyl6w#c3w$#bJJ(U?6M z7VOJ(WKWv2w4)U}3(Yxsp&J$JIBQ*5D}| z%AQPBvI{#>EZCc3&i+&js-(U4CR?zx%$bc94pgN&u_JjHNpTZM4x3Fua0sO8z1WdvOI3zF2Y2>kePLI&ZgpVKwqBHGO8aNZxn&F|CuS;n z5!1w0&SSH*S8;$lWnlrV_g&7GtQqXv(U)DRW~w@!+}eZ6d{;K-IkI<8fA*wUQ6cSJ zmhMV%`~Wtr9?!<`MQjT77qH6UmSmD1w1CWYbJ$rvjhuoWlw`SZV!c?E3RjBOwdcs5 z9u#ftLW#6@NscomNdqZ}7*9#aVzvhQQMJN{3U3ce{TH!e?HH=6Cy-xa#g?2-?8~s^ zOhs=>bFC@gZqMn%{n?yr!_Ji6l*NuAGhnQ=tv_Xc;cW8{Vq2iJbm1ot;6izt^l6$U z2a>Egm>{v2Jd)MEQA`a>m`D^GTf z*~+pZ(PZ>EKzX-4RE;=Eh{07721oJuvK>vzG>VHYxxUYdy0Zq&q+{n+jpzeGtYLB6odrN`oAtJ}85Z@<}-EN}5EaQluy@L&7x3F*INp8+Q$eF|p_M~rNf80*Ctl3H6 zkX#N#S8zHdms1JrI4LJ{Jbnw;!wzyWET8J~0tvQcY9nJgk(JBQyaG;VWN|7xkuy2* zoJ`N+L{d4&Vos4a>oAf1kCQm~J~4x8Svz_wA!GK-YZJE;%h*wwA)v@)cX~EEOOiR9 zlS)lSI>$uLo{mjnPij6j3418?+D6QX4Wtd+OLG6CBn~)6Uay1rk1pYK$Wh6f2iUeQ zTY@f&>VjO3ZCuC2ghUQ*%VT>*E+^y|M^kdx6mgUl1NV?R=oGoVPm|s6B#DFe5j}V- zRm+b_ra3GC%%CPVnTKn0xEU*DrlfK(KaJYrEKbLzb9T)(_Cy`TcgjXm22>F{=m^=p zPLd_%#Sg9&HI_+D$`(#1=Wr@1N7}bQ+Hn)7r7e%=Wpli`n6oK`Tv)w_D=V)OF<;6W zwug|h$4MU`BcXyqee|&czk6KP``AiD~THoGY0#or6-x zvoSkK_uEduumWO+9hUYvK~|rmWc1rl)Ud6DPtWFP@hJ{(tl(rqp7d!ByEf&rtuTXq z1ybMKTuzJ1KbV%o@x&vPEI3BY;0ofUtk|I^rG1VQJLE8H23J#>b5fGnCaxq&dnBfE zDsLV8Hsr8*^E!?cujg7!3g_ceIiFaEal5q*vfp-0=a${ zus^zthusuCrDIGBOIi|@vs^g9$&}M*T?VLhI!lw)NoEbosNY#ZvO_5~xZMW|GFQT6fmp*Dqxd`E)r`X z^3R}@fo<(54SESb+)S0>5JN|nx$ZZ_sA``uij52x?588clhmV$RJ-P(G6+It6oJCr zfh=odY7cub6O>Ozc{WK2-eg%>lVRmauD%D&u94J<1XBfu5oha6ime+-rjDc=J5gjG zh{oKD5_5MdEZqd)M4`DNn=->u76%b&;XV8j3X}Bt?;J z>O!Ty55f9QL|O%)v5KX@P^6bt3~4q#Bstnr7Ue-jX$Elt!6;2UDbw?!=BN*<$OK^| zYT6T1h_thj#pgwgu{Um(0jMn#NwbY0&%zg#jUB1B?v#6pdqRl=(69R)i-Sx6yx%XyRzF;djMDYo>bz#^0!Q!fhLeW(c!68p@_v~-Yt z-sG4Bk!a&bQ)D8V2p?iXtjISrCSTuyFasY_jT6aq@FUaCMKBLUZ5BzC(481(Z(@Q1sYy(w$uEvv16LH*fy7Cy5>4DuSp-sQ877#ApfnF6)jpI6 zrx3F9@+nno(C9joWh41wBge{XjzXkqRI==MCC4n74D(Q;b;TArf0k9G%oj|7xvyX! zOuYD593CeUMH;iSISNx7axA>aH}s}FI$dnalbrJ>&e5BEV|U3BN3?n#DDA?~T7{G6 z;7_()p!gOC9(ISu2NHa4+ZSG3EzCWJ&5u`f$ zk!$N94b>NgWw_*497@jwYO^(Hg=r=T{>3KIsC3=QclHw1kU*#8QktWe_z+C8krx5R z&SJCpVH%6ZG>S~gt1NeKGTm%wj*UWLEcTjsqcrsuJiJM<5T>0ZlDjwyO^6>_iD$aG zCmF7R8 zWAGh8?=I8)O+WqmCkQo*r^Zmp(Ec8Jq}eCGd5LlhtrRdF9!QP&`TAF!>)plq=}$R3 zw@%cCFv}ieAxhB{YTL-j)R3pCB{?gJ+Qum6X1p1l(jh5zH|w`<=Np|Z&u=Ncb?YY9 zJinEW?AW+z6MNTfV$(OD5D~MP?(Y3`j_4Cm8H8O)AqR4cu&JqHzcLo@64A#4wluVC zU|uNT563^^$&73_UVVN)uph3y!T+#m;;t01o^MVHFe>u(@)_873ZE}K@mXJo;-Dm( zRS4$-1DH^mQD3%?E_odf?j-x*WvcA2QGH~d;-f2+9a%zaaDs+IvmCd+NrC?<@_ffA zFzKSmXhb^V1hvM))Ok)(;4%PzF$Ju;?~0{uQIo>P>YoDlYOielYMq#NEN*69^oRLM;Nc+Jaij2pkxQ(H<9i=|z0#WW`l3XLy>raxXH$|i{iUNZhRO#MB zbMT6=igRR|&yZ^~LTT7}RJIGkf{xR0^tcqTc@%c1P=#M2+kc4!%OQ#^Cy6&4Bi!gD zYQyWoz^+lEw<30(L}hY@5|bO`884zV9-+u&SPJ1Vm3os@7|u}PA?z`BiVVvpv}S{H z+$4SmLnK<9AlK|PMMoCV9=S@P?s>`#XQ^EE-=Y(ZV482*M~NJ-Fczj}yDW=tk|d`g zs`UoN&RLvIj!QwjNwLAAu&`sY@aM#)Q)C;TAUNek&x;i4O$&RNC0&11#vBu$PDv*}OQ|rGT%$R`VTmY-L4xNcN+a${(~$jmMHe0lr>O`%C3tX$)iXan`?eTY!Qr%7Nn7#6--W}FdU`Y z^B9%>{n8Xhh3TIbyycumGQZOTjiJ{iUcvyyjv~FYBpg0YmdPa>nWlSA*4YAT z(>XLY^P(7p*;`G@dKi`hK1i1R09g+GG$kxc&I$uOx=4{I0JX^imDZPJ{30c?6|brS zqbYJF?!HDhIBIeYOUps(GGpl~)T6t=kQ0efwD>s*j**0I93kYL75aA^r*Zc*(H1Hi z4D0CG+(-4-!z91DA`CP8c>!B}oZr(+AF@2Kft9JZxqogG{ndt?JmyJxiGt9WI0`Cr z2oH&rW?n>mlrEFq9t?EsCPNt5-o1zTYRfv2kgK-<+xTC1qu8`Vw z$b@gXv+^|;Zhb}TLsw!RRucQPobbo9WPevo!HFp5kMHF2bz`lj(y~VU-3Vl!WW-!a1sWe9hGyIrJj$_F`la*Wr69q9MH-%niL@}0=Kwowc z<0Y|-7G=_#9nFBStHJaT2GhbAS0vMyp3G2=l7S2@Ly8jAzJ7G%gfmqUMxVl2<_Kjx zGl@=h5FLen%vZ@=*?#n<1=61$M^ADJJ=wW3M}q86WH>XIk?c~+1JkIEjAK?n?^pXX znd!xV%7?Z>e|mGgSgJ~-UF}Uno+l$pUuttbsV)j*KoQSmS`t%PDfDKA)0gKf;~Y6r z62N4xx4iF0zs8eptp^<{SEeh)k31jo(~~xZKQ+o=>T+Y~%8_}pqnOSLW;EB2(VPfo z)3fPKjigKAC-b;6mgU5h(uZzkAU!1sj0?*fOo?D9E0*@G7^+gjXwziSnVHJ5j2yut zQ*4W4J~fEhEIDVEhhQDRV0H|X>1pzRGF5_qlQMvj9KkBtkD=5s@|AHiZQOV-bW>F9nM|(m73zabv4|hhi{^FM>y(%vT^ZZ1D#nGyCr(3m}JKpqU zdNZ9FC}YLeTz~rW{25ck2vbXy;u6B~N`=Hpd{lYRFY^otMjet@Bem&_OS2xxjA1NQ zn3Ot-4s8P6xsjYmi{w~loZy;8UuqWlKHf}~r!rU)O0&w3_Pj`X6kb#oO3cfmIUzna zs-0<-_zfn;(44&brAQ*Cz`4rie>L@;uAo)gb~TaCN;Ggm2j zo#ih1EdOV^)12c*t2UhW0>M-%^GG}=l7pDb4rC-fFiN{i7T@G)c9u5EnWA@{9w2 ztfpmqH?-G}CgwNlV*)Wq<j8?k23f&FaWrX&9xV%s)7Htyd*WXk9Ka_bs0E_+TB(-gIHC4sqS%y$g2PM~ zi<5a4+|BS|rOc6`I%C@E4VfJE5&G#$|3V-wL*{gs>C#(iN_)92V=Xa~G|8yEVkmai zP~lupsa-F{j&0<*C>bx4f>dSm`~eeZ297e*Ce?n-k;#4s+Nuobl=ph`-RUcc5c~4U z_7hp)o+=)Yw&0wt8i^Q!c$hj?9$=(OoLzD$JN2b`?e~zMKrB zcGQ)+vZHiWSqt7SOx7k-sZAx-FN|XMbnz{ZQimdH9CK*%h~R`Kk^UkV+RKbN(c{GN z1{=Z3jqYhD1}Y4hF0|uBo(EHfiDpb;L#0PJR$xMJu@m(JSrmB%$k=q5r<4Zk7K&Y} z(b$Jeb4q8TG=+{bciLJcZlg|gOgqzi+=JG7eMZal=`S;7BHx91s`Y7Y+|A6SCC54p89MI9Y_%=pqAVJ#tmrIoptns)nj!<0 zn_5&xB^8b(lndr1?uk@~21_GMV4~cUj#7OlM;z$r(P!eM#Ba=!ipt&e)#)-`evqan z5Aqt)$@G&PvrnYiE|)521!bOzRJsQ-qfMl*+=YfBa|U``=;?D{cub1Yq#sq1k4=?k z^w;arQ+a^%<8F*qA7!lU5L2bH)=CaDReXqv#&BX&df8+#gP!$8TCy7@Z;~1ANaJpP zJuAT%N!xw}w-8U!+j;o>K{ju3 z|IC+Mx$+(t&VR*d=NFtiWz77sZd%%UnVuYGs=bR7$NL#SR=~`N3zwJnk(scQojXK2 z?$=@azP;?;zvsX1$ol-2I$O5#<+fdXu=^uo6F%oZuDrv~mtSY>f*XD(`$&3tk?_;! z$+*_T%K0z(*NdO>_~NVlu_VS^_>c=vUwZ!V(;tN*u0CkukB}ZtefBRjA6_QIwwxA& z2D-OuDLmRi&>JVDVy}?!(JW*82I;jgK;x?sNf%6&e>v$MBApb|!Xzf8JN2`W(#C9R zAIH-=xs=etxsnD3t5!eQtY)yYjppVC8b_Mw9c^Z`ph7xe8TG~W^mWamh;KyU*-n+) zv?Sg*bxGyI7{6F%+yzLy0uf7NfRTT^-_dt>1`^fQKO~4uttj4F`BZ6 zNb;zr+;Ny1YmsBNla$Ked9D)F++NNOPBGe6Mb}^hUG?S6wKvn+D|5~DGEiA6-B!g= zc_~e03naQsQs#1+YO7P!+MK4$W|nf1m?QoREVZoA-P0kybuiN0#$cV;)ZR&7e-Evr zy=b*XbQE+l*l>yzzgASvqf}WhP-njM{4sS^V%vB~JI7QK{Q5oyngx@tMw%Ms+@r13 zj5IPe-a}V@DV`%|vx46YU+$wze@^ zUP;eL3pM>!bTpPTSz60dd^HoJxpg`LPCn;9zYMyRqIq|A1R3im-y7Oyat z-@|xgwzP3yoH@bc(!fuoJ22I6#5Z z0Mm*&&Qx_VSlLAX;1Ff)O*9Ym(j_=eG?8H*$mJZKgYR-D@-~F)tszuU}|_!m`@%{N#zs|&Jh*W zA+evL#`1*3OJZ+7DiX1c?vy@e$_F^xHONd;2U8vW)YsKZWA3BAual|CV-mAU!MdEz z&S9d8+JxD*(P_3ogXv|Fs4L_-&Cy}g&r0evqoti3Yw2gKtCO~-N(TB{>F#V|Y+!)4 zng$vQ%4sdEq`$O|bW%uK=qwS+|~=?NU8 z{qQwB-ad=>8$aW}<`HEdjR;}6gzvsO%D11Pf8RVUd&WgVE>UEX!;h<%QLGlQ>#uS9 z)JL2<|2F3@eM@iaW=8t=kuQxjHc3lXZXRjz8DwfwP}K^H?zfUPxsBw+jqKTbkZ*KW zA8xyj%{n@)*|>EJ&$lf)Y}m4&ueN_oM8f<0cKa=EU45OrAA>M@T7l8uZeslC5|%%n zp!wb*ewG4v_tuO2aN%Q~T>OmNx8LBW%P;)bH<9<>;Md?DW8-@2<9Zy3OQb0>Nm>j{!YW3#o9 z3^$R%z85h5q#3U_`tg757~ZcR$NkMSSj(%~Thlmwvw-E=QCxHeiQhOt_~$KHZ)xMe z&KBIZ^$?{qjJN(6C8Y@O!BR=Pw62vVH)k4U4_s>+MP6 zJXdh{9>eIpZUSC8OVrC3@PBz8-}h#4czpod4~MY+Qf&WpfWQsM30ij?k8h7jPE4V{ zVVE$J<0P&tBhq>Zx8fUuMKhjn4-oV62q`a)WBtK6wr`DK@tWk$SL3*T-HVgtpXbgY z?6!7dw@LErvmPS%OcH23K+ujFG{GylzTb%TyAtC!=LPEyeBSBB``sxlU+c!{^C6jI z1iNpiaocebvwcIDZf+v{%U+E3b`!Fvo#c-?|0y}X#$7O`v};&fXUin^tVi+yLC)rHX-ZdB#|FZ5fgq9>$Ev6KddDE<#FO) zI*Hrc3)p--CN?z^_)Y`vpA6&hRUhu#hOybuf!Q}rn19uZ^A`F4n_gV^Ok*$S3fMDG zpw21m#UJN4dvSk5-g~8+z|W^}H(X$|@jQmQ^E7)jp)#w(^;;D^`qIFTjNpK*?&y^X{N1xE4)FF=c+0 z(hX~=u@JTuG(cleyL8D$iXxgx@Ge4|)PN$WQkX?GW!BZySvQK*YJdJC-y&fKTE|w@ zE+uG1UKThNQ|Q=)LON`D+A=AgtrW(#k`z#gI=qsamWd6>0L{GLO)8c zM)F;o#D+$ZN2@;=UiGmKt#hqlQAdJo=^_h@1hX{f1~RgzP=)tW;ZjeVOAGnVVy|KX ztuU~%=RZoWrpCTR=Bf}jCRjQ4ljqh+lHi@>l1G+zA?cn4l*Y7B71xf&vxFL-YD#VM z(L@)JlTs{fr$JbApTxJD3i~GUubxWBMsnR7N%X5D&AfmX+eX@rs%W=vpf7HUB(GXZ z6ush~NYIEvf|T;VQ>o-qCGD1#bXt~?=TRj}lc@l4xOECqm)#TZU zje$ipHa!di!EpZ0abr8X{_6 z5o*Ua)RL!ar)KfDjeN~ca#!a3Hg zdCJx|f8liKGP7~xw1+itBc_k5>C#Ap^63m(pxtSa2|YC}2essdDkwjoWoYjpO?$^k zf9oOzhPgbuw&(x8mClbsj-Fn9pQqQ} zzcaq~rc~?%G<$0p-F}huO-*E*g;E(9MqOA0kMh;D2k4@X+eUY(2gUYv=zUZ~esmvm zX+nRqDma;*!%Sul!%8(n+0}I9<}z7b$ceHtj!B}=rBpGQSx%24U*uyUvyF}PX%+M+ z6B*4-;8<=F^U74_veTH(%3)F@#zamHNK$>nOQ|NvpLbs3~tg%iokh!z- zn9Ec#k*Q%wFdfS*lk=4@lB1+ml`Q8;7TFNNf+|KNL^RXc@l1)21L_=_wQ8nXTA9eN z7OcxSl~_%SU^gH*j^`9Gm66F*ZYm`ek&LS&m{UYCsS0K;*N5YZK*4tPYHWAo=3@fsk%*o-H_&=sj5Z@xjj!YRV`$b9&6-6?n31>!;%CIVf z*3wj#MDmR(l9-ja9Lq=$TvO;%#xqo(Pj7Xx;H?xpb2*)=l{hH{+YF}U_)%5D^Kp~8 z=}fG~IWvpljBLiU)J!TgjAp2qOv_`uphP5>Fb!2I-I^p$q(w8V4r3rcf(e;>Li``g z6iJs;%$PER39)-zl_K+GaVj~34s9+YSq02zXc_M=qoXK7&KpIyI!WRa#*{iha0(GS z1UE&zY+{c>&1kk(Hb>k?xqp< zsJqX2{N!bnnIExxmng-ZJ6OMcI~)G-$K0DmMsDA{m9MsZ zOiao)E*;;)t#gLd4#iQoc#@p9ej3kTC!@PS{-0!Sz@F*R^~_)Wkf+B#;NEGelDA&x z{^~8IrGWkF-NnUM{*&IXZ%b!6MzQ`eTDA&P*(((E{Yv8YRZBNZBq?Cq>ph zmT2cFdQ{Ein#WOMo=k~FCWZQ$lo}PHHOi&HCX52>Sn{mWW$rZ8W-%0+#876GL4}b@ z_9szj9Yc;)7>!aCOMS9YTO?CpmMzC9C@@jT@wpV5%3ShyzF8KMm63I!G^lo%yZY@CG3DwRz846^Mq&{)c=eI}{a z|7CZXQ5w}Ivfm_uc>5%R0wr-(ZIl?tp|MIN$2wld$ULUWvZa%2nJNFLP-zl|#y*k+ zk7ye68%VQ@lKJCM*d>tbkobITp`qYoB;%~J$+pQ5Y_lmgS5su5Kx>{xzIh78GFO%y zALS51O?IhZkwA%wKh=iel$phoXPHcfbqck{f{lI}(QZm=k1D9v6E^9;dJ;$*yfd=@39VvB*Wa+5;x1@j!+6w;j~ zCSpsGsZwxMORRIL$>}8CHiIWdN zlV_@>K*p}xrnSsLD_9f?7NvrlM*hyW7B***E^)|_m`ffQrP7qzLY#jtS#rKo6E!)K zGif#nC~e{>TFqU{bQIP(q}pYZU>!$wVyP$z6)BD(WIIY;*hWe*iIG^xQz&boz*2J8 zY&E}=#dgU}iTmo`1*WprtQyhXJiwY4{=~XBf227`Lse8LSuTN`4$J0jga)-qB|#q^ zC-Ai^^lqDxf;CB|yQqpi^$hMBrDxkL8LwZLHBul&YtMf@ds7P757%B0=Jmq!zrVk} zh8qvwq-rjP$eA&Mug?qtZ?^1V{mw0H-2M+& z;&0lvnXRHPH*9#1w3Kg|7~8}2iNiG3=1`+PMOFM6vO-2ERSsf#?GhE2qv*O~$?4l$ zxHz_xvm;;es>^9NMz-~vnY-%5Fc}m z$he!N87#_nhFH_nJOCcyH1siO+I=Z?ZG?L?f9O8gFm5>@#V6qk1Wdj zXURXjMD2kq6v;8#1NVtBSfOR_BI?6a#3}AmX(_UI|4j;YZ&SE`1@+N;6drs?!T!54 z*DWcyH)!2`fgIyYXbzmAV*e!yk6a?qY>7nEbJXr$A}r!I#Zf;{zU#c4_ad6ZS11)` zTC?X7Mf)G4Jaiw$;hSR1RT*=M?4ws`+;f-wL-$Y|I8V0BlPsIB(={|1zsb2TpgD4t zT;1yw$+*hBH>liqP5it}q4-;I;3nF`mkF^xN51+;RQhMgFufq>zDnMqOQ=lFQg`q? z#rwooIaiMO+_2{Y)nZG*!DpzB$m@YyH1D}Wy3tu8Oi!Z7x-X6BJS7JgDL#CjQt>UXnEA~iU9l9bmUL;@kSMHVh_dS;R9|=YeP#(EYp2R|X(=5 zP_y^4%(YCR;M%Hln?{#sq=a22%3K<+!8u9~UZZsXZOMsy6pL>~haZS7*C{!2U2wll z*S@O~yYpljT_x+l3UvoAP^LFaf!zW{DR)UWI8CqhiaE6{2m9Qz`z|?7l~g$z1{~ekRQRIHfxmsNVNb7WjAM9sH5% zoj*~s=SMR2zejmka!T(8HDXJ<;8V8u3MnSn$uzhs=eZ^X-~u&?tM{hACV96aF}@_e z-H`3B}BO{&B)*YJX1eV#nME0RB#<@k$& z+f|AL_d>}Z?V-z(`w~leEwOowx$$+@y!tcmy#B8^?EM{I{YS*>F0trwh84HVWN*ES z-&+sxdF9X4Zn#XRoY!N2E9q;yX#Qe?TT=KGFaCncw3tWN_VeSaLX)EPov5|jw_g-C z_5y!gTft4Vxr3by~Vs1M$A)6z80hE;d5B9G~PUtj@ZDhQp0iSd5TwJW0OQBr3}u zs*J@iy9W8cO}bVG>E6RAGFN~8Qc1c~IYAZkxcRu1zmWi*{gIcKvZ-Agi}tIYVSft6)?o45Wv`_>@X4oQx#-WF9zWO?M@4e3O&qq-H*`Jhu^+NrZ z7`h+%uy|`9x9@$!lc%4Mk+hcGJNB}6(?&LIU#(gHAR#xdUfH^9J?p>vf|Q8840qZw zKH|h^QzaD<1+;}}=m}TTkX(k*v-_k!Q&Ijy5ED-iaplwo9-IC4$ySm({yZ;QGrbKDGDh#u z;OuxEzkmlgy*`Jfu&u+^H_`w4GCp72$Isv)=5L(C{pDr+*PJ2vr3*6GGEOfp60>Fr zJDsaUY`IVPn^!R1cnN=-?{Ru*4*PwV*|BpG_b-+Re)9q;!UC+`J&Wf{D+I5(gzpQN zaC>E0{5wbRtLF&#V2RkZ*YI0&5pUU2tbf9C`x1U@&tSRxBDSBM!SVfB;tpKG`3w2` zwX^uFIY*!z>;KXXoMq0CHCOR}`3e@>Z{x3j7w5O+*jLYEDa_aHZSiOCWAdf*Cx87j z_OIP0*zf_quZs_Ywb>iDae46;p=+)a_QFl^^*Yv{-o@m7vHPWm60;}x35#@ic?$Ej z!l;B5CGNO|&pS&v>Prj+FRvF);r`+&v1v(cIgi`R=LwQ6Ok$|}$yp5FU&i|t*(Z#{ zO2%1!aGHe8*Gc^PK0aUG#QTf$#P5-DF9^;OGoKga@0Tv(_TokPdxfA^7m0dpo)lqr zhc}#NuiY}nm5(_3$q4S-t`M>333}@;U?q8ys(S}}$uFZ(&^i40~bv!S7$dd&eD&K0QJ3XJ>H|cBjAh zF0L=k;rjX*F0akv@ya3rFD;WK`R)DMRcyE2!&Ktr{=yZ^H%ol%e!}{-WkPmJo^M#< zu;iEZx+?_jxrT$pbu|zDU$~5?VC^e^hf02izpza3T8YIc67Sa}?t*>9OOlro2anA+ z2-_!2N|gUk)-$v({~}UY!{Zx=`B4bY z&#NEe-}sU%_dn;x&8=LY+04DOJDED}O~=_ZT9@6JT=8aLK9CbD!7QFQ!1c?UNR8ge zE}bKMwOL2V*8hJ2)7irM?K{};%{nsUb}-g!#_{m72PqFnEvpT ztY0$7eHO^rkB2!wzl%FlAM)Gzccq%X%ny%V#ib%gX!!q&!H-3bxmSw38KYO{0g>xx z$k}m|937#tJ8y8-;_oOWV4kZ%mBdR~|5w8Hen&y}zmawL1ntJ(3mAVD3GgQd_x>}p zHh-hm`B&PHKBelLOT_HHMnds_;^+N8VfgbXXzYlgeIPx83vfzs2{({Q! z8HqdZi{$uTSj4X+*xn>z=6eEz=E!irCVop%b-p2z^*Wu~pHj2wF?k}x3S{xu==~_N z={qX@B>_b;CGWb0R%D$f{Wqevh;$EqM0EIf!XCayA@bZO_%X2-BDMGaie~#S6m0&9 z{Po{awBs51B9j_S#U6`a2;Xyulr2{%NRz)s%0${+r7rLZ2|F*5WAl_8v!6(}cq|hA zSCLV_pxpY1tZm<+lsT1~ej?B4Ct4gI3L}w1E%M4M=O+S(|Ahb16XeJKLZaCtVr?Ij zX7?Da%N^21_SM+^lPuOdr0xEW)NK#R-zM_!=#Mle{X1#F&&b+-l_=}$xGnt$K1YQu zN8csNW{CtJk=Q1Jt^IA0St8kw-Xnk4byQm(khk>-3Y~k>ldph{}p$S>x9c34yljPbGb>T_@dbJ2Z_6XBu(cbh3juKvH1yA=08zf z{jYdf+$VnPeIo6?Cn@@8LX2O3NE z^F!*l{z%=?Ka&#hgRoF3suKUegCd_D|AhB}YoxXQJ7I~EcQzt9&3{BKI20ZHg{p(U zQ?&hi3MCJ!cKkxu!T*l^hQF|8&A;*C%l`{Yo&SN;j(;Lt=NW?seqrRmKg&A)nH-5* z?)GKI^@eEN*+ia03rz=V8Qjy)FCYFw{>wk2G|v@vc7*RlF8*@kMXt zZ2KlQ?fsmM>)#P>#T_$JS;yuf#NUcpB3HtNTE{YYD4m;**|aAsMM|YmW8y<# zpdYc_Wn?+}(ij#`nj)5Hmtd0ZQc1Q*Ax<}xOq*mB=1MB|=TLJfnkd^4vdjbM^-HE; zq>9KuYtr0x$*T?{I?9U-KUZ>#l8A9~A<;RM4C_!lEUi)5*i&erpyEKWByuha(wSrSf%Z4MRH6=YYYlkO5khD|7Gu7O0kI1tv5NZ4F4iIyHDI7H)b6@Z7Svq<_N zk%VF78^*~wWSnU@wN4(?y9A(4$s)};3bjq7$d_>9y@E)I^C9z620?+Qq}T?LY#ooS zMIgB*u@o4mQlOtfo?#572GQi(#?tMUMp<|w70%vh#Ex7W3nG1kC@^xTEIpaj$ar$} zV@2NQU}EV>yp@wQj$||jO7aa-MY2XyVH8Y`;8K&FMO{JySq|c}l@A3~g+vE>5F77L zZAO~x_axChh#0{s*gO!GZ76vrp5&Uji^TUQ*GhcRizPczK|x6_DkDEBbRpy^HH4-| z66@|teNh=v_I^aU`V%GR2{LjNSsX5MHGwp>5T@X+D+J z3c}oU$@DiNyEBtWH*-qU!zq}pB3yDwVHbj@YXA}YE>s>#65F#$u}Tnj5<-c-D}#E@ z)F*_Z8deY<>&4;O`NSy>TQl=8BGFDEq&S3=ExB0Zkt#Ahj8cnm z*&;-aMx(YXVxLa$^XFJ@eCZbgjyB-!DEa6f!bp;WGtq@o-2LSIAr$L2Q~yOfHQ$^@ zYcxaK{t8BR^zrQNpHZxNgxXrg{j2-=?&=zTz3~!PrdMx<`U;m8-=n8`BYh3V#0L0L zRXiqZI+WtVA_78!>F5AnK%u|PVRk5x=^;IoiAUM9%}C_qZq{uT8M*ZzKLgt)J9d4F z&X!L}PuM_jmsG|1LqgjOPzQ(55uZj|Od{=yT+DvGi~6TbN}t3tbH|p`gXXOCZ04C1 zu%E@i?{B@1TWu!Q`oA*rVLMvCL@8Ja^z7>*{L50ZbZcpL?V;1Pk88f?X|r4;!~PnX zE|;m>_lHQT+Z4P1K$qhZEly{t@f@elr=8I6;sz+KoJQ+kMVVJQ z4e8xfMaj5~4w{RGsr9L+*}ap>zzzn~{an;Eb5^V7l19ZjZ8677>gdR|@1!hFtXE4irbq%5u;W&Sa`(#{H|gR)?xLCJYFsV!7!`{~FSqQ<+K zQXj!Pw3z{IC-ZqtEEiOZ9VN^OM#qbK8I5lzBdY~f%L%F+I_Ywrpf7xuhFFQEqMuIf zDe66kDDxem)UTQTtagqS)-qdKz-(DAb7cx9%Zr&*v``ydO>EyB_5R&V*mp>rTd7Iu zpedn)+Ja?D!jDntGf0bT7mX?6m!?O2tK@>VfE7&@XT-j_{9X!TYN;(bi7I)HBL5RK zy2+M0O=VOieWkrrjxUfG&?Ghuk?Y&dXhc6(G^I>drE;P)htq|Hf>R@x(|T#m9HFrK z964Uy)O!!n;U+N)Zzj8_hql>ER7AGZ=-o+LNIOOG-OS{Vb4uOBg0_ss@=8wRmvb?{ zjVZ~=X7?r<1m|wg5jx$6X%#=}qMPU*Ig28{3$1S_Req8iKK=9tPRjeew7d1u>fS4B zbA%4}ix})a$C_83@xiOV5bSV;7~erky+%0YH^6a;RkPSxpzx))HwUS3S4)FAfNJvp>w~ue*-pLR7>FT#k^zG){IUmYO(kUux zLRFE8vNi)nse+o?Lha zuNaxqVPx@Z>gv7lH1i_aQYBj>-ev`Cy?24UKf>sGc7#(;*K&4jBg?~|^7FlypMNF# zKPnRM`19Am_vdl_Vib=r8_0aShGD&nq=j9^-gXSH&*};IqKU|Nt5ENr#5dw9 z0Y;02zT1oUmu>iLQc_?LL$;SQ$$`d{*_lfr4MQzV$4@tx9E)L+y)WYU{s^9*FXHp= zEWzIl35~r-to1DZpEVKpZYQ?u8i+nzLzP7V`7U-;IG9l+Y(eFeOsIY)n#1kH$6r8y zV;4bROc3~KKS}S^3nQ2%Hun-CR^vo`&`QDw<5+IUCd?)Ul|wv*_Wl$&d82j;rocXh zI{RXB5=L>|-$wBJy##(dP3mg{q#DoTmL-^r--&ND5%k$GcApm#a6s6AOB%%vUX(am zljm-X(n*-8U7tvb7TnghVE^d=neR;C{;Ak@V334>MPgL<3H@XU#aqXSe!m6d!)c_u zN0IJjj#d~%v8x?QVG1QKYBH=EiF~h?kPjvaeft#dADqN)-85>;lSG^MkhrH8$4`gF z);X-dDkIfKgVNiQLihb*j|plQ56Uf5ge@qrOIsk;e1Wjn`v{gX7VBDYI?_Xq-vv_j zX7K%}jlfTa@z|6{l0_25_VJY2_&vuc%P9bbYZ_5|V+nC@CMI$LkB?dj{$NP3>cmN> z4OiJ0DFrs+tzLpYl6?5Qk?37oG!EJ1ISadYwH5!2<<*ajBPB$d_F}KPMDW@HiE|$w zU-x6Xwt-}aWm3Fv;UoVCe9=nmC;1}1Qpj~oMB(N@rIQimtLi{lf2yz*Z^InYBah>y ze;n5jPZIUkX}muk!`EmWrPmzlr0aNn+=j>J$FTmWgODA~s9d5+_qL$i-hnb(@y{&= zt!n{k0X;;!iBIC2&qsqO-tQn)rvvwhQ)DXd5Vfw8m=Aie{iXt^jfv!0sHn0}Am2{% z(Zh>uVV(u9vDDhuk@%+M?K@p!%b>)06u*x;1&7m=L|wqcdJy+7WSxB6NBH_tRJ+d- z{9Yd+ABxW(_Y?NP2ubgrVb_N!w3j9QS*ncs8QXe_$<2%W{PwSCU;17cZw~jb?d1ol56`Z>%IUsuxH|a(H&1P# zzJ3dX$81Q5agxT-L3~s+d4;7!CPz}!5Wvi+BclU4C{s7HXV-2v?%Kr0og0L7ZTPRB z60H`n)!XW<->f4N@>>)UJGn6I&eU-mM#nqouRcwG%TM&xKN79FO!VEK>Asf9_-#{` z@4n0Jle@Wf>a*uxkv{)1_w^5m%dDnG=RfFs?v2$63$LX~?LBFXmlelSWG-oM{n4-ddoJRWv(j28Q+f7pDG$BdW zMTJch<*uDl%%uBzo3#8%?rIFBOo1RaUT=}BFr$oUu=w{udIPZ8$WN2cU-vCV1f&1Jz`kC5fu zL%!cQHOWgfN6BV2OR4h-dM%I9P!^1Muz=~?nE=cJ(uQ@3xF z!dfq5ngxGhTH&WCbCWsTkCWpq=aScK?+H}#^VF-)kR2m&u|7_-<2kDBj??OJPJB8o zIVtPLUUI-ra@u2_2=6gGoURb<)xuzl0i)etF|l5>iBnUyoIAOl)VQte-o1Jq*cLYLSkLBdU;Y;ZTPtoWQH*m7Ul)<^96gRk#9A}C~=v_d97p9lov@>|b}i>GzQ?&P zT`r7%%+FH19^83}r?=jxOgeYbOMm6mp{K+hl%zK3r*XpqNt>tffA<~%Z+=I;?E(+d z>sVU7!)s9qLj^UQ3cHSC|4j+>1
  • QJBn7baNI<6+goTa-1ch zq#J1shRM-CgQfLw^#$}%@v?G$>2WMz@x{Ol%QyQEgSMLPAQhfZ5o{(;M zouWfG#r|vL9lb=^K`EGq^QeqZp;)!`$Q3I0UZ!HtiePjWt+f<&|7EHV3PuOc6Jc^2 zkAs&`>E0K+?^1GD=FmMQ#~v4EyhNeiWpWKf-V5{97+s`L=BSeQa}19OyPcD`&QpEh zlwh)gnc$Ul^crg8MKtnWrC?ur@G6-`H=kb@r}e%gi}5N2N9HIzdP?k-f}?+uQp**Q zE4M{@oTl`^Niy_Sh%;EGROYM~JIfDFpwXX`dCriiCk@nI7F+CPN)I0+$6%U5qXkOj zxOlUZREFIrPq5Ar)~eM#iCQo!KX92$L%~P)ir9TY<~m1&=@db-a7z-Ol4fz90>kr^ z99R^;PmrTKMQgwns?E=!);lYCbeTwrckb$SYpd7U9lC<{@D;N3&XZxjM2O)SRl#D9 z^D^qg$EnmiC;l%={O9SnxJaw{G6^P&V(SHp_g%r`zzW&MHzZb4h;y)JF_dd!nDZiY-@Oj$;gboyN(U)IzrzJ-U*i7#g;Gq@zNUZFsDMHGb; zMt$+k_#A$wix?kS!Nx|g61m(_RYYrX4krf7MVgNjx#J`*uRkN~mG7xsJIcIa8zTqm z=-4^M;O=RDdFOW&Ykom(oyYwfoB2U%#4lIhWvR=A^AqoIVRA2xrMmPCnG=&{h$36q zXK)3|!W0tYLusw{WxC6W={_^k68Eru`#v`7>}UIqb?n}=jy3xaA3|s64)z~9%+6g0 z*{r*k@QjVrr+d@eu1EcuLv)^X6($x$$H^cH7qaM|_v6x-0qqqBxP56I&yFAF=7kTR zv#)!%UgG=PQou|qDPHr8fv=ZP+tf*-H`251EUs_$;Qi)p++O^RfVXecyJtzj%p=^v zjSw4MD(%xq*3Tu(AX3;xf^?>EVJi-lntD=an95Xq3B{^HvceL{whN*>JcI0jSh7>I ziHM3J+1Zy|kv}R&FH~NBWC!_?(>1;!ulMQM|Tal2Cq&qlLpO#KVdM4o> z9z?~(kP;eAL3Aof9H2UBMqL8hZ0(Fu|Gd)Sh<>X*H(FrQU>?5Hxc zWxyw#=AdX&Z9SyZ2U8KANsezM%9IqMW5r(=9|~+&ugZ=hAvB4wkZ`gb9i@w#iTp4S zdrVQ;i=V#!)THE);ub`La{%hFBoqOWR7R(el$1=mYZ&=f;lljHAF(euDUlFoFLGQ0 zQHigmR^AlZxRd7WNJ_9fjp{_IqrFLVcBddwO{`Z0X+aXlpkz{9!bSc@P~a>v^o%7f zG!>;?AmvtG!gRb)SbC6U7fPCCG`$H`Oa!T@HVHx*k|;hzQyP;k_N9<-7C@76)bn3P zWZTD)zWM>ZeK46KAq%YiD6|d`HttKDt0S7sRPs~`A_7B54hSVDLSmd0MM_2#Nj~0^ zGd|KNgUNFUAU#6tb9X04a4xsC5VmMTiLD*!t9R^;mORnq5gQXpV4yD*s^%)$;kQ`5 z!(^^iKZ-b!p$)@K78G%=RKZkr219jqoYag_8{9)h#5n3+8DAt)tmHH$p`)ZXpCY1n zi752~8P-CxO-Cql?_#?2IH&TuSjg|>l%|KXsv(wD)AYypqV{j1z5YC@nq$N@FO!lw zORMq{S(O)vs}-i`IY6_>nl$Hb;uCvls+eL-+r@Hz6HDqUPHUT4R1Gr~IV>{aH1Vy= zD7506Vv!{ENupaWk|6V>CQVCWok3-DmGIzW6z5K`P}IX>VHr~uT27X#xu|MjULl=3 zu$4mP6nWY?3d+wCnl(al*LlJl7s<<9L2bGEEX4uB{X|M-^)OS?%V~8nCrS&MDr@C* z-T+IPlQf3(NZ}hpk$-|(?GnYI6J(2zAuUS;hK-T#c8nU6L6K$cB*Zr}tr=xe-OEYw zZ%N(3nY90c zw78R#d2KA^cguYJoX|`%7B@(pNVeLfWin$W?rrBWD;y)NOV~(*ux{l^G-hK|>s>(U zB{IA7oW!o5xsq}&YqZ={sX1O+&wQLnG4D1yi-curg)JA)6Iwiud+P+DeM=N6?n_Zw zBGGYFnx4pfbvu(4wM#|Jfypv;#+RwvGRc%Mz+;C+f^QpOKv>wX z@Bd2v%Riv7$P|LJizgx>S3d*0f5L#P^IN#Ryp6sF2j*uSDOD-SNhu&NFPE&WTyj;_ zlvH@r-(<^Bs{tuVU$TGq5vf-@*t~TUTQ=|guls>**t(fbn|HA5o5O6}{0c#d`=#>@ z(C3**+b;nG{JoZp(_!RYcf#vGnmPS+I~S@QiQ22>YL7Gjw)_%Tql#kg51R5n5=rF3X7OYSPeD6%jk%3WU;tS@OMUFg1Z zkEo+R;r`|#HgEon&zipw`odk}U%W!Y7k?&U<1?(kzK_SgAMn`r6r-}UK={`-qA_IC({JKCG_~i`&ili&f!Cku-g8R z@U`D#p#OmNmXFwR^eLX3{=oIa$N0HF!z1=4`uqFvS>I3e+HsOToh9Srvjn}jfZc~H zgqZw_(UBiGVEh#4^$$th`~ye!KV-k{6WljGA?DqOxbOdgl-LJYY#AVEb33u$mJ|PN zDUsjQ6Z7Q=;cri)Yj}^fZa-kR_eU}|{|V1;A8_#CBX(N<#1{RB9R2J8?w|ZfR^(rB zJh({E+AgBL>B4`*FyUWKlm6*Bf?_su2{9Apd;-MdL zc<(W;@89FlXDfu;-6r7M84|w|8@}x&{u?R8AB&9r`~sdIT*PVpU7UA4A^h;4arpYN z%yAc!0}n7<`vmiEej)C)r?|hh!qM1kxR}il@pUUv-?k9_t-OBSOW2pkvHM^Juh0L2 z!$EXM0ZGJ-ZJJ*E8i`@ke@Y-5U z_{IvdzosI{WSiYMoIj#m&{MvNdH`l-N)xJd;1E`n}5Ss@9$Xd{SL!jchT3s!X}F= zk`v!ycj$NA*8Yxz;BBD!6GkHAebx;Vv~GylwIif{IziGWC-D3DGk39q$367}YHgt|V(Bw`-Vjnb$-?;>;c3yqBf_--8|^rL=4 z-#(7Z+J~4QmOQlnj?LoJKFO`4d!FE8@CW)|Kfr07*!I~&f_6M6_24s{-n)ITh(W|yXWwn>VID5uYg~eGplWU$t`qnJk4s|oE zBZX^gKZE-cK- z8iF$Rpmr%_AX7>Gk4XgoK7i(O3E2-L3HawxM(-MMqsNc5BgLGnx8YxxU+31Nm$`XY z3fN64U^m{Q(XfJM(Y|LlT_MX*MWI!VROJ!!j|`BstDYj0X(}uy`7Ze?L%~IqE4-K( zjG{S4i{GJIDw2+obTFT=!%d{`Za}fU1;wTTRL0{toA(lGGD6ng0g|_Mk}O3j-C!I) zD=9FJ%@nzf6S2P0 zwt8c@==PDlwTq(7y(GJxMAxK^aJ_!=^(Mu>L9){p@jqHc;(=nZUoF0=NZD5+KGc)7 zrHjCw-BOslN#E9wdgm~HJ6j3yJdV3bKQThhD-QLNc&G|Z#tgxB1*Gg(Jhvxue=~_Y zhEQ%4rnjw^C@Hr7hkJ3|)b`dYw_)5Wz+Aj-s&k!Lynn<*tAxU?J)T2{qjvN!8nsBR{ z!q!hi{N4i6c9oO3uZZNmMPgqi2|Jq!+BJmp!CCBfbm6zZ2cNxNB%05VW;QFa9-`1- zPO$4krU~2E zgxYHcrCuuuE-mM%{Xpk;3@p98r6ENEa;9cSs(HpUJx={yT?=+&)eCHraNL5VfmE zuxupGtRLl}34*qW8Zep>j0bSnOyLnA1$DoQxC0u}_h?b%eGQt_EZveu#wd5jTEkH zrebry*wl>kp$5ElTZq}!O6HbM(l+*yepm{p^#EZOd>8`&%V;tt9VhBV|_| zc}MGr)E(uhPA7+VXVF-m!i~uY`Vx#eo1Mc<$P7hC=VeXbBmB*IYBnqg`#Ql%y>U9X z)iAVofP}^j2|H?joy7?l{M5Wz4^$xc$y~wq5pV3jR!)Tu=QK2?yOABxa zcPFvD5zD|7>iUxC>^;EPz7S(f=p5xo=BPPvj-ta?sXTazRI}5l4NjA5e3gQO zcTgBCi3B}^%3y&?$BUGjo~G>3Jaq?7Qg!q=rTV8R)LVTx$Z3j?o~2NC1+D%?RI86{ zGm<$BR;b^9n!5d`$hTP`+VT{6)+uw5eE;uI>Q1^VpoQ+RlV7|Uf6WnYP|*rX>5Uu3u1 z_&7yJPN6ivOqiLlGsn|ZravLY;;f7n8}+Y|VswdUt3}Fm&x*_vNn(12IHS`P>7J+L z=rUS)UoCjo2)k?FvrOglr%EkTp7=dl`_(TA&d9OL1UambYJNcq^LeyK&X8xgNVxUt zgD+;NJ#d2}VSXyTJLKtIrCcy77yQ#q$4HBibNgI*K8O0~88n6~XpC2XB!5O0!dVIq zofaG~lPb0r=-!lg-KO-=J@RCcsD)jX9(;g8SA1|gM^pS`n)feKcknc6Vsn|`3AW*X*{{5&-Yhf;zyB@Ki+za$G2bR_PMPrkHv6t#gn=gFS6?*=)K!R z<&AdQZ#Q!6W)h2Mf?1sKAS29(-J1>w``X9G?HgrV`@aj=2HC#czMIdtZ9w63fWZiB z8ivfMdMq8{hccZ1E}i5r{bZdgreImk%6tG#u~sY(zR6#1yvWll@A3HhE8MxY`XSwW zwCdF`{p$b8%EkvoZC4YuwUqKN&l0_E4*&Ph5%AIK^ZwGgogFNRV1%!J2tEhmQ0$z= z@Qp=0Hcyehx0#T=ZPJ0B<<{^Xl)yL>pRKV(oOJg=`!0Yh~KS2vq?+592+CLJzBbC z_GV!-($N$VgT&c4k|pmIemzLe`eURCvr3W9n7Dm)YZ2xotYB*+d7Bz2T3=7jX0dZe zHL3fgdkJ%h7v`X`>ZQ(gl9;U}!k%i0+fzsSrVes8jF7Zxgy`*qMD19euS!^56FHmO zrNDOzyJ#VQOEa3yA~B^yyIMC<9=3pLb2%Xg>If6xvSpstt{SmNI_&N`v89%DojO8= zN%`oPOW~OzbYCfPJ8MW3<`TQVOS*p}IqRw^5POq_xrOX)K)JmgjU-;IoHs_WO4%l? zb$hoosSf<*TzSExq*+x7Bg#X$p@l4+9uju75VpULe4S<#8=G*~>mmP}KFYrB5{$Zt z-Z4PjwqdcapESWI_)sxH!agc|#^n69!Z7NERW-{w>x6MMJm2DX31gIbQ?_-W6-K1m z*d_7mBu?y$7R(dmT(P@q@ieQVB=NXlB(AZbU7;MInDONaPSFF95#Hq=Nwswg&)xOq;AFU9yD z6h^tHnRsD8SsVID+0sX%P6x>n`;@KHpe1&h+l7&DZ;<(0$=KQ~cGXILlo5QSl(g(Q z0uPr`v$;XW*2&lbVR9pqXMGZz4q>R0kK*Gh3(pmXlqSq_H3lggo5|hQCTc<0v9RK{ zAW;dTZjyIZKF`xw851F_EmoLgy7-W>Srmkhus=~bAv@%}!NY_H^boMWM0~8GK;n=j zOg=>NC2gnV%PzrfdmU+FYpQH1&$k*;Hci65r#SH0GOw=r7v6aF5&B1y@ijR_;!!Jx z!lIas^dxmt3Sp~P6}<2}6zy0;dh;jb#Bb%+B`c0yH77zFhJi;mR`K2Fx;LU{ zrQmG`FUn5ZvovYW-E&{_cyS}Q7T0p;?u*YKtM>b~H>o!&WkCwq^4Hg;^VZA4ZDRPq zB|<+L!|RRngud~JmfioITZaE%YW9Cmtobie?Z2aF`(LsC@G7~<57639Q7RIn%4CX4 zVGt#{56G}ON3!i%ssgW49CC$xqmv>>&ya0%jsg>re3sMnW;~MOc!o;pp!w3Ja-|Cw z>R+Npm`%BnFdT#1R8g88NAcgZL_dJc8kLo}|!)by{= zB#fz8##KniDlxo7q1aPobOD9cMQVhFl&#*9=Ew!Aq^p$~3Ny64LZ10Wy7#Y;6MUD( zh@a&ASJ9fyP-4D7m2}wB!!wjw9ee&bGqs6yaKjl|437&tI#0Uo9SY4K2)no>{>!|k z=SXl}rXc$vmBM&5R_BFrU7T1RO;TQME|DPa*IYiVIAUovdvlQv!4nMa=gik zyxtHNd7CEvCk#rrZW4RcHq!Bhz19hvtT8x8o*Y-Ie}@tyVLsA%vu&21KTfV8;SQB< z!b*(JQG4VTg9mQQ@z*FaI8WoD3pDM&C^$c)_2^Stj|gKkI3weQk;q(y26s_fTqV>& zI(pJFt>KS^4XjWl?5osRn2@nB5n-D7M)JSu6-vy6aT_m4e6CVqFi)lV5XHuGvTqgJ z(`d}6iS%8fCFf^q4=qw*zDSx#+ER-%H0@iVF!BM($&x2>T#Ycjx+AN3BCOZ=GQ~#c zW!?n}wXLYAl5*)*rbf&Hozt603a4w^})0#i8>O*A+SsF4G_Lh%8~SrNaJd zMQv0W3dSbKD6#3JKp1YZ{9j^tQRWr4W+es*GwW7kl z?%jKzvu#df?e%40#(>;Ee2Dv7Cha#;$a|KJ_BTh)eD^KQnO?MbmQxVuz|p;{zZm*V z3>MrTLGz^YBIPuQIUfZ&PYk$;?ati;G`9z-w2&$fjZ1bcQ7`19-kO zCY19!i7(t@aqSa24lNMt(Lk762_4R-P`E6UkS(&p;S`PLOEg&a(O`Fs8q@P4$Bs#1 z8>KydnY{SpXjgB2;y+Jj=pyBo!^HWw(v`bRvC}Z6_LCG!VwX6~P-!_qqwVU0CXQ2K zDS@}VMz`TP3hl;ewCknNv6DQX0n*Zh^*H2HUZ?M1}351b2lJ>ub+1upBmSqSdOM6ps-pZWHwRid^<-qshLBJnwdjwIWZXNEcX- zijCtkewY@EaoWtMsI!vAZhK7p?333n68yTUELx`CzK?w8ev0jfsJ0y<-=&XEn^Bsq zMo_s-qHvg^*7pARwo7X5qfNfBn~}P zxsM5^ePTmDDq+Nx4t>;GO;TljTzou1gKV`nvlKWEk>MpwCuxArcqtA>vy|D-Q@(of zu*9jvX7$2X!Pat~QcLOJ_QO<4k*<_QUn2{##_WRNbxnL+jbR^IZW9zo%KO%{Xx)U- zrOlCV-$Rqh9M$nFq$mbSlZIPn-TnMwF2#295^It6=F7CLKDflbnNn$lm9{77HXfkN zVS+60TC#EkJNs#|sh|8X8JDw2lIQ9z$cJgNn55B48jRg670v_HSd7qNevVct2IXSA zR&t|1N)Zv?W2E2yXGfp+*-cBV#LqS<>MM2+lY#)H?Voju76};Tlsp+Hgwi)AR}@U zQ$2W`nyB%|E-am=QrsG7sH%s`I<2cIsy*{WCe;RRrrvZUTpHB*9zFa)stt9yERs!GY6dMO|{BoQ~iM`)9)A)TRzU(`O^9SP?eJir) z=mlbqoFnwx8O%lUd93fi?E{gMAGP5BUNaGIwGj128!_+p6ZXz9iR%^#-@1s$dn5Sl z7{}xDJ{-U8B~*ON+`Ekbms3Q1BHPy^c)nMI--nI(2sZxj%Jxn(p&ty(HbBgWgZLeu z$5fi4vGEYjg_p4TS}xPNl+$FKD!0Xcz@vVe_4=RX!N4EF7aex03 zK_8ySZNq~2e-gv3?HF{O$71UUZr_X$B?Z)S?;JK8MTW0FJmkF&$)6g*vl#CWM8?0{ zDN16L;CH9+`eFp{twYa0#JBro7|Yxhe1v_4y*DLsn?rZU0*7>t?z1%RonUb1B0U?f)4O+`-p$AO{q!TQeUAv&{}+4>ej#t?Gg&AP2)FqYVPD)wv-6)(?s`npy6-9a^go$0 z`#(?{{6^f4N96B%h{Lxxh^YHtn43LAyZsryW`80;_eYVeLYqa})c6X;cJ8LmrJQaD z4PA~^WP6X3vTv4>^*2dN|2N_-ALI4)HDVI}N=(|n;Jp4RVIKd4gYJDoOrDUm`y7g( z3lw;aQR-Puk#y=thXMLUdaXVcTf6BVdA|Qnvh$yb-0%no$Dhf{|DV`?zKna(e_$Q@ zGjRv+k+$mxB6i(E>2iuP_d05v8)X|1Y1T@kG>L{imq@Vvm5_vg#ZC8TDJ~C*4E}>% zHW#sr{1Hd>zYx0jN3wRv>$W@O*j}U9MY^X`uWTKZyLC|PHbs@eC6c~5O`^viBpLsK z-={y2qw_0C2G4L4>F3<`-w3h3ORY$E@6XSQw7Wr`*J)avn(1^@Q{$Atmq0y;}+=H{^YX%8uU% zKKv8md+$=_bcJe1VP(#;*j$^bb!n!>aZse!b&1teVMqT#lJ`FmvH3Tgb#G#O;Xg6i zCidF@8J~mC2sQqW(1SNonTa%aJt^_3rq*3a-6}@T4WbTKo54KEBHPM>|4xSCZ`gkF z2SLaF7Xc=BiPHNEu{r;a!{KXq8vcaK-p5oLT@qhLg+;Yc;o2cs56~qtwO;=+(YtP= zjr~9IH~WpyFYn`e=nP<|1YE;{1f5pB*(w}GudDM zosj*{_x?W!(Epu?BTpG~f5fEueQFL(Q+eb* zs&BuSF^lxenwr^ni_Y!U4DTM~?;rk7)r${B=BDt|-?fgdx zxlJVGK{kKAm6Wi}jCC3^F|eN&O$a^dC3J-p(ifOdepV%V&u$a_mkcz&J2CxKhl@*J z^K|j`=eLIa>B{Oo@!ulNu8`4n|BbV|u1i5lB2Tw~iGAb5=#-GOe~2>6F_AEZ-1aP? z$xK1CV;BB*0~8*)O7IsKD2o+6?wTwMK})H35mg@P%$VhJU8sL!RtxdUQc9dssdCOj z)7g(-l9sCYY80w6V*OH4d1eXa%%Lv2nBKH~AMSnS{nZg#?u>ys~aG91}@7Jxyv^lgK3nHBJSjIVmYk&89UqlD=3iJyA_`Cny<> zk7dSROIhm(iiS37Ej9F-meK59jMhDw^oCkurUyk5YbbE7lZBd#Iy{T!v>dT1mA-^D z+LNH&%*Gd$@MP#RFNV?feVpu%wR$7sW6@&+sQj}ds zM`9*}39)o0B{LSCOMgr$!yc71B&^;UqgG^03Hgp1vf{PE3eqW1x1dU_B~2Ey)et@#-f)bXq1bGO0S4TZUl#FrKk5}ibT zb2q8p`6xZh$nla`M`X~Im_~nMA_HPeU%Z0O! z859Purrx%Q#6S&k@fs52a_N{|Br{Y+zMqCvpJMSdosQI02IC9q4QpZ`QOjsj5GNy& z8Iku((rQs9RH3v}2+mqcLW_v;Nk_FPOe?>b6j@tJ*CLUsCA9i9NE0oWbCytVS4xvZ zK6TC&7;Rg6{vrOx*S{yyWQCE18;KZ0A7y3Wr+0_^LNeuku#v7=u zbD8+$Z_Ir)PPP<+a{B_>z8=Hp!x3EHpCV; zk{1TZEvcf&Ihg`kPzg@C#LCzrDXwM02#f6{(Ok2sbckZmIR|x5C%*YPR68lCb=F8i z$zt+KB)O%C_>_XEqgfIpo>IQ!MzGI0{a7#bT?LO2;(H z<-LMr`MBqYV4X=x-)>??E?pS*HObxuR=DE8UsQf-?@u`Eob zQUc=TTuPFn6eI>DkeQ{XZFZJiX~LP(U=#h7#7eW& zx~5TKFHPP?Vj(f8a*UB3essSrOaTr#DZw6J~S9oD??AAJ4hdC6O`%QJ&=ml`JAl#KgVQ(;v_ z(8r^Mzp+Bwz7zCrn_&9zC0cg$(YNIsfBxXVp?={$`Ieb{fBm2koEP}<`U_k=^BEVG z-{97n&6L;cQ8{2kYEcAM;c=+5BM1#OB2XPjLZLmQojy#o8`i z*|_5$CFB-1Z`VQR+i%H;-Nf9aKBvy?VxYx~@@O}@qJ8KJilJ7SkI`>SD4zvW{)ZK3 zA8cj**m}-Qyv+zkbU?%>YeQ*9vC1>x1IF;?KE#3<-(yA2D~od z9x+L{Zj(sNCSvxqptU+iRp=Q~Tw94dR7K{_PBOMnlCXV|GUH`x<8KhATOm@ho~R?uB5|sy4V)w|XOUdDVbt5}P#)~WbJrvx zJ0Fs|{X4V=ZjrU`IO+RNq1tnfrbA~bO-^Jj8(zL)uLkRd*0?OmX4|9u+wtUSjq_DBO|TdT-8P(!xa0QJGA zX;3VXd9V!SzBYn&M{wLeLguauXhptc?0-n&-UnogoUPs~lF4{VY^x{nU^AK0bkcW@ z6Q?&!yZyKn_Ekq%duU)V&=f9vUWnPbZrFjTDDXlM`{0j6KaLWnavJG5oe4Cwb3ZG+Uogu=%n0 zdH4Bky)|N6j^$&5N&sy@lE2QP(JLl@>mVr-=d|5Ll)6n*6h2Fuel42al_+RC7_Qqckhb?KIlIr3zUu+m+kQf`)0gqMSE#Ul-Abny5b9Ns-?a zt=U(|liZ4zm`1E#e64eg)E(DRZNKt7e=>HgpxkzgimeyvK759X%{>(8^a_ss;-CDz zy@OWA2}WWUrHBs_zrT$%u`~DJ>K8BbWbN*db9Rz?po>&tQ1M4v*|hey6tMqf?JIY1 z+dGTbp_}5{GQ&X~j07x^wDBC?ul^e$YyJ;Pzg(huT`%oxZ_*+dRcyJ=_1FJS)|y|) zu~KmV+QH|KQ~Uk)i=3POfW@WPxpHYey>|>~y6(x?i5oP{-(&LhC1#Jy`ea^vt{cJw*Dgn+qC*~qD|kjZNnGDM{cL9%b1qlLo{kb$Pso> zE)}`ZR!fw0qn&pzk^PIB;@`tKaeo^t3kO)4`||mR_>Zr=#@{Y{Op`$sXI}bG&V77} zLboazTTRENLDIL+;q>kj-XAQ{YPZbYpg~%#wbChPiF7m#|@6vXLX2QGDZbFD6xtJ2BZ zVw2Ih#z+^HuCn_1j(xdw&^)q(qF8BCusGn)?G`7__XKdGF`8@jp`7aQ;oP7X3*C-< zU*^k+-Yj9B*)%vN&}p479kZBH7hxsR+2VaeIbNt@c~s4EXE@8<;hb*`;X!Qxv;BS? z9|>ZyKS=fkv)C3+UxS)-VMwKRomARZij{dl}2ummoT|xk*2xAR#lX_Ws6-=jE02qpeB#y_89Tc zk7w2HT<-GalGwG<8o;$7cdj?NaIQIoW4#J0l4GQkM$%}TE3BtX3TCa?qh{DSl1o(? z+-eBpR9^s>Tm8A-62|G)ByQHkaI(XT(|vB7Y4_%8Yc?$f!aQ8!pR>JcVZPPE2Fslb zrITk-7#ha;K9w-_Fqtoqdot(6mH?au5H=!M!lK$*>pCWEtwf*S*aiznH8|5zCYY660jWB~iPqH0^Em>DlZ&e~TNgh}y zQXd`1$>CfM_eq@FT)5TX#l^aK78_mosn&=K&5jHX_=%l<9BqvzHzZ2zNEMcoO@TnuAzq31F(%pR=vL%u5a&>2YSELwxLa;9PqE7g_^3+vvrW zwjj<)jxNZ2Cp-M*yT-yPq4)i0_}$hv+86lsfmTOaVeUexXNom z@BBjR_IaAV9ia957nFYWS5kkt!KvlHk^JdPk}VY6yu4fL!xEmzaev`^&R_qO^AA3y z?SVC|kMdC}rZ6{X!Od8hx$`uo%09-2i#XJ2$?@^k#73@U^``B7w|;}vE0K{J8Z1$7 zrK3L9tX<0n&GoEU^A#b{yJ;UZr|aMb#%hXbjW|JN%1Ihi&XbdR47bNu$#~vE+N&hm zUo7X=p|xB-{5AKafZe>N{;ks|)ajHn_QC&Paoauo*CrFQshHkf)1+y&^Y`jLq^4>6G(?E&nT7x{pw;>nCl~L18+hWNc|CXG<5I>Tfce9VE_j zOuA4XXs6G3rg`NfjoQzE_xvfoS{CTG}FV=$1m(8y3c7coy9ujd+=q z6T82Y0%s|(8{0_T+KXcIm@tW9a(5r3*>;hXjYH&Y?jU1#2^|6IJ2sax9-c~HL^AD> zDw<+iNO7sdbz43aLBe?U4pFpmjGRqFxatq!x38Ce`$b`dBSeYs2|JJAC}XL@JLryX zpgSOe{@7UBV>4)vsYPW|h|;~67^5B(Tf2qv^-EVAk#UAa4$o7qHA%sSQR0MIcyBL4 z=~GFQNVtK>B!559FC$gLx*ABw>77@9pi7>&aVC^s&o5rLYkC3M`C6e;2bpHX; zg$+mSs3F#*l&07wIwN!FRpS&RMItbhDUU|MqKw3SorLY_6&BYkjJH?V%_LddXXJ5) ztgWLmP6vs5sz}hur#`rq*0?14qeB@FO=KW6pUUVa?Det~ouI~k{`L4t>Ysh>E2ciAmd5B}I%858mIXf%m_=V$E1?!ef^8+`UdMzj4$J$6 zf$bb3O4w%X-T_*i&!E^SG2A#P=PJnzZ>A@@gptr>hQnhS36G&BSxKg6BbNKJNe!D3 zM%hQk_CvzTX2@PULA=DT-fe-hT{9@xj|+3J7wMEkLrN}nF=-6>Wib_!Mqh}6y5JgI zcBF_@A0Wecg!GNQ6mA%nTpA^B-6&NCN2%7C6Z{5=-rtU|RweO{9W+PQh;PYq#JxVc zVzQ|X5anQ2BeM7iG1~`)osS4391u0p|9ab?a;HV&_KnC`LxgI#5@aC7J-SQqE?_t^ zUTjLFKUhh%MLcNf$McwsoAy_1$FbI7qTQ zPu?;vn2r;-V_X>L3GCNAW7V?XS-tuRW-I!H(Tda!Rx#<2N5jfK!r$q}|I=CAKbRzU z)hv_y&oR7ap3!wjsb4$In9d85zkf!8NdmVnZ{e=`wrW@2;P%vV&Ypai#rtp5_xb(>hXVfp{rPG{TR zU98=^k@fq2AUtLhLsFr~X4X($6DL&e7(TTH;Rmv#Xy_qgPJzn}22K>rJ+dCJ}9&LY<|WHD%LenMk!wl3bTAmA{VKyjqGv6)5b)X&>qI4k;8! z;G#p-A4->!YhOZ^Q#LtXiBv@-P#)k*eV{81L3Y%HIa3iFMUHm~EL{gPrMP*hC zVba;FgiSTu$o1iw!a9UWSS1LvNv20Hoi3ehvORN1kwhyOM$+hOLvye-wQ8FJLg|Z< z;*(oRQdksq){%_aWY8g9y4){cd`T5X6DznWQ8?C+;;FvzK|Ez)K{N$;(dOqvy?-#x zK4DA;r_$js%|QKGi%mYY?hWKS6jSCW3))Xby0B=aZ2|ehB=cR1FMQVgOhy=t6CDEUnp%6vecXVwX&{ z&p-WX2*{nsvORb=}`P%D0Q2HMc#Ybp8UO-*nNZLxLaT9=Vy zSwN|0nZzxJ>_Cyqfn{PtKKZr^3Y-$iwUb=)S5WSeChR*-s5~?kGXtX#)ht`y+tQICkk{*^qduZzf(;J?V z@##ZUhABL`w1Yc0-{9_*x4AL9i7Urfv3OmRiWjz|yvn9?yn-QrX`sHb^an;!A z)}QWXJ$gI#5goOGwVO29zQ>5I+jg-}dkag}Zrp%+M+(i2>(N-h3XOFi5fZhXLlYq! z9@;MwD2%p>Rz}Oa8Lup%t*wCEp*&8WaAssspGT*D7|)l>%0& zUqyG z_gu35%gOhZ1t{#U*tSX*Z3XELRg_vaqOhqE7S&FARSgMgspOYcqm0QXt4`iiSw)<5 z?O2yeQk|Pfa%~_rQVNuBDH&2c3a#sewoB2n6dx^xefd|?lG`ndrHs_#4pLI;W!xU( z>ecrdtRz|%MuS~BdDg|GMRgMCR3^nmq^vwwAH{a1s4Poq_H3svqnmhT2^kp`6e?Ot z%xxyVp`XaSdcvdfWvpycTnoq!t0&Gw64O!qv238qtW^qpCnaVTjQSK&?U^eJs{%!| z6#Ej9?uqi=mQE5%%E^q%Bh^KUsk0=rcL&Mt?XrlQ$g!=a#HtphG?rqEW~#)`x)5PR z5!EE7)={3@LveTw1=USNHq?{mo==is6yqX`&Z&#Q_&%Kd>d3YmrrdaxT49n^X6i2n ziyfZjG{$ubW2q-4p`MKDVZ0*Bh>dTdB5RaFzcvcYg>_lBk?dPXTzoxwA|Gpvlp>K! zByJ^SI@hBzt)nA!kmBSflEX?UQMD1AR77%pFWJTI62l4--3v%}uOivAi1frN^6jz& zXOUV`)Edal7lH*I*m-BL}4sEh0_0+iK6H8l`as3NhY0cB>56s!uuJZh+u z7^MXak>DjP%~WD#(M736tK?E0Mb_mMIF?Ws*+jddo20lxGAkPK&nP0RzK66T$*UM? zAkKwSSPMz@t|K(EgLHFI8K$MwS`|sb5*cPwBn`isKEEDW3)Q5g)sS4?j8A?MvGt-# zYFdd-Eg{k;k2HreX-<{W3`XdV5u0RcN zBi$uYoGDBZmfl6S)`+n5D42_v~Ci-|6& z+9h7(&qXqH$B@JQI%N3ha{c%U{O^5LRp@XnB-6+k&DEHmVgWL#e)Em!9vP9Sl71v)t@7tHenEw^853b?y!2?3y zzD2;hcL{&%2|Y{yO7V`LG2eOvZ<`kc89u~n&kY=0p5yBL47)vdu-$eWt*=)eM`xXE9UJ~^FBiuf^g6qmVr279mj&9G;_5BHx zeRr|2eae2{7nnu=f}z<199CY%Xv+;@Uw_5;izm2z`m@OB-|>C_A9%d?2i_mNBJ|To z_&EO+o#dY|b9{ug=_8Ev@1ZZg8AtubE{{9t?zw>Jw~MH}eiM5CGghDej?cUQM&R53 ziub#Jm$9A`|NVVDR@^4Y=63?jUgBi?65E~EuyK2ci})99FIcU=faO=0u=)N0se-lj zcdxMj;3+;I{EW|^{wx0P{7%Sw_X+s^7T#KS@v(VKl=&}sX~@`4kJ;;e7jKR01Z;ke zYI4&ctD27E1W*KDS7lvWX@kDhyDj% z@BSCuK9u+_eMqX?zZ2l_2X1!H&^Nlu0jEot``skm{2?A1*RYnH@D_|hwSU6t!v}bL z{0n~X{(|qjuOxoY@sY#*v!^6#|AR!Yf5FP-3EHlA*=}{7-67(;<8wmIpRr3YwEgTR zexbjkt@|90_g@h9)~|%T{k!<|yZHDDhmY?Qvh5j#zW>10@jm*V_gHCqiG6{O(e`A?%jz44S^@#Nr zmoW9Xhqblf>-`7zJ04>Hovfozej$9*uf%EoS6tu!6_0m+6?}fd=be8c@e@e=@$amB z|2Mw*@-iV-$s{@k5aZ;>T!{-~#qOk7`=U6|P3-4=^lqJ}d*vX*+s5hIF~rEm5$1Qj zAV=a~q@&>3d2Q}qeV<3yKjTF2M&>5JW%khuV*X)9z`vwY-Id9lR}2#YvGgP-(p;n> zDM^>!BharnLL=G0Xiu2mV6qvy`Cu^+g9_0L?n`x&>cs&{04g9q0?p~$cV zl`yd5yKfM_H<<$cD*CoJQ?PeT5^8~@E%Q{m6mvhPoCarqQv5=R^Y$mhtQwuA^^~R^ zrcfw+zGW*lCer=QtL5(|a=oev3969y*NXg6zn(|Exk@Oxbl%8ne7wsj%^M-Yt%~yK zCZX_6WJ`xr*|d;r*+RZWAFX-=^y_p8v#TJYht`ss-bScMw7Rqo zkt`(?1s02hEF(^&SC*~FF^hI8gca5sw^D1|A}p+#42LFS+#88hkHY&{OWzGs2ubM9LuR_L+HWhpJi=?*e z5L?yz`BzgUY$C>`Tx6ZFF6pwFZY`9>bdcoOC~~8oD&r6Hc|EX2N< zdXq|8O^YeC61HqA($_|qj5^0V!h+MBROE*U%QUK@L!@fD-P;dxOqyQ8HxEz<-uEx;<@VLLczp2#?oMsz z#L+)9^>i5-zgQFe*FvI{Da7vzB3eHgSM8YBUyUj*cBHr6fWEH1|64+?T)XS_eBU1`Yp;0+QyXy$~HV>CiPT~5+6^hIj zxSBG?d|t6g$SV3PDw#-{AjWoxlGIj464L2SR&X#rk>M0!eu;_9CdAX46-z^#f|lA0 z`jcZAiHl+|IhOXUXbOwN8R}C}mlsN_B8-l-0D98{>CFhFFFTN-bU%*7gmEw-fhiVbte_Q<)boVv}kD@UvfUYcGhLVD$L5SUH zF?44}h<(9Kr1;A7Ao^0Hm`I2dzY~~}V>~5}-kca(^MX0vlqLRz(3~YlPB6XM!HlK) zF_G%UK&CsrYFkrd#s37-6(O`VXH&0?p*Jmx0l}~@J(32&qa!_-zRVCh1(TX=FWOYT z^k;c+FwINm^AntXI2Py5c&aN63Rn7?lju_=Fq{}mZ)&QHnZd!NQuBS6RA_iQKg8cHZPvWq;UEh z(y8bQr7GK5FbiZdB}gz2qB+-}=_GH7p(nkWeu7^BRr$fx=7!Oh8o_WzBHe;@Yigpz zG?Uh%XzIGdWE^|yv;CQg7k|WI9nR61G-hMc znT(3!V50cjmBLiAH_h2HcaARy(;XSjuw^{WQDW!LsCrz9OJ`Ov%@Vg>$&HD)1i>za z-h>#sQsu~s=U`O^9pY=1HjdS>8kb`m?Mc?Jqw zIar*)k+LSPWwtZrJxhkLXs5Ry5%%t1=n%%+xNMNg&2vaiC`ukiNUCpVZYgoLtlZNZQsP6Vq*fveLeH+T+6N>R}&JjQz~W+$Ks_sy^O}e=@LpH$O&cQbN{S5183?k%;{X6c`lK zr8z{E&LkdRbm9E@QNq@rW6WWO?jR*4NlGfEGY$HV6K8Of1atL{3X|j;%~GK^L7~Ac zh1v_W?>|nC;Sn;Uu8;8Y;-FVUNlyqTs{e+H%TA)>A3 zgkhhhJ@&c8MQqkzK%sjamEmcM4xFSs{0eaqV`N$OlW#ml?Y<*|?+KDEj!`4_m#TM- z&^<49Um#cVrdZ~!+ppfGL2Q-S=NPK@$T~}&;~dJ2Bf?bM$uvDg^WHPB^C;JNhRz(umLIWAsK=9W;@p`OAt@C7>oDv0alIpa3WE)7n$b9v?7bRy;%a}*S zpBc%~Nx^4Ia#3QU-g`~^B1L-F#KtRv(Rso2jEsMZ6x|u>;;s?td6*Q-!-D@2@+HSq z60f4y*Q+s_7GGz?FBw~MC_nTj84;HS`)SFeXr|Mn7!sr&3_6t;m)Y2ZD$WTNU#~o|w-`PvbXD9Lf;CDRV`IXG?FEY0O z6o3Cxa*X4L} z{X+>zKC?gm8>8O|z_w*%>Z%ynep;Lr3HjbtY~J`+{NDZlvS9eXNLZ%+(Q_3htMf@( zH$dp-CQ381Ni^L?tdT8=MvkN#7?NnFkDt{Z{B3qq8tF=IfGsH|`-w3cx}Kx>ZcCcJg)BB3IowFm@gqyuTN2-b zSW`WGthFgpB@=6IL#*JCqva%X+L5nkFV|a>WNIyo!h$3dJJNJ*NHTCFP0xWOLvz8+ zk}Mq?k)e)+8rz{tPC?=AE!e5?lKj}GBmV1?D9__{3?v&Ok}<3ZH?x(P zIH1rMd&SpKu_HJ>7-eiM@p|T@${cADj}$#4lJ$*9F*1|GoXi8ZC=R%iBzPo3Ta$q<~=jU>+vw$mbtBEn0TXcJ?} zB@dDgsBN%+omW}f@~Gz`F>)ut)P-2VG&d@QurNwHA-0*ktP;In_I}5 zvLr!Y<~Fo`ofGN$;_5CbuORv%S=lg^$ zd5Zgz|B3tCztXYy8f}^l46d(ba_1|YHf2xMkv<|$j^llylbAF8>~HBJsI7~c zzEI9oe9xnaRouI}jGH&#<&NmvYu7&Drwd=vsa3||cmIv+npcV69YMBP4z1hUiPESi z+vp@E#*4J+pW&+MEo$~1CB*0gLB`j}S@#F#AKWFv`z}hyaVo8)tJxl>LArT|=@m+) z`(`;zQyG4mhQJe4n~jkkahSNod0M6GBzj1PEV@mR{ZZNt4v}L!O{VKCwbrvVnh#T} z{&uu_%Mrs%a!xv*c`xPW>TP1AE4m-2H2pM9!qW1bkKkK*jVhM~8pPf#+tcLPNhh^D zLaq6n9O}oTGXF7ooTtikfg1Bgnd1xv7Q$GP7RYj&rQUdwvW9tq;r&eouWqlT*ht{ zpK~mZP-%CXD)FPy^b|?f!b)w`+sg<`sNZ>=zu& zggps_9(94Cpl z+oVWGVVLHF)S3!wOuR{Ide$z z^qdroPm+`)F|?nQxdihN@jK@-Nlr6VScw9#oDrUxejXijU>uf0?ISMh;VnmDPC}UsQ|wwuD~dqu5<+efV`QH%J~en9NH~i+#dY zt9?)4V(@?^OMd60cc0~qX;mjyVsnKQ@*s%c+>Pe zk@Qzllsxxj@V*UgWl5wt?q+ok z#lore^qp8iU|JSCZO^dZ<2t)+&$C@5$B(w16ra)I=-Ib;c;O39-2YyTdxxLoxq55q zCl{AebwDcd$NvwLKb$1Tte70#5(ak-6RH_aqKP|78(r$;xa1rmvdaU1+W=gw{K?pT z2%~piu;qiFv3~z9t{>dS`h$!3zxjZ`B|lNV{%`pI`6Xtn9udCnIfn0E#?A64ELK0n z{rv}6ZhMUJmb=)!cbkx}UShjUUVHOryqEln>l;sTd*d{wZ@ac|SpRqzhux2`ed{d#OCI7NhwG9jcrJN{{yR?z zcuC5+cSVU@;BjJ|$=uSn|1HGg3E(F2^{y^Y74 zw{ep(?3cX4@r{RgzjYa}56)w@@dbt}ekMldDAfOh4LfdP_2+9Cu6fLcRo5{4>>57X z#E0)6V*1_#?B94IHa)|7${D@HT&sUB8+k*24 zf5Btb-?4t<5x(nx$MXF0J}z%P!EwoB8Rx0k z@<<+U;q%TN?B2bGjrgGR-8~FI&f^D$2EJeM4Mh4;7rg2g+}FqhZtRy-C3@sbT+ zTtP{FCSteU z+YhjK`xZ7oyuf|yFZgf#jj*+^i1^_lUT+9ilDnQu?&B?cCm;Frp+UNAHz0UIw{)Y0+ zXDG}vxp!p;Po#LM?;rc<^1D30{u#$QcM!MLh^Zkvs-K0B`y`9{+u5YQ%qRb)D@UKM zq$1XqTCW(=y`9*&Z9kuF{e&NOtz?5xrwb7{NhW4Q9A*_JD@{r$uhe<8L`n#*T+XY`RNE)HR~_ z)l#KN^PA%;9d?PO4`g7W+$4lwKrEtBVX_SOGz!1Y32WGVLYK zx(i?HRx)>XQM$g12$88Nnk9H|86jZHFqJZXdWUq&fDT;u7ZYDHMQFtW5qs*%3!0XK z+JwJr4+)NgMEH-8x~++#^&>>A?IUSzKbdQ~W&BZE^d?2}E|TWaN8HL{ynP3WQ=Gtg zi;~#Z3;3oSB*m;7)qw%B?GItIrI7^nE%i66x3}&gdQC40Yum`#R82|56mjXo{&d>O zU*1Z2;4J12?X+wK8fA_CIa^N5woL*$aU)NqT7jH-%9nq2~zhp zQ5kiF49{)?c8H$`21P=48V$Bd)TZbtY29PLhEL5+bu#FAm#Lwe-pcWrz_1&!xOCu57O@_(W?I+8! zkNADvr0yOhMx&i1jZV@uJ4spHOu9jjG{AmhY}@cM68n>ZVk#kKWoz_=X13G&)FH(?#r#P72&7XvjE0ylXp-`zrA{ zdl_H10u;8bB)4D0$*qn6&n6s`#tGK$lRWGrd2>JMYkSCC)j{&A7K*G6ljv}ehLStN zb{dHkj6y8BNr*m#u3Z(SE%)&E?juNi3pVc}-lmrT&04{>m*jOa#>Q^qG@8lZ)Jxf3 ziJQ|HiMHySl{65vqnQHpar}3eqpG`3eC#BdHlrfDheT5LpxPnvmK;!Qkae`7o6OCf zlxZEsdBs!SS@Q3E_u&ho_naiw@E9@L$2cNP@|Y;7#=SErg{k{}-bV3qVUOS3rt{k; zluN-b|KS*qKmMKEx1S3BSt1{||FeKejd*zZ9qwNHid$_SRPRkdPoIsp=Du(xNQgJtjoW}(me7J)%!yCBT{T2Uq;Vte+b-i`_ zL((jjjPCt+I=7rATDw31sbO^IJRzc?Z9h6f(#q?!>s{i8`9+!z^bu)w1TUe%se6Pu zt-XRW^eVafeUxg61Uhh;dI4>8`w`CTT&E`b1|D(8Nz~~l)M|u+oLi(B3B~uhKtjYh zA`M2#*B&FpcmO9!fO4G?T6YhTW6(#L_9Q_@R|q*U&wxnO=!2*5v#TT2wwVakIAMX~ zWXYI$8JCDO9VK2*eHZD|_-UP`VE+T^cU&WDulj}?XQ|jRkGmA4exch9^*2fM?;(Fr z7XfkeBqj(&H>Q_PuTXrGgCv@D;axe0Z~ry&c1;njdj@~K1w4elrx{#8rFH7{oyqfb#!yK@ zwd>rXLZnNz|0xoT$H~+?N`b+`>n*o~gO3n!;yJ0NebfjePBNL|fOZ?@S~FDbnLr_S zstg9G*w=~5q?Z=+Ns0qS+L_OiX>bIk)^Wn^4w7WlPeJr4vOSIwr#nmbzBAa19Z@1B zinQ*E9oJCpzD(Zkb5b}@kZv?Zb&yEj@WVtH_M_05B0uv6VOE2Lh0ahCvp}lu5V<vYBPovy^kzA4QrL~X9j*vL)8Kl7VD2^4U z2(qoCI74KrwG>R_E__60dK<~>JEv$;Z#BN}FqK;U*?T|f?zW^s@JrxDz}2kGnknK0)O;!KB$6*;TEdr^w^af6)gGRfrjrL8=Z;`L05*u$%DbN%jHTxiy!cufRzeTIbn zTO4-(CdIV0jFgcq%72OA=v_@3V}fZ=fBD_-3l|1IYJ`qdzpAv7j*e<#P=Eytz ztNKTpH{amaolmF>tY%>AAM|aVCDlBaV*MIg*VU0H-O%Z?X#&3Kr`{-&Uo)bqv(O^c zB@8z+70SKmvHoO&iln1VW+pJ39>9>&o0;@z7Nat_5E0Dbh9tV1BWW)5rmE79!QNCR zGD8`tilnHqY6~K0 z&JU%tCxo#ASGr5wX&8>8UyApM#3U9X3aRi@3Cl<%cT)?=TYE^~)=19wLafa~n21); zKT$wUjXQnWo-}vF)7=yycDSNCmr7M%1kw!lA+W%X0kIGOpB(bH4N3YXnK{-%%&#OmeGW>Ycc6t zYRHrho+wNyU09f6Ydxt3xlAV1FkGnMpfK@?JYPn$y=X0RaVm6rPEmGL0wY-BTbR? zXL~cA8^%~l64`z;E_(R z_&L~KKufkCJ)O~v7RgwtNp$4ZQXbbvx^_7^o0~`x#uL4LnA{!XBy6rG-65Z;x*GbM z6R9ZjqPHki2tW+ADp$Ii;^{q7OnqS>v&j*Rq~zdjA4Z5)iQwFZVsjli;$PaHBvNfc z>8LBDWiXqb%s@_LL@|~fKvS_N4OLzonMkLn-0yV^x|QWbJJk@muaNXzl0!SIND{2m z_Nd6&km@w5yrIo zkhOF$P5m{X2JH5Zvqa?OCm%k+SJ-}_VMK_uV}J3w~@Ptzv4GB z?#8VpJbCyYC05ClesY(I_2-D#RZi6EHma9S61==0*Uui|`O#ylcV6d;#XQB^M2hXd zjr*SGWNZ8l&yR1BZg-4w_5MWWX(GFn6q;8tqSefzdlw~TLu5ynQfi(`p=}0*mYI}! zSJDyFMvZ3-#JIZo~y*`EYKA$tR+iik%bg8 z({_{=Wi(i;Xi9IVD5jE9({#G@3MnwEm-ly&CEdPAuFuM1Q~MG;j>tzkB$Hbr=vj)-hJMcTfj6z@2OYU@cU+Gk0Z zPT1smiiZ422~;+P*7>MxN+~kSqAje1+O$%tGHb}ONJnK+MWRs);aVa|_no6?>rF~G z-zRU|eX@6)rQUXgYR_geY;sUq=1^^3PPtJjH7=FZC)UzYSS{lx3Dc|;mNAagfpL`E z&PkEDCdJ}91zYZtyX&HK>?5=U9wy(ofKm$uWu}6)g?ckW1(TlD)Tn>5@zEp%R9kVW*(PMM-C3vy4?t zzWTRX-)VVnp)W)lh68#Y-e>y3XKhHlC?wgyXOpPdk>LoExD99 zOKnOoc^3JUT9r~_l1q`BijJsyDJVS@I4dNcIiy>);=g~4G-1TKyUvm$3@lx8GHu@s zMLGu=^bqE3l1;vi*kUDlV56kSPQ5!z6 zOtBm#BGC;rW_jb?r+ogwLjty*5NUf!q~v{O_h06?&T%royN=r%{|`QI{hgY%Cm7#a zOW)c8x<&f8YaZgs2QSEZ>n>SlDN?}x`62!bIi6kloTt~`5&(+gYBBytk;moRU6r~di6gGn8xb@wvKPtZ)LUS zO5#FRGSRBXMDGS_(rjssOQ1O@nZ^JmwK)|S{rwcVKY1{8+mw@czhkj~HAlz4=fU+4 zxO(Mdp5OY4D#HTGm)v7)^$GGUrSpkAXx2C+0Xv4{C%5r_=dXmmbA#D+*Xc4iOp?ba zF<#~LI*rn1aFJqs1XU2W8X`WeFcO1^&Is&MrP&=Wxib!$Xe<2_-`Hn=HgW z!%k{!MkxrJVJK*tX8m&7%xVbrX(raTom%rTv8k1M>sD&4`l*n`UntjA`%2+aw(St zZ=%lS5M>_yf}8qxZ8bERx6)+XLZfRteWBf)i5#WMypnX+4zjJgh!U)7?K;VED5KP| zk_Pj3%IsQYoF=NmJE%4(5nmf+j6Ny^gChG8hHS^FckiRtrHx9PCc(9v0P!u=Zd&~5 zrNOF;ZuLzsOeQF_8z0Ui^>jW;jG-u1z12uESDj2I+`XqLdpF{;uhsc=&Np0t`F!#0xL8>#W{qAzxU z0;h87<$S;7U71m>U{q`eq^y!{j@(NI|Zl-K~ihX>ye^N2+TN zWwuiMEL!La8fPwiib_v;uHFIJqMuxeL$Ra$ZQVh!jr=Y7U2a=Xr9%xdHixluzmKQe zQIt;VAMgvCbU4b<&Btl|;t>&VJi+~gXZU<{irRHk42#k^sx>Qea*CcU$N1&zUs1ky z`}J+r?qA)_<4bSw;_92+I{7&d=igv{;ai$&wP+eOB0_0FXs`+H3KtBML$FfB5nOFa zM~5+^?HfrB-OM@-BYxbZ-d5*pG}ozr3#qBW>b07z&{)e4Yd7)b=J$vV*}`yz8&yLa zXm84(NgWuGNp(S~lqOZM`_&~v{+3DFFRo19*WmHYer}xlfd^OK;O3PNd35C~>5A19 zEP2S`^%n`*nuOv&CFA?1NLU|3y-y`Ik|-VFsXWO~q1)RNWxP2f)owIdreX1EA*l}i z6c}VkSBODnls&UMM{M$xjI-${^2T^Dggu7`No;Go0T1Sh2K2%r$U_hV01ju`RWPV@LEd8m9O(wy|od_3C zDVo0ITZhUb^b{5*Sh$B0ZyQ3oMIwFR-GTLlXv43e(xLAH+AM)(I!WEJ1LHCBno;hMworLu&OAk zb_d|A<1W5L3L^^@oB}16eB^I0ncI&%?_>%>m84q+kYy?H5`2=>adQi%DKcBI4-i72 zwm%AgZSm76TG&#I#3_(8TOU$nJ>=UvWxwsMG;6hiFtPvwO zR1%*&Ih4jhl-P(pCjNp$fW$ixh4>jSvDaT#%iHgt;iE4GsmO6;s%Ir-fhJr@^X7C? z8FlV?)cHuWb{VE_<2a)mj??_(83uRUqDkW_mp=ao5%1p=|KfPExQ&Nb-{8j8CEPyo zG562C#jP_Ra%$3q$=M(lPmD4@(9hh(K_(`TGdFdHL-VnmKk3SuBil$#Ud7g3x_rBC zCCj&b!WvPGOEz!chUSL#Y}>huwOe-Z{m!pR3e#e)*P6aX9h#aOiQ9dNzymWR?>|rQ zrn~Gu`I3;|gs%U^l7)L)xY)CSONT$^sZc}pH}M}``xB)Gl~TZ7GPr6%7HB;s(g}N{ zLRT7f5V<{&3`rn`lOyNjMTVHik>YJdRg4`KIxX1z`6{mK?~uRkCTTk^Q?~7b$eP>a zZGFUq=L^b$?vm+vN$C4Ak(XB}G&o0^|4l5MPT*mFmXaL{6mC0Ctl4Q2MWW_ynIwDr z1flz<$lQH~%uN@G(Yi@(z#oK#U&GUIgk%Sy;YN$ZdR`#V>oVTD=PB7GQg+vMiuYcS z!ghv;1Eb{ZIzsM_qw;u|%sn%L%`nwLmnaCoh=mau0;nopCc{!j_uNBM?(&_Dl=*XGz<2TI@MO)uu@b zwvQ6vAd)ca9>w+xf}2QZ2gxOa6SA zjZn6Ej$Dz-F_OoTT1P09oXHP+K#0jD;*775WO11|$18;U+`vmPQmF6Nz2}BpcUczx zRq^+fNLXP(TZKVwJ4DuwBSee5&2qj=VdyI&Y|ap5G)kK5Ap#v{Ne;M8lKxdvx8K6s z;6AGDcO=Jd5NxRa=fW8>cAX(d{gK43F^S=zFr*{YM?56e;|_khb7a~r$e1Tc4Z2N~ z-8sSxPLjU&6lL2bhjp$KZhVUb$>*%?(`0TRlJzh`ipceBt&fCnj3H!Q!^9}CIf6du*UvT>5W^#ukDSnYd=g1g6)uXgd9TM_%kgh>t zn{_rcl<#4@Pmk!><*eJfoQ=D-uvO~Twr$)0S-{jXa?{qWY~8w@72CccCUiApHAd9+ zZl|z3g=3w!<@punYMwJy^_2MQkEwZFM)RFrTs^;qC&Ioioccftm{io)yHThMn3{q8 z!t{n4MC>deVRH=)t4GP)+(*<(_13^Yi)85IZpJtZi8G8=lyc)(EQhmH(lJEadmN$5 zV~Tp`Lqdgz>9#(>h~0S>-A~dVah!~(35qk0Q=vLXLE>Sun=g=Fc?m_}Nea{(=Q$Kn zn4n;=Etj!c502KFGh1!LR9O_q%F0khWm6PiM^U>hi0nD4^B+*`bBWf1bL3PWBT{t; zg?kg}o@K;EWYf^q%E8J47K%MtD0Sv|X$XfZvZ%|Ud5l16U{tZZA%h4IQ8Ut!0Q8e@)^gmWotiYk{1 zVG%=ArjJoxas*{^Kl!b5B$OW^A^D!Lta%zNrbzc{My04=qAHj9GCz*jIB=-Wm8nu+ z4wt7>m6$?)s>CvPgvR`H6pH+)shT6JYK*9mMiRZ7D0OHi&9NB&qDC4^J2+VyF8(Qg~+ zOCwViN~SBKSS<7vAH}ztczRPrJ_l9PP;-o&{Bbh#M@T3hAgpnK=niQ@S<*nQXDGMs z7AaLgQ&Ax!Eh&;qE-aRqb46vwVyUO#6hn4Q7^=criW(+~&+ij#qygo%k=rmzN#T?z zmwr-2aTGY!5EfZVX=$hAQY1&KtvFF(&xtY*j+93-T$D*gdLHd{L*y&RB@a&#rkEtF zevxd!t2FtjNV|SIbh;_^Dj>Tup8om-PL)TqP!uG-g-agAaHKSumRMoB5yxJCF}tvM zf#~dUvc=bu+Ow!~j*~1onQbqJbq}?E)AUB4rpafPdiN>HMXnD8&(ZJFz^LD0ylrQ& z_3mJ5Jci2`JZUa3;7VH~C!>#`+A7Ud{T;sdf1*-jfvIhm=w3ZU=k_@|H7|2_)xQ$` z(Ot>6L|!gz;{LTaxpVhjE{uN1_3zaQn5@)ctj7rd z)Ge|QLx~J>rMM)Cz$iV!Iz8!~*P*h;kb5)wobF%2%=qU#zWg8C>8J}>4Mj_SV|2|` zp{3oZbOvcy(?^OhBZoI%kh1X{)h-E~jqs(y#EB$_Fp7dx$U4x$?mtcAt91$A&t~!X z^b{d)%@XwP42d6|rF)qazqRMk+Ibvf!%H~qy@d9MHRgy~*GJsCNzA_M!*=O7UhcQC*E)@>?qwWTEaJNVCI*Jr*r|7s zz-6;|e>IM$!x6#)kKw+(g?NooQdZ9pzh;iewWqLIdWd~L%;05rA4BPg+7>qm)Hp4E zo(Ai)#W>ztXK>nc3V&1aY3&g#jW42OdKOdL%UFInO5mqQu=>+MqW3S5An}M6p90p; z5UM#s%(@Ap)*r^^yK!v927BrFen$7P);N#lfy?YSTts{GNpv+1;r!JUW?%MW>N14C z?jhnNfnzmCNn9fZa>Y>+R-VE1i&^Y8UdK`6E_S=GVWD>ojipoUSa%FZ-J7@wE4KUe z5I!GCku?!^W`7*NjYA}^9U@gQk6S%S!m4B9+eO?~FJLA3I&WCSbMIx0x15pju4ABo z20LLX-V%?LPfp>fE&iuVj%=PHUUQPNrIUj96y947OKcBg{LK)7R(Eg|TU-Pqo&Bd- zC(LKF#R;6X7w}#&fye48f_{|7;U)}w-89~!B9d1fB!AfiSt||^x#|Rl-%jDY_c~7I z4=_1!16`d<7;2wH+wdgr`qv2Da}mF#vxI&$hGp6X?2OcQ43n^SkVMTPv2BcqwTEz9 zdkkBRbHch_VRzsr?&f#cxb29<{Q|mrQt-`hw+@^5aHbu< z5d6kXI<{P;dqW$udydk)<`_e=PM@#&SK{7(fKoq}U(Rji&gCUsyY>z@X4Y~0_y=6M z_91zHGsN$|L{QV)z)(OmT_Lt~g{G6~T|j+9INhzf4EF3MCVCAUH=43+r58u!t)&t5aIq9wmFyYY_D>=NSDU()K-}w-0t@{LQzbW$LnIyN+Vwaah>b=Br^&`TUy(HtydnA8< zhUBj=68hsEB7V4q`MUGCYh1)>#Z97@J||+;4cv{MV7>7M*$z+f+i;&m%b$c{ydw15 zMWVjHM%a%xiTM5wQQzJd`yLYf;}g7oc#Ox27m^e=30ou7e)$zVj9;O>^D6$^Z;-qB z4#7Kbp$h&L_Z7E^S$dziudfsT-95r&jG*tI687yYJXhYsWz~5SzPU!Gyym*>I?hI~ zu-J8zfHmhuc3i+`)1t`em-vaa^;&VBsPAqO_st_BzI`e7iM;2zQl9+E6g-rVY~bmUdyjZArhZpy-k+Q3&PEw;kopZ zj3d(Jn;S%Zb5F*5PRdt5N!*^}AcfFs*#*2;UdL7Be8l$H@9vWP?G56-y+z~?5Ak367$3U*CjhA~SE1`sG97zkVgYJty*8@%x7-;_pMTRdQ(MQ+!rR zp0AeJua}~~=RS`5&#+n}*zUR_h5S0{R!{KpdWrqY8^nGu<9%~i;`UH-@&%#aNF2U? zO5#!}&fhO!w{{WVjaLX>dY(qO|7^k3Q2PH z2a&%Te0{WToa~LgufM$?`qmw)m!D;7_b>zN z#_8HPPp>rQD<3~0=ADNW8m98|!UpbNU&77X?=#=Cp2IWmF#c2%#q(Ig{}D;v@oGv# zV`&cyVLUW}d_O-*D=iu6HfOMNAF=T(SifxrE7q?;bDaij*RK6PU!%~JC)-vEMO*a) zfypcAObwRVF4K9>SkfojP!`hPh2`aeoa?K-+er^l{u!}(L`uAkf^RTk~BeOKwTD4{$!joG?p zTKwuH5yyyeR&PJuiNfG0722bess9sX*h;>B8?jdHC?lrH^%IJ&(?+Ro8&w9~WZ3i& zX5B%$-vlXk-6R?|5NlRXoJ|8t<2vee>Z#OerD5Lyr7}m=fj*LK>QS0kpfszKahl0A zFMItTBb7-VnI7HbS@)4)*oR7gh#cclGE9b07!RT{=%-Y#mrC6(s>OyXy%x&#MYb4s zitl}t?P(=b7)hvIo5TG*SwP+^HqJdPiCJAUW<+?2t8?=&Z+)l1Zr=07S>pM`I zbcmfjVrL(f+P#$743lQnMw(L#C0-&?OxlQ-F_NssUb9Au#LrTLdh$&g$Tw~wPi#oC z>m=N^k+PIoIoD2sK^KiOUXkH2amKwA=nbG0AEK>$i8X4cMqi|o;FxXJL5c1lHTws| zuMP@~8%egRraWedT-PQPrZqBuC#jAjC~Sww6nh&Ev{NCzd)iCWGODNCu!~~7J}Pwj z$usOH*RY2w{Z8?#o~W>PSp<_*?(39z_KB@MsH}x$8MKjU)J2|IA5kW?#M;)7XHoy! zuM)#X@{L+i8Ft8+U2;yawCkrj?j*UA4@$8=-BMnYSg9mOvOGJ;bE+c7rU*CpN_kzz zGH#Mj)3)_AJ!|@z+0jkc`d$XM4DjH)SH!>joDzdeT=p=L$%F=1j`tLC!A(bG*(*Xlx7@ z+da8<^=qD7TEZ{qmq-D7`=1QVu!Mpo4>%@_A!J85xjOlD?vP@j)r;?sjd*_8Ld1vH znci@X69MJq+UF6xqX5O;UXc?E*lZaie&ZBjA{kON50kK^o&=$%IqQz#D-tls{~A7S z7f4z)L(KX^WUZbhdHpQzdk>Np^Hi9@GzBY#R%;%_ZTCUKx6Y8iVwR*eXUST2gCyxP z*~>2zwBqMTeJxb<^)5LB(jcV0ADMG_T18)&zc9meE!nHqMAWm!z74{Ric0sz+ zDWUAg$q*WzvE~pdYo|$GJB4c55h6AoCu02(A~(;8%#ibz=SlInOxVUTLglrn^%qE8 zeV(jU7fD(zY(=_#%=%L@<~*_MjuNzWj=(KPWu6nLR?d^R>JU*|r!cWRNP47nMwu^S z?F?a?4hu#{NYy+feol++2SpywlBltO|CV{&cOFF*`;?fC$Hbn~qb$WiqzNI)~j0WN4m~>lR28DVx3GERpLM#NT7&ubhLvOY!~UwKcw#$nReoe)1x5iXCZYv##bC5&0di;{67H=HIxa8DAc<*zl1DneL{ z;Ea>KDv`HxO`60kUYK6&Iwl4B zsp3PL#ta!6BJV}YWv)0v%mx`t^Ca+RFUnZwfl$xq_GbX_gZsHU@gv7?Z$t4@APFyj^J8#ix#%=4@7@$B3Z zu3vqZ``5mp*sz$qH*VAY!zd~jr7)>1+GR1sZI!O-l1rUa8Ew{cT=#F_dd+^u`o3kP zNvd+1uXLIq%Ca)0)3}R#Q=l}>B;Py?g;^*W)?ozO22dEAM}BmUoC_jD-k)I|guiz% z-abB*mZ->f_M%QNfFk`ElI+u^(J3K#YfMre5j$wA3f8wAm$>rIlA4_Q|JY)6(rkldzGz`KQNmXID75h*-P%`P3nbsthXw;* z8uh}-u#F|wAx>Cm1a*d?lvo51Iwa!ljIE}`P4 z{v_Lb5#;7fVRb&44sOJ_`jBH8EJqksCLvTCdyr%6LZXBCq`oS(g4NWV`~2Ntu2C#m4@GxciW6=|zr}#K$-k zm2oVomWjeDWNh^}sRi$tp|oSmQ}_P!iXZtOe!TVNr_T?DAS3d zeSb0;7V)H7#fa^Km)MbK;Y6Z+fM6UVzJ$H@H_tMhB+DRS%Hd*%3%S0&6qRNY?qK!$ zYZ2W)dJOAcP_le^DPEBs%(&BKezV9xUg4Ni*`GELBNjLJF~xTNS1e)aXW1 zW+1tu##(Zy*j#53fLXHLG)o)D< zhc#Q!STAHtQ}aIxm?j!)H=(gon-y#SOqBm7^7ah#L1UT0P2W zbuXvHr=DuBb_VR~>3680(6<_eM<=!3Qxv9l&|+6ixqm$o4bzPI^fPJQPPv=R>(xxR zVD@t%LpRNi zW7NCWh-}ZN-LqN}Rq%C~rp~ojY^ayl254~~l0&4im&oaWTI!R=sqq`4!Er$BZKYj~ zQs+iG96G3XYo*6kq_cYiwZ0-PJR50s>JqjvD1MF5DpESnr#?V-tGhCcf?vSJ&_X&5Ihv|O;Rq(7J# z)OL2bl%w(~r!-1z4r!vsO<08g5akV1C_nXUn_tEGyNT}#DnP=t3<9ob zDY2(VFe;Qdme3g@Qa!Rq;@U;6i`Xj1gr($wv*er?Q%!qFmk~$0a|?4>xmT z_$E_Br)W8RhQ>q389!6T(Sw#;KK%nJao>q_G~)X$Ygo47bJqM{3~a5)NR3TWz`iFw zVmTfDUXmErbpK*R#!toM{FXr4FDcaCD&XWjbEXEY2wdgMxi)?7-FlD5XTIR4g?G7o z`3;_4`IIuvwMB^4@hk-oAv-ThFn5`wyJo`UlZV{(5k9 zQlM>wA_u+y0>_V@;x1!_y#ErjWxo*S`tMkNdKcTT9;2c05S^7TW$q^g|L|DmdW7R! zKNIlwKk!=euQvwAH*(sMY-O;vP0_uHh;Q`*^dv{rTGkp zkAKH;=?g5szKhxWm&BeMc)WE7$9JCK`2J(u-@AzK2e;7s?g24Yf8h7Qb8Oywgw=QoR+A_`2jLyxx2zk1z0k z;~{P`hQo)K@%iCC!K?p{(+4l{T>7(|dw|{N4+&oWD>1A7!2Q$Tar){mZt5*=-WALx z`8}5Wi(vHcILYDh_HP7!^jNUFP2lR^F#O>K`?rYgv46wqsKi$Lpdx_!KPtjlX8Ua+Knvykag=a@O{%z^_wl-mDQ;w6rbnZE8j&|M1Fav%K1XiGy@c7i9)caONkKb7;Qk}H+m4f7cT}#;q}-%Nq>pq~y#^`_+ep%Frf_*H zk^YxRbG=UXvN6n@CWx$jgypwoBxGE}Fk}uJgAr2JPg3oC^7S?_d3O22QnM&FDHU0g zN3l^k`RmlT>X$@`Sintdiu4bSj1Lu9Pi!7$P743l(3{Yn--C< zwIZ_{M5?uuVb(#hRxL40Rit^JBHr`_p5JznxOs*Uy&25+H{;N9mK5VjYIdB%{<}`% zVn-;qk#1~QB+{o?G>#QgXvu zx1R*tc|2Ekk-Tq)RJ{=z69+}YCQ)K04bG@g{41r_Z8m~ zb!N#5xhj$?0n812+)Q3}Gajj}~@=8I1SR2T@G zI?zPPfgyso3M-6$g|Gfeyq9$ny0r&|(=1v$8%S0?#@ccMZ-W^EcTNeLKZL@(N(h3i z8{+~L7C9nkbEuFQr>yQJ&S-`V^HbvI0CvmTNwYeQ-dd4r**Ea>KPLFi5odK6pEWI# zFT-SfJ4X8F1Eha8K+Y#alr0^_bzL_?^u-BC;Lrf!~o`ZG#t)|qCp+`G1pC!*9IFTTN(tMBk~@jY&j zZDk}%m)?PWrlPP@}&G0pMMq0xt4%64kzZ; zbM4R%T$uY*s_Prv78&{Y>c^Do7c>6d|DgBVGvpYzQLul6p{*0d{MaO2{3?N;+^77& zQJ$m^u#ld{aB($VdBya^cfQ^Rq&M?E)mAgq*xshu{1U?^hncXL;)Lrg4ar9+44m6xU9Opp}VOIrCwGVI34x9=m>tBjP=a;DNUWt>p@@`9O9jNo8K zDqZnPjycp(GkA~Wh||=VP7tCvhNAuo$<~q>b2kVoQtxUyNQw0*iT3qW=H}C{zS%^2 z98;-zGEN+`Y0=F2*HYPdip=T-ip)o(yG@H6IYg3ACsB>F1W#T@X){ThqZD|TF7o1Q z>5#ETvt%6cZA=l$P-YsZqPpm}7kQeoK#t`k5>HQl2uD*QnMqAyAhU#amttDtW@v~$L$<>~a;%0?6)qC% z-A`oVBxPwQ$gv)jvBpt}e5=mPXCyy}>GWt0Cg(DdnZ#69ECXIDDk8>cRvt%THGsl; zgshz7ShdaKC6cYWK=MuUB-!m4X>Q###5M>nDkdZkCbI*X$o3asqv?+?qE6&wZP!^U zvgRl;o+cq&q|)RJLB3LK%P&dun4#TxlwA83(xWQqQz!+aK!%mBv=)1EI5nEZhyohj za%d_Zp{U_B;qHgXx41xT?_&Z*`e!JbNSnDxyyG-YCJTf)wNeycATf()JUc|<7Rs^Y zXcpoXOvQ-2OzNSf?;cV9BdF{|YTF7XJ|hIi^iwqb0I&ElQbm?$+YgfFqhdHemGR6( z!6#pGGlpq}52qz3M)>A zNxChL(Pbp;NsfM_X+~{ENpe1fgYiv5OcrU+ZlkR%gR#7FZsj&|%U4c9t$ zFg5x)H}Af|E6*vk@hI=ADzbcor`3z8{w3F z8Iu9ARL3bO4pq?RCkuA}1#)dqQFNdirLd)<1EVzV?WSwTC>QiDP#Jv*@2Gj2cg#?@ zf0oR|TcjEur!e#i*`ddU5zLdi`!boL(c^W8Ni`TpvG)u`J1)!o<8ofTf7Lm9ZJ!WU z`G5@R_*wd+BqyCE&svI)%RI@-Ya|)=Q@&r4XwMXZ+Eb+MnpqjLmAuP6bkJ_#F-CCHy@OE9i`4` zhFHTv6naMp+BHkG`bHL7$BC5%pxi%Bw$3oIdIMyOy;YG{Pz9YM!9YKW3KSln|TPU=SkgwZL!7gFYL5nC9m&h?ZN`{CP*Z?NL7*t#wAu#i1tsCwg0d%lS4#^|78xB2(P*Q zdRyv}?bnELzer-zF?nqWrSb+jf>-&D6N2GYf_2W5w)X;sJI|urb&`zzCuHtLYPX)J zPI4kY{VrjNCrL4xevL(L@;uSbwZvxk5kLD7N1K!4$6d+8YlQ9@BKyD$O0AjKc9rez zr+Rl6Wjb9{N)yh@y-1M7*z5h*3iW46G#)41M;dhZZ}@qse`+NJ!r%fvduB+{8AY-C zB)Pk93r6!49_XZQ*B~8+7s;|aPrBJ@@&5!#65~YESwbBr$?JPYeB?ZNk`o2H9|1d=%#Z<=nF&LJ{3F{tu_saVE@;t6@ zUL)|WD-ch5M->r=atXMtAp3VK=3abHbB?!2&`>GZ4r~(XxN7T%Y*;HYa@Dr~ z%)r*NQE1sRjg|OBE@!OCnEobRs;Y|dH@Sdv??rO8CQ0&|LjS?viF&0%`7(^L$KUd5 zYB`H1S4;7FQ;s*dbL(UBj8u$k{u@2Z<|NrGq)61zwo@qb@_HgxNdkQ@(oUn2N!>y! zw8Mz8OdwP*gnW^n5gV#s-)df^Z$p-8FbY#2ks)?8>9}y-CYr|N6vC52QJUCOV&X)C zr7h(?LDZ$CqV)G8#neW+pgXC?jmSq>n~B{v{xrEn zP@R)Xq^AX8_Ew}iI8$a|MMGRT8SPo5NBYpDWi2ev6?+R;d@TaVuni{H)Pp<=7Ygm1 zh1uBCDmJwiq!Qz2OPYn)Y3440v!^D+i}Ku1a`L1LnOl(|uSJ=;5v1!zu2n2$CQ($H z1X5(_A&kqD2HQj$ti&%Hcap3esn&N8_T)simoL@n36wM?kZEo#vf7<^D_@Mv9E1^t zkYnyG^2m#9>rjfVgQ+q1pw-n^=)W1MHg=>69vPr6&g#ie;756K_pvvqA>O& zK;jc?5lp7Nha9f2e}Ju!ak6Ye1xrt1Y^kUc!ilu9k@N0E+1Zif?n*;O0;NIzq)OZ} zEM18=^C8s4S6E(v#LPot=_0s0l4B!w8rYzU^rN6SiZnA@iL1;l_Lo^&qRI-UK=LBX z+?{gWa7y(eQS4EV5iPz-zF7N_W9vbpgNv|Zf0V9~M0JD->(i0o$j-@LJpwkRGTY}u?$40~|D%AkqCC>ORW!fwX8!3n)Is%_0 z%Zv@1HCevxYcw{jWbK-*|EYj!Ze+_UExuj-Iibm0nQC+8)XV`|n^P%_7JBL>wAUww z;m9zI9{q~@Z|xM_&u9MD7VZtL8`!t>~>RO!?bZ_`Rw%th&hSFrnFh{>cgoXc!rF29Mxs(Mc4 zG_#o0&ef<&E|zq1wy=rbl5&n0R*!d!U;mx}6{SJiSVSIMHXkfRxWlsb=)s4E@V zaEg-s1C;HbBgR13mQ539^2V4i69!nD$xKm>%qQa&)UqfP`C*2N$>MCr%Ssu`@1WmH z{e{0eRKlDTdLrWt4pXsbjv%9M`VwckRyoO3fr`=6QZD3Fb33VsixpLzE-YfUvXqM= zqZXC5l&1_3tUD%*=NyGvBF%(VD0M{+YPU1)-NDuBc8(V0F;m(gQm&qhxiy^57k`SB zoGmHlU~wrk$|m}=gvD8O39}W+vR^u;Fbjpju-MfqMQ4Ds8HbrF?qXc7xu2HDiTnZ% z7gTemsEtd?Iu`TuIasRXkgAJBw{~Go1Hx#A$ve=6LiecH(@%qe*qqVEF=dh9Q^0gV zIY$bbm@91Ieo{3j3(Ghz()zSQFiRUl5jiNGUVPCRqjJw78AE+Lx?@ym4U=P6#hI!> zW~%ZzR9?<8!RS*luR?h&sNh(xjIXL=Qq@g`cb{N0DonIj*nr3$iD9PBF_e0J zoXF~AzP5m=q6%ijo=M4pV>wFh<`;2CStfoKaHzD1!^PdSh6?*L>?K!6a1xeTxL=s6 z)kdfZFn5w@ z`*E^#reDV_Tlb`})Z@a&25Giv;=HnlQ{{y$78DB>RU9rVW4tJz%c>F{W|whMQO3!< zDhA8@QF#prE(axMA~ywhh5j(P+B4MZ^e`9O!Mx=0DOEL-Mb*p;-p2&*u_NSM+yr$uB_y=@(32thrKifC<6m(B2t3*Y(pQh3}^?{tL>t{*XqT%-w5id2;Cup39*w zV0Ul5!RbLqGIj}OmDUtpiX-6uB*lt$@+*5OIa$cUjrH`U`;oaXmMkAv)@?Yz_gglx ze4_@dH8=nBw~(teg_db-V2$Q3mTh{Apy(C!ckN@ee#t%%-F{wveVujBSz0$Dp+8Q6Cd_b)q1 z+&M7y{Wkgn=YhgAOt zmrzO^d6M?9Z7FL)rR_HyI9emuD;1^9D|AR^u#4G5?akr zLNAe~@no1qP#PLdh_xO`HYOD6SrBVwMXsYWU193&MNEaMm=SMnAssM@{+ML?Vk2lx zj-e?jn~~^pGTc)M)Ayz=AdM91c9HgulVKgQd(h-x%ctkSo2^n<9r!g2FBkaIZn2s4K=JwaZ(ViFmTOcuP9)O(fSrtK#A9Y?N( zIXT82Qs^9ob(l+DmnL6xozHjbV|1QyeksG=h&iuU9PVQHb# z1cT`kW~p+sq%_=tB0W=yp(BYlw!%s+$aZz4D>#Z0UC9X(Z(*_aB-wd07*)blbRL6| zF?1!QO6+pwF&?G4xvUo#k%SJy*jNPjKqO>rf<5@S!>UcRv&U=_pyDO6fjLGVCyys@!IlF2DW0&Dps#s z&yN~g`Qf{zM2BmzaA6-uPHS=D(3B)%Bb~v~)c7drRHR_=%LM{{E~V&aD^A?{kblhV z;NHTQufL@B`p4YYKc>W>h^{aH#?f6DNHxr+#Jq||%~onn#_(C1N7PQC_YS7gQCvtj z*@~x?H%@xqopSocKAE)ZutONPDr zmT1R`cRx*(<7uKr%NJjK~%m=#m>tjRmTVqtC516Op`|> z6`s*lx@J=DTtS{~D>Xrsqz(!1LvqoxJbB%NOj{eVX7xYLYyQ){ED+aPPV};#k%Ln z(7Gy;_ZVp|b(DMMN!?D&y0nxt=E8=@>z_ z3#4mbqVrCYwkqy^|?(Rfw;xH2EGQ(PWlr{gWsSZ&IXlL!|U6 zqMhf3InI+K3?gU$6y?&XV_lm_b}xB-eZF4=#oh^Y+T>7gT}`-G4_O)4sB*YL$=-QN z1gkWC_04WikzuzeGW9G9?b8(Q5!lPF5*JY> zTq93+h7=27)OKQ{>jJ*M3-b3#YWJL`bl-w3z-cKKofLQ#Npq06yT{P#EDgmcm2{^v z3d6@JjlU>G>bNl1GpH=DNU=CatlL@se^mWtd|YR?^@}>p?IccOW@bjowwRfjnHfr@ zDpg6P5;HT)mSxMbCCf6&%uFFel1{qQ-McrQ_uPHn`{945-1|Ly-w*3owAQocGv;{a z97C#FE5zkIWjk-a!AP}#g4m!&>ZRc}%Q)4ZnNpObPVK9H$5Z5q+SbdONH&+4yn4|&RnTUiL%(YZ4Xz24cq+;F zD4{3pJi2X{#Q6xW=2OH8e`3APk>DbV&+?))qVp(?F35Hsy~`Dft*(o`Jul8^`Ub@p zL`5q`j&(Vzg?97#9wCTL+NzOqmKf_jeb?4w}EyhnS68e{5ihkb1__qsq zcybo!FT5?1Py+j}0;V@AVtB>BGPwL4r5-J0>@1{jZzmZW>hPR(nAEk;rE>qqX}jMj z+kaQO=_{g4o=~*oXDmPb0Z(~eVSk2Nvolm#j#DZmYc)U5Sil9UVkSru`LBo`r84IV zC1EEhExSQZ#uTZZSIDuugWB{ikzw~F?kTFQkC1CS_U68hg$^Q3ZH|!cG)77EO;XfC z*r+3vDX&u;c8(J58A??b2ue6ls>|e?`{^m%CW%R%q`+m6)`JtWou|R%H09Q#LDH0GsSiC(k?JCaMN>p193gf3w|@?@D4ma!6DxC_zAvENS((>yDy@!6 zJW`k}rf7D2Mp4>*f))LwCl6DWa|vboY4S^Dk!6e%8QMpZ<1taAcV$sNB-80EI)`&q znNL!0a-K@FYm}LdGVCqt)}xdB$dlv+O;DUGI7FU8TXln^JXut+!z4S8QD}Puo$C!! z116+s_M>v{r`BSa+UfDk&rvM}v@Y-_8A0PDCyM1!o+3A{n~H)_atbHOavDG*<0#zE zptV1VBJvE8-UFzuE~2%*i{9!oty1`EO-_*Ic@kaHP3pWaNdY-YS>Yw3LXVJ@cv=+c zCDdM{6k8vq)_54D_eIjAv7|VTQZ#*cahqX^%txuQyg~KeJ5)zJCMR)}{OSL+DW^zD zmxZmnLPpV5aw5m57T#zquaV<)h3tguXdT8Wu{lMV<$0QHxDuv(0 z<|7n4oe_olfXbwwQTR`hroTd1>M`mIZ;&kfN)8*Q*zU-i|4wJQT_Qa4AxSP5C5BT} z*-G=1nCgvB(`S600hdQ;y)L5)o9M3vUTES@wN-xWL9wp6FEWhIzD*JJ|;&0O_ zW9e!0{e_2qf)xQ{#TB#zeDgwg;e)88rJlS1Rlfd4=3^bc#?9X z@0oJ_iSh#{#iosm6}W+Z z661eFl)w@E3|k2FJ3>U@Fo7mz*lsPrYPpUeS-_6QO}Ni1$6$ zSV`dY_hqDDMTfLXx9q`vSG5$0USj>)@d<0@z%Dh`tF#1}wBzS5=bTed%pW?4`>dVF zFPaJbrXJ^|71(X7MU#C2zp&}sx}ALU-@y=%!}xnk!I6$?Y1@ST>N32=Mk^vtVYadi zxA_$WeN!h}BmVQ6@%gHTsJTsKOQ#LaoFFiC2zQ50TpW7w4Lw0X!Z;3reVFYl#BQ|` ztrTsKoozVIttaTq4#H>l$YU>|Up14pqLPr6)g-%}B++x6aIaHhbK39>K7wadFJbYm z*zC~axTFe)WevnRjbgE;7Po~h1bo&iI5!aSX%+DcWFG6<39>m%NWd||g9orS)Dadm zfQOgNbzd9aySlMi(Sg%^!6k4K`+a>l&Z)&?ULAh3MR|QuM)(&+cq}O<(c}5*tVg?j&$^0X`dQ@LgGtziB7VpO@papd0Tm zI*ItQg5a-;asQ?ShvhXgCuvY=XYmP~N>Y(tK)dwD7DvxPge+X((#u=%`^v@aV-U3Q4T{iDL=b9e`gVqjU1Z%8lxzJsJj zkK=CCEIew&dSN|r`$QEUn8agFgJ9Hv|JM!p&1xcc@gyOOe&&lA|IB9}{!X;Xbu!IP zkZsk+5fK0rPS?m@a}M_pP80b4eOebErg!H_iltdJuNkFm&L~q~{YvD=KagjU&Z{eH zxqnk!+Wq%=c4{y8&n)5elV#-p=#BPO1MMfq7;8Dr)bJGDr=)NXwNP9X!I3s+hC9}g z7Pg$NYqqdr?OIkyy;`|;%|9&UN_k_+>LtwI_&Fd^0KLc6mU?e<O>Vj zLekzI6#JUUF>H{ST2ROssfL{-n+_6fE(=<=5bGAwOl84YmB=yOgxMG37u`UPJXc!P zQIgnBlubTq2TM>(0nOdpM)vd{sSU)6>@6nKq6k0xd_wI@h_J38++1u^P!FMgQeXl~ zD9r04(o(EPN+iq2AjSHgLZE-`Mc_6Ya(M`3$fnHtH7~ z2PLLT;v@RVv1vu+&`PRZ3z>%1lqdFyMX4m&BoBp=Mw(V3`TNQ!*jtBscN1CrJBgG+ z8fscjv~4+|mc^usI?tKDpQc$2Df_F)G^jxn)<#BTBQZwBWDC~W2J%{B%{Htd-=IY7 zO%cgvdcsWd1>aI!9i%{dR-*_%M5;l#6s2yW!}TP1=cA7AKq32QN#jjFSWnT;CY1Xc z$lYH<;=yWStZIq2t|r>DglKy`9u8R~CNz*>Q-Q*{5ml@dUE^A^eGZYnw@WbVCD*VQ zl|hB%tQ6$|vCW3fq?+^)YuZJmMK_^l&658DV#2G4^C>6MDxWOP5K*RjN@BZ6_7n~q z6bm+`X!q30Hhtp}!TO*SPK$D)tklHY>It+f6<(G}o@+@I{zRJ>Q=BkNm_s?)!F6QB zR}o{bCv$(Tj3+smzVVDNfNTAm zxOjXXojMyz3=(1jyS_9EYQlAO8+rP_hWBpVbOCp=QO(|_89 zf-)1XTwTVc{$<=AexILiOK?)e{!zeWvCVkR(Y4o6$-*s=1<<(q6pHQRqFk;MIP(cH zUw_LvlRwiTRz>UGOQw&GX1D1ZR&=A))ib2YW;DBqqslxEYf?Cup2fMO9Qsr_^wbs6 zT~)~8tZarl5J%+@fG zl|`#Qg+qDMUr?=PB&CZUWi4Y_#SE%)sUFbLUz$n3I)#DUY({c4^lEf;X>|;!3mDGT zG9s~!IUJF=`V}gUDzx)|}x>czXX9maQxRaSB9LuWU zkg`mU*D;Zq%PEQJn4D`kSNUe%$I_IHsq^U6W>8t1PJgGKK6MVQd1~4vmciU2PG;%k zI2FfpGdV7w4P|TT)D?-n%BH=hf?-vGoKM5qbTy+n3I;S8GM_w-D2q9olh1H=8YgoT z7*}L4Cb%3?RB&A4I+<0(aE_K9m70^)Rg9{1oX#lVP)-5ux7|Th%iJ=GIg>87B2!IEy_~aB&xwpoP6%d0*;yP>t0h0vn^thm zr!y~G=9s~Fmc*P|!gzWGhn1!DX!7aT=E(Cj4(XH}X)L8v=G;@9N3|x4k-U7yQgRt= zD5bPTNuw^C7U95nx|U(#MZaKnCR6gFN?|xJjy_$Y;3T;fY)547x7GG4Gqp<8%;EH_!sm&}+#A;%O5P9=hMneebg_@)<5sRYAp&PZOT|B2S2 zQ*$_1c;8uqzAl62f+XQYisUng$#j`_X7L-0y7P+Y&6Dwz5>JMnX5nUMt)31|I^)@y zoKMdd?#p@+p7c%gK%2>6UM7cSJEG3ys4A0VvJK02M0iu~SBbq%2lnoV$n?q~ O~ zm!ph2Kcr*(Zv=ewuLOSfJ>j1`rFiBjom<98cPOEJOF2DTCU~;upNXIK0<}pxKV9Cy zqv;wU7V_bVO*}m{lQYjgL;af(nLnjdIjEyOCYkQ(OIIfakr?GkS6v#18*Mn+y^*w- zRcu> zA9!-0Se&*kxzJiRlA=eIw5bHBI$SAm%nQ!wK@hSyJ#XplqBZdrKS4wJN{ zkYdL+itLK0bk5{*WD-{ktT@uXP?EEVp^Ql4jC6Q%|4S*$5d3M)uh>ZQDh!L`N3?|7P%xjB~WJWht9^0 zGP6JmEF8mu~~=q!WCmYA~a z;!)W|Q(zTJp;Z8d)}H8WozdDm%RUKcLre%|vuA^w*^py*u$+e9{ zXD*)KEJ2EUGMRSCC~TzAStL+yoIt6}Dc?LzwhYQ;tTN+dvTb7t2#%pbU60lzg&gZt zIZlqZj+Jx72reu4D}T`)~XYZZaQ-k*49H>xsaP644ZwhwB-I#s%4u~8bu))|6t zJXJ<=ZW%MrDu!G+zus6$sZkCJ>rAq3WM0!(u&{O}ToFQ{6qzEEcyy+5f`Q~uV$xWq zp|YHgQ^vDO5`1E*G@8yu(wlv91fTzdd#+%Z;GIg5x|STP1k~nPL{AFBXMuJQ?zy$|{j^({w5hqzU%f=!|4; z`;$nL`KFe{$v&1Sr@wDy6Hc1&rO4V#k4zarsCfhY&9oey~?iD=Bz zWs4-;E1bkC9XZoCOEHfp(_F9>%<_eU(->>4B4vv~D`RRc(&U(I^376(pDEPtOOf+r zl4+HS)*uF*vI4(w;h?=7YZ)hNLY$C^aM2=K@QEYUAxXHBN`V}&kvx^Ah{B3elI9?I z3bz^!QYkdemRw}Xxl+itn2sg+%{rUTxmx&`Yb|`Uk+Ga3M4856YM+IfPb?iZ+ZnFi zz_EHG&MTcb5+v)+wgbI=gW%Rr+58H6b`Ijd*M}zKA_mqU=Ju>-Bz*cEdQs)SUf97? zDPVUWyu-QvIgB0qnnN!aqy5DU<*QWmlREMfVrdIXW*{bqj1V6*Y8M7NEa>W3Nm9}> z)@|7Irhu(oweigzy8o+ytr1^2eSMwJS+{Tz8NnMV3D%RE8b!;ia57(H6aPa2v409e z`8t-%_jhrnb3bvL;yBT{gIiDDiI< z+5s}RiS5~~k^+`RxpNR#!(us|5Gvion<|YtJ+W$H#k=PwenYUP2N2ge<>@Vh1mhzWp4^9T!O0cM*Tfi)1M8i#x)kufIq7&c}qC z+<5aXb;Z6hIYw0BwnykU-xbR-ePe@jsCG@E+j@~?gA-Ir=g{rENJRR#Qm`J9vFnCd zt7~YtT^4D6L5@E!&!^CDxh>@;Bc?v+W+L?GMP?c1QNPLHgE7a(A7kVCxv35m!iw zm-B6ypkRxfZ^t!?WGwx*izs(pByI0ind@yi{vJh}uTi*d`i2;nQ0}~nN*;4}oF&QR zEbd;Dlq9^OaNR@HV#l+0Pods<7X8-go0!}{x&4uh{Xk+pD|YM*A;#lqGrkqOe1Z4_ z7g6oFjAHjSiSY*Nt&hms{+uk?KWFDPIqoXiyY7>^>!~!GD`*5~?atF;zb6Pda1wRQ z6H=V-61V%3>^DV{;d%16%lQQdrHom+>4uDROXhG}=6zA-cJ57FsRkF`oWF4M3Bmp- zK2d^8)P2$gW7WRt`$r0vvVZ>eOXLeS`CG4$EAdU^ShDdt6&o)L9v5YvvPt|!nlNA}dT5P3U#ORPws{2DR&^@0t0Wv_1FUsU^S?ocoFjGjBNSVwZ@6)jf?Zd{hMyw3=m)WM6I898Bt!D5*!39A z)`yalYr?%VvYiqf{&BC!!i^G>?4y*t7YZ(^doGi;Tjsv;97VBD33H#6*Mi-)`@&(t ze#==3c21z&b5_Qc+{ycD!7gpzIkKZ}5)pib2%|~zcT5SUSAo1=E?Nmz#hHLo$-Y{KRJghcbC!i z>;P?#qiE<5r5YDZM^F-@K4}c;)r_A}a&E$oa~IZ-ki3#r>o>Agl-Igd8(6#Y|NSxd z8rG~?%GOn%Fk6b4f5ZU+qn3u`Tq<8AlJZkMaYqXXxa*JmKX-EcsX13mEl85iGcvlB zYtLrz-L+Y~xbP*fuD;8?C-2ao?@IH$`%7Q8@Jz?kVTDu9k7DDYUIYczq6}r8mcvwAv`81PLT}SayYT>((Gw&_cah*)PEt@aok>?HFd0MRFe<8`hXRLY z%B&hFx17G8UoRT#ZYrm*s%lkERA4cB?T9psW)zMcWV*GBI%ucBe28At6Ey6fzJbGW z65ab{|8^Otl`{EU=g>jDWjAd`GL~&W3GSV!vjm^ecA0xQxlT3YyG>tdwv%$(UWuWP z%IT|l+BeFv_42%rGQnZ`YPKrJR?6*LX)0ijOh;EL6vnE z^;Z3olOYOhhegdE6TAnhGi{^Fs+nR3ncth6ehg3{^UU^Wp&{Xv%(a~mzZ&AT1Jqj8 zP-WasTl{&lgbzv1ZK5XnEy9mMs=ba;pD>2nu~G8fLcVhY`A!v-*cVYWZIf*3s1d9ytXjlXG|PNjD3RFp zrpJj-X(cDN?oB?m);$!fFUeemL$a?@@F=zJqu!)Pj%}sLwjP~*J*AFal$sAvV|;@4 z1BZm$?PP=wP?R`|&Z=INeghThCsF$KNbLQn9Ar+ywK|JtD&_NHIloRYEwvb;*melD zLp$Zs!r$oQZ?0CXvg)LG`cgV(!b8_?a$N-<+h&=2tKiZpJQjQ`L`lh3Y|%x7cOS)} z=dm@vfQ@$>`F`kCql{Bqw z;;_+k(!Y9zQq=A9+iQ4wUuwmz&p6kzo2xxDIrP0TssHMV>Sa8a3(M&XDMu6EA_}a5 z9N#c>c}|>YaS|K3m9)fztlP1Lg{!4_E!)8QRdfH_Latf0lAViI@%73zgd|wd;*&~6 zR03L2T^_H8$ow&f*gpp0{m+ebK3mM=Hfy3*r!v&NotsZS=Ee0-cyZ-zUfh_$^qn6c<(%E`>znVS_;POixkhk%>FM12?)A|t<@BU^G@Qr z{R!S%9$_={7QRwIy_em>;^WJ>eQ*}PcTeLi<2b(a66d#G5cuvD+~%DnaPe&{XI{p~ zrlvHExt?+=gT zHs>TE%S5frK7;LwQKC|xWBb(++@)CWT6zep1t;r1iw3s|GTH~ zdjAq`?@!?`V|jeqi~Y6}xUV}$+>8^XSv_F$uA?|C8pL+VQEX-%!{N(Og0`H&dAXeH zv(tFIeO|`7A#vQp>AidSzITI=Pp2?jc@{TOA>JQe!t{P=bk0(tqJ_zIf?Vf6L@@lhG4-y^8E`E#}!<^enj+wM>6LL zf;OMQZ1!<1zd1(Kic6%ddVtT@=W(BViJ%piaeeQs%w-bycP4QD@SM!;EMCF^uTRJD znsuDeMVATs>=Xx0N3qjLp1$nFQF0I=3S-~u5%w=0C1Uq^tUft|>-!h+eD4xIA6&s( z=IHm)Rh(v?CG@iiLY800XYoyp=Um3N;x(3Y1mgu~2-|lT>xCCE{rVzdqOv`|yovj} zH}QGzF3#`Xm$;td^VU;5KDdR;EQxLLX@chp$0TOgtVcK+PT?{05=P4&aNw(3xXrqU z`EX^Ri9h`IfwX`xFshO%ggw9E*(UCFe+4 za)E%4PY^bHnBl#uGyTNbR}}ojl5qfiSs;3 z8xQDUhBt6 z4}6G;`B@T-MS@%0!^`Vi!j^wW(d=JH`szng|L}^0FMh#$(=Qb6c_EhfXHxyoldSrp$%l71!a>pv6w`Co|n@?Qy`zK)eF z>@@G6hzt880YY-8Igd&6{26B{3~tfi;j8}_Vh@NlF}#AxMHbHb`}ofli9YwANSOJW zm{~80p7k@D^?xIC<+td(f5m70ZK7sBAbssmq;7tJyTNrlkNk$cho}UbD|k-d1;^$` zG8VibYtf&G5weBMeoDfuyA&;Zj&hAyO6wm;vwKPC(ig-p{EhUeUxi?kM0$Knkna;w z*Y}B9Bk_9ug5TD^q7tkXUq2z?tM7^X{DnOK8Re26DB1a1?EF3a7v3ZA>&N7V{27Ne z(q#5MLv8gl?(^@FeDG)d*NIg%xJ&$!>9~F)>WiNU|LTvjAYTerPe@z)BkH{`h+6WP zuy5|+6a12Z)_=lgsT9hvKjFOnF+LU#2(x-dhQoJ+tow=NE&oK&yl)Aa`;>^eg4OIl zp^-UP1pEu0;olOvKnj7u158idxmwzE_{*UBX{7h5gpGn<&1HYw$&GElu3lmHe%FN#^30C>Q-gisV1x8;N7?_v8wP0#^UXvM+vQ-O?#y z!eY>b?&fK~9ls15U@G5I6qs7Xfi&;;AJR0xn;xSotXBC`vF|j^D~IV@@;zzq|3scq z8ZWP{=8-6{=MO&Q+Tacz^eyJqw`VCOt@UIyl#%P4OtzbXd|w6C zj!_g=mZ0uxMQfWSRCmrAo6w$`78P(&&U( zqeFB>kH{i#N9m%V)G3>i(st5=)5uCy(~@0<)+2)?$!D^=iWsLNikLhvDX} zB*>Xje!_j1PEEOkTDY#G&asSi#~NI$58>#UPkDJHSNh`U6`mdu z3tT15C-9?x!S}8IB#hg!>a-8jhRrDmX8XxH4-7dmR{P+eTv2N|tXh zWZudhtXsK|4Qsyq?*g`b%^DVNSiyn~?~xp_nAhX3+!?baP7#M^mdMMjE@INUaEu*f zZ^kf3FS&8~%18WkWe&G4e#}!TV2?x|-hDKKf0Or1%u+f2!JnAgdW}#61tnXGII-aj zs;z^hZC9Oeiq!_e{n&~ES`8gEx zPLVse3;#7^1a0c%d{j57he{|*Pp2e4lIoUh%Ck#pOekWYx`Bf9B--L~XbCGL!>OFG zbvhE44&o^aEn)GbD7#S(Y%Hh8vy-;gW=fR)3`d7iU!tI?B9qc2A9QDmsH;w=D=vcO z#8}dNJFr;SMeyo=5|5R^0AY4sv zWG4FJ6jV0~$ zJRiCe{V7e$qB1s<_A)))Ik^-iDrkspAj+u@r!5sSw*kqcC_J$|vCBpX5+&`oQ%gtT zA({#W-^2utM8(qCluvbf2sOG`j_Vb)MTJtE7EeV&B~f;Dgsv_pc~KAP%T5xva+Ju` zy+o|6r6Qw|hW0GUaRj|l@l-V`sVj`2A=#4?H5xhvyVk^1I+Kdg%OZ?kTSlzd_{8~B zq|JFq+JdWuEYOqbTTRiSL8^0eX^u^!FQ=5Il4=H|K#!LzXw8hJA$l4=$&Guz*sfNh zm-dSiJMrcQB-8h*3}4nnqIngaRfANOt0_(or71Oz*2W5wa#GP(>NwidNPSWq^@&RK zajkf5Dh6Qa;0 z`!F(8OleIDHNvlk*lCWZFpw;GMkUe{lSo%g0$mZpnXtn+udn6f8JC&)?p4e;3h#Fe zkh!p%<}D}a-tr5{^Inm*{E=|*Hcc{z?tMcz?@Fa?e-%S3PVnrL-^h6TJ8~^@`1|Bm z?mw8msmBbSUigyRw?E|f$(h`0Hss8hABT&Ysmkpn|K2qcZhTMW)DQGt%HqUvV=jzt zA|-wWD>iOn!Rk#?z&5jP#s9b>>#}t#nY(2n3)Xx_LiAk5+72+-x`oEdF#0N=P?LRz zYUOQeHFvSPeTe)&yV84VCy#D^#rX?Md30(v&;IL&nt!|c30mVKTEF-+ZOe~|{pg^6 zT{j~O$4J|t78MaI-7`d#l{4Sx=1^}RPF8@Q5Ii2OSvS_7oTkR>80{V*)CERU8|*`? zzmL3*=TdYIO?nM!Md{>+1k>yjN@+kay%C9=5yjCOl1Y<$vUJJ}YCW=0I>$+Om2us~ z65EB)Vi|+Vwm{y`Wi&gByyh741B0mXOGF;+2B5CpqVjx`#P_>FaS+MOc{xk%~ z5bqUDh)WcC?r{{^L{VawLV;5X8pi;76T+!)Ng+E^&g&6It5+ONULl;1l*fGOoW&V* z$m@czaOuj?MA!$(I1;B_8dcMm)o~KC+eFgookx9&C^w0vE+9ze5krfwkTNupI(;I& z)xjM0wC1o|fGD*je4T5Fy;1X=xpLC_er2TEr*Jr5NZR$Y4DRp=$9n< zNMI;2hqk0N4h1Gt5tvGYhn8$B4Ouqj$czo6A;5>>oFsZuk|bxoGzUA;5a@~C*&Dr6G$r<_RM;q_N#x3yTGZBs zq@)(3?5(HKe;S`88a)zd^^nD$kipT63{js!H28$mBsf&KB#PQiB45;_(jgO_gDg@} zk$Ez1o~X#y8a;W6Q1ZhAsq=}Ev3#gb6YgrWIHgw8=jutDmtgCejnYV5hND81PaMUL ziJ}UVWV}cUML{-clc_3>KppNymroQ!&OyTI5E=?I=qXXt91=l`Ujzjq3B;{4 zR8)D1ZMMucjbc~9%ps70vxRp)53<9`|sXW&DAxG$s^jqRyi!@{5+-CDIU) zCJj$bjen%%IZ`+iNqwL+lK?H&D=tX^`wu?*K&)V@is2d;DxIu27pdlQLL|lZf#_`0 z(HjlXvvZ8{Ri{XGZ50ctr*GX+{`kf3q`dcxG{OEqCiZYgEaa^xGk9_BBW^yP$%Ub< zMDGaaT1`4V#XeNDrsCZiOX~4rQagefd+LBL-kI`92eP7fv1a2o7H?k4DydnkS8Vtn z1x&UDYuB)H#pfi)uA#fjiJs9t^tToeXHiAybl2FFO{BXT>%Tl8`1ed|9>;Ly-cnAV zS;yUxS^RM0eO_Lf!7tZ8M`6@S>+XN0)95Vj(zyng-s1ZF%jE1+lCe*cZ`VMDeF5L4 z42kS*Al0^k9I-~~-Pf@H^bw^N*Qhrt5!+Bnp^&Z2yqJdlO&s$ZBugN{vUTFzTj6KCg`KrZAz4RI!a}%VLU5Dp46tf48g^omi|&i#qac8Yr`9BEhzr zDxW?ol8=$(T8UEZWurkQ`Sw-hg*KC;KPvW1l$Bu_ddpgZt=fpP>_cPOBDS%PV#_u( zVrg=%r2BYD+}WMvx);*4w?c}@^d+Lp=yb0~q3k3%|1c$n)#O-ol4#XPglQ=%d$D{n zZkgCeowammi&`38+ffHsQecxVHbp^}gV-I*D(dX2P%B!|Xj>__)l*_pi&DmNoBpD) z#G|#67;Usv+i1{PsnEGpP~qK;&a{~_<1WFw51rW73^y(9KBee_Dy4u{qOdF_Ut(~# z(UV|bCk>*TBJ&;^4z^NlD(7%4l|rwhFuRBR$R^phghI1QQXEUj^e(3=u3HvOtHe-B zo^>_JVzClsyb_B>vBtGjn%1MWYb3+5i4=z>(o?(8WH!^juaY|9M50r*V4$ZeSU8Z{ zE6+t)nbb+F&BBES)HZ^#ZKL2>Nr_bvH5O8A%yLk4leLO4ARK9aw{U`u>ZCU6dZo zqu9F?Wro<-^kc#anZIcv0iI1nnsiWZc1ZHyiQY=^5YDLVi_p4@0*h^>Hlm(r=R(1; zh8CkLX_SIhax3+^33Qg_V#md*3TH#7=VsY~-lAFZ)`;4^2IaJ^wX2aLE@KNO`C@UE zlJ``x%ZVOUC}Sk|`G?31tVCl`kJ_wO#;BplLFOqO(OH#KXjLk4m62!L&)%iycyGqP z@yYac|6O}f`{YX_&~iGsm$PA73N57=o1MY`(|Z(rd7At=7xCUSLe183dRCt1w~t?u z^wC42?bG@HoI1#j={piVo5AZVZ}IZhmz?OcB;G!n(N;I2|Cor)_h(7J-%r5v404}& zbMEPGx|J!YO~sn~#;{?X0gJcIWX1aVteU$RZJOxcILg%}_la28kN2zz64y@AXx_)O&~YmF4HJFv8Zky!P;L4F zi;wT4GW$CfTc1<5>kj3+rYPNanex3i=`y~D*8e_PUUx}1zDntyEAswT5{=GDLA*wx z&pDI_j!8$DBG%{vx8d@QY+lU(MCv3PpP+ z&=`%8YBMRS<2lNGchT*BNa?ma{DDiJXJi$(DI3 z_TNSq_JkDIE9iGzr+v#4iuXMvY3Er=eIBB+xJiY)r`hupiM#F-v-c9J16R?@{EK(r zLc8~oY~Q1jc_y1Yka^yx(BKJqdtXqy`6miDK1Xf)1ig=pvwe!{9Zyi~d4c`zdt{r) zand|0_gp}C;5Y@wMU{Wen8&7n`D~aB-Z4jsJ=T? zdpwr7Z;*H34vG68khtdoC428vz5NoUy9N7$mq@m}OOWwxf?RG>6a0wIZTBS)!Udzd z&96U;-!SQ{==yMrU+~ zvfYoU*mj#V%PYi~jZql!43+6M@?>A-fy>11JA>BXD*5~5c*Cox_Fok2uaPU~4mX&j zC|Ee_c7Z&TGZgMTO`-8gR6CEN^>{$K(*ud;CgnS>;AVLduj#99?0HDxp1Xq6CDcZz z$u&Ji`T;qw{VmGfza`E14tn8A>y}%>xoafa+@K=(1=>Bgg+~ubH~60LZI4m!drrRa zO=)+E|B;~ z4T_hEoO-l@=SSvnf9xx_WM@Dfz~ZWEp#LRBTI) z*t@vMFe2>S$qb4_?c_;PYye4K&SGO+DKYmU&(Iwok<1b9G3fV1kiI{XG^0>SxHB2X zZbUixqfb+#ic2TT)QKYB2viPkE;Pic(8p&H;NU@qXCQj9HttDbBuB=QWbZ?=g*SP|0c2Uo7{2LvSOybs z=0&cVD;Xv>#G2X>W9~^=uyk#)Y|&m0qVU{lvI~>$8-^+*kz@xyO3ZvHI}l2`NjwUV zQ+27HV zbk6{kV$+l4xJ)w_;f=e*7eJhs#B1y-1;Fi3ciNUU)bab`9Y#>G>Vnk8{e zU$WRwENd7_cVBWM!wGly7S6g;wBMUlD<1-a!pJcXAorj@SqFS1Z^AuOUlI%hDezFy z7*|WC*vteQUvg#Nh(K3#p^>8cLP<0UA<#Bllw>rqPQG{wPb19SNj9AxM|f>&Mw;mX zvfW)M%giAwA)6pe7s;dK-pTLH_2Bh>u_T*&ptK1l!_1!)v9q!E8N?b&-c4mJGfSea zEr@e)B+1%??r>4jdjru*yjsU#l0AJ%4h=>TEc2NDwSciZT3K&OOD}3AZzZnbWE#7W zW#Wp;+KWWtT8w)!78}m+_WQr{(dXacXpkd(+E2W(Gu;je^tt(wx=WAON00D(=V!|2 zwlQda2%kM+l<(=Gcl{||NdZ&7^&E|?ZrSBobb?qNpw;cT7X`4|YsF+D?ngmrN_+owqjzo3^oJ?JO3qUCGkbTbQwR=MGk{ zU(co;o7u2!DLd9LAv0?$lHqUSA{m*Br86MlgQw zAa^^rasJTP|G1dp^|z#eeTLdx&)~=Z!ss_A#b-B4wbwJUWrXbIM{xRl`j%-oIb`^h zM|Q91md=svGD(P3b&cU`Oh0}^zSSdo{LiBcJ|f+@oo>5c&bW^A(07;yD3W^ zqO|lR*cJ46cr5C6P8>G7U9I9GTQd#3~t{GP7(o1@9HSJA@8LDYuysV1J(i+YdH*=<> zk8VX9d9gLr)SM!_=oqqpXYzC1sp0t7M|AksG-kwE3z~3cMyM)?IB}S$rdHDO`pNcfpwy*N zid!9(IoQaV)^$n`u- zna6P%Yf$V@6XnU>v{Vdns=SHuigLlJio2Rdu4=kbC6u929-<|Bgi5hgCFQ4yj_g30 zbci<11T_(2wH#Y%wr@upQ%-$nE5kLloG&WlVnGR)N*g72y$r4Ix{e`E)JQy4I&K##xn8W`d}TSqX+4xhH&HM7 zQt1wnRd$%@@@|4U`v@91N`dYuEn*GJT*}Gu%STt+$?4iYPD-v$m)CNou#79Zdd?LN zP!?KAefAOR^pmJmL*$km!?Uy(Ws~q%Gl@2STre7@NjQ)m*-4G|7^mg)(`7ZBE3RUy zs7mJ9z^Rgcs?$2?t`KEgI!JK$5N@TdWOSWGSviU>=P+uIdTL$eJ+Xl4`Z@+0x|t{y z9!c(|N-HIAHQXrZpf5=TK*AvEtO0aYCkfZKk~nkmqqucpoQi+X*~B zd_u?vuNW02SiRynYOfB8cMa3N@f<(S{1we~0W-_u`L*r*cw+`H?nwc={TX*}uVuW) zg4mTNjP>oJ=({vZf7DP@A4>CZI6aRXIrGE}MZ!8NlP#q9+OU1?4mNGy!ulQCq-O16 z#+nV&7Y19!`Ymf^`vW`H%_JjYK7)-LIdNzcO)5XCL(=I8Q&JKaMNMuImfzne?zaH6 zznL=eU@w>24Hz5vl;@A%;=60p1?*Ea<~jyH{5v2;|95s+zvQ6fPq?rA79Zo^*lP6yyG@^ByYM?~zPXII z`+eL4Ch*u^Pxgum@|M=1Sl&j`8Y#w0kFa&|aXf;4Ww+~VcDj5|$nr;o?|;U2tLGSm zy~b+Y6JkGkg!PV>xci^OVS5A7+uDd**k2o93 zoOb<;f&HJ@>-ihoZC2n;d|Ah0R*EmUB#(RIne(7_}mOaI6)-4=oPT*j60gr=Y z#B3}leq#a2Yb#MM?Ubf9hS@j6cy4)0;F2G4*z+@{7Jp;Wycg_T@tR=6zYw_M&$z$) z6QOUv7Df6TclUlgch(TUp_Kxx0`+$GrM zZ`fJ?6IM2VWrrNQ-0oXeJAIGyzF+a2{S3ziw{TuLNqFD|0=6~~w7Zzl?P`(*^Mnm` z_^<6`|GX|-4hTnFUva?U8QW~XWt-JKj4cH-n^%M!{2uSGp5guZb*y54!qiyS#KvA? zWo`-UYK2G5B&|J+|B?~>*WDo30Kwm7-VX$Q_#F}U zkJ;xtfQ4ZzksIsDSS1)OuSc<}lZ?gfczt~e)AhnVvtO`q{Ot`!yDbGTv)A~!{gr(y zpJOrqIkt1Z!*|_xs4RcS@yj1@`tmvUA3YOW_==nlU!tG?SJuA$XFhu8KUnkb|HG;e z{~s3p;di2T{+Y^k{};|PUg7oLFZjHDg@%PK444fOD5|gAL_yo?lf3xD-%-E+6qQ9B z&u>lN7jg#Q-+YH}Z?59`)GY3t*~HMW8$%Cv)Ai7tzFYnb-w5XLHD`Kn#L#!uiHWn0 zOpV);7QLA@OSiCY!#>umU(1&5|MfSp=|AExk;lbrwy<)^3b8&*xO8SG<73-7f25a8 z=XNwUS4eRiC){})`*VLI=T!{lzZx=mYX|p7Jh*jkJ@-X{J-_}oKi~QTT2n1!U;Q(a zvrnU({)4t*4TGDHkot8xkxQ}(UDQa%{KIq|JVx}QJnR?b+?Mwczk3+3E!}u-KZM8HZrm3%;Xc0s-%b6vu5ZPCcLNF19Xwao zi}jimX+448H-mUAI8ON2!$d9YAa+g%zKaG3nLp0HMPoQDn!;|u1)}Dk!%Y^6h3PPx zH`k%_xj?9pBfz7F*!UqFm!}Z4xQw6$^#spvA$V>#esd4uEn_>(JBjVm)9hWLj>GN_ayAVRyt@ZY+C}Wv*5kFflBgvO1kSA?WKk!433K` z37XqLk`%PC+3m!Na&?t)9aqhH z!e_Vr;R=19?*cL>`h!x+r%#bNnLyqAq)x}q6-!$G`cu>|cA9CwLwSzeAd;|!iA zr35T0AaY(Av9qNBebq|T*ZnfDV>m4s$6~<*dzW-zxVD*t>sxTycLbNM19-3LB6Zt8 z@{{Rsk~r6+xGpRuYEdm*#=3NzgYFf0&DRqwJPMsxN7&p(c@$305suG2 zhVy(Wm|uy46zoFhH{-FU1J^CRm@k%Mx37nUpOI3}wqWuGeIzpf%~P9q_6 z+6a-DB4$gm|5}dwrUqvzY(6XM30hc7gm$SR0m(cS!{f@yqBuVoGbrQj(Guv19827BAh#>NSg4v1%&iD+~R(;f34bWshB>uk#Rr(}((Z?w_>!#Us zkT$#H)H;n(YB@rY-&sn`hsEO`rO@gqdfQ`UyBwp&e1uYqQz#uKNpm?%mg6~!tj+G2<4W;6j`4_A8oJs$BGawLsWdx9nZ+53ETJMI|%Hlkw=F?X(9VNzDEMEF`8cdGMeq(RGFx!0a47IW-Yh`iP$UMqLH5Hhip}=NR z&UFf{omg;(GgL|ZEk@IGJ58=&qK&^nqumuc4W=aalca{9p~80J4F)RP^HiBm2}V*l ztj|+yHAcPZ2^wX-Rc5CJo5?qiTEQnRM6e6LDDMqYYClY&!w{vi7&Z2XD6l&uxjIaq z%`o|vCnP5)X*N1ZjoCPb){<`m*dnWUqfd$O1`7mbep3zdY(ZmJZW@0 zMZfVRdec$DU5~%H;%SBXIBol7K7y~tZbC3Vg3j(JdG;q|yi-(~j?pMNDYiIFwdW)y z)-o^q^JE2FqgZmNkzCZ8%KKKQ1;5jhGs%gy#3P%|O6G1g&8111`?wrCE?hWEk=qrC z?SkNVjy~6`lHU;`9sAHp9_x)KC==`}1m_CLXSrZgY&{*z7zMUtG?|{HbN?ufk~h80 zaf*G(gJ!dHXS$Qox?v zTEll&XYk{-54bb2nLFc)xcbnBlxr#E{hCknNEe5DN9jB}PREd}pRS|iR5{Ypw}sCB z4a6lcV(q%ktXOHlx|Qo#y=vKi7qF#k*YNe4oh)9qmGGdI4D@WFy?+(8^YV-qO!YQSYlA5PNcqt@2ovZaU6 z)zY08ccY#^M9!jKLRLwac0NVCBqV-O12OVCYMH2jm2E_=u0a!ehS>GhB(16-bwxRm z>q_wz$)B^hl$7OFM6IbMcwN0b))Bw5imau@BEL1HuFNN9Wi!epZNx3CMB{&ku!FrM zEa@au&K6+B84VO>W33IaVu{vqquDJLDJ$@RP#FV6=fK;qEF7>Mf&0{GDTTNtd^W?Xq36> ziCCM9r=Q^JIzpBxg_MP2qnCCNDcwIx)Lhic4#BpA=vA$vGMdR+)I^RbrDVY>d~Fj! z>l+DM+aT6kRLRZ`RB_kvlYH2Sg0tJuD_rQ5^LC=M93|6ikk}Qqgh{Ro7EWK7ub$Xd zb;M0?!mWScHAM8X5#i1Wna@cgmL8G058<^=^1m{NNV8I6!(^OgI*Fl5teM~;@ntRO zmN`z(tzG!iA{=UzJk*f7ypoJ%WpB8Uwx|}5jg16KuCrx6DsdDkvX5$E4a$YpvX9Jh zS-W8WkK^N3)eb1 zUkLv25xwh2sa-RM`Mh-M_FbiP*+uTo{sqOyPsp}T;{LUb{BT|B!>td*+0EzFOVkQ#!}X8T*<1n>shyYC2Lmw z&o8pBUCE;LizV3khL=#7O_6AS0+seLsbaOt4oXMaC!ZNf*EKy)=gu=!?mtfZPU*IL&dBQv zB-u=%7B!_Zo21NO`sSaLR7JaCentUClpou+L6QM$LEKq=iYS2}Tl$tm)s3l~VI z(iw?@I&hwn{pTq+yg>cFv*b^IWx(tNc}B;n-+P>p>m-$^6ffVSbWek?oDC52@HEU0L?iN(_3L zd!@u(VK{yBh;ccmbYdgH*=T}DQKYJzhm^^CnW7l-4_=|%;PRUobkeoeqCB;t1d0z# zO6=!kEWtulX1*L>E4Y;yjiQhyktM|-Nqq~AlZ+$kLL;9~?^h)lmdUXtqSTspi&``h z1#?gogo&spW41Wuo?~_fE9sR8NxT{D5~5Cl-mRVmv1?TzG@QG?$7+O>2a+Dp9vOc`TB16dxQ% zX>^RbxI3iyPDma`sWcpyxl9PwqM$|nD$UQ4Cp;>j{z;K+D&tX9QCCQbo+4%Xegg(4 zY1=tTso@kV6H$$lx9P3$zc!t01^=~aB!|ULXHi={!eq~L%p69jD{!Z`d?^DZ8@O9y z&Z#6bGEKsyp-m9@(PbJI*VDa2?7U4HWqVp^-f)4}tNxYDPoI)yoyFsuyLf&@6xj5Q zJ6PpVDsNx zQhM$3?W|e;Kkf^;QYzZ!RZCd4;xj@+_fX)Wr6z3$J>R;a`zf97OG=L2b|&*@1tX7Q zIA3iwb^Fcl3-w|H z3dF9IS)8E3^tf1#BUFmTF1G2T##-XD9UpWYr<6?_S zrJ(67u8`^=Ho$I>Lfc-k6$6ymid8omq{ZZz*qRe$i>1j-xQ54px>Z8P-Nt07J z9g;;VR%+V*$s*S$T_PrXge2Eqv58XjrLdM*O0kfwP8Mc^*u_d&+*Pu_-fmcqkwRp0 zi7cDbBu7cXajTbHA0ppJiczvON;yt%epGDLfW#~I&t!ly+je>W4_=m84N+)+j2z)e zj@T)U-5GM-FOcpxNu#xJ&Z?cHrmF<_4$&mIXE_Uoa;|cDUS>We=MoNB2tTA5RY}hE zf@y<{U21lOBFCdtyGyKQ-P9X(GaP%F+`!|cxb%p%l$cB|Qe|>O@*sBF>Lx{|SH)7E zq}8SyJPoef3LFp)V-Ta8)H~r@Xv80kyttiz}v5+Ox4Mz%U^|UaMd}*!DqOg@_ z6*@s&;tedV&*Nz;%|z43U~vXFjyUji%93L_N}~7o;Pmx1T;F?0+xj7zH`fw!Fijd( z2i@zB^ZXA#pnB^ElvZjUT;IvF>(jSXo59@+U-8|=x0t%Sgq&aO2>YjK4jt0c<)@%L zE}7=YG)8q}T%9Q7(g|B}c_t(!EM?7x1uR)ThqWs=vS!7$|1MywR;^>(60wjgKgBa{ zF9lwCv}ZU{@T(s-f0`uqaxwbbp*Z|&HQhfP;8LF@(YrD@+2G1=S3Z!SM1kFWi&xiX z@apadC~Pw6`oljlzTzqgRt3_1b@VT9rE_161aXgNrSTxT? zjd<-HAjxPvYi!jhKafgo;hI+Sx*wydV9w*wruE@txB_$X6YB&+f!~u1NYt z!vriH!E5CS+}Db=4ZlO^ydI(!_hG(y0Q-%@vi~5_o4T+Q$saPWkHF9M@~9?oUOfR{ zP5%+U{mma^;}=NWVp(0k8Y08}?aom>=;5%E6`$BB3 z#1!&%Gk$a036`?YIi8sQ6D@mbJ}`_fL_#co<{Xs2XaQHo6s5gX^ex1aED z+6ezjtnaK&LS`SA=OYAv(TU&OCb9HQMC_C?#h!-F9wcPrVG`yH;NUvitnspJm(G(zUeffn@`~~zl*RUwL0eAZ{1NCSUEuGre5Jv8J-z34|}nQUv^4A1@=!&CQFB!yXa}R+sN3XBD2%o-D z&1@|mv#ao%C7k=Rm837qNtYOv%LYhYG)TCdCv;9XQ470e4vnO5J3{zA!DLGtshh?6 zORl27Xd~tuv8;3Y@%ct#SbrL;9V5)2*~!W|Vm-q%D2hqqL}?BeTCzBqe2l1#lh}PA zn7#jsy2a;c*>)D!En=nT_io$Omh`VZn+;goc@;H)vwWBblLxF=W3yO!*Zn6wkI{_`fq~|7joB)gh$q)G<5~ z$Mbt1^Zl*2cyQ|@o?rX)&G*0x%ySs}@HfsaJ588LDCtHajO`qx`LmOx&$x%n$2Ulx zHOS#DM{)hQ0Q1ksIQaHayk1uyHDf4 zXp+#a4@o!wvn=4BaG&{t1kYbE_~bku2VWCu{BJ~V|99!acZm&qN_tQm>3b?s?ruP} zw-D97e3W||NZEXvsAaG4{`x-&T=6^BA3Y}7;ZGRNet_w!hr}5C5xbdBNm~0eNgKbX zI_wTfCQ336WTQ4Hpx~f_g1x0A&AW!j+&>er;%DNv{eb)2r?}X@#JlS6n0xN=JPXttA4;}{Y3&t z|8J~6c}d*CUvSv)6tC^y;kf!X`Cczk*<2uHN1x1Xh|HaRX!bUu-qIya?y_+4wYZkw ziQoH}eIGu>;EQX!#`p9#XX!>J|NQeM|Q5bL2lx|Vz>1X!8=~zw(1!g_Zy@c6_aJ4 zcykjDg|Qa(0WHPr#-xZn!+*zX;!S>+;`beff^E3*?`)WJoA`*o;oTOjuVA7RMfXHl+-}$A+dV7Ep)imp~xGgs(BK9Kq<;o=Si+PBv!DBdhZ4_K_xVo z6>_vBg$qR`oKn^?p)TjVvYhJ)VtZT9lG`;zgGU{;J{=S%caxo1LFhm~Nhid5xVKXj zc7n99QYv&>2I@07UKPt&St`S2T82x?xuB_|Ge|{y#bNRinyK|_r6OFcUg9A#6kR0T zJxzF8DXP#0@`I|#SC(;R`Zo3jMT`{aI98%$v_QpVK_#7uMf7O~DT(V5h1^YL&;SZe z5vtg93X0mv&p3+0?>MCaCrC)DrMIqy@%$zxwGEur6>_#vuqw*sNKQVz*{!ss9-$zh zjdIUMDyvVC7F$c2zK3%Ckj$%<62Dezf~E87i#Sq}%ebzB)5>}-<`;2Awxgk~RHq%M zK{tfXua3MxnM3svBD*`u3)9o6A0;ompX^APYgh@bg?a|dQyHm@=R{c=W5rq~it{+B zP|+M9cD8pCMSU~6kWQ4@g#?YaP&R%4&yrEHQd-H5Z6hbLf~pKXM~aFh=LJj_mU6nF zoHJSlQw4gu<$03g33>LVBo8>L59~l!hbHtcqJn z3vEOfA$j#^p(l8V!(wpI#kZPPw`qe<;G`6#0RJGbYgyr=8;7jnIa!C39I9cEJ6Z%U8Z9fEX zNgYbg0Uw6XFXP82Gx+DpcX)F9ZSFmo{vOzeR2nNe`__MQ?vw8cU4Mw=EvGoP<~#ak zU7~H{S?SJ`v=|=eby`1%vz%!t+)0yq2hFK&BpUjV@8(2~&3V^A8UpfL49XXL^O zj})5Yv&cwFAjim=I44gEWFhC8x>6dEMtVvPewL1;*}95q@DPvgNrG{pbSED)hEC+0 zI1y&&M7)h7{oa9Ov?P(>W=@QqCkj;skpV#{TtcYNsV2Z7h*XOJQC{Kr7)6m~q@r-2 zFG-dz6d3xFWgLc=We6p9nN(MF5#<>tu?9(T$Rr~%oh&mC(oVDzt<53R(w|i8V7#rp z@GuJ_#Y{fm?@z9o6H4O%)Vrf-wvxG)WD=GZLD^1UD$L@EoBm0MoeL2)N|dct6zvNm z(KLk+(^Ne7O6(?CWFJV7^ZJu!X-k@!3w0(c8qH*Xk1XP?BtGL{lvY79jxXuaiRj0= zhD_Md?hSu|M(CHw7_GKyBuX`r!1>r9y=_0Vt!R(B@9x zG{Z}pqZg@}$%H2P660w{o3?-in?T8bGRfv?Vtr#sJD5)9fmq>-KPqE8)FvLNHc2ct zg(P=W5fc?iy0IUL5z)jKB%m;Jq(-G8+B;0tPXtMJ@@QyIhK0G{WhwDEkYnM9;(!;~ zhJw4b4;2bE>eM`f?R|)LwI{VYfT6pn7mgltPfwLg{!n-Ec8 z3FPn2Aa_?H6$hdzOiCiHG*(oh@X<7g0?S}xt=tHRi=b*!Ya4CokYk!;%x)CF5 zBgZ^maPuHjFjpDGQ@+cO2Dea>JtYq=;bcp$6lT68+PdTKVMo=W5@IsLNuK7mksm6{ zaEcw`WUa|MF$*HoLe_xfE5{@bZ<8dfOagGQ@kOompeDzO!8~IwHpg>1t4^@(B**Y7 zxf{NtZN(8v=C|Xyu8D?iw`f~>p2wg6M9v3KP*}$C3dU^go5-AE_n6@e1C4=kc1pjh#ZQf3zWOZF^{IUQBxOH*8;T#LDH?teL(u(b`4- zvw*E-`RbkQnY{o-5qP6>=Wr^#ueyITIpHR!^t&MRIeDL!li*OS6_b0 zm9Cnq65_?tD_yb4>mrHkr>HQwPQF;a{9Tje84QrSe}I-P<2*4GU?Oh3`EORL(Gel# zQQ{6rrM5gr^Yop#z0Q##@>MJLPP222+})Q%>fc4T{T5YQ?@%X}Aobu=GWT3&%={{` z$`hzeN@PJ*6JsIL-gJz?kn7ZVkBWWi6Ki*dygeejx1Oh9&o!zy-bJ(fF_oJiQ?=y* z!6p~zF}_53<_#$pbu{emCfBeB)q!@pd?rY)yg_>S397fWQM+q^h+St1+5H;z-apIS z?ow!Q2JN2n=yzPBW&dRqiYXjJn$hp+rF!cjDOkNUxgABNnIb;_vc%Jc((n)o1|!7o zxPW@sGfK9+pnTJh6mI{Pg58&Clg7}xbDXR_XHe{%65K9HM;w0hkBx@-W0Y0*q24cD ze8+Xtx8KLX@B--u7iAHPtuuT=zQK7acATSe%XK;opP}7+Q3~vIoX61a9U{*BIxU_z z(1aX8;npdOU>L>T%eWa%5Mw+lW1l8(pWwb*uoA^R{UdXQ*?Ca`Hz^K2PWGNYDz}e{ ztviCo_5_tl_bB$6LLrL0&|nPZj#I>JyCWF=RmOOVe%~32r+-MkXZofSm&x(HNLkuN zsx}Uy-z)oC42vc2qCWaO4c-^X5x(RLZkoNrq;5X;<_F)p=^yiN{g(30&#Bz@t#IKI z70xG#EWU!u@EAoqu8<%WE^U7g9bx^{#~vZurdKTW2%3H8$=Wa9LmGG8pepD#*$I=R2!DzNr^3A*6j=9)dOJh2?iNWlUFZz@#0HNN zu;(i2yMH8q&tt)RO5(hMcI$oWBya5&S4cM;5^H))I5R4Re?*$)af-t)Q=z^`w(l7F zU7~WfoS=CBB=vST$k}^bI6o@uYLqnNAt~Hl7#tHG zA6v$`tDi7-bve3fX%1)hqSB=j8&gcUz8#OO1VZwnP*!=+-RaIi`wr3*=CWnoPFAfn zXN8EZ73&uKcL7_vb}b9n?O^vei^+{fP6q z3-sSM=DRD4d3xs$Ji5GyhgZMk@y!qT_VOQiHT_c}3kAa;{m#vm*Gbr>M6)5E(*jo4 ztczs4d-Kiri`pClc@V7^!YhfB{S)`-$lUY*n@^-bMo)^&R&rXE$;td!u{ADY+dP<3 zi0W&Np{Fg9Yk5I$w&O*CoGS|DR80s2b*^Id+(q7pGp5L;(ociZx&)1BJ*6fclo|D- zGiyg{uVYMK$BCva4i|ZITp!9vemvv)a3;!wI9ubzXo(r4<#vqd1L+Xer?$$Y$h?6v z6R{i?b(B~Zqi{%JFhkAx&I0<&{phR>W4s`Osr*o`%b0!b;T*0G=AtT?;qn+t@={51 z%qHKmfeOod3e5^Av@WH=vaQEFCAg>i$#R!^Q)HXWiOujXbkSQO9E!ccBz`Eo($&yiAZ#_Iz(+T_M< zr8ifVi5%DHiHpoeZ!I?2xQPw-dsnKRk5g%a@4lDRM%y2XefY( z3V+UOA{i_TWJ2%CNUbZ!YJ8X|@sgOFIaL@%XF>uhhj_HMg0n@XaG;SYlVWPDqA5}5 zP<|?dD>9eKf+$WFhsiPC3^s%@-0Up*^5%;MqF#4JUn5=c?dVv+A#lnvw4JGy}YK-&Ab;y_E zR3w`otwS#D&Iz0<%VVe|K{y}Eg~C{d3IiFc2xPoElyemkoRNHv>cXXXWTOquMP(tX z+@cw+c_|v}a*AzBsW2D5HczaLAicZ7}1K*OP@| zVhbaM=l+Zo`AVLBI9BW;c*bCDRK;5}UNZB&pRqI*x z_`dg46xSq!A{>0Sh0}IWPv7cxzWeMMdGGxo4NS%3TRY$U2lDyNx43&@CJ)Yi!PD_Y zT<>w9>zpCIRRv_H7g2Hf7`Z1(Xu8}@)2NcMlkuEAxt;W+FW9hREz6eJh~V17@^zxX zrhf;yVznr+b*osoV;P&~d_`vb0!FWHW@^fefyPWqat_m)Eb1fn7PZZ1u>Q+ERDTTS zyIec|Bk~)&t);*pxCIK62n&XhF#>!!m8dkC;;`6 z?=wV7z>p}j4$60Tpxxg=l2IEPuR)5u`pGpIM7M8=VHy2xN^ zVsi;KP9fg0fl|Mtq?xpf1?od7$7!Sk=bI1F<#$;WK)-=#@=g zA8e&)`ZCl$$I0B^F1BTW9IFDFW0PnMccUZRN49Y4LjwgTh2*&kSHV~lcSzK9JG#9C zV!=gi8PuaNuaVpyN42jVji_LydAZ=Dr!+E#O38msbO4PJ;k1UNlJ8K-E>poeeUvi8 zVl)Q_DBW9*-nfitQ6UW>XJuZZq(x2T8I@AytD!a`p3;~g$`Zn4;~tY=kN9DzHc zDGEA_%B2PE0V$AM4@>UaM2*#u6(lOt^_VC{;gVT5uA)xTB|m)u`P7ET(hwd&T||V$ zmPC_#7NyP-v)HGColO);ezL6ENwH`^XWYoJ$A~DvRFy)B8Dj!I&ex1thzrlWQvrSQc`vM>?k8U83OUaC)8^^YHc}zMc4- zbGP5;;r$sryfcHlPd_AT`a0W2|3<&z3*45J(YSJou{l4`{FM~2_x_CQ%zL65PH`?y zl3^E5kaIB!2TLg3Gsd1zoA5O)rpP{7tf-nABdv6D4S5zSPDRUt%xNSlDW7=f6!JY) zB7c=Ay_D#^)Rb8&K_-xR84@s!5KQJfe>NmPuGH$xVu8of`BkVZOs zb~WMd(Pa2!$~YP5+?C|JDbcy+$T&Gv9L%Qbpi)$mimd1?sv~2i=tR>dB(9ALr#3o< z?!-c>6jj6rr;3V6q18%3nW!0!j~b0CO5$)p0(DXG z6vd>{6EB6|M@6DdBGWgEZE!B2);gC$SJ}KoDM?I4R!T~&)RNa!a-`6d#3xIGils&t zcxk*Ws@Qm%BhqP%&3|)K;22SwdG1;Y?eoa>Q<37EO@XKewd5t&vQSi@6gk1WI4Va9 za}u4wv2O}%eRvdgvN#I@WW01)oMI7DtkNm)C_>?=BF8xot)~u^vpg4^@~l;4xTH~* zltpV)6n%kV^axJ15usEhCXgGDMoDrl^-1+;Ofo37%NI4Re)GM>BA-HvU1W~tIij{y zWIJV$m8hg4CPQM1r#(21uE0d$L^{e4B`FCt6z8^}bV)~NlSPw5I#u?f{#>Lm*vXs@ z=AyC5MQ5IjE>Id)RF=daFHIs&a8DM@63|5@q6y8WF{^<*Zw0wFsZ_Y=$a73b5vZWV zB^#Y(rtmipy`_dQ&ukJRRl>(iDuqY&QoLHiLWP&1bS0$HlBS|0QH9DZf(D0d3T2%9 z>6{7A^)7j$+^2adSUYG)l^oQBrPC(ZwohMeS2$D^7bASi;fL|oFiBYSlxmadJH?UUo9By9HxEwDPDc@0?m6jP?;z3 z^x6RtI3K*Z7TEVv#HR0FcB3YkqRlFf580FWZvi;`O-K3tQes{gk^UD~CSER~Atzqe za~+vpzUR$6T&mx97)lb58Xy<=*|bxiwwH9(^QTR6+Opf1+pc zI6YQfT-tb?8;kyhx=&t_@%E3HeEI{H^X|}>{ep;qLK0jxq}%DKbUGq7=rozO)iiq6 zkmpxJwG@eIa`m~EawDvY(U=md!gHt$QBadtjxJG+y19-lO(A)~xhSNFWci8Z3l@bE zRf$f>UFMoknS+)pA!e*kC|0l`ppue&IVNA`lO+v9SxSaVkD|VbBt<@1 zvLGrw)fBnsp-!kIK3odBe<9tDdfLs!-a4ttmSWeKAQmT96j)Loc~P>zSmlCPHM*uI z(({T)iq(=Cq$1B(NpWxi1tA4!{nV6ub@!LpNQZq*yiw zmr$QwgECc1R*se=ora)V4Y3_n6ejA?`{$A3CyUxufiAY3S}Bkvo*Js9k#vf!ZL`pe zWs|r=WWLf2bMs{3WeNW(YDiEjQPSBVmD#?E%N__(v5rU_TQ7>3%gNlibD-o_2(BNM| zjbL5olt+n!k{03m;m~SI1Jz_m<5ft$;w2t^aT&G3_na7cKPczTjXpHebefW+A;b#z zWWMEr8cKyj^^&I=-$udc9Im!w*m!gj=afZ;T{TG#opc7YQN3jXm$$Fr{`O-6-}xiQ zw>+U?-37w;_o7~PjF#D_dGYR#Xy3j{o@E@*uOHyYs~_>|mME~hGno4JEhZ1UlCV0S zL;ahH`db{4f39MnI*Ynf!kZTXjDNR|!dM#-7?S%S4>oVuz^V_A;v#nAoh1}Dt_L} z)a_+l9$3Zr;jj4PmACnM>K*=h>Qh?xs_FgcS1xV8gKC$MZc78>tA;tX(}+ke1r{@;k${+yImQv|GdOw^Z;$o~2PwX?rtZ0YZ) z4S&GYw9*sy@ToUdxY=AFA>{4Z~B&TPl=fM0H@ja3EnIFZ+?c8 z{~rnS`bBX06-%>ku}FG_W$bG_!+*zM+ha_Y-otUpW0DSvec$*iDYKuE`PlMi5rOqO&{H#4~HHni(0F}`;1FtL1&_3k^E zZF`8$%E#C)dV;^s3;YiKg@^@zikv?Q{q}dfzx@Tb#qY8FS$PcDKXE_!S6r%S6tP<-(HUBbV=Pr~6hglheP$Iq`tr#A%7e}(4{@3H?ubpP%(j=TTB z(Ms$xc#du8pKP~%j;jA((GL6_JJ&zZUMUS$^bXtpjyS`ABkbqj2>jsjT^_j}Zw9QUSc)euwvhw`ecEkI|7|h)MciXnMWpM9gn&GP#FAzHWUd*&_Fx%B$J-fN zdzQa^`WspEZlTZ)=JB<|Jiq!0&xNHuxb-oQZqDaUnH|LklR4SChpLx?7M}|9stzRo zoHU15u1q~YN<+3G$;MX1I2y8L<0f|QS7FcA1E}m({TGE){vB-P#?`D4ez|J(cS2z{ z(BF8Np8DgoW`@%!P`l1KbME98MScLNP{n#!Z#o*^LjxHHO zYvVX^mXFa`I7+6$BSN-b#^SRP96uSu`SWSqzBnzMT^>Ih$L7m%4lW$vunemuleqpc z&8F1@Y~DG=hLwE;*+>$~Tt0^{5qab~hF?x#^XWMDpG?dhCTjP|EQX(qqxsD+hrjFO zz=C#cei+94r&+WY4RCz*6nmHUW4h)vL8~tkx9}|WQg}?ioy768DLg+uh4ZJUvHS7@ zR$rdO@{==|d^v^s_kC!6C-*IzL1X?fhO1}M`f&vP?}qT+bPkPg22t2P!eHeo(P>;{ zjN|>u6fU1m;rzucb{`F6@#Q4PzZ&NFXI+^8bP|jCqatI7y$gC+yK+SQeFwEg6BsW& zNrn9@%)cGS>=4wPoXMTi(g0O`{wYznkqi zkH(5w^go*<*7y-ttIlHb=~>J_y(so54^om*#Xx*197!%jZg+ zX0iNMV)Nx7I^U0={!PzZPFgITLUm<7TQ-bvO!DBE@>d^6ucGzE0AWUtF_9RW3GH(J z=;GXP{8()J>cd=Yby|KJ`;{{1 zcVjrNID?hszKQtSOyX_&^|-`sl!ULZ;kn>fewg=9zWC-Uw(5-psh1L?T1KBB&jAym zcIp9S>&8%^R?o<>W-?Zm5o6av)}d;KH_r0MH@}fR@1evrj0e~D^X$flJQMVF|K?4qTEdpi z%h|kc?Z5sDxnB7-ip?AOS>V~a)tia(-9&%QVMaRD=q)TF#j21Zn|88nx=FDc#qRQB zto~iN@ZX#`bAJ<$J2&uR8-gzj`xVo}P3UnUAm7t&0#NYQGM?t4}WSUaIUw}kSYp;Y%WZJMLhO2seLJ59Ms zt3cmYGD1ek@$92QzlnOGsBPB$)VU5(Y}rhSWfNsqZIqg{P-fgpsd0x;wN82L7V6kT zinVfd6YbDRu}P;u?+$A8+bA(=p-A4V_8le5wv{~lE}?53LWjC2v{2sLB>-VSp1)95 zx!Y%lK>i*IjRz<)8Ib$Ch5m?5GH0p)hYI z*REG&^vN8(Gl2iL8Snv%b057mE*yC4Wj}luP`TF{_kZDbpXM)bI(`tA6F<5B`Hs7T&}~ay-VU zk}Ta;PFj^PWt%N}*U$YX)b;yjikDT;r#p@5u4Iai)ziMcm)GCDAnk)EB;7`>&@GZC=lcohwhTH^H7iKg zs3cvjifElGVzny;6;@Gxu!`ctmDKOAq(mNzRf@?!Qb^{p0-7C%$v0?5p;kfK@hZ|) zt4Pu;6ItaXsaKPt-hkqGql`Kkl_+Gs%%kOG9jziwqlRdWQo=QhWnoKUbM2IS@=4Pz zB}F5jT-5^dWR7(8LJ~ypB+VkS)QZVIRw4SOw3_@wWg@$j2-9i`1ILLG z`;$b66pjBgQq{}JP?I4#hUqrqqE~~jaRZqt(`2fNE;|3RPoZ8Za;qp%siNdi6}h5o zvU(NqnpHBd*eG*GC{Nnas3+@KEef$CM7x5@P$_1Hm6WQKiyw;T&O*$Pd(*X=iIeVI zbhwrz(IZ2xM0_qb%VXZLBJxxVNma`w{zM)@MmfZKRnh7u1#*7{c}K)gVsDbfC|N`F zkf9J=qIC0#)K3>5W{R8~GSu@((khTqBylJvOS6(h-3nSG`w3AmCcvy3JELMkMW@0e z6+(wPNpz_tMKgy~t$fOk6^p+LiPROnR7)jC%E&!dDe+V0K@|yNdxpd_O|_DEt!g5* zlzEdcMXrhl&u-F>=88@QWF9Y&SScS1sX8b=`On(TN&U}}tjsg5a*0D336e)ClD~<^ zOKA5PBww$N6!C9{niTmXWs^Q zzLS_HXw=Q+fpR@4_0E!!eXMHE7G+%0C6^)%+fZM2k$Lm}!6#q8!Suic-g^fL-ao{o zeJ`^fJw)uD!TI}pxPSJJo*fgEY)>Q6F@eHE@wDu1;q{_7#D4yWSlw9e-Q2;`8_Gj} zKIUZGPg0b=;_>)m${W?l9CpOLHISY0ad;P;=15o!u1$&9gzjg!!=9mrjl>0SV$-%A ztlY6`?n|tj{_B2VYc{XrXXOX$mJ{N>j*|mAOimr6zq^9lmw(~<>37_}`huxjm#KgL zmexC7%w9gq<5O$7d38BAuYJMeoAbDG?*s1L`(`c)UB7)viS=U~GsV!{8Oq#llC5|sI*C54~ZY9Dt2*J-aB2ghv~9CbMp_1&y@Z-*?xdit^4J@&CC{Ul6z#H z{9T-^JSflialU95BcjJt)fUl5d{irb6g^LuZQ-np>GF*tYaQb~qDS)q&WPDR)X__DFm>+IKVCtHNO8PP!!4eYM-@ zuinNfx&C683Z1?CI3>P2S-Oi`;?L2lJ#;pR-%Iu}Q@%&W4w0$!y)uqFB_4aYShQy@ zCSw)KA$m3(=479m=(UHwdgW*07kPeGt~p)0gXxOxqU(;iTo{lTDaTN?Qh%|fXe)hn zVw=QhP;y{;Tvg`W%6P*LiSrJos<+GMTj%0FB6?3sjw*BSZ1Hx{Lu88Fw&q=;^ESHW zx`76{zIqcE29Gf!Hjjwj%3N0JaYn{u)wa339IO*xON>s*9Q|ecs4Cn`U*CRunszWQ zK2^>=Ub%b>IQf9a~si3xd}QpP}XU`FCFA$c`fZ$y|@B1?sGFIzf_%kfacER4xl z*m1e_1ZQ%L2|AjM_4n7Y``|UzKR45LA{W<##?-17QNO2+M~j~m{pm|0PsB+9+s<=A zU+=Db%>Cir+?-s)n~PhiAJbsub|5nY`7{rlrfKjgZQZA-oo%L|Go0x$UCvMZNTmN7 z)^FLtiY?n&w_zt6*KhhS1x&`zTX(VYr`5!IuV=V<2R-A^nDk%ahK z5|kfLR-Xo?-TSzC?n`b947@(|C2vK!M^a(mUH^)FwLB6({DsQZmxwkKRH2qn%hprW zF1}6l$Io#7;R?;#Z9EMRWhma6eD6|P1znVz&k$~MmZpks26O!x&kSWYIf;SzDn^o9 z>CR4~q$Gi^`aIgIGZ;@xqBlR4-r{tcisQ+v3SqD}o6h_wMzW(A&5U6*BZ{%~2!^tQ z=_&BybV@X55|fxwC{LG;mXStFPMXY>L|thNEpl&7St8A?xr`KMGAw+&Jv)|@ifGOy z2XHFgkCW;COs5CamlMu-Mx5xCNKdwc-V6no6xmE?q%$CTjitmfS(+{jD3h`5WJc3- z8A~nTWO6z)DanjvrqYv{&R}LXQ^^^eO-f}VC57R%OwJ@^GL@M{Q(gi+d5JWY$@MLT za(^t-nX#g8IAdAjzw9uEvLeOK7)~h?xR992WL`EE;F`JmoRB8(CIk61qL@|^Z$Ygd9Q)%IxNsi%cLIM*aqdQ9>#W;tdBC#Vap6Rqi zMrEGa^b9&vlNm@?h%c4)M>8e9o>s()+*oE6B1aL-Y-%tQSyA-n#?xJz!Rhi6h7;oE z{Lz=4FgJ!X6POYir{fbvw+sf;vY1NA;ABz?lcK{|n)ohF!K8fFnVm*kK{}TUizJRp zU&YZ;5W`4rg6NV=f33u`EtK9oA4bJDXC%%e8S(VWd^6(PQ!*|oZA%loGvg(`DH7K# zu_>9BaG>B)`bY;_)^%HO<7uJwuBX_@PEYCMx^%6yNOe2AO-0{H2a zG)5D%X^T!^L@3#0Mwa+h!MNnyY-%dq;_rs6Xu2e?`ZDAiku{cr1A_jQ<)>0X@j%O!a23^uaP-9PneiXFeQ!Q5u6{GOmCge}VQ+4HLH_Y< zlIQ)wz@8`g9!w!$HH+3w-Sn-0K=iy{aQxu!QsCY*y7V7de0ZMYUp&Hc*#m5UdVop|o4 zA!c6%hHe&ZQ5F(#P9xNkL z9%E(xi0ySm?P?`>M>Da9y9wG=N8p|+e2x|oxnF#zBJ*3dkfhg0!0sG;g!;rDED%2x zi#Rmgkj(@9HLC^AN!sYe?E%Nw7*Oo=5U5f zl}ZM|nl%LMtR!MrBT+kK>}(`%TMg;EDhNN2i_5`OTt)9Rk>`4(6t}`re6*9X&?qLt zp@RhT9z2BxdJ8r55q*Os=1M*Mx0evJtBItIjU;TXAY^|wep=ahX%^#fNbCx2!P~VQ z=R=ve3pIK;xfXs_g*_%K#-DrI{fDccGpC(7~PSBr;g8~%qHM1DCz z`n9Bc_hF)ug@t-9ai;Q_Y7M~$>j;wEjF#L>-646kLt-NN9KK6v@HTmWtBidT)Ad3t zCAXFDMeeMVHB})wmq&|HvI%bGKoemG6q_s^(Y@E1H}jXTeKi^IIvlrNs4W%p&=_YRP`ew3PZ<8+$* zM(oe8iB%8d#@+36=VrXV`Uww5wsU8CIp;4Nqu`=1VQ*_tlnoPV-bA=Xq2xmzsiEcM z6;+^ z?xmCUG@Xi@)}%gkrsR1zqfd@9T;+_ltn`F4J?n>;Hz4PokJsT`jyzO zEyQP23n9D5a9G}k`|>V=mUIyEV>ebSF5|dpnrP)V!?6Rn8rER2BMsN6P9jofao(0j zh)WM97G<*7it$=ojh*Hw-b+Q^;xSxTjN`F<7|)+NaXi#dloZ5x*FgddO0im{z}~cj znA`G++}kG2~J~JuFoVu7J#QqD-i}wIPI>$XkQZ^+k5d` z)`Qpbe!S(;XL*O{+f2fdDS{3R;I+34+ci=UR!MQP8^wHQHl|u-gjx0Cw4)H)jfHs2 z9DWBIaatpGuC5X}75J}^!nH!~Tb_^IUeW!K=(VZ}yR}8Q+jkRKeg?DcvG}?S5unk7 z?d}fD*R@Jv?Za(FyV%ku_t)dSQW}%e=W9gwwdHs__u}l-M%bcE%#KNs8hU`qwn&2P zYjHQo!|zxL7HjhHJ}`{K28sEKY5aej!c+Y1yHtF&NaU|-!vA;+X+9%(saImUDVLCj zJLsF_V{cr6i`NkTN1AZlSb?cZlfr8Nfy=A$5+C`kl18?=lCX`n z1ncz@rY_BWr8N2tvX+kYV7wt6KkpGDJSAsL8gbkw4f#kFzOr5delC$~%kW%Xj>pPU zkW2SwoKH#JL8MZSO;mPab3D7syhTabir?`&~NrouYWtSv*9?a*bNr zxAk*z^)Ezx^O$&@aDF+rjXQVdasU43ThV_2wpkK+ESa;l8oaso>D-=R_iud2O;PZL6tEnP z6e@oF2R(;w;;kM_mR1U#Yib$Y+Cje9z*ecAvr{?f*{TFWS_WA?yOyN`U$ zNm_K@k*{}+O5dwQ>JFgLnkHRcxz)8GF|P@tPd~%Ku@N7`ZhTHmV5&1kj@nhq)UHvk zdY(eLHeb1|vR)6Rrrk8S&XT1!M)I*!B&v%{YiUY4z2rw+#NVfvP=gM_^-g1@A^te> zLX!D5ImfS%qk2o^-X>jqm0>P2eZ_AKvW`6@@8~lMjy)z@ReUP<#d)5gEao-|>e8`whKP;1f^Et;A;yhlr#vED z?2T2sK+^HcB&eMsPyGgY$1jLpGvsOwk*alyc(qsLn?4|~?1?OlDT)qDA#s_-vEm6q z+LEJDmx;0JCswhasg02;G9wHpDNeaTRn|=cH3mu6 zxqyG|6HKHqhD1-2-u{{h-5yfZPvdan8Y%l9QhM|r85&n5{xA5V__%FqiWo^y^YS2gIsE}U@F<3P{ZRy8rk8!C7aYGB{c23 zj+LO>+&!iAZ5`mj;@^q?^aaT$BKfy-JGm(U=jOeSxG}ziQ)ibl_F@qwzpf|zZ`O45 zHdE`IN|UEOLtZ{)c}7y#=uS_w7G2E;2@YD%#?5{X$_92!7p*{ht$n7dc2Z3kcZbgK?CcHIkq*NQqKx% z8_P*g^Pn-%i^PTmnoARD^l_woCZGK3Xp!MdXJ8OoeOl*^nDbek zNUcF8K8fIFj7VFzPSmQ5@+;eP}YJ!IkK1$Kkvt z3ZIQB_^eICZ*?g?Ym)Kb;YC}Jf{uuA8bkeQ2o0t#JchhT>5zebbQXqFQs_lRsK|=U z#Md?pt4*=^tQQ&Ua`9bLD*BY+Abz(!5JGct6UBu|5+BhaAcCHXT#BLtC`b*Zy-+%D zSSV$|;nW9~5u}!l>&7hHey+er5S;&7p~LI5a9JNtMnVpa^+_~~kLo4vwUr9eO8qE_ zaG%f-;KmT~#M~Rbsu`pIDE0YTF8^O7W&E(3{eX zSc+=0$Pbp7*Thkt5I}8640VBdWH}Y$x;GBDwaEnkoJF|O->WmQ-sv zQxxSxduSNd4LQU_J5gB?O7~DEWnpfTlL~S|YH{2XgZtVf@l!VbYl>u);ISbMw?kq} zUM1D_>6AnU(it94MMy9Ok$w~>hj3w_fy%gWszV|uj}o?_UWARHdjFr>1<`d1%4{NV zbqVnj!**|J_=ysyh)_C%BI)rDm-q|QiIG@MWs_1JO0oE-GAwE?FS^1KX!DPzT}Del zw5+{o+9Ff2SBqx-PdRMgm`Y@z6%84Cx!GaF^IkiqB*)@4`bkxNg8Mg5$ohIz*82=6 zmV{Avu#M*ReGKpWmDsPPfT@QIL)*^1+w*vO^OLzRzYkCR%_ zN}$ujooT;tvOOYEq#M&*qees30fIx;vUAUVR&U%R=xZJ8H~fDEOgXl&ZuMSvtXP7* z=K*3Yv*?R*qvV}GYJaaG=2a|_Z&Pu3e~WR?CsNy*nYtDHa`kKOUH^y&_vdk2 zs_VPkUr{cFqvgjx7&&+cKUGOE^#WQ~cQC%;HsSNG;kxA_Wk$VR4ol}oO#)NZS)5Gk zWHj))bnF&cxD`~p#!=&%O|^R>m2T!Cm_S8*Bvr1;-}Qx)Y+H+;bXA3*#8N?;Wx7|%S01Y-9lF!Gg@*ES zQj&b-`Vcyu;%KuC5;>97XGT++9xw0vQ|lQv5iB-DQsb9Eb-IF@{5blZqUf~DrP`qzH;W!JPn;n`?=pG%_bE~T zlM;>R6bf?f4IC05q)2lK5k#l_!LT1iz7dRtrO*~0LUEWkwGQ5b(lUv(Dn_B#PO+fA zBIThfI@9EyI7z1Q2#NM}G~{=ZmytoPdk76)@f5oRQV|$NTT%+O;ZamdEUO&DDR(VL zVbVHxEMB(utmq+n>6|9VaFleTHcC>fDKCkk%^{F>$7s_0gw_Om(U}y>U}O?yp0ZfQ z*Y$1+3M~o*QMZyOdgP0r3RRy|aa@p!K|P9?Qku)lWYKt2CqByakD|;$8bn+w70C&d z1jJF{k|FQakZapdil9T~p7Z5`#;cFNBTwZy*@h2@cOIjpxR;dVSh9WHX>pgv;S@ka zWIWAD5%g!oNuGL3Zl#gwP$JZ=l2p?H3Qj2Zr&pe9FfKYu4D4!Y%o6*uvndd&*6J2V zy>kdvzEa@hk{Hd%rOGFg2A3#u+=~dYY$C;=SJ2a>_(rLn@WTXe3d!t&V{WC#g35 zC*g~qN`6Ig;lYl%?~49<^;52Nu47{2J4Wv4P<20%*mtp1)P_;x>_v^I18v@ZbH52K zud-ph-;j|$p^%YlShHaRt2XRn!{+r;#Qw|IDAsOS$Lbx6*}nEiLR}A2BpoR~NR7sO z(j8uo6aS)zfcxi&nmk40cpAN}76ch=;rjG?9^d+sXIDPsg(!FT&d2h{xXNfU9N2A9WxdA=o3q2@4G$j^6f! z3Td)t52;7P;?sP zvu=vy`Xa*tszYaKPP|O*;Wnxd56SgYSgCi5&qibs4U-|1DOadVj`|oyLTT&ml+?3@ zRPAQbv4s>F3jJ2P&4;N|eu>qzO{jUN6wfidkB*V3c8Of&Njjoaj`Fk}N$@1K8Inzf zW(5g7_Ub25w?+KePNr@<`6iuG%qFGajF6(*AO)gZ{M|0pr3uA}ZlOqBqEo-nNy!0u z9WPBJC8&?w#6F4-)>C_QkVq||q~_9$+$U%k8ki)7AyX)Iy38GYctU9Jj8N?{vb4G- zHjScdI~i)Tb5t}Z`W&^#+9*)%7QOnYI@&^w{RlOI7sR*yWNQov)$5zvB_mOJ(1(V| zkeK9XjLi96p)NGve4Mgap{>qM#GPms3O+=U*k4Q$x> zUkljQ^(@=*6Khs1B-vvtxBD$Pd0G?uNEb|EYT52n&++sow(f{yw?P&yZQcTDb-8|S zIakl@;nC?IczJCekEDS8<=W@8>*Ub>^*@>1`k445&E)Oxr*YY7hS$9z@zd9Mef^TQ zZMV2@(#1fi4^`QwG$g6gE_5kTC594eq;MhL$e3tdQxYva1O+6Z(D5hEAc#;McS$@4VvOBHCm&+R zHxiA4h}88ZQpcIB@NnX79Ax3Tl6u@n?DoLZ*qqFOV3N$;BoVzy)(#-xgcHtM21J=! zkfLuQiEB!Vo(1u`w&E{)!VN5lG_#S{E|QReQdk2?6dR%)?MV*xm87>ISJPGIbVomZsxHijIdA9d`mWMHeT36n>F}7`w>(&V-sf5iN5kI(bmy9Zrmn zoirAAqBOnmS92Gi`H7$1P$=IMJz@;~@zeCi)k*G;@s-#+QGC>wXgyE-M6X0c2cfn? zbqw5yH*geP9mG$zgo*E?4V*}pn564i3bL~!T*n1heJjEgNo1Vxrbs=607Fj{%3Rac zrC2DG!qi0yw;d@b>BwBh#u8sML1hkvXc&|2=S#esGf_s8znXr; z=sQc{wm>1s&fhD5Y*oo!RS%pE?Xc6f6uSaQ*76rWxQTpw6b5#Zqt>KYE4S)NB3Aqp zExt_>p9e`SldPO6^a>@?RO~q6I=6$0qe`Hlod~jZ!buUI#fYC{482H@9L*NrXDWC4 z)o~?J<_IuyCc?x?>^7r9XtzQ~az)~wB=L$;cOXe$xofZE+cC${Giy|I^u<=tFb z^cuxSFC_;f`TgQ9Zrz;6J*g3Qln2|+{J`v`6_lSlOw2JrQx!WCqQkH+F~6vre>}-NW?7Z#gxzo(nzS@%-*bypSTMJZ3E< zG>!ai|3Ss~m#E)2Nb9L)>QAODWiynhn?;e967J|=qoBrf}I;jmGt-r=`+ z?tFvKfm@^8ewBd7i}4{xm6S zw<+FxlY#@+B&jbGp?{8?1EZv<^pPT+HuYEov4@AH1D+Kq{utZ1hu8`tOE$SkhW;3l zPSf}}oF-cHJQWA7kbmebp?V`kT1dA$UPSV-4iZ!aQ5_ZJq|!~aAfgs0p@JUQWRZ@b zFq$IMV1f{*8G;;65n?hc1#*UB<&K$$&k|tq5GVD^L>)az_EG72hek*`JWc$8^HdwX zAUEteo_3<2hM+_J^Rg%fEsA_!^GRYgrYJagUTnNasOdHAqzi{@cFyhGS+u{G(hpUv!b8oXr zJhVGR&rU&8?PMQrCH-g{VaK}&HWic-^jr|zeQ6%kqzP&bFqQ&4)A@4?uReT1#=N&utV4KxWh;+vDF5O21=CV5PL6-Z%)Jd{)~Zo;+7xGB2h=sZ zaVn`{XJiJpB{`Uf?x(rQoTiF(g!ye`%Z@Fq*t(jv>$kIU;}+&^+`46MKd=p31)6Qx z!L|)+3G`k`Q_D8$I`@;KNXGF<4S{=370pSjtxi?iL! zx%22F-dvf->uXC0R@znR5O~C$Y`oF!|6_pCkHZ-sGvO}pIo0Z zsxnU#7d=T{(hLP20>Lv*5>|4N*ytJJtoo=F8j@x(Kxjmf%#lD_dNebcF$^aLGL#g- zP<%3DkvU|hHRD?-lq+(W!Z1nL81YZh1wvA0iE`^FP5E0+p7_qV9 z*PKowDy0!co}ZQ zW{UWX^Z3SfkY03#*p$l@+nl3BeS$RoUaW(f$w}>|KQ)BmOf$ws&P1vU!zp33C+CqF zT0vRfIby?yCBD-HMGlgdcL_!2CDME*NZ0G6T(gHH+ZtliOQ_FGV3Dm_)7z{IO<^UzyL7c63 zrg3x!4PA%ny`@3T0}YCv>e6)Ioa{JHD#DD3anoh{?hP#8^$qJcZ)4NO?abSAU_YC; zZ)4A)-E7&noCAB;66&{();cvtMs#S*twdqlD3q?3YO8Y6gNix$?ipck<7l|)&guKd zxHYhcYm*DO^I+aw0egE@3Rqr(bm4zzboFC;cC;|ErH|Qd_v!fg9Mya75Fs6)SZ$0u zZod(wau%=S*Kj*{hM29dI6D6-F2`=;zH9=AMbgc_mCxr-5WaYZo-KC>*?EdRT4yja zyNSE{Rcv?7pl^B(Yw4P%f{N_cS7N@l6wlZ(JgwVEJWwqtqM88pI)YV(N!oJ~y$xO1 zZ99dD{~OFt+!knm3!kmiSV^bVwYZ9-`gJ^h9>zsEOhyR|7{XQVPf}?m^?)FZ1Kq?6 zGH~13hW7S){Jd|XWjVtMv$F(mm&DV(jEc!wbiE#6yKk1*g`+s^KaGo950093gdM9A z)Kw_xtAw~CRm2=`g9VX?Kw$ zt6H&H)r;AR2E4Uf@z(5@cy*Jrr=ARzE)w=hQ8+M;)4F!tRc7$ky+owZ4P3U5;V62j zh%DD_r?FZ)jp6E1T<3RUYt(_G;Rq2&`$<07P3HbCQup@=+8jZ5Qx)!qCve|1j<@h0i%;Z% z7?#JbVSnfxhNf58qcMrG^(Bs(DEF?qirwlVe3vy6t=v5}xR3Co1#?H3r5>sv{a_O@ zhx_o{F^JBFPC;*Xg`z*e(@=b_Ig5tY7{|@0urU`@y1pBa4L#Uw?Zm@(5<+dP>v9`AO+oLL*U>&Wj*-r3>~zl&WN{b! zbPk4D>rK{J>Nz} zq6;}@vC>Gw*t%^!+mHXmwtZVUa7cxDbM#Thy3HF|wPii)*DfL4cM}&+ALiWogWQ_U zrzJX-de;bA+#;w7jOXak}J%tyF=O5UJ}jgD74Drd_;|Og9L(%n+eetXujtqN9I2#(&RY~ z-<`+)yFc*%^55|N`X6|F^*hm{3bR_?%@8@BlLc_iuvx>9NTao^|iNf6Fc2jJfqO=pIB|Yh1Cx? z@c!a1exE)U-G0U4>vy<*cTa44C^kJsU7lP0^d8&qUt_-L4O&Z{vw!t7oc8@9bG*fA z`L7gN{5w|i-1YN!1WUqseg0RxzmoCUU&UX4!T5(?QTyRB#!H{#u;e8gOGWmyPpJBK_sL=7(_^iE8$e#NsoZjQ~?FB5vPi|j6!Ryms zasKiboJF?jkB>O9_$6A4USamr@94|p5wXqiz-zRZ-y!s%=&te>%eC)Gu$6fi{({AV zw^%6mZu|DNa!R~%*|Kc#dOg_T=vV{5))US zSC~k%w*K@D&Y%5vTtEHCf9BFFtiO9HI=w(o^212-+H$qz^G{bW7TYX$KS5)G6v3VM zv6p=H)0cVe-*ITsBW&l3jY=63e`oQ%+viVk|LQ5W3tynSKyrHVWo*SBiyvj)pYEYA zdRT6HhReYx;=9{eua}%(audrRZ(;MpO zMvow@^OpHcm{pRiTT70H0FL>?)NJaeX!9VEc1dI(2%>#kGk;m|-^u*sz0mn!?%!U| z^P3;??CLxzX7jlG>I1Iy>?3DgD&6It*!-_BH2?2d8ah)cJ5@mTTX$w&t);-%i7dSc zSv%V7-nEJ4Qm58z-pz(hJO1@Y{PoIj;%{8Ts_hHexNa#So?Gdx(V?~eI9)~AbjIb; z=n_J^c{Ei?*yBAs9NxtBlizavz7#JhVDB$~fpt!t@cX|qw&@z( zdxh$(D`j@eDMo%cPvoaP(v34Ha<%4mzB_R?I)qxM5T;W@`r(Hh_~tP|f~X2jJIFN? zI$&5qwOJZv=G9V(dq}Y9rp0=MUZFwCtzsHri%cn$ z)kYQMSSb$?$)(;bk$U4~k(EcKX(h>ajpUhkkZ0COnIMQXhbHno<&jqgiqcPEjBTq)t}~v~?GSE`4MOy^8S> zi0#%wrbRU=%CB}OzMI!|k?INp7l$5ApI zq+{E3h|JSu>z^gV>^kERAeJI8@Gu*ZB*&Ckzv+Ml1)8DrZp0~YLQh%fq5;t=8Y7awo|R! zL6b=z&2~a-^*gDQ=jBE{Gz%@NlGnKoV-mkpWSWkXXg5f;ejDkcbD_kn(y*Eeky)c( zCH|@>*FtEvS*!S=g(C4!hGh-e9v!4wi@uf6BVz zP-<2*w?$I1_^H%L>@*q>-}X{q+C_<74+S(xYx@gtvphdHra>GF~&4hkiv`KEa&Hbz_$Fxm! zP;Rx;E-`DBx%(vdZeYCb9G}m-&sSeQz{)C>C@UAqe=W{Mn{qkAjckKB>=p<${^%~{ zt1nZx_Yp?xT8aGe4AqN9c=PFBNSgPS6kR2STr+p5$4eOx?#<)O%g?!3t4ig58_soY zC+%J~1>q`B0b`&7t4VaXOcL$e8 zzU7uE^-g(k<)tsM&x$8o<*#%so@Ge2g|1!Abge7n%#L%!ee_aL%Ae%y>)~c}0`0N> zvN$_&k?tM3{x2N(Qo7ipGk7eyfP-|6fNy2KA4c(6Fi6C=gZR$x6H0IvpJONS`@RFu z#eD>QKS|NN4-@H2ch#@iTJ*Sgs=MX_;DDWb%O+mp2=T!V6HWVoy8fMs{yY?-8d{Al5RXWXOGL` zVQiO;V!vb@kA*^~1isrWAHq?tabF~JFCLK3d(mChiRR8eDfV};U)+Vul3vk4D6Axj z`@%^)7n~HDeOBh2#aCooE$_x)RXYiecW_+ZiQ`Y*Vq?GP+%I~zioQ(*Eo{Q~#}+&n ziESdwQEancGK1^F(|FH6Df*4zBsLo@Zz9(CJl?zeaavrD*Wz}$zEk|vB{F;P7C-yR zb5D6sdECCQ+~=`yV$K%VMWZsu0N#t*vG}Py*b{=<$m)F7}ycde^7xYOCdI|iY zo1ppK@}B6qaD?FRhY0>|Na8poJ{ZDMsG6N}SA-w?a9r0MlJM`8I(LhY`((a8f|WTnzjw|S zx5XX!FQ^hq*MYCyDWSF1@_wt_(<|{B!~2JmxGX#`@-E;esK{sjX#&5SCgPiMV!j$A zUUDGr>me*whw$!5jyzhbv&Kw>OCUb8fDbu zzp#S<$;-g`{Swn&xwcE@YbRI#s!;bq9K_fDKS(UU>n8GBxmK=sS=uYPH6s3*!DGR+ zTq9Ik)``8!jOa2T_6`y#K2_$H<%&VEvlov=J##~;r!tnlGW=vM_((jRmQ1nZ%cp!c z?{9qh`Ts6!_ITcon(!Aorao=M5eBTT^E^#I+$Z6qzhf^Iy-Vc`FFe|4RsIgrBaLvg5R#?XsYuWOZ$Oa0 z(nF@nw~i*uDu-(06e1GM4D3H#cFWoL@?(l^wlXO8HsT7+l1cjtYmoAcyUQDV@ zHkoNHqy`kzcp`^R%~G<>E6K6UrqCjVLdz5iER*Cho+8T_N-U!&wTzUmnj~E{l@wcr z=q*$^ePtld2_m^+NY9joh);S ztyb|Qxy9oz^t~)sAiH%arREV-m?^(*5-;{8iY_UF29jwo%oY?VrijIPiEfdJH3n$JwfSQa# za(tr6w+R=-kSB;oWSNxweEPvJe>%BV$r6K9(L*6CQpK$4h68 zs-VayOXOtF#kAZsO@>@&nk+UXQfwy3#<-9g1JPARp{de8f_|;?CHA>uvmgQAL^4VR z-P$IRW0NJHr-{Fl1yMy4o1H;IVhGvNaC7V;Xwy$5%{GlJ>wnEtZJaK0#orR=TnmwJ zCaB7=kXn5~Q-&q-I-eRdK?1&!1g8zfvxsp<8Vf+r4hosW#HN zzLVFV{X*J@zmTBo$HTk-S-@mGkplK!*xIv>RSbAraPzDxwIc^9EtmYyOQxV$L3pJn zU5`C!t~KOLivcli$Jx4l3#)c5W!)C#_rU&hN7ny6Hf)wR*DN8xb2BXsM``KahrdfG zF|PgO1YM@p|1vQVgXrJ-6UDP^${q$Wao>=0RmVBg^b=1We9Bu<>JLF+0dWc{_WlpL z7Tlog=m@o22WVI~#^835@#!gCzZ69DSu^9?^U2Y4Ce+N6U}IN-^96((4UrQiG|nst zg(P^1t`rU3B#I1ENi>QkLW)IoU_Mzv8H8Ge5ak*#iI7OLQ9R)ioV4s#lI+q**G(kb zP(hpoCraRNl}-xzhH<1xA<2+MoGzn8?_bxX3%!i9ERaQ(M22AiKGt6Nm*oWFbdcW|60tLW)ZUiRQ@?xL5+?%E*cn znkF`68Agz4A_YkFDAJFS=Te*`ffQ2oatxCx5`FRwl0`pxZ6JkJnOsr;k_>_gbBm)c zwUH#bH``DOn?WKuVsoakQcfg!hM|<}L{hG&Ai*Gp5Gn3Oxm7}oLP)dioHCEK}-RQ(L`PpZ(Q zL{dy6MDK9%XEdp%Nu-Ia41)}@BZX8cCJC|#6-L<|yX@nU|Llpm2Yi5unHl>;*P@pe9F$kMGO+CjXnPl^L5=N63*EI2OmTBVLdPtL^r;xRjBKDNpmy_hx zh`v!H214iE9gdJ{XiB|iJe?{+fq$yR^>bkZU){pxi;Hyb66(1#k3<`3=KE6V+|kCn zg?~r!@mmtK{djzP-Q0$TPj7t4o%5gb?CeKee)uJmcYdJr^?LHJO8(E>C*sCMB5#e6 zckVnDlex^CG33tGWkd)4%%*Ky_<8F#)@|G=1?<24lt}q^uq|uWv1#My`1$Xpr9*|0 ziDlGh#}W}%Mt0+QK_ks%w+S2$&gNvN37Ku1m_56JTa5>q>0dT?GWVZGRy_WLqr0kE`ArJoR5(Kwg z$M-eXE6<{L@CpZgpJTWF4!$cMZ=T~f{{;!(yb${MjHt!G;J4^E zyq5h9>!05d@zX2mcz;D}%OmVpJ>J7~ zUJITG1$!VeZi}4{2>szV{Fl7OWRsv>n^%OcxQX}D2YCMU3qcFs;J5NVUYak_-SeCP z(LHYEf0McYf%(cmh335wdh|l*%S(d3eJVP>!e!YjJT|_>W9cgbSN@8_=GQ{&9$>ZR zBB6)w61eRVo=aX}zWE(?tDcGukA%)X$LIUE_<#2+e&4N%8nEzJnd_ly5`$R5& zg#Wfz*lu`^_K}BpM*fw6C6X`8U*Kl)2geTGLwm~;Y&X3V3ivxN3x35@Y*HQ&)O~ki<5nKKwc!|8f@)dTQUtqoLC59pY#O1_GB7b~@$?7*8QGJTlrmI9O zzk|om|0L*}_xOK*U+C;>e7^f#DBB;zNq$5wx=Zkib0p5ciSwez7^;e%Ht&TV-o$n7 z1N8SlM1A{XOgG=ebK3*l7D_DUKgC<}-ErYl+{Mm-??uL{$f1EH8=^TJ0$A)g3MeoDl~H`pC|#Qg7mVfD}Q z+Od=xL143%6I^v~<+SoAR@%kn8#PdO2D=B|6jniuiMJz<;!sQ*+c)R9%lzv(pF(YRZS#=*-_LK zrBP6wOLK)lpV{abl$(wzxXM>Ys*O6 z(@yuM3-qnLO!2y>Bp&=ljJ(2A&s!)|d+^P_+de_S zAwe8_^GV%TD+REXh&>ay|I{Z*H;BUe5f&?JQTw5kP~SV)L_Wdrhar5lAE0{V6!tr3 z@K7BlHugLb`-_R*-Awqt0b+MfkiGRRfjdqT`qK!}8n=klzKPN50rWK|akV{<-uKl6 zcs<2XYZ9yN12}FRBhKIg-bZ?gJkUn`&N||D*Au;`jhG$%M64LV`|u>bCYNL(OkpYA zEza;J`g=RDGnzr&_ZCK5XK>zfnP}5X_=#NKeLckPZYOb9CsDh5i4Y$oZlA$-Ne@0+ zSLVK?>G1goP7BUrv*Z-k>-zByy-uLo3_gdXNUx~J*}I>F6Xl|hptC*ogm3F0VP`K{ zyLt#(QAX7Meu57UVgKgPosCN z8w<4#DnqZ~d$57<{q@A`Z6sdem%Ouw$W>D~ia!F?FX3Tx7N5Pd=!m_RGw;z}(nOH> z&3fM`mU}0$*fB`D-RZeQf}{3jk#L}x(ET;UZ|@>%<0wwz7oS~&BwC)sS9_Sl%PToP z{*HsXeS~j4g^TBNwjLYC^3Z9lw@whYa}>qS7J?5~%wvi+a^@!xO;r>}1c8-x^ewN@}%DvoLCBK^p+1*I2i^kGkLhYa8Y$$eXTqWq}DcsidN>QGa#(WaL&nECz zj?X1tKR!c!*)u+z_cy-#bHVB)rz}p9erSdiS;xMMAE5YhhWwR} zFkU@O%Bs^et)JoXl0OOg^snVg_fdKh^{G8mNNL*? ztvxTO>NrJT%L7`friqR;ptj^VZ4LXRfNf*TF6GZ2_pw3h)&H-6t=hVtANFow&5G>= zxF2RH)|7Wu2k4n~CV4oV#&k1EChdsIjb-4fFP8?*nF@90Z1-w@5ybW6@|V24_QBkS zhOh5^MUI-#iFt21v*V2*CV}b)OX%JrORn1pNk;7i+q6?yaD!yWF3Nm5 zNr~+t!faaT$r%bA%IM1Rq_0$$kxXY!CPy%wWlC*vIN4ss^jJ<&-u|9=`%Xfvi%2N! zBRaK(EE_?ZNl%2zo)Ky=NveGjNu|-8%(7=H-G-(jC&tsUW~Z6O`cEj8AAcMUfISV|mZ4 zmwcN68dEFj&Uaxn*M$j%2NS9KoJzN*(;Rh(?T;lgo<^LqL{*>ag-3p3d&Nh z5^XX@g54RCB>r{rQ4HqWGMsmU(JV9Zxi?cO;S7bvkmjCAaz{UDslB8b3=!qgi`URu zX+CvS$6X=OX-IOTgLubW$|7Uw&-Q0L+lk3cTY9r?X_VOYC*;hX+FhL5N@L|&B28Kd z5+-3iaS<=yax#M3NS(M!fPFvpYBz+QHc%aIDn9Y0AwNoFx-yz=$v}o9vvDbuL^jdb z_&c#CFi!k`iLOhjBe5`dxQ>mQ>oWSr@;h0x)Zc&j?$nt zEaNoI`p+@ja*2=Uz2LhK1yO4#DA)C-O+A!R3m5u~15g}GCjM|Wc3*dq`9nV~`yOGq zTKu{CByG#4cq(W$_VX8Ht3~kV`Q1DehIZ%P*W4O8%$1Ra^xxk}{7VzOe^-!|-%qAi z7YQa!L>+G?E2N(6EC=e#w4{I?BEWAe8@6m@^~QrjAGb>ZTmD}Q*hUuY-pHQS>j`u^ zOrf(VYpX-Xi&S)8JfLt)f!k9*Y#*JX?v)19^~VTVZNr1s9sKpmJg(jSjC;2~Bwokq);i6pOLYDnqsFL zB>9{nR8zW>n!s=sS?EV5i9L3Xl!G_P+I^D@>DsQErwBNHjZuU5gciLaO#2j}8fQt4 zP<~@r(3UKKIEBzB%~`5xKvM*tI|lQej^2k`^XlwvaWAkm&z$afZmsNIXkxwm-5U!iRGFvUk^@jQGAXSGWt9=}5R;Tf@SkW7_va`(;9 zeDpSfc|upCXDQt)NveKM5XBT}N4v2vyo7hdQ}Xv-AWlW*I(h^5!=uD0f53gLhn!=r zDAXHCI@&{n>MiPyo+HENG~t@ll&D-M@$ewJM;zz<5~Ppbdnf(i5R!Dgy>x) z`siH@k6ps^m|QDy$xxXhI~Ap^CF1{ZlI$%f}hSwLbRvEKa=Dg8YS!S z098kZ$n!l%QnuWqFNN#K^xO}|BQyr_aGIf{^RGDBOp_)4NjQ8Sd&%o4)oTI{L@$+T zQjblME~v3~-xPJ~SEMN4CRghYd514kaO4WH$0he9x3k*b;4SvWNnYn1zD3@V>(r_~ zqGlIC-2~CTn>(*y7wizDmQ3}YnCRL%)_ugu6folY0*HgtO=(dPGk4! zJ-T+DrD#(X5f%z6jwaK;xrdhv|3>u3FDN`7%O7V2fhh}^)QamvJGnmc1O3mp(KR3cuok%mb6AGwJw5qvOV|^(^9%SteWyZvh`ruG0U^Y^ej?1D{ z$JzV@$re`RMn)0t;wPQLfEW!ULR1X#KWayeL7*go6N=;Zq^ddKY2-wJjx}8-?)V5o z^H)D46viB99arMDy(kPxkwWN?m$o(qd-Nqy?Xf%TEQKUmf^AQzjtN;utR#sYu+y@j zR@aZT*d*MIEQnGuA?}!kB)%D0p1uS%X5b&Oi%DIT?ba?A%OAKVU)10Xy8ZOi1?kA~HLS+{ActcbX8YZinMxTWt3`6R8zN z!cjk2T=qn1>l3bFN|{D1C2Ib7Y8v3JeN>9TA!2lN2$Qav7M?^>eI`-rn&ceRBX*ai zP;M8QKawb2U;OpVh|$+2{e&TzYQ~hBdK0W_NuY*35o(S^9(BaWz>7Mkcv4)HKZy4s zT*Zy#1O6E8F(Fvpk|eP`M#G9&HBUj;p%SAIypKDP6CO@th$BHNC+2K-&@{x`*@^5Z z@vEaB2?v#S+YqFB1XnFJqVz(DQTHH0-GB@o8);b1QXnkx66Eij8%q9eGwQZG5O&N2 zUxO3mLFKO?xkC%00NEg77o26bkM_pyZ?9AtRzSElAQ48InV(I_g9i=@PFZaaMOCH#R{U zzn#S1Smv^pqHRisl{x7N0c7Xq5+rfVIe3hS{T65)%fLf3gka@Y1CB~wACPN~ita}} z$@ljoDApcVJyj`4#-#67M`374Qk*Y^WvPUinvk^bgv3M>)Lrvqwcs4=tmER3zv#o1n*xpE>8e@)*>(LG;=;SblaByHBJ@EgPX* zbsC%fL6jfOkp?-!%O9nHee#YRjd*@Ny@SU>A@4o-m}^7pxG}zv^SAa=`CJEw|LIER z=?dyRqiOOG)D`MMe1H$NwZ8PX8_?6HMriPMls|p^dF>(At=q}QP5)&_)-{_qv10Rf zwypY#2+sp#JJ*t9Z%5rDA57nOlk&=k(wAz4{F=tZy**ql*T#HvF*oY0_`4KabJ7`gg)Ud5_Ik_sHKq%6*?oh7|TR zmLBHjm?gt$;pCX)aYj+ag`7CfW#n=?E1&7S4DQ7h@H(=Pv4#q|8w%(xP3K%*HnX`o zOcfPzt)NDzP$e^Y)tpsSb1JEw8tD`nmZcQh)=*{MK&9c3K<5#1tXsL4IZ01@6*Jk< zT*^sex>%fEQplOI67I{qr!v!-%_^m}tc%vr9_miCQm=W265R__o|vXauUFuFDd(d) znXc^;IA1`2X&o2S8=20i;BiJhw{vS5E2!j5W-U{>O;o3L5oT6TzEFe;;||J9s-#E= z4L;GvjPD5dEBa}x5PPNjJd5vQu%Mo{no?#;OSzm?&9&qL#xfKPWY-9_%_q;Sn+n}& zDs_Z18;GxsI_YsO;bw9dqb2o>DE*eE;Cyx_Lq*kGD(K{1b~#rSf_z1NYI7*ShBt_;!6xi1@TRp*0Wi{t=8n~3v#&~`M19=skDXima zMje+DYdDwG$ZSq0)qbty7!FWs(ocn1o#@j-rOBjFo_^YkMwn`@;YGCEliE&KNh4E* zWy};7aW|`u8!365%8TZ7VJ@A~g=AW0l4o8|kx!>nGqCRPm&h~yTOKdJ? z40B%mJ5rF!#q263%kvo)|BR(|F`GKdwAfc>lTD#{5v5j*59#On zR>S#{A^IC@87(a4dPXG=QpE3>*$mVaa=xaDD++l`FJ-8pn_Qm(iJKs0;}Oa%{Gm%rqtmNX^v6O4G>FY74{`eR-)P%? zmBRHyL>rBhxN?%}H51%f|CWHSUXrSw$gdao@IVUK?YkdxXKWq!$ChyN?tbFmd*S}~ zcp54)>9C5Y-^Gmq_b8#$sT7qs(%zs-SL*>m-W%AyXY1US(i=AJVEv{Q{}q9)W6hS8 ztXa1fH@Cxt>q|#SilgUVG^y`=ars*eF8^jj=wEWEe`_iUw1Z6bKrXc$;E$^x@$lXU zJiYy|Lp|p9#8*$Hd(r=3X7_XawiQT+uA_SCMTXbEB=WN>n0)eA0zUgIQwM*W+lR>Y zSR(e@?C{xAfcx@M%Jk~U&@z_JYekB#4Y5XMlpeLGO*4Q9pI}1WJqR^6r%1zwe06(4 z7%o&=_>t*hN4yd}Xxfsk?@p0Z1j&}cWLqo9H_awf5-`=G61%;@MC-?r;}J=cp(zSM zE2-KR#Ohm8sBcGypo=6!BmB$_$q+PHV;w}AT?iQ#sT3IJqcE?e$fyZ#O$9**Jt^`F zC*0&XaYn{Om|97ZGNITE<~E8lWCAnxmgN@CedUWC&pOqw94czr>^CMNP|DzUI8OwX1)K~E7Dk|(Ar#2D$5aKZyK13_c< zqJw1&*_QEAT+=8JpXZxq;B-21LT!M&DZfSGEmT*DMi4v;A0$4C zH+7-NK0y{_I{8Lv6q$*COcmsrR^YQg2lo>~F@jtuJY+zixie07f;{x}#iu7|^71BI z*MI~=O<72~I7&Ch;#Mgn^fm9l#kYe+%c%@pWNHa>NSP*BjnGb2cVFc@0 zpb%eY>RLz~#n<-c^a#7CI%+1m+F)ZY>_I)841;V6j55hJO(oqlL;NlF>4?wO14um~ zXid*t5Vb8)2KGcNhmJXo-XWw)3=_0HB$s>$)spA>uEgm&60c`ZqORTCnp5ccqqRAW zB?~%OIlm6e?Xgr?`ct40PK!w|#j77UiT$-KU>d+rOY_wIkhg|@}q>{`T`I|r$JaRS9J?(~&pGAb0Z)x(7WKQA(U zT&QcZV{A~9-mZOwhOKAQ&NZx9E5&QWW;SeI_MhA7Y}~-w4eQvlb`$HCuOlpM9lf0z z)D|7(^q40tla3UeilKVAgq)OAGV(ncnvn$`WXR~yCSKo~&$FAK@KVs1vVc9g^97a1 z)0z16f6%w^3aLUhQx8-ys65nTa|aE3ZWDLtDV2vVa>2HiyRDf*MN{a^PGB%}m`UbC0;v&fw2wWIEjw0$JzDB*ISxi%+F+!&4gwWAh zK~`7E)j3C=bhujGGI|3FX^xXFA>F4l&WfhQ0D8hRDRwWQ(zAia&>7;)+etNQpZi08 zgiDtc%1%-o2S~K)ra-%kLhU}Htjj2fPGK5Yt}B_>GF zPavZqq4WnQk?N5|d2}C@&XdH7ZCRE>MA;3@?W~buA$FRMkgMA#bV+1dRnQO>MOTb7 zV=+GT1_y{vzBI-~Q0!ZcB5aiE&{LEiZzI9HUkZ&9eJKA)(oCZJu*i|TIewLNL2Cs; z)wGJgyP^X`FMo!@!f1=hq|m!w7Kr#bzK<-!azd@U@ONw_N&J&+)Bg6&Sa%a=)k(6)7|}NE z)E*m=d_ONmdq8MX7UKbN;-hfdV*+T2_oE>`g7&a%YNA@m4V@s+u#04y@wt8ZLtJ`^ zauzgdJWPYe7#Vt#_}R4(;TKE2P|H4{Tf?E^=V(9inTNzKLl8_WMe5}g>eZ5I)~+R+aM2D{gtq>o;y>^V;=nU-KgYz6%(c+)49tz$w=-;4%i*|YEVJi~I5obK=XZYK+T>EMPcM=}_VHW+yMO;{`m_tUJ@4JlGicj9z_4;Zq1_5W29A^%Yw$QBkSIMZTnxPM)Qlj0*EAW+A5wnkELr+j z$kIAb)sZnNh!ZqvbaKl?3Yf;2p^?^aMkM}kxrL!5lR)SJx#UBNow~^l74KGIL!&FbWaNUnIY6} zf>?`TQll@C;yOZ1`Zl&L-@$b5oU$E#GDT_jIuhTId=;`eb%ln3h=-N5YNV?O%i zJ>PwHn=ti!dQ7B&YpZkCU!QZqdZg+&kY*4>$hI8P7dF$l=K&Vmb12+BLHG7Ey#4lX zB+UDTM4fmZ-d@kEyYqN;@q13!pI~}m3GMf_C_fcS{%fEn#ydE54^U1E4E|-|a?2IlGUBY8eui@ULI#-7G@#^|#JQ5oD_~AF4G_K+4 z2mit7vI*(<`J}66(YJk^)@9=qY&j(hafBM7QI8|aC2;Zh3aaosbdRJRzml`^CG|%p ziBRbvZ08WktGY-tWi5wdU#p5fINfXW!tkFowrV@OQHWK7CitWBWlD11>ThUGUh8~=^bmF>25Wvb-BBhX;=bpwR zaFihT0c@nJ8%K^|5q}1A?>_XliEUe&h_sr-PO}HUz1?JQ8$hwD75}vjxNK2=CukIx zJ-vjxUnSAyB5{Fd@YCxgD0mE?h-s{2&U4~K3-&u|@!woefq@{Qqq2y1w-daj8Lthk zgs<%+b;|&;QVc?^P7>*Vi44C>gdguB%6}OBz&`v18QLE1#At6jA!}O*vL7HsZ-AIB zg9L0GB5++Famzc1THk}&fll0Q&Jw9HPLjhk5x$dX94^JmsDo&q(}YP8aM@f((56OW z4SR^Qm*Td&iNwuf>)LvPwzT6e!(nYBt|vq%Cqd8BaFTtev9f9;AaqRpJxGk_6#lC! z2wPW2{Lx;LT}Mec*hb9ydhvBLQLEZ;+|+^9`X;Oo3t|twMMCf;X*_4}br`_Ka|EZ* zA>zenb7y7ltiwm883#eFVf)1P-4dq_O$3Q;0h>p#Ti=e=E+zWEOjhh2LOo6qE_vx? z(S%dXI3a$MWZIs>W1GC*F1~e~Cd@#J($*2NOU7!kb7cnsYg)wqdi-? zl1r9Wt++|E_79dkjy#Y3!8%+w)Dj^%>89I(yZAm)PmQP~6H;vML zqqJ@s=JnU_=gx;s&`#ya?d?3hK96@dKI2r=R&Mux&8aKv35i)n?T|jn-La?yXOh$~ zi)wHJw$+`4WUEnJx|ZUmow&zsXV<3fXz$#^wyj&(uG~&%{pL+`+v#lBzMYj@4hy&3 zMP$GZPPXfEcTA7ItXOhG639~|NCi(NC$EZ($TB)=wdk(g&4rQ0TpU`#*^wW3edi+{ zO96X$_iN6XHt>AjzjJoWS)xxQlck<32&|Xkjkk#SP`P!=eHu>;@jO&0mr*Q%x_BFFrrw<6;o*a~cBJ01Q z_2J*y_sx5DFMr4Cr4P|qD*7yWj>fVF>|OJWZ9hH1XvH(!R=&XI=U+%R|G&}s`WI|J z_yvy-{=n{|zhLz7-!PN}F&8_JeE*JhtDkUW@iR4mi>wL7q3uX^om^z zAG2B-gtgWm99j4Roy9N6H2fc!i60$5eu9t4vH0M3Y?Z#A_Zy}kz2lf9;r8!ept;~3 z7GM3s;UDj_V~6;E!AlIqCe;&3?sV)eG_WZ&-_eZ0G$A7qQ7+{B`W(ckKE6 zEoxu>!htXEb9Ctoc7Od4l|?Vn78!aX%X!IrtUvvQm}CFMOGW(h$$eZudXDo)FR}Xg z6(%3N!t{gJsC@g1tv^2E(8t#>StK!E`jTTS9UgF!=N_2A@60?Bmzs>%WP-{}4HULG`0wQI*_L{qZfw=Kq4x%0JLtbdNo& zo}jwu5&9zEb=zAszj%b7;Xg3?`K84AH}T7VNFM!t&ObIXbU%87`WLTJ{r;8Y!E^L} ze$T%7k2vttQ;v#G`YL{8j7|pNOpn zU%%$yw|Ck5(|r!Cddj{f_c*rnF~{dW!({nebeFv5$QKgt6@SNavE<07FVK^GG84a; z&lCTB^$xA2zw+6<|IXsipOd6{o*^l)L&wKC?>f!}&kC{+Ucuq(n^=D=tZC&r(pMJ~ zWD-fit}?o|_wfFQ_oROO8*%E{Jh*y*XHvl4-Vv5|>PKE){)`K^7joz979L+aN<&u| zB^|Zowic7xpNn^ADM=lAT)wo1i`O>c6}6g8Yd3Ic{Z=+@+Q`P;yZ=QY=l+PlQMs-3 zde*OAMv&JUda94pS964#lu**5;t2|IAtfc25N}^f6N>4rSEs#v6E{wO!Ob(Da_8zt zybyS(+~?=f?Qgg&1?+_si`kz~5_UY6xC4od?7uR^+h(#HJ5X4(k|XyN7|OhceIlbzp7%;I5%{nCJA*|n zS*CKo%#~u%Oi6&C5!)7#)kM17pCMg2+rFMO^Eyi9nsVJ{iuKz?W)HbWeH06|%r)sH z&8UHLgBG#5kqWt{#(97oTbbXifg<}hvdmgUPAe(a@@U#hnb=jO-$t>K*eB1+#I`i^ z4kE-?DT3fqO~rmA@rB6EGXIxu8FnqiSvCrCXeG{5=?9rd=4{nyqQJP5649&XL>u|W ztwhPS!5(cSIJS}}_QhM)5$oScp+gS}%NEf?x$R4n(7r0tZK}vOY8ShD=JY6+Q6&D# zG?Dv7-)OfEk{r885Iq#u9c0?e=VD)$iE>MuR!U{gbh{>rV?Eg>b>fF6iI>FAutnl5 zpBr?NDDet(X(7|OTjpw#xHgd~NTtwckT~lm6dui_+SZV3De-V69)q6-xc=yU6n%CQ9tilz1k}HOc=kRev2F zSGH_>gRXK_ono$XnL!qrnL!paGcz+&DpM(DW=0DvTasm&Ei*Gi8H!FFxaXex-o5X2 z_xE*=Uq`y`=y&>$HMW$w_gWD%Vnxg;W$x|Ojmk^xk=(AZ>7__8FL55DNOHSDBy*?n zB#k0BtKFsq>p{sKvC~p=-%Mn=`MBiVm|!%F!g)VRd0+Fl+hSY6(`r!idXPF{*F6>| zaL~KLJF|Y~+u8Ss*_p$B_nq7?*vr$VEg~a*NYw4Z^`jX)-+w@h?kO6#w&S@Yh!%tW zbn5o zXDV>r-$LJEGk!hyIloJxOHG-}#x)yQsinul70Xz#>R;|gp{=LQD&2+XF8D%p`$Agk zH__I(8^V1=;m9FQ(*^QhYSj*|b`MkLK$KSx7-1?M@ z)~&pjE__Z~sJN*xFq2YRmb7tt^%Ih$qk4SuikfvZT=FTSC*GZsRCn?bqJh5{2e>83U)Ev-qhwW2B}oXW5u@{L4?TUenqw<6imj&Mh7iWI3t zIohKzcM=xnOp=`|Y1Z!KnQH#C;z+iYy&N4#v6FKw?cW|%7IrADHUF`3BHP3Pg}h%J zA49s2=07uz~l$+NH_*~*-RlmN<; zQl*=_i_L#LFe6v<011h2k+F-!-GvkzXQCaQWiGK(a97JZS(cjrl)1mfCR5gq@pPv% zC!Z2S!O1|dHgiX9B6yfNkQEb3MuZP(Hnt?lx}`F2wp^2B=OTINj7n_N`1TeH&3~XR z>?x7hlnFk0=9-6fSc^?IWVy?{sqrXHG?>Y?#;&rqP?J4>uIG5-UbL|ac{?Y&92@)Jz_so3cucsNO;4<|b@ zgfKf}lvY+0n%M~!jwIQ-l5XiGx$PzU#7pq=lC``fFT5x+@{&CEA#ZOm&ITE*+&ad# z%?GIsZRBJ`3^S3QoJn+G#Il2g`NEoK|AN;CztFJkJarq}NOFy*Xk9J?>xTGc&Koj? zCFYnGaPN`<&xC>fC?x0U^>=u7?p+>V|AIH?Kj!-264JU#$hdTZi0eH>J{%_b*f2*= z+wxzhX7Rr-zAsY$J65e&%aUc<%wM;J`D>Q{YXQ?^mG%lLU_THQwv01pjW~M7kmmM0 zMvh&ew6mM>qep0OZRGgDGmMSdF+IMMtEU%p`?x-Lj(zp^RvGthh;cVQ<(g$Xzl+2= zHU9_+yHm;7s^rkd1N47?gmj^)9v}QqV&D0lq2>QZ`l|Cp?z)Q4Hqqx>AFbC* zwOmowaZ%a8p|U0>OIo<3Y~)ly4U?tSoGh&7PYdDi%!+G@|YhBH$lQo*erWU3Po4G7& zpUtghsJWTp?soaPoa;H2a!nP-i>f$QRLLo^es%oROii^r>>Dv#W{)dk>YwLBwlCK&0NTBmU){wQq&}`H_7}>f>j-3H4?|} z9=WEOORB9QDzN|n|MW>jK~#2*=eG#{^;}R@vcJ25p3X`Rmz0aY5}TYxxwe^+3Rz3m zIg?*4$3Jply10f@62r?%!A-E!*m6c}zoM+>V0|Oq{T-ZDi$CJ;1y!S9-^@i-ldLIN zI8>Ba%o2F){NU(bqq@k2KUQ6d2&szCdXPiQP?1(`oG5?*B_HS zd^;ouG;!7VJ(JtUSyiVTJ2@u#cebgALp9Y5R8=!l-ppw^=ek1k`M@U0&qk(-WE9K3 zkaMT)KgH>4 zKfaHSV0-K+t=H`N%avLDLljGSkDrz6~eyev2fMDe2{gyu0G3`Zby584iOQH zXldI-PwzU?VyvkwtdiGaC@yXwHYS52O%P6c($T(w+ZS}1>C@x#-~ygsoBc-tyY>kW z?FabxS^pR3mL4bBID>rSGJ3TRaeC!x4jE5S;ZnkYOA^l$o2YawCCPe-RL23bwqHiE zKqRE^Nx}?bh&A>@wc8!Vt{}4ZdXl-B#E}182g`?I_OA zB|;cY*$(NNGNR2~NRUomxWkQfLl>fiHKa-B)*SP8x{^VG z8*;Lf2?_L&b*(Ah?j$;1*oiQ#G#RO4L!xx{c$qiB*n?OTU$M)dB3!-dxb6R z6SlL@ns{?-g52#X%gH8wpRl^Un)fy5Ig==zRx>i>+`QecWXpR|(wV)b%PZ6c#F}{$ zV&z5pK5yboJc&0J9Au5GJ>t8OoGYC@P8d+O%$K{{P5ki`pFPMIY%;`GKMk`;$sp4s zP;3)cwpV_aW4fWe*e0xDpM&_~BuBwjSY5n{hxjN=a+eEnrp|)Bu+;6&Wbd>mAU>L` zIAM{RZ&--!nop3Y3VTg8vJqP>Nj0&M^MxT8ddeK0Z|%{(DQlOoyo6w46Z|D6GM}NaF4dW4f(y`}c*;6)5{EQdCr#!{l{lwLE~JUS=>}e;8TgPY zBT;8PSp(b;;?(dAkrUZ1-*6?v=f zkQbRod{_h#f^YE-M=G}nb2soLLhMd56g6S2iGv))HqAE;G$TbbWbR!1YE1SVV%9si z`Q%TxNVYh_0hbO&?5a5%TF#}2a;h{RTz07zDLq2rf-V|XT)}I76QwJr8CZFqpT7Hz z+&|qW(>RWYS9b6KazKs0^z!?>kOKDf^2fY7{{_EXn#JAQvp6=n68kePZ2I#{_Ws*3 zcKmu0<2UzFz477lEn#i6|qyOUEl4C?0CX=*V!T9Rq^v~&|a#bqHcFvSLn{X*9odi>Vf^2f|HVz?qi*$|e zUQwrapM-UHiCX)BEd3W`>i$mZ+UKNgxlihbJE-?Ok>c=x)KyoB_Ig5Sz%zn3Uc}M* zDw$EQ3Ep~>tPS_bSaXxO^;bw+f0?{hXHcy^L+qM|s8>EFeeES$Q3m1S)OKWui7+Ct2om-0@1* z{4;?Y9+0&42H7!hh}d%tzuo7tcE2f7~3&{GLw6#Hr^v~?R^4w-6vzc z*erhL$VgasliZcJsnnC#R$j(`$5s3-uM@ZXzN~wPv<(-<|FgtyyM)5{CYgKWy!F?K z-*lB+u{%d!gV7B#B{nH*#je%2DAavIy5{);TYn>B+cOf^JRoHI9YVL?#%JqQ5)JN3 zqq;|`;E}TK9tm3>lDz7kU~^AwxI>1%#8ZyBs~!+9_Qh_wLdNcE#BIKVhsj0aa~~17 z?HB=jE|Ts0k|2wRgl@V=nyi(x`Z*aZB;GQgQvU`ju`NsNir;t{AHmvt?_IJtJjPe- z3Ce##fbl8Z_nar%`yR0#4+!0OiRi7DN!WZ{{JBcritA*pkTqqFv^9583nr10drp=& z@iBZr%E~8FsGkz$b)CfZ7jZGTL!#%O<@LwpO3YO2@1fAYMTW#KajoDfcBxlA65pPX zwDu*w+g=g0`JwzQxodKl;(#A<-F^X&-4{_fJtNBG7Ac$Wle1nE|GT6L9to1)iR&ew zWIpAJyX5Lg?#uNV+a$*8uTdc9m1$q2L|5`v{EjobPK@Pk!nR!}Y12*8H{6t%-6dy* zV7}@;+4|2Y-u&;FZTg*A@4n`n&yNvhluxs>KSTR`xapY0Nv|+zysoGW75IFsqVR_r z+BToZY)J&QyX$Gw+0UIXWe>e~OJsf~FHi60{hkdM7sMogjrM9?maSMSglq2q|92s^rGV*b zFJkf1wFC!i(c5M~ZU0u%)NzC-B@tXujCXbraVjNINxmFEwU6$~l{}nT&WW+r9GIHV zwfi6OKv>u>*FK}dK9*x2|C95JFG*J@C(EdXzNP&f*1k^ud?`FTo>8&y5*GvCkh=E- zA;uR8+#wrS{~t6j{i_tQE4Y1rlb~gPBmDcP_}=Y%Zz5%;ei;`h}(LO#Dr&=*&+|Ke9P59bJ9 zcov(87sPLUO3>GGp7(ErB>sfs+!;dbUt?kRhRC_E3H;^__G|t|;K#q?``K@VfASO2 zpFGF^v%C1}+$To+Hh%7M-qu?}r7vT@>j_~I|AFh=OV~#L%qshPWGxnZKEHwA`k!!` z{{qi1ZV~o{Y`V{0i*LW<|H*5jKYNIW!E=Hf-e58R2G-(3ruEP4*?tuRw|lG#cqu;K zCS2x;(|Lmbk_UKvd6$q+pAzxOD?&c`6|c|!F3I#~!oPh@jLpwD$tDZ`6dC#$3`)8uR{gJRwWiGMH>#HY3e)5QvkM0sJxVh^|gE0RQ zkL3@D{Qen97QbNg^(|ZsUl433#lq+poaaBsNbhGn7yVAiM?Vq#srdE9a{@nqNVwP- zKkpGyiyz^yC5>s*PdI-eN2^~kU33Fmkt6Z@ej!L=>i5|L0=|1dxc)0#zIcq!=Px8~ zZwUM76`>zLC-jr2xGa?-qyI!U>k}Ln-^AYP0p5kbVYp-(ciT6F?tF&La=~xjJ;GPW zoL@f|d!7;U*&{+FW&xi+BItXW93e>dx6E=m)L7bq11Vf@5iqQ{PZ`;gP$djUJ>!teWK@F!*}Ub;{5~{t;@K5 zc@x)7kBN48&9;>niSqazpG{A2)P0D{cT!yS1#j`q=PTJ8f>rPrF9e?-1*aEy&v}K1 z?6EMDpK;WCj?>(yg2hi*F1&-6?Qi(F|A^ndhq&qrM*7mkzP}^!zb`|RlTQfxMCPCK zn4L@iAIzHdzghU+Ph@QTJMH@(F}dSBH@5u9)SelNL{=tg{gvR4f1*?S6wMotk>OTC z`6d+u>xOwa|21jv-=lI@2EU!!#qBHaar5qMt{?n{3n#ze>4~rDD_O?jgYL|9X49rj zWU#lD#_Sv>dh=**b)>(03)4sE6Q8gMZT%H2(bq;tS2Oc}vu9$BdDa!9SJBPOkw zLg#Gi^V;$DOQX`R5VdI~p$?stnvYXtagZ9L1JoOiP-4-C(xZ`I;t>PaDhB~C3)^yFc6$;2SQ=nEg6JJtBvTF?OszG7*sib>F zlI{{umQ@x7R+1|g-4Z{+$81#W(mcAqom}5~I_m}~N-Yw+#ir0A(%L(Tb&R08po$d# zG_oZZvh9jVlsPgj2Prk3re^n1s`j3u(C`$c#z*C~Zn~5*ccbR6rO_1Hr;*m#gL`l^ zMTz-z3``R2mO;8hDN**d#2PnA?#NtXPm#p|S$l+Pqc*DT%czabr@Ob26!&<+EssoR zr7+4oQi4=W_nboIt|sU0-DRsp0#`}=`%ugEW#*HVNxW)|2dFpcq{gESWn3+35miLG z=8@)FL~)?}?4C{2;1Ob!l|+hfDfT%i?KF4(EfaeRD7Gvj&$?JJlb>x{*rs=h4`=;P z%)b0D`iw6zV4cSyiQfg=at_;8QL=kXn$APK-+fHW@&VfS4CA#qfrg#K>|b({N1y#n z`bYOD+ZWGoXV&rP+I!r-^*$GdmvChA8!q40Bd2~1br%vTtxusODTCtrCejsZ$_tew zCz(=TWyOy^JoIr9 z@l?eI&>0#`TTmF?fqtCuj%Pf#fU-gbt$~qLM@G`tSVmKD1cRBeG?n{O9_2<$Kr(G! zO~h}h#aF9?;3eZkNrw)QqyMstcZipGdQs#}xD7n$4}p2c8sAsVhvSG|U6V z$#TkTrFcaL(i#;@mU9P&x*de*OVM3?g!uVqg-xC$e10pZo{H zg2Tjjcj{U*XdWn`Hz1Bi*=YHJZP=_X5=h_B$(xS=3GJq6wnsOL8wfOzNUR6k0V5 z`3=(;SwUrNGL7K@^oICR9uZH6UnD)H88j+Fsfh`tCrt1$SK_l=O|15QqLxmQxNw?; zMTZGl)o#NH^ z|4z~e_oeZK^XGFbczAIZ_ilZ}jmb4!p3vs}we{q`3LxdzYHC|r7))wop!zV`rG2yx zNW)fn)8Fb$U)L()qSvxwG45@c7^Y`Eze^Z1w+5@DERMUUC8JW~2B~Tw2 zO{ZTn4PFVTox{j-@+a5cM>?Y$`Sz}qm?x8C8%=vb9660aH26uu2*@E{HdbY19Ea1h z8BoMg6zxKTzn3I&66vlAa;-|pwMnJcB%Lbbe3aJ0itJQ$#ne#LSWbSN57ps*l6XPX z1$)q+6-jSZ3O%V|fp(NQ*d6Ddwjo$4UKwW4W$^aE17FlH22}>1;USTRpV;v(5Bb**#Rz0yf zfleXinDJv0Ulu}>_W(L3qaxKEsWKL3L7Wt zT|F5|PNh01gqq-3n*F8ld8G>`37m_{q+jgnj`osb=F6~qmdsH~!QN&H1fzVr2w?+R z!Yqq~ZRHd1mQ6=#6RpZ@N`nGqqe!8T^rkK`koKZPn$p6lmEu?%B!xRD5`}9hxz;HZ zYrdFlR4o{lp|Vkt>=2Jyid|c67M(uQ)coTpj1D6w*_VT9u^f;bXcp#F?_ErTPX$Ge zYPl|pT-!8ZEs_uR;lj4#NHWW%Afb@j&SJ?ScZPf@+Bb8w@`%8hcHK$$y$EWx<3UPWnkn6UaVngUa)^N(UQB8o9(G$W#3 zhJ2hE_KOl0q$Fpbf?}&=ifp6+3)3z)DJ0)4pB!feT}AaY7Ad7T2h;5vMO#29jZvZW zD^fUEsG>DGMqZ1eLJCcsTQteGS*UHQ1fOb&eHmqznPOig<1y(BxqH*+9YCK?2*ciC zjCck!m6XV6u{5)kKq`VYaSf*{`{z53@vR%zMZo zox3zk!%XQuwFXG1Kg;K1(;MK)<`Tfi%{LiDG@#iz2@uTLcyZ1jJCq9nW_0Q;E zIY6REHpNDT3@smFa_t3@|J07#hx>_}J;tc+8A^>>B{9_`Ii*r%xt}xUS2^k|G}|tP zJk8T~Y}8a+*3e;G$jP8CVG%v#Bs5U0dGLgDiO9$zdfi(XNEx6uqL^a)bgFIgDYhw+ zLQzVdtLCXWny3Cqvf35UF0w{tBg`qggltthHC7okSe8)aprFztm;JGIbVL?Q*G;C- zA(cX>e6lUeDRt}=`BqM=$iN1%r@*O!1ov{!(x-lkASIh9VbL7j4Fj~8iBSWHBW$OOkCvOVfZ73o(N zSBFB~OsT4eGNJpW_Da%iRV3MpZ_X`L+B8ycRVfmrfNJX^N&GI^DCOdFHZ|5cax9@v zq(Pf!F?og6BzKEcmrb7IQ9y!A8Ezhu_#!21Y^!Oo7U?PT);X0@A=0BQriwiK6!ATi z3X$0*;$Lz=F)dN`C=-fNL@G)1mV)e3jk8NLF>Yg01Z6Whsm!ZXbHv2 zdMXn0$ahjvAsDLNOQ@0})0*5tON6HIn&W%QsdXr#_HlWIF9N|9ML5|f^=2D;MPD09&~=}9SbsmRgXnZs3b%Tcg#sGv%4 zuCpj3&!&vDSczXz4aFfTR60n`NYTu37Ssj!J$JCUO9mAD3W5a7^9zKhr| z@oKgb|EvUmIbS8XR%glU$(lc7@Ul9wIBcTCf(ott+Kr zRZ!#FK#9b?)UHH|NUsg>PAKew20`@N- zqtMdRXR-b^7B1666||6lUigv+*S@Fwv?a+ivH1PmkI$)RsCrIv>6|__cn}b^S=+&NN%wn2qi*pPa zALVwinuFPPw8;6bsv`P*Cm7cKH^w$RAyI#rxOLMgbT5*vbBzk^BNT5vjl1u8lC95^ zy7CAq`qLDwIY*q{F*1y9qA+<*y#9Ssb)ONf^^6ehABkM~hGd;rr0P5&e)S_%OaG3S z_DkIMo+r}(E*Z=3k+$qL>H3d|S#gH!9e1cU|54_=M)Zo?#OdD?NpzLO)%QqTb((yg zV<_}b5WV3PPV0^mseg_LzgI+Tx<`u61=Kn-WUfC)?y|!)IX)(Q(+Se_Pm!f_7H{3# zxUaZN%F1)7bdI20aYE)jCv#mSWZiKReQy$Ebc`&$^CYdjM*OO?M68}9+2ba02It7p zxr$nB4_|r{?`3DipUY&*`U<@>q^~?d#)|XAt+;{5=Ch=(o*`H7Ix%{8DA0XMj@Cs2 z%r6t|^^AC(%OvPMCV17)>{#`j2;ENPKnlW@^p?9yx|zeTc+@_ zzDcV21ER&&+?AJzUw=k?JWATmb5vM8Bu@7@@oUc$t9y$Oty`pv9}1l_sPvBuUeiQw zIfKLcW0)EoB0u;p#abt+Ty%vL!76UUadPygXt#PL=bn`~9VKD)8Ii9iiCc4ngjF{s zzSmI-eyMtw30!v(+f7IC4|^o%o}*CrJ|Sx#kg@VQiOY}4CjObkb$4X$yCmt0Pm;Ug zD_@eJ^O~&XKa;8bjNGL!NLu|f+g4m8+*^L%bDcb`8zP|}kR@0|X-^a4c!L<58{{rO zO_Ho*zV#fAI;V*j?9x}Bra=2BdAbwCt(}yZ$@zxYP*^;de7#Kenk(Xy#CVnD_NFt^ z0ACQXLVng0DZJ_`?n}=SqkoOGl~*J_Go-FMMZ$*DM93ZqUUO7paGI#~GlWYn#!8N9 zaEa2^JSXB2A={3Vutu=fm;4gkQ`ZTxSb0+BI!>m+Y>FA=Pqy66nOjn1c`YV{XW<6TVKP5BbdtTi7oa?tgpy#m>QNO4$ z`)55n{^KM;zqT^)RPz9jZ+Ln61Mc0P#f^LKaQmi6$*b@3=%)Ps=(-4O5NUi$_yG%nzxf?(M)6Tm~{Rwvc2O)Ql`@EAdJyPMOHut zNkPe|1c!Rl43Sis)ce#^AKXojNd;y5TB+RAL-F1r^7pn-=TnP1rT~R~7=>2R>Avw`x+A~Nj~1(#f5SZSmNXOI(^Nv25tG?x^?U2@x@ zlxX`lG7Q@UqaMn4cTgxfm1Eu@a;=f>s1adssifH_ljWr#*HcNUTMp%-hO*ryC&j*O z`&5zrsZ{#qQ{}CcSjNd-6T2;=^^w&Im@Ew;P&!+!@CD}hJ2>ELz0e=xH{Zkq*KU6*ksF zlFpPcg;T`HToJ1d7@g987DVIWL>m>^QnQi|H)OqGl+U zg02LL$|C7Wi<4%cVmz&nWbY2_HZ>5Ye-P#3(_}9_EexWcoQ?U6W;W8SN~5|UmcAMl zJ%tL|dZefir_+-YBl9Owms7xWbSK%#qgZb(5@t3)qTrOhc!sRS!Y*|V60@tBvAlx} zX6Dn7n=Upgp3C5}y`iG1%X?WL|bgUX5kntMa2Yxbe5FO|~9bXrtd48>%!KemXZ=3&B| zs!3fsD7Ks>N$b3@;gf`|=qJ^ro}s*6`toxqE{LGJGmonJbaF@XsqD(5IwyhJoKyyd z9MsAl@Xl_-drb@KMd!(zf150BRTU%Zdm-JYqo|bqenJ@7#UCEAJ?thC*-hNMuz?5n zrGVY~lq1b1>>pXc;YS;&xtmVn>q4%dLA$Hq>9(Ilmn8BFT^QTGKk-zG)}vdqc_a+%*7bLIeeWZZ99$cby9*u5ELOfR7cZK$#a$q#Cx+PV~F zR3n)+Be)AAQSRuVdcz@dH%^eadx(_1(s_3m39D))L)lGQVl#Dtjnp`mlM&yDzp9P6 z%ueE5(#i7Ap{Md7LDrST8uy7k!{lxkn|61TwX>fVgCmq!bWj}GMp;BH1&JLfyvj&S zttBP1mduPrAD)(d#P6LVZ~X~!*PRlnK1Kdc>FPF(r~@PhQB73E z52AJvMit$KZMOL9TZ7W4oM86?>DH}8hmGLvG)RiDoLpgFg_{phxN(Y{Z3ju%DeTL= zg%YnKk$(-s5C;fwEF#vwUgqv4-=~#4^Kz<9Dyda;5E!K-R`U;zEn}3*9JyOYQ0yKc zX?v%z%5EC{J5YMpk`v!XY;-m8i8Z7|RiYM#p5rP7z%d&|b_vOaRTS(jA!p|h89OG( z-6rgJTNjFL2T&OG(Ht>Od2HL;JFLV<6%r9sN>q{*rT7kN9IMH*E0$bnB2hU`%r?od zZR4o7pQ3Qv2}DlD_yU*?IPLKQwtMnn$@k(DIG)sm3ZMNN|Ai6{ZJb0KMM z#|Uw4muq{(mH|}TMp0}ZBV}7JmEMAvu$s=S78DUhR42ES98e;gzeVP%r!{kgRJT$} zYy_v=F5DEwgc(;;vgt7SYo`SpdEc-{Y^)PzUq^+T6duVrWv0X?q=4M`I`YHosm>TA zRd7%AtdbnBMjhBncg9iDEy_@sm!TA;Qn0Ur28%irp3NBS?xESNgP$^!xL9VvnYxXP zwyt6@Ek>AZ2hLxQ;rreb4(UInPGobCH0Z+h{T!2qI=%7@u6_SWd{P~MKe2%)8ijWM zGcI(SaeVj-rq3-PwaTu1~#nMX6uG!gokU<*5N>7mo@46@uZeE5~ywCaufNOP+wb!9=7&_r$1?HR1Fo(*Lb`dT(Dw=s zZ<}Fw{&N(wf5h|4E7a_s;(nfr6Af|HNq0&%3nAU87RBnL9CAN}@23^`eKUZU6hZ&5 zMhN|^k4(MeqWy>PTR2S6f&pyiw&C$r2TsxrO;(QKxOP-1`Z4SmH4+zp5_jVcynm?1 zeqJ43-?tJtrwgy|+Hs!Sj?n(gOTk zS_zMu!eUN2zCSeK^FsrkbDQv(+k)%7b{yw+U^BlH+xgwt&FdgU*05hBW5Wn$iyLuU zDJ(~9^Iq43D(M`S%S#FRzKWQy>j|FIB-hvDG_Mw)uPbo-p%tU0eV8uo$7lHgTz}}n zdcznS*7UPeY;#{bh`r7LC6PC9*wl~Z!bY*B5uZ8rxGku~?fV|WzdDKMcSBe%YQpII za-5cne{%*fU)0Io#m#Kj?Gbi!2*0IWxNB?f-f^D2`c>F278~X?h<{D^e%FZKoEGu1 z8{0+w7<}J>%c29g&mF;d*)WEi4&f$qxom90ePbQI^NL7JJBj_?HnE|UfH}1UeAi6y z*Ik5sKZwh>g8952Ouuc$;@d`vM?2;}G~=YxkN4^WxGZQVan&ILzG^4M_5i`ooj5J1 zA?T}S!oJbiQYn7c;`&_+j^A`)H>VfVuiLO+*pI^!$%Ezng3UOF5|d=Bar{?w;%6vK zF!CfpU)JLJLx=d)OyCbi1kEeNQ~Y%KUSc!93x|0UyG0Urts!>*(2o7OY4$CdBz4Ov z!go$$zq&`{%SoITwcsT4drJNUekYqvhR?T>17Ek|{CzLZa}MArEW~EPA+cva7ORIP zCxoJPDQkDceYzRe})1%22_F8RsPV?jT= zbb4@EKY_#dUHGjZCqUwmWG6m`_hT}@h+xg@g0J1Y8i`>Y4s#pu{kj|fuLrT7+lBFx zc5Jn~v0u<8c_z(gUN3IC(rmU5VXfbR=lcCPY6%Vt#E*HcxQdVd-}I0o{`hEjG4H)W zO7)I$)vAUOmo%=GWOB4Z$+)i&0sZ56esYk|4<9hNNp4arDYQ#k6#F(Osd%YW>eyrTsBsF-vJ3*v-J< zT_k5XQCN~Aohg*U!fK+TQm8EKqO;G2md3SQI6jASy{oybovq|Um6=Qjt*(jR5aMdnJbpj|E1y+4_r_;$J+E^^RA zb6b#ZD$I^hYAW<}-)$;QX2`WXOortFYCTWTWH&{v;TZL%!Z;kylIMDnEQjOd`U{J& z8Y02H4~0_~RaSr8nxw|8OPElz=zPsBV-8YieuQH4Qmd1>hijM}r%bTRlWQ^^j*%xelxe=dW+O$_r4xlqJ4FtHi**}?4t3JyTd1@i zCSQD1XzuFiDy&0;xA|czjLwqdeUm1ebF%gX*-m4WSc`OVogl?gb4%wbS#Me}IxNS- z)SFCGX?&DC>ofBH1&SRm%j@STHk+Z?<^t6&Hz=|^ONq%D%8gE=v==$-ctkKfLG8Z7 z)S3=U%z7!ZIv}w+Prl%tVKYIo>v6gM2!+OnD7BuZ%JVE)_LHRf2v%;VNVT3K-t`1E z`;H0*N2stEqsCk|qjfi>mOWJ19Hhu>O4d0o>(8JzKPoYvpu+16YMV0@*`7q{cNB%g ze&Rgk8hc@imL1eu42qo-;=AO6sT?gQDKi@tJck6oK`Ly85lcKuTyIj~a6@uK<_MgW zIJd|={UkUYlJf;4^U1e1m064mR%4QjM+K8h6q?+kK(J|%+^;ekC)4SOC=SUfV_|=u zlHaz%^o04Rx{XKm#|C&?FVtBfvFZ+?;zJMqcsFlx#7a*J`wB*YPiY+8Z%#X{Sll@?HoEC{is@Y|n4Q?}HJH$Ur-S}nDilbS{ z6gt(=y7e&eb57$o`vxKJ-(zIW8EUi!@!uwjX^q5RXM(%$|Hj#6Z#ZnK=9km@Z|@iQ z@YXwAo0`kL$z|LbUqV;oMp_2eQ(qk;Ogf6%x+pSI-6*JuC$H9+@o`aUL%L)nEo05< zC1~qyLTmX-IWGF|`+-RT)7H~rg_azDSV2grE^Yfa&@;ZA;(Ryaf}&BSCKKV8Nxq_p zX#aRd4(+18X&#qP&*SXCBF=X$I zOy?Y>^BM?RR!Ho^P9{Chx0dil^@J^} z!bh(eFYQ_~tVhT;7$8l$ScI@G|0Nv+EbYWcS7e)?bWW`{LbN)FlFlAGx0j$r`$_w@ zkElhpgf3|ycyT9zi~0zW&Ktg{otOpn#4M^LNK53%;&S{JtBCf~+&Q)D?T1W*#Ey_9 zErc!@k}*u^!frz3wP1NIXt7A1MFRvb93^&156ScD30PK7=;C@JWxXKtKGLlB6D;-z zEtTsQ3=*-RgGgad;fuu<>5zeoh6tKJMZ`Rjs=^kMerO|Nq0GIs@$DT(BNo@-wWe0& z^C`lFJqF6U0a|r$=TH8jh1Bo*iJfyud_73)e0g7A*qC&}JilWEFKr`C7-8)EMwv%& zT-YV+cM`p@^{owI8ao!X5hYzeN*IaXa$!Di?Qg?xNtZB(E^-`?5w}&&Sz1Q?0>Nlr z6-jez2wPGsHZ%}7PgsRkr{LK`+?-*;1S7v?eFQBL>ARqj#D&5N^n~3>QOqzKBU~8g zAHLViIl@Gj{_%6@5@93Dy762(D(4&^?uUMfZvz3#D+JRP!sZ>4SP$d9p^Z{0V8P3q z#D*q`g|IX^&qu3;2>UStZH0m82s_m4Ax8Z2)YkYaIVHIJ3-b&WJi-?Cy^Uwc{1L*J zNL(d{LL|3>mzEMM`4+RGlQfS>iJLG}?K-)(K`?248=J_5a_*uInWs&#YZV)t-{xV2 zTo<*dj;MtdGEW^5^IM2r+CpZ?QDSw=h|m_ctko~;9+0tLuJ3%Ck0F9%h~~JsT}H29 z(M_^2q9mEyLr3yk@Cg$ivs|a}-_b2L2{V=TW9AN!Fh`1_FxnWwIa1;pK6ir1AI2qb zI#8L8lWedbKgsLJMKyAc%p-FKiA|xhX4sONx4HH9y@fTz3R{eq5xby@0LkSwU)|uN zS+7Z-`xj0bR8VJUPPk4g4vX?B5yl$x?HG~YP0H~kwLjD|uxpgSJxVG!SJJokApiXM zzxZDtzUHs{%J}`XHm9G?;^dQAygv5mzwt3scfA<9AHU{sfo>WMO_*$l`w!~hfV2iT*I9iE$&Wl;ik~RXO};G`-%M5cfTaj zK3EvoFPxlzN~A{$>5>dX>!%o8I>pGoo8%iGp;fx&lakYvGK z*94v0g<^vs^o}y;Y8LIakBS%V)H3p*5+SYdFAN`sScuc_O0k?LJHDBE+3e4|T(k2D%#a%z(k zq)L}>O}I;`%QQuTP0QZ#x6c`<+j~T=7yS0kP--AdYWEef_ZszLhX$i;>FjD@U=0Ri zG>fFjvTPzIzK`lCVOx6-Q);Anu*e^yL`JdXgk}_&ObO27kJwea=fqo#)RqGh6Ja@G zbAiN6DXd~3^&x5dMkug6Laz8#D$JokuqcQIrRd0oVg%xmJa#?}*p*%`^JbMoF<$(J$7 zMPWcD2jBXt!K%#QqTqL(ioMq<7mU=Bw{P*0HP!hy#HV3ewjZOw@VcyZ{%zj9%_Ct} zn*1p>*)M9NPe!l!Dmk%Ja!K+fQ&?}IQME8m7+R0M&bs$$F}s3}nB^TAES6 zNAvomFwe8>S@(>0X8lh3_i$;?Q~K;%#GheW!zMTrBy4lvfXs82@*Vdm`k{ozRgFZM zmQuI9k)v9}{5t#Z{LhbG@kSWfKTj;<%%j;%yqL{TmuB(1jHen#cKsdh-kF8sS5IvJ zQjPmR%5eU38O4uOOx)Pc*&DNY`uKe^;=f}3swFI5wwh%tmY}ur+kgEas}74->$6B} z9*ME~oE+WD*@+#T7%nC!Lrrt<1o34G8V0(_Q$=#1-GuSBIb1*TJ(mxE$Ca6ncz9(t z_in$(qpKfLAD_v@XRo-q;xY+)N<OY{={2C2Hc~8dnknP$_oYf_= zMSf*(d`+6(bI$m`kiJ`!xPKtaSm98{Fp`(B= zk|E7aXmsgq)DDw0$Yy9Wmd#{)8in&2dJNCfVseo>^D`okj#4U;s8sW;LF-dgSxBL? zkj?9OpBk%Eq&tleT6T#_pNCQ;AJ8tFy2N3Ea=U{fLnW~+Pm7$oM4eFVdb0~c*H2Mz zag<8UU1UvX$n(4=1@{!qrsqgXlmeQ1OE%C^+V;tL=4UArpGs}c$~+<&O;6HfKK*vy zLc3FxTV9koCFw+VW_q2b+HRUgkp>|_QYcIB3ML{ktWSENNu<_gIz*Z_$YyUc5x>l&kc(`sus$L79Hr6hq)6=m0C*<+Q|NhyhT~iIg!ND*sTt~oxfDpE|A&*e~%iotAg<@N_>CC-sB#$XFVnRhkxg;!H*mf9J-|FHaQ+-T=UN~ z!MteSW4u4VMCp=ddUg*IvR6g@<~k;|`}xav|BIX7KICvrAup~h=9jad@aW1%Z$EzZ zB=Ae<%6vH`Yh4efY!1lL`8qY)T9n0BMUh+noq9gQ^xHhWRwn5(>z64VI@bW zEE(;e!|f|Sa8+2?)yv;VCDr^FQt09Rk4dn1=g@~QIrZ(NbdXXqwpK8@d5W$%C&>MB znD})nspPI4^syt#$O<3RG`w~s5w}h{5UtUf0`VQiB zgp%(bC2iLLnLm^f>o|(P=Rw?bDsVMx#>aCIx0Pi?={FIo(}AZ>H*UJkB#Oj{URX}B zb{ud5(jw-)!UC3vmQAXKYV zBuS^xZjHQamN6l+>kvLGMW%|>iV_)RvZF!?=N0F;qBHxzR$?pyLEfr}ZQYm?{NFJR$?APYuX3&D?8i~QOQ36&C5h3$f zuPr0etev#Abp(n$vX&S}>6R0}u#m8&)p#%O7HNEl^zWvKn?HtwuE--{V(EKDW-M>S zYkfWLE2{BYDY&d{ByVRwVe)#Q#Lj4#f@Lh6PuJ3&-v7#ggG?G1G{@`2eL-gwK zSg-lhMJ;}-MaJcvC1k^}NK=u6R+Bi1GO%4C>ul=6UB6CnttWYY6Y+vi(83;xT{9_5 zMRM!rV!Nps_w60BRxv)Q-2_<)i-HUqs_1x$Db>@9;KbXa(hwr`pO5&3X zpYr_5T!Q+&usz;|)AR^&$A!Tk3T5Q>DlXjnkO%kQB`fZ8Ht6U`joQpooposG{mb1b zmh0)Eqqmu5i*!g#TF&tBR;H%*(OFkdWMm$h#cCqrQpn3IluaH+W7`fIo0o8WY9*&8 zbvZizG0$$j&;8r)@bb==l!gie`{-A0uDV3*t}IjrRdnbza#-g%3A27BN%#5NZgkz{ zBq}+^+uS5t7?xt=a~ePWPpaN~ir+iWNuTpSNdERUvF|^_?~_Ng8vKJ|?cd1!<~gw+ z-XZdxyLg!X%vRf%*erj9)6So9)_;WW;`_vJx=WtpS-e*l5w==If_{Z;<}LzP4-oVD z6_P&w5f@j{_v;_w_0bdDf_}#@>US(Zzlf{x6E^L-EeUmt@U{1di+_pVN-3WDB~naV ziPNhgcugJN+Lwv{?m7NuKjWkMbpNdT>@xlxC+EN6`rZZH{Qt^c(?@tNzf15BFUTH0f}oEs;BW9VLEC>KV)iXOKD~q0 zmoIUh{}PYzpNTSjNYutx?D_l{K_1sh-q1&ao)mQ{9wAaJQ?xqBUpPtlm#1X|J|x`y zZ+I;HtHj{XBKLpC_~V-x8a$N7^PK#3FL9oG5!f5LSE=W-*B3W>W z(a}69r-7(7jl_I&gyhd&;yvdlJomi8?YlepTED`y`LAsI<`@|pevu9O9P3pNu-HJUJEnj2$ z(F05m{!ca;-okI$@3?sWlbtIsV!8G{Htuf-5f+=ERZGws$$=F$#A&ySp9fIRIZEKd zTZCBtCl+7+h{bz9;Dwht?z3(7lCb86QDW`kwC@+6_&A|n|JaAI->dYqYUj2aA7vACFEzJY4XYt#a z+5CQS78j*RRlfGa=kFcZ{zsJ@%PDvo$iasjID7ju9z1-XoTM+1(ra)gl%ypGQRGa{AkLG1|71x-=EJvC_T6<5A=*2@DCKJTIQ%u6+!)3iF!M z=Jv_&xN+uPo?M;Hog42<0sEQ^*9gX?fSsB*MT(J{OkpsiYlrFn?iOk9{cqep`jL)p zGhA~$MxJp8VWwlmn027sc8rd1Zg64G47ElBv^yN3!(oa}izzt{al&beL!rmX4;>-X zu2q8CNqzlwvi!Q~4%km-d=Dw^nol81H}L9Yw6u%^)v-(zrExs3goEW-^i>ql=+;NO z>m{lW+#}bmUg&l!i49#O6*Z9OT0&{gB;G*-lvoW@P9%k5gjGnH;RL6EvCTuA+Ws3UpGo)A+l6CkT$%Uho*f&#Q+eeo3BrOGf9IA}r zbfJ>tIkgBttzBhsnLV1y>;!&G`sk{Z!R^vxqU$K?|p z)Ix|$1!>B5j;Omhk=w*ERV|a!q|RnEb3OJ5U5*np$6g>i=nx9K2C8h!M83C>Y*$2P z!6Z2`Q>EU>d7w$| zd7`|8U5ED3nJ_JR&_;#CxWMB8y(N827RGQ+t-+{D;upt>iZ})(rY-L0Xh}Llti(RY zwug$$3Bp?hGw(856{pCx?nmjppS0jIno5cpu1V%-vEZGT!7+6uQ~4!=mx8|dTACXU zNsdpEyLW=z&@o~UjgjP8NR4uk48JCnZY?N0s;Ek-V}FTAn%oA?W;StBS;~~Ul#|(Q zw3-~FKk***ZPy73R-kq%Ct~V2ZgEYdr5z+~{1SfQog{f3#4Dyw6ipb%i%S?Q6nqL) zoGeJA0U_u`4W~jjsIsj3)478z+er$82bio# zWV$$7?5bcQFNb4-+12z;+B~OFCJT#+m?YYvk64!hGUBJP4`@Vv@Ct$IArgFgNVo4r zY1Tu#v+OUMNs-$kr_ByfV<~%2awkmZ8VR5MncTUrxwLnL!zPXNTWbEk`+(^^FHkJJ ziu>&Q`2Xo1U8{$v+1NpZaW)OxJLy_E!NX6Va%$x$51mu^@vIK_Z_MVGOS5@=^CNEF ze4j^`KI5qrwKMlWq3(qPiGR)`{BKcY{2oTbqez;CM4i5*%acdnkQ((3Yjw2H)zy}< z0v%nwe=T6TELyXS#d;qT8L^1I#w`rB>?1AOf}FxM+!6wbsz}E>A)0*o{lugzgVk$! zcxo9JhnI8y(7U|2`3`q)&F0CqPbmsY69)DRm$c6iZ=fJ=UmeX0Mrir`7AaEcUH^0w z<$@tD$;Qgwn@y;ticm98N=y|@ZD?i2CYBOw8`Ku=Fwuq1f^g?YY zbBRy6M$VKNJJW8KPJMnQnP~yyuPdcy0Vr)eC^NM}U7SF2e<9hX?j+lW5Ml3+w_KBA z8;H`>pFE>rvQ5I|{Qzpj*Gl_%ilxY9S;tXg5rW#>mn^Gr5+ajOpQ<9--CK%CA{oZX z!Z!U-ngo(=nsQCU(>fR`r; zQQ_1jXQPm{Gp&P2vWya3{iP5|Y>fiQ+avy&+o3YICf_g_MQ|}S`S~PU`jTYhPgQ)X zFl1i>gPc$o=Ajfnv#cVBv-T(0%z-q)P-W^#zRaaCi6mLpNY^}+#WNOFTQMa;A>^7w zlM)t1N?9DCHjb2)s)_XqCqppGHBS*{=_A*9iG4DMsgDfJLs`7YGLsy2jG#U*i>#bt z0^MB6ad4uhIE`34Z!%KzDLhm|w6ihgMv=ssq!Vi3h055UB(YCr>Mhv0qp)!w;enT3*X9zeRSCz0+BbPbl1k`_jseV8=aP*j3dwPT{37ec;85K7Ac z)HV`3!7a`>lk+=z7~R#xb(2tz?(?U{Cylrb1=KAVB<6!71kL`5fOlWfwB!Ko+Ybhh0I+3j0@+!;pEg0OrKjz%jp!7 z4%Sh4QJThZA??TOX&Ch5)JY32o?S*({4&<*uSREu4!SF}MKS)%Lp`+gv{}A-5!zbc zkQAoN%n5C&e9Ou0+(pV{Ja(f_gwLem*CPOSDd-qo!_kB5xPDxRYe!dc?)WD>);yJ4 z419C%V{*L{82jKSE-X4l{2m1v+lqvNoul)cizq+4B9i7V@gKkD(CYu2to6@{+H;5S z?I$VQ{e!D4Bxc}@!WERoSkPVSU*kCy2Ipc zJS5`)jk`~hn{)+#yBdEp@F|Kl)0F94MWu5Q zueFEB*)T%t-XY?5??<)uAcY%7soZ{uDx+g0hz*IGkCL_aJjxYUh~IvJ5@D~gen+LK z2!3l06SL(4ySE)DY~vXU1^bdsttdn)XKp=2(MCyfgGnM1Zd2rbfy@=7BK0QmF%-FB zJwZX#bqXA3M8+Nhz-X`-+T`By0hf1KPC7aC3@Ep;&z-P$K)xY z3e9b&C01*W6SP-iv$vZHXOU}Bl8<{&$r_hYt+*t)HbK&seo}W2lD4By;@6F8%Sm}{ zKau7`sFTDln|p+>ze4tg(`2sglwyC9wAj037oR6#hnf=IgT#p>jaYGC^7gA?{$BzXB*%D(uS znD_pju=oDoguefhZoO_PV0{D{#8bPojb8Eh#h+etcHsoiT@(4~(ww)_@z;xAadbe7 zBa@$V5lZ+)v4bwo;P z>n;7)zk%tZtFOa~#or0_*5>j3Z<)FO9{YdXj`C$P(f`aO>fh4I_$7hzTLFyTp2zhE zA9MHm=iIse88`3E=D`hNVENlhECr#q@?xqR14G{X3{w zS3&;fT2i*xQE5FyY1A}nA~9li)JXDIQectFKu$D+#daJhu;gH#9b+<1<@!_Vt)MD$ zT;yK6Y>Z+l00YGDswc&?jn1Hx)cG8d&D=ox_A;`K6KP8fWwOMM;Q})z75C5hi4~BRXbr8`67YE=ADgF;L528=_jFT3UzQFNgIpF+tEhMUSVqm3P$s+#14C= zv)wqDZ_I&WQ^s?oi|2RY>RV3gPU+ePEmG7=sr2e0A@3lO>cg_}YDwQyPspBFYLZgf zuMTBG<-%d5Gs9{RnInK>$_z4nl1SgGL@oFv?X4ttn{-TzT9VWHi0_;xNMaIaSVp8U zg2<>?#`9u1kmt@=fgKaMW*k=eaX6=ljxdpn+l$HAQIBGK4LRE-R>m!q21ua^6wEF9 zWJA|T;m^d~*ORW|a1JTF#V=1r@|+pUcVk*&kQN?AjJlUxuQ4emErNMHVdnM3J2X=i zeTXtQkw)8UNZ-{=wxNpd%yqliSd93fN;yEzrdl$#caXEK znM}dEC}o<=s3|GN9pr84ByDdNt%+$I$oFG1*FuIFBl)%rmikhk97lR=1&KwSlx!=O z0wT=8pq0!$c~p3hP>|3^f<+0cU1g}ZXHerAE&fD_KQ4?HJ8(d4!C{%VEi;(t$SPr9 zBV@Yu%RIRf|4Nd!=aJ;!LTEuZ>6Oid8K=v}@59x!jI^Xk`b&N2DYoZWjwRDd3;ErP zk>U)>GOEar?31QjL$2h1$+iYzSoLJa3{hNri6Dm>^0rr#yrqm-pG1a=oH>$j&Xmea zY_j8Ek%{DI2qRH#B+9->-P!VX#P6sh)<~|67@{hFiWHw#DOBx(T@R|ABIhlJWzRGS zj`gz7YRTMQC0HE5ea?9`NHR1I}qOTcF@>s zN2WTO@EB1AIdR0sN0OQ*Y+V&VZ;J!Hotue^T+OPLn^~}Y3EC@`qWiA}OiOPiOZ2y* zz5Ek0!WQuI_U9r8Kjz@Qxs>0N2_JOf_p+JjC#_UG^kwSdx7@lriziZ1A6}cy-8=8_ zSbn~*`S-6zP|I7PN}&YX4U$Y1e*s@2!2+i{*yud5;xu9LrNMwrt%GS^)u zYTGqp?Cy$myepmeuCR`46zr*`KO=^oJOc)Ecd}n;!a$xEN7Iu?wQIuH^fZydm&sal z66KnQWNto7$|mVZyRXsU@C#{DOq15lkh!~s_V6r*a$V__xejHyGpKZ zQZyrvNHGwb^{$h?{8P7$$o08H%C7T-@4PDO+$KfXSzUG^L$V={WLYqg>B&HW z5#8nHWctOE7IISNnL#O?KX1cBve#cFUOH=r*Dcabg^@GW&zge&P+`fyNX z#3AKADP|@zT&WEY6G@&)l59$)bWhD~x^q`wke^Qy7Pl+tM zO_k+ivR9lH|E{3gF-S{7CT#_#f{hf^3?GhXo3meKNn&6w<~9dN_Pr&{^D@d+cgWp% zS8O~_n9)T_J%17AA^9P`rtGeyHY!D8?~AD6^cTXzdk}*Zq$Fjsp~g`qEcmOM8(SN3-`brPxbL zp(8cPX(I7zP`PPx@){W{uL%>nB5d>wX{OgG^>{(-#?#{ORbn@vA;zhUK9v*WN@K>d zyy=o+*j=)Z?(BGB+wHPj|9o3qf2j+$MGPC9-9| zWJ_)-)?Gy*=jE)G*ET#OV(xP;efAs8%g%E~3Rb^&5RpDB>C1BAnB+;8lNl(TZ}X#WUo|}|+j%7_>z3Aiezd9K^=U00-I>K}k&wqnmUHF!*Ib-fKzrR5 z8tRQH%=V=+ClPhF3q=LtWTwZ{-jKxfs4wFO){_{w5^epBEYQ_rx$Y8lbpNG*E!ETK zhqbF&qVqYKAxrq}#^?NaWj0r@Z6m+CfcWt}qJUuAtvs!E|^NIpu8>$5f*9%tz%~ zNWHBvF~{A$VdFQ?e0lq#DdYF&%zh^(bAsfyu*0tS+EX_l%!6qiDJXdXH0K2pny zDE3rR;M_*OLj`4?!ZJLIs1B^6)UJ$D*9MAQOKA;N)0dG!dqy&Y@x^qfX3?CTMqy|z zz5e?tEfrkc^Qp0JAkRtca4n(EMopuy%mgBgOMo=$7Rx* zmP%z-CN1g?IuyNB2?J}f7lvxmOr32VIbOvS1eDNfS3#pqBNYxJ&m4;>2`;89p_tKF zB?n_O#m`K7le6i`5SA2KO0jc26&7` zEd@Wja;jW%sZUVQl#xkiS`G)|RE&lv(U($4YIrkg8GW=AbWvhgOpR^hTR+v_()qm$ zX$WkWd}yS~u@RNK&Y(s!r;Xx+%7( zq{LC;a>BKmdcmjMp_(+;7Lt7G>5#l0iA!f7E}M42sxBjqmaJTQ66$6D zNUqseQ|Z`1nWOyfDp)y`Q!7{(JC;x``BbWzPYP4LN8{VytL>|((+qjNOmel_xQRO^ z`?oWeUr%-q!C<&}5&j~OXg7r*9$u(jKoyHZ^FfLFIZ zBP=qMX8q@!+B7MhHc%v$1buxU?Vp_|Y1Sj@E?1fG>gHvIjm+~o=TCmj>A~$xD-!83 zOQpcto@|#m6c*`J8^%z+*IyFfg^RxF)FdR55D`eYuRA%)G*pq1#Fu8{pA%1@x2J4w zFB0wChzXA)D>#!flQ_x^qsg?2KxG+0={|o_ECZ;F$s|M>j-Qtu%FI-h0RgDN0MnCMT%i0je$a$kIcMdlGC*@Tl|9!7_Un*PXK5`BC~ z3Jjq>CY!S0WU`A2aZQLO)m3aa2_{4H$M&!kLVTh~wF#4M7fJmN%^#veNHYtf+bN4G zha_U$1Q(Y;N);;7!y-tn$|Ep65pPd_!Bpb2F9t%v&I&toTwTB-?QfzaAVu;fCRS_$%xfA5>N2+6(_!mMzS^|MCj+B}QP#|+;nTL>N z8cd;42qjjLw8Z378a5E>gyR(djVF5W1u{U|XL-=xc@#K)3j?N60) zFa<_|q*{fNW*bN5zF1lUrLYEPk?9aXv@#i&lmN1dGl|Q~CfFwuwN*3mQfX3NWAzL79CAQdMpvSu_Tt|5D^+Gegq183Mbh% zhy*DT#kP@@?+bhT$9T1&_-GMDvbh(@{{G}=h)fO<$%CuCV^l6kj5$)A7lSBT@23 zW&MW_9`VvVeZna+2_(ldOkyTj8wCqv3X$fJKy`dR>B1TVy#vU|&LJRCt}o6bB`1dv ziDib3#7VA+@QJ}UC51>!PpYg#1#hv@G>~lDSSlnZ4@(oM6JOIEgNRQ|A}~eRz06UX zkw=EJ~a=A0z4UV&*PMZiY~7h9`sr=Grocc zSLbqYT8~4Skcnz> zeEZzkk2%t|nj^zsa^<`(O(g~#9P=e4!i6|R68>>+B&K8#8WBRa(wy!_A3B@N2#MBZ z;fgONK_AYMeF9=P3LxkxIqO=|np!0|@+t;L7 z|Ba$`zf!FAD}Fl9a9;6}#DzZ+zvvO!+kPXt?mwkC{2~eZGa0+@lNSD?B*ssKg#1pR z_G4m~y&!Dg3!)uGVr=@Es%5VzoBx#jA6}6&|965GJ;QtFGxF8{z(4gF{^>sxX7dn_ zq&HYAp5vGI8?GjIiCu9A&z<*0YCOkv+e@jZgU$F%STyBl9&6Lk;Y<>!dic|o$(b3As6FYEqHwf0{qp8KQV^o$^# z$0F|plXVYqi~R||lsEW`KejG6a8^DgIO!RIasN)lnwKOmd5V+%V?6eX{MqyG6s`Cb zmH3yu_&#}aZql*jN0II_uiG0U%&G{P1 z_D?C$k#iUSO5)--#4dPA=F*?ZTKS5q-G4`6@Hf)ke-VcGGp5^a;9~laoZ!EZW&JCm zOYf1olK4Q!ORNr?U{DSA&x(3d>g^axk$MY_iMf10=Nue7KCPkbb|ol2juF8CCVc~5Z3ctn!K(R0I55_L}#WqB2AtLubs zd_mK$e~>G&3tRe%=%qhVyx?yl(|?iTc8!?qS2z|uU~T*vEL9Stw5KHG{DPgyb=*YC zd+9ttY4Vaz|NlU-^RHxWksMt1gj%zIL#cO*W4iyrjU{i`zvUwLBFea=a^>fNO`IFs z!bD0Ki5qKh`bhBq@b7f3xYu{d_x{X9?VAKyc9OSa zg5#Sm)APOP`gb4W{@y8KKAB=<+atUeHDL4oD4X9`;Q4hsrORH@Zuk(R507L0{v|@* zJx{>w83I2%O2Kyz3Had(ZgVc+{M`&@-=D|ny9*dEJjag3C$U>Hg>ut9oW49lmi-F? zS6#$y_Beijn#TU4lh}W737^@Qad`I>E+3v{^AA((n|l(+g);iM)7|42!XRt5%T_3eBZq$_RX;Cvq`qhA7SmXLCh9T67}s2 zmNM@)ZJB${Av|U7-1*muU3dkB_b=@IW*o2gr^K&mJpObHi;s_E_R%yB?@VF(?P*pl zJ;9CzGx*QHfs@#{XUSETueijTWtZ_-bcukkPocJXf#sqZY(JSMNahK9?--6B9>MGr zN%#*g;x+p^`@Xr%t}jjy`oneXzdXm*c_-L3cZ#JerieCrjML{wG5g`T$nc+V{p1L- zA08v}o#WWezJ%jPa?QIJu>I%?CZAtm?^h@BSa1c`PtUSt$ssnap2qR(6WA`kfbS2t zh?sqj5c?E@SfXDJ+(r z$4>VmR`X9_xA-c?UtT18!)wClp2d6ZITT*6Bu*pvzk5*Pe;D7{$MJekuzlweE+3r5 z^P6+neRUq|IhV0tbQ8<@SJODh&^|_d&~q$4nZWL|Ak~PeLVdZ8^iD9_a)ab|Nb%t^Uq zMUpgjmwgw+rUUqWG>O{>hq3+m1P-5^!E?66VD=g8Ke@q{ug0i$j2lXOB74(p*GlZUqf3os?vD(or=*X|WZ99qSnz z)FM7^F8cawShsZ#D>u$%(+(|W>91aeMn>wdS%db99q27zOJv|O4)m;Hf6r#hOJk|) zJVIjaVIo^5iL0K%WBd^P_v7gs-^Ht$<=h=w$IP)syuS245AM$5!o4pj&8?&Ri@!6p z@C+_n3nDRLP@ zY0;0;LFRKEA=|2pB>PTzPi(O4M{PVv(Y`(^OvKK84HTQ#k#A5-twB5aQnU+#4xzT} z5(e5!wOKc{<~`)P?-v{Us5I!MZnqpwhRHG+KxsKjzR@t%JNs$e+b1^5x#qp3n{`nb zc#IU65s^>>B54LiA`DROIw3M?h?gvE5^igNHpZdN1!D(=tR-=(-ZO|wY*Cx_ zqmtiMVt=Mt-&-uREQdvc_frvknnZ^Iirhv?bL%G4wvE(4%@_Q8$uZq8*p5=VcSv$( zNU)Y+G)SeqUM#kk7-k1nskoY&}PV z_6d~M2WZ~d%;7b?l&qWP!RJr;$DVBd{pfOTT$ciN{WC6)tmoA9$6P%3Ipcj&phmaT z*;hnrejZKz4di6z(BE;8f&yF495&_X=n68E7YMl!>9~3;+N&1I@xPzUt&x#`+*W5b z%a-U69l4hNP9w(pEg7!wCCIu8hh5cJZ_6dXz7eON{)>oL3RJJdn7*ga?L!;6J~>yU z!K*!l*j%xjf`m@U|_oh+dP35XKNmn`_Nyuhvs50 znpCMYg-x-4(<^#6_LF2$K&n+GMSG+o?-bCtOYrw}kZjmSY3LOBK@%eTTF91U$=fMK z%%Fu#!)lr15Lrfb6zq}WX3&h%uvw_Nye~nFksxOpOA_qbFXs*tXE8{a6f1>nxU!vn zDEG9JySI((T@BQD3xIZAs73x3?QWFf)I!nTF8R4zMxV?-K%T(>3Mn>el7uNzJkuq4 z3$}L?DT$aOMIh0nlPK?D3IZlbF=!)8k~q&$irwx8%Jwu#jHdD?k+%21Zhnz3| zMwqrylyq2%O+SS@TTt)qB+;~o5-A2nI|s?xH$=g%0Wzdeq?&XK*6lLHMp;88bLa1E zMlBmQ%&3Nh$PubSkCL;iN8%y4%cfGubvYWl_HZ@fLxrcI<<)RJyqD@9SxH|?VVPh2g^$Dvjqtu{U3T1=DzWHswDx_g6 zC3oN2put~;W_``b5v*bb7sZxQE^NC@gX1Wd^0K&;>%~CvUZ&c-IhGbemX$jy`y}$k z*P11Q&Eg3%cOIg3T?Ydzx@g&SoS(mX%uDMG{&r$Hw{Fem;k6IBFtUi5iN!p)xS8(q zot!@5PKG*@pv)rTN|i)sCy`N*Pin3e-OVNpHXD!_F`xCTm$P*FDzuibW4RpvfB%Kl z)?Y4~axTl3eL-aMa@q%XGCH}L;uKdh-F(UQ2%*p|irU~nOzzzw`L_^qU)gi^{#Nb} zE#vCMm%O<69?#Fu;`xKmaZ=<_X#F3w=}fk8WJvN;p0 zq^H=CrW#AyN;J<@ETz}Hn(GBQj1~oQsK|$zTt|-OIWt=3$WWO#2lG6+RTjay@=(Uh z{1`3tm!1k=x+=wn zB0q+U1LeIy4(A65ZvOO@`*Elxf~krCna4vgbr+o71vhuO-a~NouUsOw0Mx z1^$c_`@fy%e2y0*C324B!=$`EmG3WD1~4U9PpShLF9;G_139gbbEp8JLew`Or$ z#^bx65pJJM?k}B30)$_1hnDEAsC|N!Rt?cZ}ej zr%7LP8I|@a#v?D0wQZ2XEr+S!AhK@DAz@zog&7H@-y_sNXqwpI3DWoW5-fB(dq)>p z(!mmhP8Vj}7S=LI&E{dsH;s^Fa6p*a81?JMsM&A;#m+HuWTfpmNY9#a8rI8LH!kNK zB3#%I;MQf0Y;rG3^@V!f7GBV>Xno*>q9 z3t6_yDeJ$5gu&a$lu27IIZcqxCwgkG z6+ETbZx`Iinu>ST4^W(6@HJ=~`I4htiK9UFkU4k*;e*9eMw}#Q`Zhwu>gP*Oq}gw2 ztXuk^jg5KCk^H3DOaA4UH2b~edmbcx+J3@Dh#elXfi$UElKn1{2JIq8&Xs4gqY=M3 zwmTYQ$okhU-DWFkPRGfxyvR}IJ7nqJW}p29E}EXE&T=*Dh8!w zQroqT1ENajid)%ivWW)sJ>2PXiLa_RS-ql$U#}T*^K>(=oNmI6r9dz|{nI2+6-6}`cZMG#Pa2$2vsjTpx!6uJ5)nrOh#RkR~m#P=N{)^R`$@m33nkKV-;pIT-*6iQ5U$eJPKA;%?Kiw&|B%Oj6T zv=Q55y@cGpOJ&cih_)0Ot0k9<$+D;? z-(opQHp`^Zmr`mj!$1fwO&Tq&?<$h4B!7eIi4fbEG(?7n^&;YI=My5f+TWp)>`{w_ zJQfiqac5hLJsMC&yse^EiWsWNwydU9YM;@62@!IRQ2Vuniv5nZttUtOsq8Em@P-4z7}1R^jV7 zm*m7Pgp8{o#HN}!|LsIaA0$fg`@lMha|r=M1#>o%7wcst_m|q+tR%*634vleXFASf z_TYI051dDI_!<(Y*9z(6GjVi2zL7#K5_gi+EzD7dt(91Q*=L;KI!^GAVO2-A)J1K9=-*gUF+b%R5xa+<^r|4epG%_YTT89pLNW+=a5mDzC?cWIzn@Kf7) zFAI9_;$_R*d~NlBMQav|*fHkT86|EhF1dBC8F!B~s?IECwh z?YREB0+$0axGqfN&=pOt-fK>9ye5702V>fGFeZlG=xy5JzZ00Dfj$;Gdg$o(z%NvX zLpulX%Z|P*UtU6Sat6!%{8&0YjjX~HMnAtt!F_ki?oVXvz202e--@fdb%a^fxN)ip zPfxZK8JWp;)t6lCdy44;f=L@$$a1rFtm}Q3xTeqWZF!On!`5?U`XcJ4#*!NtL}tiL zG8Iq4cRED2RvqJ8)-t2l9;S3%iC4P?jO>&{_{>9iDy|LQc_|@n7BWS>l=umU7~;MW zw*ku-HEtUdM(tsk-0!Kqh8e~iaP3gTl(yA`s?Q}#y#kjm+nCyFHM6=G;t{X`FP9CB zZ@ZkSGY{aCx|0$5X?S|BW#r@yOwy@kQnv=i*=)qS^|;eG_)NH8{i^VA8aW%#yfV z+tx5qru?LCwTx?B!c47lyfv2M(|U!hU5ihrMgP3Kalak-^;(HX=S2ig*v60!1&nuI z!3x0=!)};-y`Om*yfSYp=k^d`sgOu1spP3UA4gulhP>wOGMS^?Ipm1Ae{h zNibc9v)&S%Cv9cMoa5N*W-(*J2K)xE!BvRLuIqeeTJOX|V)0d9O`sgF$dgx_2Kl?1 zAgu*Va^8g3h>c8bxe!|+lE{V&lB0OsC#=PNwBqu}TN&MMK7M_-GO6csCbwTA`CcqB zH4vySHB(#B_=P2-W%$hS7orh|;MQ>w6Kl^ibaW9Dq;|t*?q!;gr(g3$xEQTueBbqW z3bwtq>hX~EyxP>`Cs>H;w2sL=q)wC1Fj{XJlUgmn*-G(Z%x-LCh=)!-AaN|mO)%-% zOV;bRok{JM;oW8>Q#IBwt&Lz=a|PZlq;4H+32<0Vn4HU}RXzTa$3Xqf4Cz|QZ5=MMxc6R;%#3GAcpzH? zlKCZa0sDh@lVoxT_ola*)^7hf*>9ag&j6uQKG@M(n?eV|p~2*GH6iE`&EL zT}xC~AMCsK!ProTZsz~?VQzgxQ;d5#(A}sfGvd0iqRx_ytL>Q;=7pzQ31v=)s2jb4 z1fN2jk3J&#Q39dQlBs@T&d!y6*|E9@7Zh)$IMtMACp9Pbq$smO@vQ1S(BHM>$Zk;m$ej6 z6k9fKEA=iLSv+PfDPAW?a@)KT~5k5FHq~WiyGI>^7k=HJPxyV=5iLT+fHWEBFaaJTFl%%iPyfT>A?}l?RwJs-A+0 z8_DzAMAq~=LKbWxeB&wRh%Kp>^JWT3$I3e8E{CadK1{Xq0hW#2O~u%QRJ)(0c=9gl zQ-396aswrD-uV*$0*_xv3f)59;d3N=my_!*)7x_+p6+W|=(>;UaXTsVki5$Ot0vS^ z?bX1dX{%ThvW@DgTPSi7yXU%%f>6o7+e(U(_mUhU7Tr_vs^Jb%zgx&3cZ$V|>qNOo ztYeSJzV}i)PHb(&21-)buq?cuY*~BGh-J(zI6|C{sH&*V6s3sWaTA3!R&31XYS9o-vo=k^>~jFv<2f zO6r88Bsm|X%=w65_ZOCo*~$EI8z^<(LFu?(S?F_&Ig756n=UnQUQc+$HsY2ZC3b2B zWyQOR^;<;Fl*QyvTq^N2kmWA+NcOo72P-?m0AaRoy2dP9^W>u=LJ0`%Pqb-;cNQ874P*f}hGA>TNDi zqqCazGFVodZ)2^=79O;D!sGT2Sh{*WtMB#`aihc&xg8UycJitc2k$FBim1-fWfqM8 zC4|9WPvG|GDC6HPXUw}*%)dK^7eZi9&nV-U))rG!3+#LJ6#_G$o0;K%ConxD6S|pN zp<&Sl&(w~rUu(kV)qN?c2qb9oDi%6Fqrv4Sll)IG?96SJ+>B=HRq>`*)H$)zf@3RM za_f@V$5Tp;1Xet(m_03ja>48_?s^#n7}T)BXd9c&_LALkEB@WZzI3Z*N1x01wcEl( zqiXzYvk24OPECi)92LM+O$w8SN~6Rrg?Zz1SU4e_lOuB26;(@2S}9p#X+tA2NL#R2 z8aI*Jm^?~yB1!W0rpPmu0(Y@v7L_vD7vtY!3!z;%5!ih-qjh)T)Mq&jzKaN7GlzJ; z@WyXqE-A?-EhwLynRzVF7KuM4fg-P5A;5)1h&Ax;Rl&^e>j>*2gwkyhzTI}<)@ca~ z$1b9zMr3+uJQWiXMX{EUlwCmbpsq{z{63V8`Glksovq`O%HPMesmx8f*q{L=0=Rh%)UOtk~ zOyZ|4V~{A1i1up;Yqyyx-M8b{Rg_1kT0#uxG0$TKi~Pzdo0>?WXDB7pW67A7D-D>% zqSOVHh3Atgdn=zh-Eg-_3UlIP{jDP16S z$q=$jWo}j_!D)%4`$tkyx`@Q7(GqJo1=BK!9+`=+ZUzxu77*ESB>`PFGfjKFU~(>@ z;}=p;CssK&p9DVEyeEb@W^DVQ8du}3kfW9pcuxGHMT)y$SPe7mh9 zxZ4_Lc9=)lpi1WEG*DcaN!s)P=1qyEU|tBx48nb)ShiLMcV@m2 zZx&^4SmE$v5Ah#OHMU`7NncfQtk-pvB`4RIw-{zq0B32A8qd~WrZAQze zGF?enr}>15y9jHynBpGG*k!wm?G~$9V_L^Xz4biOc*^@$_gK7YHOn6M<;8I!uoFUD zH=1$vQd2IU)8PIwGY%}7itp+$#@{{7jAI9wb!k82FN>hLG=_&qHF*F*ogt zO)s;?Yk_q)`L_!i8VI9V==4XoizC7Qz1dzljE%K6SV76^J&a#j zN6mgOa`z2o{WT*F?r`G9F73t(^xr)Fp9EIMR^>m~sdx^|emSA0t667ugta}6vfAz@ z1tZtf;8ei1ncFEFwU$H|ndG7_axEXQxZN2p%jDk}9?r_h8LW+&#ip=GHiiUpDmtDG zl^M({PhdgpY-&NmRr3f*Oh!rBWH6$l`=_=EX-+n-If>l2q1Z1+Xf18uhWhER9K}!dn{Et%5w) z^~@X5ATh5bZ`28rN9|{8_-ZN^&!Heaj^)w8tcjb&+;krrN`hEi8_ANQ5UOKmu}ap@ zpVdJ4*zKYoHZgbDYUU2#OyNkGgv0At7qFh?b@N0CPNOz%wn+3yb_V*hAt!(h<+88x zNQpCsr9rt=h0G^N_Le_tJ4M4qWjO9-;gBsdO&3z{lgYZ2EIDU@#2?7o=xA1kN3c3I zirR`W7S>0yJ}Q(o0Rr3zu|89)C>pbp5~)M6;ypye4vNy-B_z|pmiTovgyl*OVkED# zsZR{1IzE)6$qB5^4rEojFUw;BSt-q;xPV}Sa~1Q3ub^=BMsh?|R1DiC`&&!sj4I~V zEM<9V9xK8ESsoY6VyW$dEPvL_iJ~qqi0VW?mPZ9q8>aa7B~jF4mW%RUD|OpU*~rZl zxNaiBX&Gf@_0-oTu{A1+ErH?G#|JSdZ5q4tB3POq$D-IURtt9OBhr{RyG(MufJ(2)!)1l!GfVMR+a@)T^q=P zq^Zg?=n8 z3+6y+4C~`(Q!7|1i?1Ml>PFHe*X6@EQtl`!cl7$kuXHOLvy|;|4b(@^V0o;c;4Zi^ z-}Q-stSO6T*?hrLP7v#a6jw*avoa-~^|`5{{^W5|%k`1|tPKldY3xE0`Yq-_>vz<4 z`i+x=FR^*3IF}(yIqtTReIBCNMywPJuVT@#ova;rn0nm}Y&YB{Dy)H(rW<&w{*3P} z?y-CEDpuVx<^EYE9voHT^4S)myp%a90_fc3PMrD0j=)8pWbP>?c3wQ?yV97|5KGko zXYQRb;K2oBA`*1zV``3R&+Zr)>(W#4PW=ByV8*>MH0VLkUV20Z_TzYYGzS-YuxzIl zvogBUuwWERX15ja+cP!Ql=*ASNS-^4!`m&nu~Co9`?R?7UkI$2?ahC(Me``L2gpQm z*v2Z|^K9+VLON!a*TL~^JMk8PeZ4R9I(4UiS2p{hE_Me zU#86ZFxdllu*~-i`SB-3W$mKGejN*JHjrg6fD-$&Y}QFi#vLNVZjY#$!z5epC1%hb zQUz>zLw7OPK?*!{C7F&#$#DFY#R0o04%$G%;GGoMog`ZTp5?rS+L=39GD9Tt;7SS{ z>&dX+K$NXmVMnpLj$0@jKA%Fz2GWO~Cd%P7tGsrTp0P$IdIhCI*7^3UNgBDF`pJ7( zo_K<}lXp{OBUWI*7W@WoW|ojc#*l3ikC3;+UUCQTCChOGHB$tL3EPOC)IheZv2f59 z7WEZyh>cwq_zMlQkC8HT71=}96D?#lZRk$Y?az>Fe~tnNu_f~Otf8Bzbv;G7$o#}% zqUeUzQ#eFyjDuLS@oOlL*hxeDDOqD9nUc>OtG!H=yu^$W+dE<-3uLdw4jU5jUASfIbu5*5>wXL&CClpLb>NYsl#fr95#_MY%Nhk#A*)P zD6#Bdj{RN=2k#+$_%33dwvZgPS1jp17FtRD`>mB+t|ZB6DJ#4sM>Eez?sigSyPwR# z2ZRBhBtC89pZ{OE^T)h(#>2ULtX>AW`mLI`JUOr(Y#+ zpjc&DC&ytAQ3KZ#KXMCcikKvKCBp?PQPNL{+$8#cvq}qQ)z1 zHwtdVo{PP$5&O3-_LTI>8p^EJkSL@+$-Y7Gu$|I@LV)&r$d@&;hDp!JAX+`;02LB* zvCSI6<2uRfS{ah-Svd6%O0OC9F&;K2Cb01N{?%yRGG)WbmZl3RjwauEl#gDYqySJZoL~R3#L;(Z#u>E zrjocQi}@Qo*}SGFJJ*>L9%_WSkr5__I_Mf0pkwrJ?*lV1(8pA}7e+dI#Q682QtU!i z_)IoE9L>U~&eWZi>3Df2Ax{%&cs`C_tMp`A+i-PFKdxPF!p-BV+&rTq7P84d3CuB% z9co`V-17ucBZ`T)TfkQH6RhoYoy@jp2p^Oo6UUUBW&PMyJcg3+X@rb)C#C;-7Ii$% zuOkF7(!^ih;XjV5mFNYci7kUq|pkO`B? zcb~{qhLf3;cijVY!dr$FXjZth~y6zG9FLP#E}F!4Q7Jt2-3#SAj5Sw+0H?fjtnNv zIYibAA!Y0gQYK6##c3MZqXQ*J0fdb8BsORoMd6dllN@C@O(1df6vm7hM~ufzl3gb= zXZQpPCD++5&SZ~uA+zx|ffN@niO-kBu>k~nddiv;iF27k+Q=DFrw}3fC|rm6;x{%@ z*7hgGZ7NA_lJC*J2XTpT?~aE*}R98S@&aI&OdGRFGLTHfT2 z@{wUJ7?a1PIQtSm-j|qhGh~lG)Xh#J%XK>OR3J-Sa5XW~hk+a%K6Jf!wM zGGqz}>9vvzs-LLt{*s+zQdsF_&$2RWPFD?LN7N`G@hLH`B1&4o;g`X zY_Hx%W!hrO3hT(5>&%v|1KGH05HZ1K^fxo6mwtN;`}7tPGyP8jGeX~}7sdu!=x7@g zG_ya&6ALI!j-={d2yu7oNI0>PxQn4odbx^acSmrhek{qB`Ru8&Y#{8sLp z|9TR?@l{Cm*PQBom>8#YNk$2)jVsx0dW~qMj|7@uW_?g1*NUuJnqtV@cvrDk0)B_% zRA}61ss2fF`duL1@*?R24v=lLj=5H~oEm?Uc_GIL_uMQhWCay2yNHts73#f%$Z=vZ zWCCRj-P-s*n*^DfGeAE#p50&%ER4)>RSZsBiOzYF6*d3;F z;wk3Fo{(ILLK(OTuSxp_^xLIr)-!$L3gX1N#0`+Yt&fo~{5VsFZXspx4pFyT$&~$- zSnnih&~_@u9id2Ua+LjIQ3Pw5JLUxGjt8U(_A_gu5igFRfBtLU(cgld+Ma`r`a^G@^e_7*qEGCIv3HDqo1hJloVOvO&$7c2ag^_PjZcsH|a@BVS!4nUWGh_$xqqYbsieehP zjRd<*WJ-?WMVUr9OFcxL=Gz{o#Of%8vgcG$Hc_Hr(!zcvN0ds2MV(l=olJ3GiO;Mx zgiI9WJ7yd4qHa?MZ6bW|7NT8_5Ftt|&F+Zo>yXs%pkQea@uJ*ggAP(GYCcVV&l_}* zI44a`jtIdn zBi4Qixi0%;Xs^THXBPpYgbVxaW=>zpwd7-}>p^@*?IdjINvZj1O8W1obigJO?N^ZC zzD=-wk+Siph_MoUP56~)C&|SWiFd*dVn;~K4jag|-in`K$jA9taTsEYZB9|#|5)SR zl0`XXNSsS1A0w-u*R4dliDDeTi&U}Gv9@c8bl6O+ID$&&qf|KVBi~Yb z!&(%W&1TuRoL3Z=kJ(XvQGU(bUJp1kb0G%3TIFRVgyzzB-wLH#Lr3V!0 zTxt9`QFV90SN8)f>av+r?H`j>^$*e#<9V{znD+;jcyz1 z1oq}fuwi)$8|Ox|Iw6!*nGtMHh-F7=8F~IC%{(PmO-(9=IT7qk zN@sse39GW|i3pjCw?!<8eGAF&T~3MK5+RuiLhYkjRaiwsRya#DC$eS!R903>Y-?t- zr88bVoQ4hxp#u`bz%Uo)rEusDdNwLu)HiDPN*Y*uFkuqiQ!O-b==DO^a{$Vj64 zr;}=2L1dqeMDxTm!z6zJ>`aVgMRqES z=aw;b%4{YM&SJLZ5~9rOi0w0vV5>|b$Hz)tOIaeAUYaqLoi$<9RnKJ2vS3zL%%CdY zla1-J@8m!hm1R*7l0!(JNRrGdi8Nb=uhnAw?FtF&AIkRl0@kPdu`Yc&+lzx(Qx(MO zx^UJk31dOQWWn}yYBLr0hjD6t315hU!m5Ir;kmq+ z7tGgHeq7z)&6VZ(to7SVkoG}5RBkf8>0K6?U1g=|Ug~-^u-1A9HO3n`-tihafghO_ z9m0d7`i-wB-aMtr#!V({-Q0m4w@rzEG@HQJb(GXKkTp4*)X9S9=}Vd69z$B{NR})f z!IFgoi4HNr)LfhH20hR-G{jKxr5=r!)9I~;o{layz0GNB))kMap3I+^Mt$@ga-VqN zeZQKt8`+F`U&pjpyEuMEk25RA5@5TK9rIl|eN&k$H-x~>E3U>W40}q0?BR2Ty#C^- z-YvoupK%+$m&Ls{vQt!5T=N^aD%~Np`99Y5mq|A`jj&Ng_>Wjg`pA>)mfNY=#bN`a zMP`?i<6248$Sf95D(ASUo4Q#m2oK9AFMA)dO;E}hMk{ZYm_K)|- zC&MF~;>5L7PTxkc|4HJ8oFZq?CFWXRqulBuv4eLKK4mH81&b*x&ZIcAhEm@u3e%R7 z8e2*1(zQ%aFT>9>g%#d48@HeQfyc=oe2p^e3zXX)6Xmd-bmwJcW~?B;w3_+R zRpf+Kk{hys+>~k}MNY?8G>FX$q{2In{P+e6vknt7^dNJrFEhXYWwPzh5Iyt|gMg=8VbC@4jNnYSQG830Et7r)^tCow3ilE4=kVuaTW=vf{M(A0wr`JWf+@-?i zA!P&3i&ffBl9woh*jmyvmr$BmL+-3RQZwcdmRUk#)O_Yln?t4I8;YDOnB}#Wkl;(q z8+(zAL8pivcAaAD+mwpp4H&tC*jSmak?B;VE+8|hR%}ZqalvJT6fS0NU=_tKS>(EB zP?oxmi0CyG%oe3(f0`Cw2RU08!gfMH`rxJ)h;ta|n#j zB`Bko+_=@`WX>mVYK++DKvKeGf4LjT4&6z?=rf{t9#Uv^j~d&{6b?SXWX}cyvQ|=2 z*g#2KK1mr_WW`jHkXB2m5MM#%a?)JmDR(MkasFWvmYpTgWiNAV&&paiD73jvy2BZg zJhxCfXEil@>Pe76lUSRHhY(=&k~C5p3Q0TGKwx4FQ6VvSZkDxgo?^zV^;Al&mRmle zdf+2chaV$w!V1~b1`-qV$S<#E z<#7!=hjW*aqWAX83OpJ&ez1IJY_=X%Q&h(z*hYh~e$d+J~^082y`F zB6{EphU?sAq|!B>|$y})1T5$>|LsY=JNZ-1E4MwhT{dz?|dE;CC15;{GO;W*?5 z-TMBDYr9{WqJD~57Bcnh9^=sTvK)6y_H+#oiEEh3RSdMQ;W+#{qbyF+zsIl4(!Gdx z+mnpwc??_qqZkjl#EACCn9<`Rqum}e+~Wx&R4?JtH*wGT*hg}V+OUm%4ErnOB0Eq={?3P zJrkERQ8vdIXMGh{{ZmY6b`%TsU+L2KETf0rWRT7|yawGOV8TNj`=4Y` ztJ92CzKf^i$i2x!hO1n`w%rj%IA38%Ux~lpMNEv3$lyJVo6%*ub=k{^(HC*-cA5bL zuj4WK6=NNrVJ)>EuXGu2r4w@7%cLfI>C@s6{YG79oa1pEjSn-j*C~edIFGgYZH!u9 zXQa(@JUZXRS@!}1r#!%6<`af%-jG-xF|7F;iRUIWmCoZV_#D~q1|x>wW2E^lELz@Y zpxHh8TRuQf_b56--nM4Pa1%lw)9N@A%x+4q9x=A*1p=jBeo8k5OAm07fj+d=73@tf zF=5I*2K2dv&BO<2+nrt zbFdnIedrZ2VF!ZppSgta|O!J3sEyQJOtLf?(^tvJ5SR>-L%>mPKa%~?>tkT1jcGqSj7|DsVQGw#c|XPF zDNM2GXN5&?TTCqU2=(j3$(k`7J!H+SZP^Ujag>p31*psSU>6~T5w(r2JEw7OM<*Wb zYr*9U&AD(qgv|BJx1guwFHsQHeAhI`5K&Y{pXm$jy+Sl?ef{s5VXLyj}oX(hLF zc2N^vL|I-e$9JYs6_U<6yIL-Jt|57ZO#Z&>$TQzUuH4f4EhBv3QUcs+hz}Np@xD9An@# z{J2uWht4Nz@M5M8ufuM7(JLt%yHzYu9icu;@fnsU@y;iH zU_IG=mr$no)*#zOWLcJzX|<4~K}!i2)#^J~9zS$3K@JPa57~~-j3wku*&z0HiA?kr z1dgmCVsJTGHf7{n%_YOOk_h{GOdD0jy!c-l|Be~Bgan&aq*||#Jyw!3r52yqEzF&; znykSUMETY+YeF#@{c~hr1*F{&yzoDE6c`iBw?DwGg0zuQA)8zHNp0E za?S-rI+PROSVmg7D1E_5(3rVI$p69}sv0ri?;ry?Vg~{KYlsY5NBE#}(ghcpBO8db zokya?o@!S?n%t6XD~PpQAjd9YmR+6P-@r@>Wc?)DIb`)Kq)hVd>$e=gVRJ~9<5R4QCAK1bMir2runGSO^GO-I zl-#i^N$EeIY|9!lENdy~x0o2IUG#uT0*6UG2dw9e%^@lT=MNVo@^wWVyD~l4QBum< z@Ottb*GUgvCEx4@RmQui?y-Vh{Z6n(hVUlyL%izvjBt$$B&}S`FE@K|{<7k7I_jLQ zw_wkPHf+AvnWD#zM7(_2K# zkj6K(TJ-)(JcRXoJ8)}Hckb@j;rIb{u3b>P6JIRk>6VQOY@OOyj`Ti5u&A#n>pa%?IZa)= zlT;dQC);%)wN5#l^($jbMjQ?0vshO)lSLslY_U1agXy=)AA5y7+nbcxNrDC)rDVtf zk^YAW9lnD?@57W$I>WsFX9aj-3FYxo5!aYHYC93W`zcR6LGt8nBu_jfw&4H;1GbZI zx1U_Qn-4?1+6tkJ?4H<4)#@Z!a2sguH}%%pP`}@w1K)lXaGaDcgyk zc3AApAxf>!ktB28f>&5(DGJhVkHol*?7|xajNL_|%dg~( zRW!>H0$qQlWXxga4v_yjo}}1DZq{eT`kfWxIxR7tCT{RyD(p^BV0%EU&pz@ZFB3HL zF!3XIP&)n!IRh@pxzAAKafy^6`^j@SM3Jo=JKzdMGOJ6nv$-ea zdxkc?!Er#-z()IS-KPw3D2qllXc6BDUi!h1R#kV&A4hY;CdZCspE$ z8ucsF#_Y#G;2bqmFG{Wt5H@r-0Rd;o4ZSXV-A94VK2p=}Fv;gQ$!-@&6w8=3__E~W zirg=@)cyp~L-vq3{2+nj4zVcep6qQu2_p{?EID3~^iT%N0YWCr9+Ks;{)dFHkCASF zk~z|3WfD_{?S09~P4W~&beLEhyYmzbx=h%JlO&BiK*G>fWaWxdc0JVi5w)CxTX<(2 z#WQdh@#A(=I8+AGAgQ6<4YCE72@WTSlbj`u+)Mn3ZKOJGBX`IS`Tt=G{qK@9{f3a^ zVHS+LEcp3_1jl_O&OAln@Y8}nvFt-mlj-m)`HHX9li2fYP7CI*N_^)i8hC;^0}o5B zj*%CAkxc7tl*S5nJ&%(n7>sqhPm(D7WQUVtWd$z-kB~p`lM8Cb$@aJmEy0fU8A@#gD`JZ)tZy_v&5|_q1my#6aoOrN zt2|b7t1y(C*^@Y$JB`gL87!GzMBym0$it+rt!t?;Sj{@?^{gc)kuO5D1r%7q3Kj;`*^FIV&_yEB2H=XsQsX0zHQiCVXGGG?X{9g!lo zaWKml4`WHK84+Q|7@PN{n|6Qn^!4d&^lzVq)HO1qXCEWF>$e~&T#sMsT{yJefXb@r zWEZRw9qPf>=n!b6{r_1XjvM^*`9F zbAc&lxsvcztkd7fzTPKDS6xM1pL`mI7IS`DB6|u?UiH z;>#;U6^xH3b6gg4Cznw*Nha3x9E!86Ne!Ayy3-sfL>1|t6=Y4VAY<%YLV_zu4-#eK zSw-4pQ9t6z7YtcWnzJO^N#d5o=R{V^?-`ViOebwzCIzn9)DFocPkvAIpG)fKQc+eb zm>)Ec%!x@FsTuPZgCYQO<3q`3VvtU>f3rD6ge?$tEV^V~m^#Nby-y4PHCMg68IE-)xct!79S z#UqNC<6XgA$$8X-NWx;XDTt|{Tym8+E`#_Px#Y|!q}U~$+7XfupF$$07n3t}0qHIk z6u4AUJW6suyp%cPDyj2tpkQ<%dG6&bl=|j7*?%6DqvUaJrOfj#CUL=*T~#ReNx_&}s)sEm&Ml94*>k;f z1r@`}S?#)*oU!GC4;paMi-GiQb@&rHR;nu9gUT>$H@Q0 zWh9I%AjBh=bbnF#W2JxG3nV{9GKA!Qi8aGRf$ePa1bdZ3ODS`nL#lhB;BhWzTm@4z zXL5F~1s6*V__bW0)tMuy_DUjea1GPjtznkxMi!cFWr=CM^zSa_>#k;P@4dXzdPcm4 z2#}Qx9J|(?^B0u3c1fA5Tg^GMtuHI@4P)k;U_3vqBqyz!upU7~j4r`(a1zN`r4$xS zV0HayR@4t6BHR>{em&4JHbq~*5Bi4x_N_#^hQ{ca3_xF}JF$T}tgLot>5_pg%ncQ_ zavYzI7m3&1iJBbnzCPlj6%x!&PqxJQsH zBvC2^Q7ix{8XraV$SAUAW{@QW7&$3{N@=1BXK8BpR8el3LK^m)X=0kE3o_G-a|@(u_!A6<^BX93~_ZL(cdZ=D7NkGhsH>$6DBW zVir=HBgB#GRw$$sPJ-k&-z7ovnM~ohD58BMNuCtPVh72Q#FRYFmx?iAvQ7+TA^Ft! zD{|*ac%nZEX+p%KqgmvdK%Pe&d6N`5iKJ#sEahY4gyf^hb_x*k3?*xrRc993)Zf6fL<=X#BWZs&@+W#wJLvqDk}!A#!Fo>Fz<)4G&}9 z@JPX2oZKP_@d>3ct(bW}QXeO&i&r`+u4yuyWbgz=5E?R@)QN(Xap}|y&7nrDcJ)XF z9^)w)7fF#zFu5)PB|-5#*I-xaERo+GErFU;@0o0qL#k)(Es$|Vh4I^Tuc zmz214xhV%W^kVPs&YV8flXZ)2NZ;m9bf)xome}FgV#@QDla*9T&BEDi-#CVi>#PV1 zRlMEHobEa{7#f>kVBG1y6PTV+Z&6{LFz?-xr~qT;FB;CmEtUk%wkK(F4)gu0shm>5 z{IC*+-apN__gQ$q$z%B~Ck`ze#DP^B+?3${^Et5G5yh-;@rga{4~QKoAzi%qlAgQR zYIuhPrJGD`eVoLynEFlJ03A4K&~o?@2G zS$wQ7GR^EfS*{O>vc5vN#Th~aZsX>E9lsGb$kV<^k@;EDQ|>Zi+EK!WoMFPKL(H0X zoQQ$v7;k=q;e+qvVRM^Frk5D$bOL|BtBkieflu;72Kt{P(DybojE<6Ec$UnhS2*}w zz{C3zBgDc6xl8P$&oI{D2$L;OGil%jyiLw9!|F6q(T|v5|10C;FEe7}TcRRv<6?CP zFWZyEdfvdt>k96^*RZia!ff|zM31_`4DG9U8Q*5|pu5b{Jx}#IbWUS+1sbv#mTG2CCyX?uv&!N+m8{ssT;N10@D9G4--@U%I|6tRF| z33qUHJIx5&J-Bar!Kms>jGl24U&9lGT3jI9^cG%*7l?AXhMU?tGESR_Ady zyTUB7YQFk62-dsKjM3Mb-1jhEgOA~#@>m9$SW)ex%-+hGoond&w6#_SQZtS%Yt5}o&A2H6K0T>P;jj|6DF5J;;dKE_t^mKD{BA-v9j}q0^oZ## zo-s}HFg0!uu+-YjK-IhSZ+Z-$);G!3`oVbRm-NzjMw8}`(Ng(}QHww5*776WwO*s! z;tqDLz7f#%2Sw__`h9;BoBECn%jb9--(jfzI|g-mk44v4OzQNC(W;LaX7~nE^S9`$ zJjK!U6T_^()3)lb*pxz7w)E3n6N=G%NB!s-zVj9%f><0V6N zKB6nf4Q%_BkPcrM+~Ng;bYI|V@s@$zU(wt0JyuSi=+yZW{q_IAUgHxUYA=au_kzW` zZ&_yW0q-s^aO(Yu!A;)ds``zooqm_R{Z9W*Ul?ZgnZdo@V%p;sHZGsgHn@+y*$YfM z-er)!(BycuKzG52hMCW`h1B?1hxOPm!Dqe2kIlJuK6o zF=gfhT-{&LyYK_%OaDNB{5u>29x%%L749=%;t+EW`j}n7p5vMOoS|_~ z@k)Nrh}o~{6MC0X`~RR*@(nDLo-wgV{%`RRqcM_?oj+-l^c=^;_w>K*p`rKb zzx}-s`Xy#eypLPNb4Ery!7Az|7VE##z5Fc>{tp;2@&SYUU&D0xbsTCwVOsfyF41>! z$$ZTe%NsZixy`if5A=__gG0n4yvM)Cee4VLY;G~iQA zd(c$|E_%UoYkdtR)oZ9KeWtt0ciJ_3PaCE8^j3LBUnL>1 zRzFzWMhHy(D_&afDR2LdDXkvUQcXbG>^Isr`G|qiGln&Pk4c-CXta2Ze#@7%Rewv9 zChusX{2A5e?{IGZ13RTFRJ4A_W|N9!^fjZ0i4dkZN;vHR8ert?D zqxnnPYkWYd$#XiYeWZov9YQtkGh5>w{>|^PzWpD}m9=(scu!DUSx5HMrs+G{H~m6? zrB4{ixjU-AKwINECN1C6QuVd$^({?R-qTL`BQ`?T1Do6?v(;O+wtmU>b{|Cby{A|6 zM_8+T!>q|$iRm52sy}GeAB?eWMSE#o5NPl%9T-A@5s=pHQ ze94MdA9&j0C(kutipp!WRbOEsIcTZ*h9+u4R?WW9u9W~=YBH_UH`+)(mAZdLul?`z zYx$a9t>0tV>K+9;Px+<0VsBpvX#D}hcF*Y9_Bri4K0`@ca??S`y2WQ~)xI#Q#SaYI ze4uIP$8?drT57$;LhTI>YH#uACC%C4BAa`Er@qS%%+>GFtKDll^msy3-Rr0u-AAkY zQ?xofz)|vQ(D5V9x?Q1F_b0OE3&yLwpt#pNJlZ{^!r~jXot`qM^KV$Udy2j|1(Vj# zQ0aUd6>a%j2Fj2Yudq`WqHpt-)*T<9DMO-*VAnyg*UF|yrEsEheS)h99liXL*ps+ zZ9h`e_6>D?f5*1%O$y#qab|e^0YcuhHuI0*#(eQ1AK-Z5j6F z5^o==i+lHvtkQW!x#VzX&p$}fdX7$esaeO*w3a+8cY90cHm}f?-#d#q(9?L$*!EXR z@A;Zv+x?_i_X}%`KT3XIv)JGf$vv-8uJeqfjzUmfE|b*tSC&{Gpswc@>GAU{Ha^6< zzMFX4<_+=656GXph|6cRxg-2=`NBUhYWU=IQ;u!W!>5lu%NCDk@hx9!FNU$`Y9v|r zqN#k~#;zM?}lwm+tY4f3))$CqPvmBzY~}q+7{i>)$2xdP=A)yxUz1w zBP-`6Q5F-s?^5QD77y;3NbtBa(yfn^FFM#$ zVzt^kuD1A2rpiaGn|-8xlW(Xr`HLRS{-R^^-{_?E1tX2$uxa*#u1$WRsqzOcgmk;A z|ACd7f>fW9t@(*xG(K`jVoz@W3B%^1BIUf=%|6pZ;^?mS6BVVm63aJwi7M2UpNf5V zY4%-6=sT98g8Mc7%5=%!^48xt*YYVh)jl&!`3YL8-%(NdfmX8*ve(bp2#IR9RjmIG zGufw#`foJV{7E0lMc?M%>81Hi_VJZfT5tF+=l-h+_%(e+2LY*$CX!$wD9Fm3h^G?k>*a=fv`(_hv!m1E5%_Z^kL(xZu}=H@?fZt|IW&41w3}&ZaUPAo61e=;d$W3H#hO$RXr9UJW5?9Nwv}pNVhT#wS{U99f_IZwY?r-kk@K!={}-cV5E`g|pq=J-S?33B)c>Tn@*n6n|4WYlDnsdKW4_fy zrT3Bin@TM^YyO72^vHF=?=H3X92Jr+mm$@s={GtHR!l`4v=VV(tMY+#oI__$(WYJr})uGmL&v621$tA*4y>x!;UJE8*hIJ9Rp zJ9j(q%l7%i`$Uj1em3c@;|Lu;l|cvZkn%K-r4RdX?`R9&9caUuldU+TVAhk(8VRh( zF@u9LDfV?)`BNqkJ~5FHmts=wwh4jV zVS*I7TXO-jG`E`4UyUTuuGuTp6$(tq%23E)hBQ~bOuZdK5}_?Wv%d95Qltpontq~3 z(?3yC`-_%RBy$0*v4BlcTnlM}o&p+GrSAgnKcwM);?d+Ej1jV^)A-Cejko+Jr1?S_ zOa$z@s!~*G);2fKN#Pt)(WUFOTag zRa2Tp`Ya0Qu41ZL!_Bl zSrf^hwGed=vCx)c?H9Ly#0S;){Am6U{FLv~x#dHt&qq?oAu)XE)qD;lYO3ZpHf1)aT z>!kb-^qL7_3DFFaJ!xxxKw0%qnsxpUbY<9$YWAGO_OC?kT;i6-PYyMI&1zAEW-1TR zX!V(HGEiDcOx`O9U zN*{5S8V#3vw-ds16XJiZ_LyH9(LccjU=lClc9dbS()&nPv1cgC&rN?g6vj2m^%Wa&n;VbKu$-sI!?W*b>y z)#TKLQ~Go!>u=hS8yHTZTPE4FhSAU1sPSF+rg}CQ>stN$llXFnVSn^{7!nt#FBa00 z)$47kU06V6)-GyOPp~2NEaeI3nR@0eiBFPPcw-b-kM`oqDqDWp)tO7;ZEyaIz>0;y zc4@rgyxCFw28EC`B%5WHyIIoxBza;B6Ll|><5B#ickl6Hvl-i3rOb`N_B$IJc^B3&t{F99$-S0}X z=7?G{miSbp36z9b^_u)HK$GdG{z)4269a@WTPus&6oOS10_Z9vq_6fj#sc~b4Vi#K z9=C+Zi(7paRq>V{qCC3DUfReS?KS@p0{cOG$$_E7Yaj}!sSs>CQ8m5gar#1j+A2?( zCgi+SZ0IqyXB=(y6RTz~WsQHxv5JP0T!;eElcqNmrDP=*&{7CmRVH~;$(O091uG%n zUTO+8`-z(NZ+Ig2e^y88^q3ABqQF$Xhz%Ap6e89UGF3ECl;py*&0}eR}*FZ!4;Av?z^0v6E?PSJ)}aVxy>?UQHfgCd92HL!hZB(biJ4uCj*~qT9_t`jnE^@9-58d?Ewoj1bZmQTYQ^ZqQTnrC3#|wbV$Fb8{IWhRRPx zH4B-Gy;f6uP7{@9w9@*3tqhzcT3?x>be$Vgi=)k-u(jnI4z>6LKT)J6YNE8%goI^Z z+Vaz^sjMx7&qN5hljKufu&phRF;{v*Ve8*;ZT1+iW)D~`Lvgv-#iiPRF{0T+tR#jW zYQM>OztExOUzo@q^%S|07~2UB6u8i6`cYKq7X}EiYP9-?rPl$+w} z&1bGP{+*?A4%C0$;!_oJUot~!Ww3Wg%Y}`l(MA* zSz2$;%}s5%uvwFXdz*7f0{ib4GFL3*TYl+!kXWZOV(rQ)5P#gz>ls-}FNoDSz>4T% zPHp$2e90(^Qv<1(y^7KiH_2^(pK+?s=_YbpNr3QA0{cxs^hT`QXAERonMlEWH2-9A zn@8-FqJ>K_HVc6z3VC#D_EZQ=3M$8_H+hGt5P-hI=1D_Z2tc|EnY9o?QWmwNCdG4; z3FIVAQq%e~+l4$|%VS>4WHOUR)|TnjSHPtpFe9;X|0FP(-eM=Z3DIZ?0T_tlY1i~M zaV_36S!DZUnUK2#Bx|)^b3U##u@f{^!N}~39%br?` z3JQ}wPj3B0)Y&`Pmndh|PuNL4^o0m}DZON#sFj-%=LsR_5G_#;LU5+dUW@(zCU)oz zT_greA?)5wA7P{X5d(=qksl)=LmMGNOHnaaf{~f6zY?Q%n|=eBz+Q;o zqv<;~iIdpY;!l=!`dh5@OUbXONm1|0LdYFO(V9zMbmgWbf47!=v{I4UiN)4#^Bo-- zfNcd=PBNTN%HNx$4~}X6O@*j4J?T#^sj04_A4KI^immJ~H82sv>n->k+v1z_;%6=i z{_1+2;=0#bF8QUiIc*$^i(J^36i4-#)l5-4g}>Szs{0*hkwG16`>th)$sShp*}@&o z$HcX~PuZ%)oVh9@N0ir@t4+ChL6w^qRJgdwjv}{EmM&OTOCN<;7jR#6DrnOaA>PHRp}v|*=)i!A+F2En{xeZlmBu_>1~p%6XsV4w3|n) z^(q#2+s!838>BY9hFhB*Ytd8bC=JNKHsW zThu{Uu^U~*nkXigsesoDR25s;Oq5V_Y2tB0NTXFAu|O=ruC}lFC;<4N{2wxrKG0cIl&(C!jWmw7 zkcMh=#kvY2`YJ>tmQO%yAhEO-MH4L+YlbKtUr{CdJN^*s@RI8S@+_72VqM>f>d40-_y_TDTX!==rZvo9X+06I^YHU%#S5%$-eGI< zk?z_rY1ZW)Jz71YZ<}XWwS39g?yqoglK7mj$PoNObj&A4M!&^s)=LZ`9%8)tce>1f zfnmXOhAsVxgU2)Uf?lA%_ZyvRWUrZTF>!s$Oy5ro4SkJQ#c#}Tl06Q6$^_Z}E8E zpz8-pJH4T#?+)7kzu_5IobJ z%$aEv1`TA>#cbx>OJK#xu`D}2i|kj^*n88M%f({}Gn>fiwS#zYrYTn?uyaCWS5G(L z$w^I$hZc*q`@z1BM@e$3A=P08+xr}6ZTEA;G`o(wOw1tVb8PDM4!34kv21n=qb6tZ zY4wsUt-oIwxWDyoI3C_UxB8VN^R0NB3iD`LcUOw;^I zut@(y9lx_)Kyp_II8FJjScP|@9)+ZYz`8g62c5+tb(G0%E>>@#tkq6zUz5h?F8&a8 z^_@XNZ1!SnqclHoR{cHKR9+L?`V~W(J|jUag}Twsqg=GUo#Z6CYtNL^fpV zYx+zVAm@IeZ|YYpTwgF~%p<&}KF8fh8r$tQo>gB7+WQ|EXFXzQhBQd&d-S({#^&mO zV4D5{`}6;SW5!*k%>6{K%^$J2{~wrSzrZT>9>b!Z5H$ZQ))5bIoBxKPx%Y8Mc*)R^ zcSIF_#j)ra4);N?`Z0r|Z!%lXH6r{8hOrMZ-}03{ac^)M{TjbPk8v}2jBVh1tix{; zmh*v$iEkJi@dBsGcj-Oj7KSxK`uReFsZa4O|AE)I8|Y8HN!R+Xbj^Fllt|g9^DTV5 z-!R(eIZpW>aWg$fn&Eu{Z64!l_K-m1n~WHCmu`b3hTdG1*w?YBf3zh{Q_8z!}T ziL(r@QDPHov_1=g-RIXG+m0Q=Vhv z`WDv_Z*Y)Y*m%hQo!-)CxYWxmyYi-g8xk?fiD1Sls?56)i54-0BkHJswe{ z`&tqTgOd9SvR*#sc_;+e&r7I& zGKeeXlgP8nWA%DV?w?WS-kH`MyV#UV=bAPWSlQ4*c1c5BHa?5LZ4_xE3TZH2#@0Tk zSZRHqqCsa^Iq)#2hQB1&_8EaAt`af)0tH4N$Z9KK5Zk9CGPijX#oGe@rjw9`g{Yo( zqLw;{^3oUEHD187RpS-=W%A9Gsa)UwBdJ0Znk^I!{e_ldMY@P((NU;3Q7Uc4hV_v( z6?Rlf2uwu^qb2smR{f_av%9R9`wq4I#G7V+@I?sEPWc8piszC<;wzq4&=aC;EkvWR zkeZ_I`lt#?%JCg!x^`80Ns`F%iR#}86g76B?N4^Jc+P#XO;JKLmJ&w?QD6!(?j?k( zcoM#y*gM6ma<)RK?V3nTa-Wvih+d)=J%x}W+AH3^@PT@eD;C;B)>FJ{rY?$3Lu`SL+$<&L!AfF-n~6ni^MW01UU90`?~GP{ zL^n}vO~j6?HG6|{%eUyMiy9O{v=^1vvE6f;wUWs$>dj1u-9~bnr}arJ@_nk?y(hZo z9p>4~{|&CttJiJ1=|4qF8lqLdD|FVsNh_O+co^SfwCQ8CjPKCe@(%5!F|~Crpr?19 zF1@cXtM5BPbk7oL_k5yd zEos6LU0;)>_nskQ*QT`ogG99(9BcQ5wW3^oG+z-f`O+2Z(@yCD0bPE>vDFuf+Pxr0 z)NwCSLP}DLo=x6T*yfNS`@e_LJ-C?rr2ei$v(auPSx5o_vObc>5AhYH7OEwKQ}sEzqM8DG{4T@v6Ix9KTY{ZX&EE)C*j8~0 z{hK^vz2s$cryuNT{gF7SeQU9ey~I|lG=Dy<9N4cFl-Hjs`T5<7kced`G$Mh z9#6*Q!zxnFCXsR_l)+ycsCjP0ua)ERH_VcgjO5N)Ev_7I!zm#!g#vqaq7`$8=CfNG zgPmM3XcWW*xL`Y_!5N+GWeM(G1*lMl5eY`)^fjEQQZ#+$#UoyJRs3pwPr`GHf@clZh+=c?Z3qSiNd2sZqMjMIdG43)pr zS?V7tcHUXzJC$u;QLOwFeMLhz`44oZrsbMnaF^I}+J3^h$s4jI=5=ze-7Vfy+vWov zEq|x3l~}s=cN?E~GEhAKC^Z=>LuREASc&Fqsnc7A$T5mnjh9MJT*TrZS3|7VdzQC; z&D!?AQP%o1)~b(D)qE)fM}}(4FH+kVbdp$mwS0$n_n)*;dqig$$laPfBD%XchvwJt zX!e@Tn$KC=<{{fU{$zBsPeNcH3F`2PuojO9Z2JchnxX`oOJ0SLgS(2d7s6?+^hJiF zJVy2%(nw%`FhDTAMeQZqI{e^(5OZBMowntsIlZsbR&8Acwz@{;ae!Y9++b15LZ_0tKUD>;{E1M45Fl|i$QO^nqD=lHh;2DGjM=`>C zI`iskDJYyob(uY@7Y`yP)))h`-t;!@gT8?oCMJDR>f7HEv%Y=lXWJiBOEVmd?FjW7 zM9Roqw#G+NeJ`02&khm#a3wQeR^k3?JG-t8iLXu!=K_f@+r1qpNaAROo07;;yphxXyQ|PjSw{#^jeM;r88aDo$w3;u?JRmuNh$b z3Io4)bWC|gn}qkYneZG}yZeN=e8<%81+!e~(f4rkd5_DiZ*(5} zh>o!WLN96RQP1c#?jh|`KG4ng2?nF@pg;H?BS*Za&rl&K=ljgDdcY=|FW4E~r`O=O z^!5;y=JJi6a*n<>j~Hb9hG9k$Q~wY28U2aA!@tqT@--7gN#z=UB;4W&`J#x*27Kkf zh`$)q@irqwU5ps;9c{*N**Y^v#y}wl*&&`)kQfr zc}a!FKiDG;v_%LqPUClML?tAPipr7NPf-6s53%Y|qHy!X78h#%fsYVtQ>E{8ks;!t z{DKNms7|7KX17)l*c~pk{>FB-zwy_2OR5lJViT@)4lYzLm)k~gA z-Z!@UCZzv~>*8E$yNEjxyzd`;fi2GGNOV8S{Hd#$)r#Y1I+Ocu95Y`T?2h|6t6;>8e-bB zHHp4fR0TOPH`JKAyWvcIUC-=S6-@e6P13;%w(Lq{^D-x5M_O`ds|i=ncI5dHb#5!< zp%B>f;~JET0^6bdhNEqFkv1ls%t7hwG~delPNxZPdPHo&4l2j5;5POPo?#Vn8vO-V>9YSZJ=fi#bJ98bt$2iC z&SiSfxsK87OBni`#AeMah9uu%__WhZ$a+qngv<2uJBaz>`)KdHgW>#3=w_eCAnrOQ z)30IVeFgKn`*huPhfe#RpdELTVH1wx75^H;Nq2CJxlg$NT`W7DVx$o9xUu&b6Z{&F zh%dC8{D{6~Ke3+m0*`60aG3l6)55Qe@qfxxrw3RMyN9#u8~TrUg0-iRv)yg#OkZNr z?E>v|Z_~=)HiHJeqKCyz^m|;TU&lub?si`YOZL$JH60Bepxf;sAw6DFZY-9|Tx?cP zQD6OkaLnR&QG(|P?EH%1Jzk?F>zUg;#?I_H?$%F;YyX&OJ%yOtzmP%nlt~(*P^H05 zlpoW(*$YZq{4NUhC)+!S{T5q1K$K&ekW!l1)#0swqS5j@Q^aCN$zx*L|H&woXN@+y zvnaBuvR<_i);Lj5vo-z_(!0(1e-YU1|0x3djGL&!y!JvoV)L_wz}9Je{l7$D3I%qw z`G0UiRQGxzg9B}U6V)o~H+>_@^LLbmOe|FX#H{HT3`G(57lMdu{}aEv$+(=-fnkfkEy(qAcg#520Fj21?Wtdb*FJ4voMs1Hr>>PE9 zZ9{glbj(WPCvB&8;wiHF9m7-Y7`|#psqOnK%k@{V(XgJiii;cSucAbIDW2_45xcXL zoj1C2{Ztbko@mbT4JI7er^12jZApLXj{lcHO192pp>HVl0Y0qpPogLwmBJEtme&nq z`C@A#BD6(p^{1OoAM^}#(Cz(ipM_L>l&F_^FARIBkQQjhx7l;-8g{@r&PIpvLpA7sq*T?Kff;ghLG5klNuBZD`bo6XD%9FCel8W zLdW^6*5AUG-ZzPFas|(>^;C?CW8btW5=VLx;_l1j(SG<3tS8RwB2xx`!PNFGMo#b1 z_WT3g!LP9#@)+~6idUpW<(R!-r1MkaGQScq_bWrD-X(neeOxDB$2R>1eZt>hvGN1M z=6_&-_d`s)<=9+NU*lghEKt^3^Z}#w?{F-7jctd^LWIwWn)IFwQBwIyUzk(=ondod z(PzQ~>?XftV(CX5Lmyy~{S3pk@330^8hyW;I4yjKP0S07vR>17+5-lTD^;~N+ceunwz=S=hZNMENH^tXD#0K;cE z^_SxZye4GSM@*exW71b_ozXigt=_WG?gN=757;^6E7u(UWTxS5B8*=#(e^W49ADw; z@q)n)j|g`CNSeVDd_)x+4Svdiajyt6`b>z~Cmi%%;bifJ7=tg=50J+VdBzyCZ}e#K zNtB4xKpN9o_Nb-t886kB#I%yfw*QVx^T$Fw-{~PF;-mbGg+f@aVsU+2{YB=#2yBNC zSb*5F|5*adZTG2>z;au?Z?usAR|w2m2<(vL{#VuetkrtTF0qe_S0i0ip3}DZ7a^0M zvW~1Jic(kYBNk!{quT$ZOVcOxZ1GWuND%ENnz8h$;-@?=mX9(Gx%g#GJxOPT`$0yY|ywZsEyIQmLx(&IvvI%<~MaH@! z%0j}Z37*Cle?JyR2U59kJUchKv2mph5mCLwK6XaOM2Fsn!c`)={u_bm85`5hzyL%2 zmZSs4=UZ{*SVvx*(Bj%z zB~fEa+&`m1)`(IzsQ+X`+e3s6sUpp`p7s5 zV&<^RL|Z&3uEz_8^t?hh-Ct>~a~d`Mhji?Dm+swe(n9|gUAn%ZSNn%p$fS4DxyB^p zhm6s=M`br@;x4af+5Iu?x_m%QR6*;`FVU7_ca~f0uJ18v|Bjv=U(=%J8=C9Ap-s0} zjA$W5Ar^IR$KP1g^DVnf#Q&T9L|gk7t-HOYO^%2mv$6K_zy`#CoYt(v+QtI`T z)}0=s)BX(_J>S!{^Cxy5h-eb|`4XwMsqFJx!IGTT8YUd{`kyz5j$`~krAzPHoTFtLa5QP%Z^gD&Ee#2Y+ zgEaS7w440ItnE*{#G)mrN;8RK8KnM+yq2F>uJ#WGH~osK+$@#8;-vM3gujy)V4_)nN=yeh@?Q)OQZf}UxenoekN9cEbL}A-EGKD{}QuhNz zT_3Yc=PMTte&W*k64P~spnJbTwf7zD&F<09OjL1yQH|a1Fi5Ow%ig!q5Op?1ax+0! zY;MQ}&Ovm6{(K39Pm1 zKM73nrs3Yo&n1?3ScxRf=0cC*-MJ(arkOmBaNh;4=JzOO9=)|@A&T5xQI3A@&H<>)yZif;#y z@UW0YRV&!!mBa>LFSh%pvm|bjkk(+U zslmmoO}KPUl-M~9Qbx{YbGyG-)Al6Z{mKb8SVXOH4cjdblh))RfdZ_8rl;8_jXbg0 zUHXVpFj2ZifDlPu+rJso{53sWK1Egeh1iBqbQHjtNKsmgq&92u8@6H{T)KQEwABOB z1Oz@BpV-p!57JcL(n{?yU1XBA6hO9<$MtS0%^)PuMkKqnvNTGw~|Q*HKzw(`?a zh_=7lEh+A6_Dd1pidy-h_B%Ez*U^@BOx3>8LFt{y{m*n2xvwPaG*uO)Bh#~!?8Bnz zXEd9Cl<6rkHv7s<)i?OIcuZ=K=UfyOnj9SFv2qO`c=WL@bTcb0&8b@Je&_ z6E)XI8fI{dhm;GzQ#IbvLF}AS3sF1DpIEK+gS`@;qPbs4L;O&MK;=86wS0$r%dcpu zyk?5#3nsVyMud=hk;ZG>+P~lLes`qX@4+L?BpDItfSO-O549kQM=7K z$;0md4G2tOA;l$eTClc5>UKo^cQ#0V#;eG{l$aD`qTl={M$O)0tnvk088FF0MA}jl z#b-(lo4h8Y-Jc8+%Q?B}Z){fo$`Y*?tnZ>wVDB-LgjwD2M=D?nQ?Ehsb zhZD2shA+JAZqVfkFRQ{$%Qz?$p@AOsqjX{bh@!S0%T;mmKtv*OYzhk2`PJ$Ft@zQWt zY1}5tuhA01Gn7f*Q%#hVfXBSW2lS*Fm8HNR(tYKSD?SHGP!jczL{u^2f%{Fg6i_Q;AyUPwbc&D4D6Z_#P5lc-LP#@(0DRQn zP%I5|TkU=08(FQ?o(q9}l4JiAtMHTdGKqUgOeXTUzH)2_iBn0`m9ydvr>b|^E*3Ce z>orC~3S}+6b5O|ANhbPOik^%wX{(*_G6n2K6UkjARg z`i_~JkcH|;X|9iW$X>Q-z2TI);v*@qF%+`vqV$^S9X?6?pD>hI`!@T=P|0OUtJlP} zdqpSNlc^kEDwcJFkl&v6U)d`}|GDWm-iyKuX!ek71-VL-wH9Lc)OyFHRzHYo{gF9B zRFkz7@5}p*W=d~~Q^cqFLkQ?&eVtH$Mzp3VNy%%95Lu4eQ^vLaopvq7 zipwBN(D+JX+aI_IfhiiXgW3-!tGuAP)h9+MJz_$KKV$}GL-kMm1QQAZGyH#$ zz>W*KpOT@qO$N!KmOoi1YI>aDvF*PIOkp7v1ZE_b(nfNb*!E9)3UT#P{f3cXA*=l# zqMqL{MRK}L@Viv%v7yUPAuz?K72Xrt{{8<#1@?+Z%A)$)e_&s2f z8rDFCQyI0sYuP-lj{JVrBwMZ~xBp(|n4YG*#}O9mZeyMKQ5tl%i;`T5pAcPHZUd*! z8*)=P;?Ak&TsYWG)R!{5ulHcp6+a3d#8I?j6TfC(Wku;t(yQ-Lwdp)d)+cjh?*xwS z)gd8T2SXDFIvMJrXVyb_>tAnVZ6q)gJ$jk9p?7a}qJni;S#Qmp+Or$z6fR%oM zR7cEU{p=7t&t75CwFGKzx^U!N2d*v`DY&*ZH!n5e_DLn~DhSM}fJ2h}L%n_>YfLT$ z!{@S4=NQ|Ku2W}vjU=lh6b!E7So~T-?d$NhzQU{l`-nBWNKTutxT{t;2T$1wirABz{5!>jZ@H(rlfY{y|Ss6G~D*Ev3I2ucTlk zMbc7HTtPqpBE+R4lU%9U-?R}6=F#j=9E5b1D6aa@>MfteqP$al7E%;i4J`DX89a*o75Sq67cbWQM@eyE6mfz>L`odk2|EY@Ssnnl0 zemB6?eIbx>QAhM)XL<(y;>jm zrPWXNi=z7?s`I7#-vl*#DFpTwXU%V-W`E)?4eZwXI}sh;2 z4Zp~_*N8(HCo#8`S}XSOPXd!6B8tmeY7;MttA{9J1%VmLu*ne%Ijrehd}QeDl)5gL zx+&fVHu^saOzimoN(=cw`3EZn7wffN@kG|D(0#!Mx93!hI7Qin)hwL4oK;@+q+2c` z)p|3@#s^92c!AQ+m)L55jwr0AHDj9J}Opgg=Mp`VX3#U?+X~Txa+9XBw!q9XiJ^C7;XW9*Y z!+$3*BV%+7?a=AkkI?D;SvG$ptCkLBRe2n%k_)Jv5lXeMH;aYyLA0pg3n&kc&tg<{qg~nOt8=s`aa~-wQ=W;lH z4cXHQiSsHX-gONb7SG8MCFQPqgs$oWSW!IxBrs7a(!Bp9FvTbN|4Cp${|5q-rWB?2 zPXhb={}X{t_&*^qbCJ>iPGCI*Tx$O_1SV=iL11bBA~4N=5m?9nSppL~`+qxuDXtLl zKO!)(k$WV^pTyQbZ}}I2&0hQ$0-Nw}1XlKcAh0L@jlhil2Lz`7{}R~$J_M%ngH`Rm zvR2&9L#5xS)O*bS=_gt3-N35oQkF)Qu`Mc*O1Bi|IvrxB#t!1!Zf2wP395UpW1aO* z>h)JqZn7DFt&_w$rE~MF8MiMgaqUzy&K*|c+97pLt+!-bq76$|4#ltBi?OpuQ+BY3 ziF^ErJDNi2I#0H)@?u4aB`E>!7#lgGV=h+Gz!;<6X8%rLhUl1BqSMWg#1L6@wKIEm z4q-`IBxNCq%=b@Zp>HzfN%I)|_#RW=CXx6&gkxv=b7_S&zwFTF;>9Naoxnta9d7c8 zLp=|WJ|>$2$2rV5t!BH`2_l;`;NK^evhkC+R6d;T%UV&Itc|bZNaCz^i$(f@yQr=1 z(zr^>3Ih9qw#r|kTz&|F2?_n10{edn?Eh{86PqSh^Syxospj9z76Qv``Ckdl{r@Gf z|Gy`&N20*ii;!5O^_siQJ~CG<gdSjke64)`jB-6nC4jV#BIA zteUn4-|_2MH!cVd_r=(x+-Bx`FM`YLNKZ1N_)-Uw?$xgOFJy6Yt^WqFn_`qijk_$g zTFfe|0HS&x;c)wVtg7{#1p;j6oI@!NSV8ovVH{q#fSn#2*gPbW^=-we8oZ&i0EChl zvW9`xQ%Enx?Q8x6VE>Zk1{Kl>BQ~dr5z}ko{$NmSv{x1Nq7d#Q$ zooTK3;^q~Fbw09FBZqX?v)q}nloNh~*dH{Ki{87~YJUXh#@S3!dd(Jtn?zYf5vCPQ zw0RU!dSQf^oM2|NJFKwxp*XD@57UI;C;&`RC%5DJ{zsszWV?94D3Gu_WyqXJJ(R;V|@X!j(=ny1;GAGLMi}koC3gF z{0G4Pw*y#(#9eILXB=-;NnSmXke%OhM!%HkZdrsm$8li!MUIR~#=Uhch&9bGl_H2-}|X<=YpO_Whr zZ_YvwRT8e*aN&w6k&)}Tef0rJH%g^`$c0k9ZpSJChtAN0Ya@jqap0I+ud1z=l1k(h+->TCe6-*a$X1T7XC8 z3ATpp#y4ySA-QY0ovT5lj|V~azO0z+h@p`c?e)8$VWfe!j`4p1n66IE@4%FG4AAVV zjkkvh5xWL)CR&@B^E3zya$~wvZ~Qh)XR=dw0@qC?I;I!F2aQR%WzD%$mc&Ok<8gW| z9wsaC_PbtK~|05y)=K<_YlXsG#-%#HCH|}++@D&L; zxS8TNb>EmEfiXtr^B(~F-~Aa_Wt|_yHUCbyNXQ)VsXbjDl4hI5jow!{Fmf*o#%vmJ2XqeGnRc9fl(Va#co$YO_86epWdruZ+Ul!vJe z$jYq6y)(9~?z@0XJI1jf&W*82+nF(YCjlFev*^fdu4VOL_u|p)oH7?b&*2yt+R(|+ zlr9E(XzB@oX$x>E{tKz0tGJ<|Hae=R%$Q-!4*x;ijZq;cwGI2T`Vx2DlCTG(3BNvs zGwJ%AyQt3r-_hI;*CRQ*JsGL>$yMCzS|+FXE0O=8V6IDWr|Re6X0U{1MnN1iy~=Ur zGV53zQhpb{qw9jN!_cW&47T{)+%?iCYtZVf}Q2ss265L7x2#PyZ{&P#|>i;mX z|6u^TChRJ@=__8s6H9&G`hmp?umGHIK##+x43SR&1wB`qKvn5)I@5t zlgw*;i_qqE1d$8}jcpC*yoCZr^rdd*&LJS02GDE1V65huD-E zNL2np&fgtLdS-8yEYzW!kvVFLAKmL|qivv%k^;OGx6{$k(?ZKw9X+)c%$Z}%x|xev zGp!G&3MaC>csCoa?`Oe-Q>-dJL~OnpR}K$nuJ%j{w+s_HScj7Pb;(mOFrkNv=RyVu zfZY`KbhceQOZ#nQk=8mQjH8Lxy3P*eI|Nxq5#DPVsiTAO@3)+3!&l;Jzl^2kX9;Zc zgs~Fz%HlAJU#>S)`tzS}A^%SSu$EsXz^nfOFtO1x3Hr5iY$pKLyavEN{|CVSFOZN= z>wb{ftsj zK~yOFEUvR#WgRw*<*>m;_Nbj;R^xOAdJHB#Tbasxb*N0O%jM&pNj}<+(hI6= zU)YYb2b?&tc@^%i?(EpQo#}292?$%kWPdvXcUut=*^$NbG%+TzcTwV?S|of{DqVK~P};tFO)?;Jz6P%Qq4IU>HZk-B~QU zG;iM!N(H=9a_W)vpbkaC!2Z7gcB|e860NgX)_)6I`yD2(_idt-@3E-%9hT~z=e$E0 z+0IAUJ|-0J@!>2TAB%tYB5|g2#@5e5xp{$rQ1w3mCLr{m&%pjqkdR+F-9!LPoc~n= zSRnhbMve!`9<-|cm5BmiWB&tS|LYmp(>gyn+wun?tzT1I?;R1US=@8DM)HtIE{@qq z$h=*go3oFd17n%gI#JrCu-PP)a|3U)Tm2kI4Ii>k^A3ltFEg)EF)I#iA~{o+^1F>G zzt@noOP1Wdq0IGsRe~;CaXiP5@R)5}J#>`2;W1nYi(vP`&G>C~W`Bqs(MR-II$IwT z0}Cws_Cnv%2umBQe@RFK9n`w%W86`XMbo;mYsP8<-NzGBGL=D}_Aue&G-iA?!r{{j zZe|;kyniTjb(V5(??4`9D{(uc9f|pk|6c%hL+Kmm+6dbkbAT;<4{=227H8C-u&iML zE-fyx)9?bf?MhgrpNU%!#iP`dS*r6woaqIQ4RTRwULq3m%|8Gp8{4k#^Zx+Y|1f~v z5CA*dF}_|I9!(#zx0isIMkxE0W7(&Y&6bXL@N0I0xlP4qPaPyV zSDT0NwRoCdo4c`HxpGyP!-dw&db1Rd@-P;K>}R!80Ab#d%$~QOoe`&5wPY-D;gdLd z(10bLdgy2v(N$j)Ree>|_0<0P5MNu0rk){PbZyY>s?K6JOMLn+;>41f>?)gs%j;E4 zed&+eo5hTHca$R)jzmTFV%5MYWE?c-t7zJiq{gIW)vdWF*ndk%r7xr!se}ja4OKkhc z>}mUgqS{|LtWv?H{)&fo9A>-eRy_KgWV`KomUl|SRpv9JRw z78Xa0&1Gh`NMS|vI^y#>iCU{qNn%5i&Ki<(p*a@{R9Rm>9>3yXHl7M#tH%^V+-I|D z`a)*A%_8!kAD5505*O8-MY9bs?bZVoovvsasR?HN7l0{>y1p)|CPo-^ZIAa1OD^x5 zOjJN`j@}B#DLauRsp0r19AwtPNR}RoAUe*4wUdW({iHEvf~Yw;4M@sU`hPPp3CfG@ z6ItGWE31ryIjnh=L(OvVu3O63mTAmtbdETykBq5bfpzVdSk^1Rxm79aoBw1&-FK*n zL6zz$enz2SVDE*2{pYVl|0e+0#Rk>9Z2pORO@Gzg5!Fu^*tjNdP*D;9Yw?-U{{gW7 z{QxHZe7;RJd!-cB{?4IxU)b5HT0M9xJM3~;@#@6Dw}k6+8rT(_5+snyueJ`RHpUJVwur% z0$W!zw*F(3o0bU(y%qpdJQwn#09e(3B;@}A0Cu(EE1oufN1WJokkSj0kgsLEujwiP zHckOx|M?~U|9T1eOnmx$>rd>hk&s^q?fi_`z6G4=lf+i5L#&?@PsIG&thS9{b?-|A zS=?ZYW)x?w&Jkk~$)RqCIASJ1*5f2@t?#ltb`#ehYLa`eAr&cYxpvr`6BpZXtkjrI z59bq@cZh%s{`f6+;IOAN7pARXgXdf}@0`Y&)1!$zt@W66`5gx(oX z+I?FJ;xx&+(3rfO+T@9ZtjKK2N&&F*b$@fTSr&`z;_$b+#3|EjoKT5mr_o^+4e=v* z(n!)aj3qM2f%WsoF>}CVR&|eIZToVDDjvMq;5qecms3l?K~+FTqxM$;k{_7W`Hs2( zm1En_tZE|8)uI|Vkuk^Geq>pLSE$q$P*DVpT;HVDH_YmNLA(AJS_yy|Hu{KR?SBBQ zwm5Ms+1MdwwE$af#jRQ- zu1I~@h7%hxsrvyj20M%*%Qqu;nX`=us0n`q)6}QNiwMc*( zHIyPw+q#zGNlCxx-T9jUSS5EFzGiW&*Qkq=1vUCYLc=#W3QL_>=LzdueiEtnj?ZFi&htZegI0!lzo0(Of8^mQ5Yj)0=#(fef*s4)Um z1BHPtmjJSF{$2v`E6xHy4y``1sLdO8HLAv`#Zy`+9)co3@73@nbDRAXpcmk*^PCkO zzfvp#`l#MBmNk}umVH|;?bkGX%*a+BWq$AR5C-no>@yn$l$`~1YsnZ*1SH4RFCnV& zXNDLvY~d__zAWxI^!D&U+|?{BgMfG&w&UXwMQX!eR>^`F!{*tWJLO=IyT zOBv6kUNz=*s#z&ut|q?TQGm`s+OHEp8zApbs#7J&>;q>Szap-~cShH)z(o38*!msb zjq>np_klSrp5s!#On|S1`JKK=GJHcDrI+Z4FSxXR&ny8YrP`ACYgcoj!Aowo{w#j* zo@L^z&Bb?>6?ciP^$BJ1*D>OcSDIG~fC&Q=@Ct49j(qX;y1^7CQ#%IV>R-3KlU=IN;?Nc1lizUqDJYj~X*sgwrY3&nOd1^251?psE)}tV` zJ@JRlI3L@B^MzXMDjP)LV;@5I_;YI36wbQ3aKX)s!)yJSGpmot$AO$U+L0MpXbM@y#%+A4Z1nWsy1v?VcDtvI-E4U_tN!X8Y8Rj5dSwp2V} zS{%q)N%1u5Uo;ms)L8&!urQ(_^~;HnK#Xnmfw$sZAL`0)lqAR%PnW6t7R^SAryqS}LX!#xH-AQu1YwCVf~CTAbcF@Ato1`2 zks9MA0Sp%AwnSL;zD6&Z+#+9?Z#A{V=H3EeUScyN z0cefdFYs;ugAxJm0$J}I0Y>$@A6eZ@aX+vMhDqO=_1-Z-{9sm_xA+UooK*Ll*!~+0 zBvFlM@Q{e6f9NmF!9hjwOilr?hJSHWY&%79L&Jve=p-Q9QWD3i7C)INEHPLB*+)Q8 zanH6|k_bBsV_enhC$oe_Zf)_2?!x>6B(Ni!eiO#@mcuPyGh0~BIswcX!g#dA7Ts$7 z6z2LBBLT-#&At&J0PWJIltE42NwRsxS^=5ywH^t>`N>_`!!wdJt_nCR{s2Eh?ATb6 zQ6uTYu>N;pY>NAVy%CmOjgKS)T>-G>0(NSWRMxiqo1wLziaMwuuIU#pNy51-{ynyK zsW7*9%x?9A!CCODq66!cfoY!QJo(WK2XrZ{3wc_dWZ`s@VEeWJyVQY| z3k9c)>`>`uinf8C0GP_Z7?_?mnnoHJXm?@GbTy72>C2I5GuHWxC1~yf_RRF=n8yM( zE?+^Phc}q^ZV~H>N04$~m%{U^+`rXWfJ=!-Y4s^dZ^oV(JBVucjLYh`m^ai1A3I;p z7~SSr`}?e@6OXrH8X;p2lfP#pQ9Fb>dd9KD{tBDB6$rz4Epq9*Z0--)YzcZ{JsleT z5N1`4ve5GZLXR~ipdH)2V`0;W%xn7;&xTKlY5heu>!oD(mnhf!LmiQ14QqeEOaM&r z6z#?hexNH1Oz}vzIwCLX*8M3#_l<$V6bDImJSYs~f@I_mwSV!v;h&njx3*~dcg>CQ zn$~(L0QQr1wGmnRT>$X6u&p1$%)X#6o2?^b84Exf)cGMY?gdVbUbCjvcVQQQ2&gOc zT%=+%1(9V$T*-5}HR49Bx z-OpGFYa3tpC2O1i7RK`vHwg*@0dvz9zl34DV^X8LPYD z5j&2Pz)O%oE0@kB&?BW!r2fY%%e_?^Pg~#c1&%F0pe%`CM5BjH?3g3Wq72Z4~=<6u|8&iD5(2UyKq!+Aizd zA$w&mvbDL$y)MEY{e``bt5r&{fSqxZJhlj9x+nmDT9UxVb{_@!o)g^sd(A!QTMEPN z(old${HLb`^-(#$ukkZ{o0nqW=p8zBUa>_aoo$^`u8HJLs9(X+7B4v~=T6C-`-*g~ z-|QDngn@Sv|LP>Z(z)Re0lpt1o&R7g(y>kB?;-(zvZe)+uwFAt`iu~H9WCrQru{Ga z3nObU^3y@(4<52+7m?4iB=NY(9%u<5&Ts#R#$rT$hQD_=^uV62_@`Ho^t5 z&CNDnxY+!gNXS>Tt@8{$NgPd;-b-w}7YX@-IN8HT0%T{}JR_o21v$dLcc@76v&-h5 z?LAUg>?U^odZOoVC8+OZT$-ihE`D;z=)N$pXrfJmIMFkNaJ>_3R*PhM`&(=eKTCGD zHksLqCv$foDNLK}&{kZzZqAbPz45Eu#Yopk+cAWTg1wQ4c-6|9+^4o=8XyG|k$esnLXmGxfQBVIp^LP3Fe&BSfw^%;D)9 z33Xk;Uhj1bPkX@ps>**JjN z+Uwb^e4YL6^6;;njc2EmT$tsGf)?hG#1(F(XdRw`O_tj|`0zK4w0LL5jj-@)x(vcCQ!V#S#}TRj(+RLM4Rj;rGQLj_RB z)_Kg@rr)uX03X??2wiETD-vc%^9q~<^yb!kibnHtJVaLPZ2Uul^#v-D`Fn^RCW~}B zFLETM{$JP$aBIlC>nS~AT)S#C1t9e$OB;$z8&Y4Gh=9tZPUW;~^Z@I6g=`kv#Rv{@7;uOc1#?vBPVE>VIZx<7%3S{gfn_W=Y_A z3nT5=yh?z#oG_7%4}=BWY+TMz4V~m= zV)xDxgsyc9*w^$ocEU6ql%I;6Dj>VjFOCWTP8WvfUtj!1*iQ$g3Kq5cOy8DISRx5^ zUES9hh&>wAlC_C+T-odgBgKYmWNo^Qp0HTvaYDf2tT5)SvObqaCG2YYMgXjw7L9Vz zZ1_lI^J8J{AK26EFLnrk`8O$Hp!i$YI&av}>Mur#tbHK(_dSh5(CUt!jD*NLk9>c#Eu4Q=FG}2EuCBH~US0VOuV>%LM$sNi2QfXr~|a zs#7fOUNW-FSB5vqWkToA%$B4yRT!9>B%^7{KV@xisV{Rd5IcCZsA8tDJ|(3O7>VD; z$Ua^dW*yh;tFZOww3a}VuPVXR2+6XJLGn|%`S`pjNo)XBo^H)y7j;v7!u zv}Gi1?@MHe3DH4QSl)jZ<6GZja@{v<(oN*NRU)BkVeII1mXHqT2sOOSj8-WuU$|SO zqYj0l(6UmRQED0&|kDsBrgo9rDtZD7mY|lguWp84$?v2EVz|r9=?*42wh$ zpX+^#Jsq#$FIi<~gQu)+8_6A)qxd`fGGTBq7Og{YS9!qNwh91yPaBbqO06WY8w)6@ zy{3iP3)&k$Ltjm@U8fRk%wN#UtQ4Ex6*y>>u~l0nhw2mBbjzWQei@BTN@*jX{=FlLKkKJ-M2e& z{1Jm^RN`|{Vqs7Wf4EMaC+w>d; zZT-a95hYA=e@e@hWwhG!4jacZhK_!Mn$sf&OnJeWkWH2o-yC`En|i}!^WwKPP>GG$y)mlPG@n?7d21*Hl9~Z_qj!QE&a$? zuV*-VJY}$BA+2XUq~p$aSo=K3bZH4Ac6_G)#3DLRE}+?-kF@iz#&ybD`dXDSX@cyF z%Ts!;{(z&S1pd%6=8bvADCfsGj1<5MctxiTmFT!Xrr*SeIM_XA#Kb3<2UgQN_%(f< z9%JiV$>=ea^cj|ii|-3&jLl-@+E3jd=-c+&{H6bUQZZlU5$~`J9^l>AjtFyd;7g+i^Y4KO<$un z{5@9vzF;}}3kH3@(zp9dHdsFAOz-yu+P-A}=(pS(QO%}lWdx1B!m_?c@Nikf=ED)} z^*Vz?i!%&w@JJZod-j>%<#f{vG-QIu3usJ;p410cahacCJ}$tn_JPtS+>9cZIjL@ z8>pb8ZHS(>_P;&@6Y$b9)sTQ`7OnmR$GYP1wMwuSrsdGKnsx2Um|VX^GVphrw)l=wKB$U;!B6SCtc1Q>p5r)OB#k(vjoVYKx4)}- zgqg==VLHd&(CuOsz4kvvU*$d{dzG@%?F)$J{ zQ5Vole@&a~#i*WogvF)@Xm8Cx_sI_$%6s*ss6;-a&(cRYpA?B1kdN}YGOV}0!L0Lr zYyt};AoDT5_la(={-*1JY+6g3mbXPtgbI@i%|g@%%6CQj`DLPa=>>h?f%(o%dIaTQ zxLdBzt-@$aDkhPYbom3dkgQ$#8IuiJ^zqGRK*&pa94w&2(=Rl8^8@|OdGucXgaMnY z7`C^PUMq9Z%KeJvo-(>j&!G2~Qu;?#(In>$4gdNJm7{kteFNRLWi#r5<8N2Tdrv63P1mw~>wPPov9_bjV;F3 z<}q#ciy5r;ggu?}Ii*%Xs4(OSBJ&#v%T^YW(4^fPnzw#UuP(3Iq*Bc(l?sAPittr0 z=Cm;MMS34tqxp(u9iIq$FJzehbCwH%7~k*}6NH6Mt(V6p?W3IOeu|4$XE>;SnJ5#* zW7cj8L(F9Hz%`U7n(^$O5*e9F{Zed*tZ)tGA&6#^gCvrBxnIr3bSbgdcTM|wa zbYU}Ril(#Ge=HF`PAv5>#@I|1W!=u`>KLG_t^4oKz!WUZ7(I0@mdw@Rl1o5)tHQUgTBct1`E?!Vfd1eKJVByOtOi^W9AHdj{WrKwAxof zhb`H(+*^p{!bfzQ@rW)9Gii171^SXbtw!aed7_F&w@PV$q6iJ!o0yKu!G7T@dMqz`RT}E?0|FX3$?8(X#jtO5&vYciz$_wiNY46%w??=*k$n1wT>x3AH6~ zJD!jLT_FH={5?HaX43BLBf4*XPmgwK^jw#Z)rta4Zi_u${z3U*DorF9o8G9vd9P&f zgBjG3KAI*=Ao|_M@WOL?JqL^6bo%&Zqq!rW=BaP7_LhG3zeM#tGN-?2Q2dqds|xA8 zv`~Pmn(krQ)O+=gdT+jAxL$y5@spZ_(0@-QJv{ECoca;d17)0_g}O>S%%fJGR8)H!hBsWT46bKk$vcJt_q9gg-o3$?9IL!-NWTHJM)%4 zSH7WrLRjzaH}qbWgZj1tI=_^JaHWbq0)8glubSt5UfK8X^_gKu8+b*pC0sBg^i{EEGW z_;8<(c$!rN%k3}ecKH{2o=+J){~disK0$Zt zL-e=5p!@kh44L+rSxetD)VYMgE34=~tsEDf92SUw@0j?D)#JY6-m?@pVd!&aePo>D zd%Q<~qhBxa;|VVrG2tyn{flszCJEG_oRex#@zs%}ZTgkNTTjHAh;zXTi_$u9HjaDKN zW8>Lse~xVq$JsaFDxubUIBF2V5#1dewF={)QG~Fu6U=Cqi2tB8BW+Z4 zx}dG6jqbmnN~HK4OhZR#nMNlT&hE;QBi-2_X~McS^VqTUDyM~o99{d2!-09sxK~PW z>0&}k`*Aa`J;^8Z$%yDgN%}uOqj;Fvh!sQDa;DQ)&g&F0&UibkZDKgAn$9_$EOzMa z#DDZSLI#iGUf*l%6V1J|Q3e~@6*IPOI(ND}Aw&NqqdOJS->i_8x)}uMyh2|&k1ib& zG3c6tbNdX=8oc9b*9y)XRI=K}SO(rl?RJHL+8z4( zq|>wH3oZYCi-p)v`JAw|P?006^CUR4>5=ypA)9ph@l$~E3D&+vOpkoW0N+%!g?aSZ z`W6G-yYvjs!e&(l7V*`Xzx;yA;Y8a11s!iZ!*#!~y*=4-{GE1*&m>T@usZvKp~4t# z0+Si!la0x?9L(aMqGx+vm{uv)Z@%|adJq-XGEd+)ib7>N^+6x zuRSD<;Uc>hiu{~9@ev^=rFiL=GjMt-Dnn9OVD|zm0|7*{axA))GE(Guuv!s2J3S&u zUF3F~3|1(9)}voYD+2*?<@;>vQYncxkCUydcu~Kah*pJ6Z;`=)R!@m&P=!;29QL&+ zW>cGFR_l}ys#`&1>tgz~O{HnqOh$=s_iQQ&tW^QVZSv4*naU)iGCU26Sl{9SQ&b+Y zyi+MNTc^~VUsN}n$R_zbZ26Pp^{WWzP>H{4CEkq^Skpz7JgJZ%LbQWp@@L`hv+>!1HZ z>KUMF&<#zkMl5&N<;;$;90~8i!9!D6Fnt_5HizQoIiFK20~xc#m2D>-2tL?}d&#v) zit9!8#jX@&D3O<@#FLc9tQ!!_sk+}e(;}A{~H~J3dQEUOAuZ8`cmy$B(O?`?%z}jWDm3Y?$N0;dM(0U*JvQ z`n6Q9^rn3I60&_)aeCQg&L17kuHyl$*|CYh!w1_~SPdb^f2`{r@%+6s=JnaZ(4^Vk)%iVf$t3yV0&_G|8J+U?2ib90HfI#F!7f_<0$ z*;Y83CD$giI(`!?cP)`b5zMmHE7%^jp2?B>n0G#!WgFJBfBQDVcdp>jE??Hme0H4< zWbJxyb{uu#{DpxWOLJpR^aA{^_~LOon3emt5xG5x-5Y&acXkEj9|hvV@(RH*rDMAAWcy`}eFNR`%u2YESYOdXc-#i#rSb zxaYf+8?(K*ylN%qSNU>#_FfK;3gq_uLxhjl8!JBs8r3&`C* zk2A}sawWuulM9`>?zWv2FY(7&9%T8= zJl?p9oE0<3^7kTk-U5=mcN4c-{9(!tLKg-T>AaRpo2GGd!3-+qEu+A5H>tjJxie=D zDgGYpUp0|NEMNnn?)%w0FOXFpONrhwpQwQ4Tv{`W z%S#s%FY69nu#{UX7jt#)0#17G2>yKULnrtGP`vya@_Pdp@us-)+L(dbu*YfVi_gz19*{GmmI-~OaZX+ zdo8&c){hlE9k~eK+3x~?J0+7@VPHekiPF5HP3OzKrbF5m0L+A~gs#rm+}=t3@>SkK-t zAI_hb&SN@|mC%xVS9M5FXiAPusxVoJr^!tTwhQ8d;@8@(ud~E{KmK;72-7&nb&ZG2 zuO;%x;sX0e`H<*wgp)%LlC^ReZ?1PB|GWxWQKl4})Tc~9G5we_Nym-3e_4&xvn@zF z-j1AG?YMoVF{!uPlYL#2G{yKC`dq!*jI!Gu$UWbgq?7GQi`FOYOnZti=~58aga=X0 zNI$Ml!r{*3UusLiMK#jTx8}hOHFEB@mi#6c(VJ#k=ZbnsX6H4P+ zka|Ir3TLguIrYOA~X$hS<5v9qEn>NIqGUD0^Wzxsd6cs~|7TvR3NdQ0-Iw&c#`mRwJ4O+u>JPu7=zOIz%z zLeb?`JiOM9jH|6kztus;=uG_S4y48DkP_L7N0(aj@J1_c$(+(3C=(|(PQIi`;cZP) zW&HdE3$o%hNQkwTd3Gd8Y?^bY6?ZSTg z|Ij2)d?4*qd(vYpxqq=ESB{8X&P&jpuS;1{BeG)Jl6|f%xhMPc_;y#)E{V_GYRtX3 zuHsYLWW=dcc%=))GWL`BPNc~m=gRSosCMFCZMhKDnS!LY7;q{|{6TD6a93IOQ~X%2O}nBad#1sq z*e+z;5`P!}FTbHja_m1olz7dQyu@Z?U2DUo7!~njEpE#BOR>5n#kc2rw5s??8**Y> zQh8qX=#T;F=Q?udL^mqpJMc8bgp^6sxZ}8*WhmCST<_8aBiD5E1zt`t1Jqi zP3u{Gd@TnGow%Lbhc)y1uxjied|Vx|G%!QUSQ!;P#h#Ia|h-YP;$2hgWz(IR=$v6{uvTGp~ix%-n zC}O$mA}PyxJY_zKPD3eO>p<*uTb`XZqx8sl^0&_CbbvW|HynxBU`K@COpZzRk2pS= z$TQ;!T|b2j;r(Rubh&YG1b4$n5Vm*(dE!6?SGBmmQL^G{Gs$?9IkaF3sn-S*8$Fes zTW4_T&J>Pa7|PjuD7BU|-(kUoN4dtC`Uwu+^h^VyWvk3A;_vQF$X zbomt4Y;-0fPuOA67*0Jj<7kp5(edWoEo+JY4t>sLIkNJQ8HcyIvu5#RRtj^t9y1@` z0Bzzk266tZK0Cr~*?7*FyN~O0{Zb2ZFLxv3yg3mYhqHZ|BfGpNbL-d!eAf@cKioy; zFc;@z6WCuSY$wr-Gw~**J&-`k=*q=w8l1o0opaav5p#Js@yRai+}DlRu-+sGS>rRa zHBk>nv88-8yPpo`XsQ6#*{)Px)8t&<0HN=0EKi)o+T@jNzU9oiupzA5XHDP{Tl}-_ z@GM@&;fn*fJij-&>D@ULZBERUuB<7a#Olkln6qmsYYus_JAN{O2?N-E!i(u!-8uSj z4#)Bab2fJvad&$Xd#54sC3T6*vgBfp87E^$vmtZ^b7O=Zhm9pPPWB;Y82e)F*jqe_ z{YB#mR;)9vJ~>H}NKSVnIjuVfLS5LmBaj1Yd9tfBxgm>ZL z&CZnEZ^fk}7Q$YKuyKh6TfK)7y=927K|6BKc(8YVU$%RS?H3K>`o4+WJ1a>xs}bQL ztpt2$l5%SVk>M(wJvat`?}>!$UCfF_L)gE0JV$m;z;CI6B-Lr0h?~IPV|}=MTa||y znxsV7k`g+RLyN75@t#Fx_!#y~GUr^h1Ku9OT=!1KXGSpV7Rdf=o5b}qJ$NJl{bbKz z_B*WRqOY)IVZ7;UMWKxC#miIbJl)oxbCV{p$m}44o0Kz4shp!)hdI&nG)Dx);w%nw zz;FjA%+9j9@hw*Qd6Ac*%M(#(kJD<&ygHJfs>-c1;!}(5xSV0Z@qz)wJr-=aHIy4E zgNVs?;8NZwLJ#%iT-Y$Y-Fl&~-c6*V73$jE&^IxINv;LVpKKrZMG1Ojq8XSEu-_ z0i|dA2@|m3!9{h+yuyrbD--{q0r%5{pdcMV9qq)v8LV{$W^P;j{`d6IoHgw4cDmQBiP#Z@t6T$&-*^Od=I zw<~v3g*{}da_3%C9;DVIQy9zrRAp}5?a#H_##~R)A@#m6#ha4p?+FXKq(kOS1!K|R zww%A0)trBW3qDRu*L7cl~#>F&inXhD^G-XmU+EaN$l?q`f zc}ZpziStz5Y{~2EovFOrUf4)iZrtk1wOd_;=^B!Jp(7c0WNewoO&Rz8eN_S2);vmY zNzwg!GN!Pw`@&Y!yGWMPBQe#419OIaQDQnO#ZE5XP3- zSir9l=~>N4DCo!u$;4N33`xw?9NLq4SB=|Q2HX(GP03c1(uV9rbspSq!NW`?9wfCV zE3GYQcXhaTWsule`oC)}>`;qbg}raKA|bmoS998Psh}mv59*1}H6$^2U`VleEdZ)Rd~b4P^f`xR$NX?aXen-d5ba zZp2Mtao6s37bY+3OV$y4TacWkA`GQFNom4}(==Dgb79R z`r$MDgc(^-C_a{*(vnQsr-X#o+`1{uSjI_75OyOhHY-7u z+vkK~T{j>;wkw&ph5aQoATPHO33pqQm|#ZyJuU8~cO@aQpUlaYyLU~5RT+_VRgWC; zi#wOwh~Kp(IlDFYbDEQTzme=s1D;-M$YOxS9IiuBuzY}u1sVe!dl`;&1~*j${bnQPs+d`*X>q)wt{oVdDt4(@9Euy62! z1r0uOz1La%4G(a^@)kF`pWuYWSx%cK;NAEd3;f-M;HV3Ti*%HjxEG@)bEw0E3u>G@ z?8wd-TV|bg!+zatmPG7kSm0c|BDOLo*qrm%#&agdilvJ*F)^^l!n!xc=4$llEfTUu zKI&-Ie2A~7VTn=4ZcJEc%=~`dT=a5cN5w=YeA>e7v&&f^+0?mu9~TRy(=bC;+btzK zY@p~`ali+)YXGcR5Vl+bC}7Yw4%PZdyg?r04g8s7yn~IMk8`@^16J2M%bKCnc^YRW zLDx_MN12>tWgdzIEfK?&iVQ84KFft_D*nBmm)4LBVRu;tqMc=oySYlFJgQ5&)Wr{^ zh^Ee$^I1}IGV4n}t;iK7SR|6r8bXbUZoke$d$TK#(60H70LCA&+Q6j%!ksC z;#^^7Euptfgvr$6L52iZYHi9C{R`zy%V|V*ZY^1FEh_JHlKva;CP9g}(x>8yOy$XS zC`nN~6F`XyIrk!^7SCiYW%p|fz|B^Hk!Qtg%S(*9sZ8>TVmJTos9P zUqCja4o~I1m+{hG9I#N@XJytUH>(LnGM6&xUooeD9$_c7%&}P3sG#PGC!-fhtyoKz z%qd&?&yaOy%KCD1WLzot730^eUE0e$6hAJ^Q(PhSWO;$s7`S6)3HO3>scx01Ei;f-AXTHeb`RLrdwS;ewH zsnXBgM$%s$DiZ5ceYYO3r60w&QzeSu2nh&Qh+WGi5kAPOLz1witjyXxmj276ZJyYr zQ0A_(%u0M8+u=`{ZTI z+N4dkv?<6EiImZ-X57k}wYH#C_WY4zzhrKY<(k6e269b1`Xf9}*UrJ%eSD3-H>p3BMx|cy113$)3H;4(!d|C__Sz zc4hWlRg8_S=&ITeeQh&zbpHKkZpF8dx&|1jwq)U)9{A6k%|3T0!g72W{yZGN!u6~z zTFmnDtwd*ykxrV$9k#53MYdAoLrwlVGJ+#I(<3xkD6dY))~? z;0p0t*&H6chs@OBytt{(b72tKl8tZWOZE{4RDMr1xG;s%+g-(BgkeeM&W!IaY{P*3 z*baq1t z#%7Ye+X_o*OJPz6UdOAFAx!c@X=82|w&ZeNDe`4wB`a{t;iQw!ql5-bXUOwMV*rCbeRLpof_>PXz9mIBrt$-dv33)$MTziKkywqy#! zD;LmH1YxfDOkt8FnOhwsKpV+gn{c5-WTAjxazSHa3+u~qd+yzDFLvzABS~`iGh1`x zfv~u&&H|+Ed3dkA_+Ce0Mq(QoH%sQ4l&-=h880zUhxg~JFXQs zmpyDsVY)DENuc*~T9cIDOxE4GX7BH12_uz#Oc$GErwbEGZ$Wxy%Nk~S|3O13jmgYv zFMHon?A3*|WMO+L>e8nUcd}K*FH}j&Z9+<(_@OYkySZ(M7k^4FXik!_?fYrE!g#w1 zvsESGK_~8tFDB$DlaL|pgz05xsgfd$Ej2?JxA<(9u(k&o&B@7bA$uu%Ec=)tud_2{ zPvyPr`)$a(FaDdPCM-{xELrpYOj*B_2T5HeUL=<88j>vvAtkee^wC+=LI+`KqGF_N zme@BpwJSL(vj3@S;=^5pjjD3GL~NeYl()CcrCnRD7PXOn+mf3u3||=Dv)f%H@eU-# z*B@W~T^zOC#gv}Gtk${A){eJX-|+(LTAs(NSs{Tj`?;25AodloOqMyHvJ}><#gWH@ znNYPA*Vp^lwLgx1iw>|oY#+OWc5`z7WtOfTMZ{5i!jJS|;Sv>e4fRmd)J9iZ89kkU z-49IhEu^|=O9S@%qGFyx}^~F|cy9JkLVa%>PjB~<9#z?>JFSp<<{mpx_ zl^IomxK^)ce(7!;lh-ie#crm(2xiukRm^|l!<3`|mKPmlO6*3ar>w`TQr>&&gIiT7 z6RQJRl)I2E$2Z}1)SG4Z0|~f(nAP_dvm$vmo38F=Ys?PjY?_bnm7T083}sg3UZz%T z!lhydE>DjzK4&{_IXm!rvX9wMLZsiljC&KphsvW%r{|c%GQZ{QGn9e6|)>>3@2pugrZXZZA$U zDQ^R=`CjaKG?vt4ZISc!NPW;iz@d%2Zb+7}iRfE)EW0q4W!3Jumjy6W?6fLn2Rly% zvp#q(tM)I$`^{D+zm#=V?#8=(Khy86#p%i_<~|8y#*;(LEe&I4X*e!bN10L<#^~g= z%q!h1=Z}aVtYM1msY~@n=2mXU>B1u1Qs?3!e&YTth$WAA;#Iy*>=cT7eh8CN)-b>9 zu-JDCo)x>8Dc5*B+bsPD<92Kft5WyN^}$SixdPW$Ynf5GiDi%WGxu&F?vEBTUF`1m zY!_3X9>ld`AD-efQ?mUSad|#-%eFJ)X)x2D%HBQS%oN#I_orJJn<;zqc$3&){7~9@ zJl)RhiXicyAjaL9&G>s>EPT3K#@a6awocjxOC5yMlhq7=;3H#7yNV+$DvV@Wi1_E$ zt*kg8{T!Xi?37K+e6pXZ(#GvYpsZyhvn2-H3S|GYS2C|Wgjp3^@sQYYsy@J^r+Ybm zZY~>U&d2MlA2Y56u>a^*PMlcD{B0AtHfKBMR!<^l=0Za3gVii(q zof*%J=s7sWu4Sq$V%|bGMlN$E_L?VGf(Mg&rlU~R`V`!2#zUdmg+j}pNJiT*b07Y7 z3yD#QW92v>)(u%rXqU5ubiBwk>ku^5z1gpPhrE^_*wE@WQ`#jl+%g{vodhO~C}91X z7py*#&Dh=1%#15%VDxb&U&^I#SSB`?t})=k1%@9=VpL!uQ#KYdEBYZL&!u2_{vzFT zp3trQ5Bk-g(0}t9qc>G_d;S{pNAECs`5Mj2YBcg+(x>VTM$i66@689gKCediQ{(jRCmQ_zNTVNLX!iLFYOiE{r61_@`5R4ty{F!vw>19q zftFuBqVwiE9b|0{-@T^!&kwYb>y6*%;#`u-lEOXsB(A~v)Fc7m1313iz|x`(_@&*$ zsWM7z@tF2cU(@2l8+4z2!J^_F#zzzA@hlI6kA)b#kH!9N2rFMM<@Wt;9W zSJC=g5sg1Qr^&BZH2VFLCO_ZP^mmn%5*q)`rp}jKn*FM#@lUbAUpX}T^?_zzKa1^t z(crDT{``)1U*FOC>l>Q=kn;0|*jVbX&uR2g>R*rK+-Dm9dPgI%N#h?sY4rU&jb4AI z<+pEQ@3%Di^Na?+-pJT*X#4FA%|Ab-;WugjU9SE4Rq8)7{%h&KnwFoQ)9hsxt-ecN zzh26GUdz0t{H&&h)OA0W(?(u5`}IW5zma|WD(k40y{e$G_*KIt}GMZeMTGc-xi-=(OkxC`1=Ko|N1I@im&`F z_EOB{XDQ8)asGNw6Q0X;-{@2Fn`OZn%y7Goq0@1!y)NN4t_Yv$SFqRHPo#Pt^K`~@ zQ+qw0%6{y%KFM%9M`n(n&lamK95g*li1rCQ+T3Nux$RsmR^z^~_LBRxD7YxB@tP7B zgt5%egX_`7jRvchUgu z=pu8x%h~SooJk9BIj$^S*}X(kv#A|Duxwta0&gOy@twU|}Iz6+h5>@fVtJf1&g0JL*1p zwD)>Ir$^tY_W(;kw7=J90iG(9-~6U+z*E%SA7Xk@0z2&mtv~%nLyAE_IeIR+bjo>8 zn=gOS;``sIdb@t@wa`?oT=$e?|wfx6!HhXubcNF7G8sfBlU{ z#PL5T+NPBs$_xcN}uRfyoPLk8RPw2jozTUm3)7LL_ z{P;=c@rm||_Mbn=Z5cXD1a zXX*3Z2im@QEAM}-nX{s;qL20hwq0b*4l?&n@}6Rj>R%;+zW;!#94o*7NY^jl>GI|?JfivShqSp@Mq^2st#4P-@Ol;M7hf^*)GJ11mSD3ngh5-+ zVY@bz!K-}n9TY;kN)cQ8Y~j9Y8mk5c5nvd|%t`YY-hTkwdh8_J@CYZY&oRCA4Hli+ z#K8_d;z94K2~qwZ=eS7d_p7|Cw7)2Mt35bW~MXKC3Gy5BK3@j16nntYqo@b4(g| zgn-HMIJq2W*xjeBD)whj=6KE&7<2oAA$g}-Q!4CB@guc@jK*vZk7Gu&cN}b6!k9Lv zaBY*wPSs?N8lT30=u(CbwjkQ@1X&%6INCjurB?F=)F#re?-V@y?c<Q z;yS!wd7B&LtGy=BWEb|MW-;I1PmC17R@L2{=)RN9mOJq2zm1^|qi`NDncZf4*lTf+ z{pN=VwA{ofM@J_0nMkO`R`yx$<+RRqp0s(u>262as<(+qn{yoQwv$7KhdF2-#{SNy z*`TtWlNOPz(Lc!4vHk@2UBh9M5F+#=*=HS&uTc){X74ew#1 za|iQ$0j+00X?dp@&8j~r_q>N*hkNwCB>?v8lVs-)s0qNS49r2TQxYbzAJBOZ?Jg8z zo&E)*K_%!n%3<_@x0r=gU>)}v^Q*tH8kmYf^K?c!6f$Ja119c&&XCa$aTrxXx2CC# z=uwEndSR?i$ymmIqT9i03{|dUsVZ6b>?cf)<

    20^;3wEKTk+psCC&NpXy@g`dLQ zKBGG@pC0utqjCKi%_YNkdH)jYyU(!eo62ORWcnX@Oq;)}X!fU=u794OH#;9QrF8VR zSJC1p!hWPLzM&ORii6TqdaDU&4Xm!Y%Y(DhW0q(>$42i0lYMTWw`jE_kWe(d*D`$X zDYO=?WZY4HeAj=yZ!gz({jc^K z-pd(u=olJ{{203LDB9jW4BRXAiuDZGeHi`KL0HcX!l-uu{YM?bz$%Dd!@@D>xgM*L zA?VvK#&pDH2K18H8G4hU6Bpw+cobt@7GpAcC<8ou<7qOEj81v1)LKhwha#q%EGNV^ z9LK&h@R&50EfPbA^<&uCH3Ijxw^?+0GuMhck(<Jp+h1Fqojl{>&UMj7E^u*IFp#*faFc|G<>DYgt`2j_`5=Zd}pf(W&;7 zC)BC=Ht0c`0NAlJxHc>2Vz)A8=pAK6x4Udnxx#VN%goT9!}LimM61P;-?@@t6#<}O z+p+6!#U$5JEE=(l;}$1b(P=X$wJvdAIg8^O7pQ1n&bE$cu-0G1T+2Odw75aIRXm}Z zhXtsj2UM&Qy7#GQ@|fd7 zaZj4Za;WP$PUs!ugi#cS^}>iVxXv}Z>l{j4@aA7F7uq{E*d z0%9LADgDC$%W`@(NfCgOjQ#=C_NJiYn~P=lj}rXvn4tfJ{%RT6Di>j5Siy*!V30mDW!#9<7BhL6U6#25yT9Lu1gqZlIffMKH;D%aQzcKGi;oZM#8UvWIv z37dgK88g9|0k*b`8$Xerb`A`gJRdKkX{;H#oFSHDnCLnWD_eWUIZegNrau#>OsBu} zKfz@>z53ZRX{rZSee9Sp*^TZ}PjYp~^1qH1wc@zueP_3S>M7H(letfD^`N)iU^$*n z&whg#J8=p~S1Fx#oSxNmJ-EU??NUO{UMl;fxsX!l04k89R9zwu8k6 z5+g%KOvG`h8;-*~88Jjylavu7JQ+E9vaEAB4wD=i(|10>-Fz8uHyr2jGJi)e#*CST z-SGZ+j|?EWi;$AO>q${gWZB?Vtkzx4tcl(X={uO6J;Q|%T;_O>Te!B07YQj0thiMT z11nB$#??p*j$dxTfihdxJPc$-SrF?E>|*V>qeP58N7(SQESwO?)}3QGA2pm42Q65> zNLQGbF`C-e=x7^D(fc=m>Cr{o6kRoamdw!R>=9G0#2T}1;SkolE#{y{5J#pjW{s~e zHbU{|Rc;{g(K62E4B=X|1t~|HP;tLLdH0pbd(ey@Y+r(fE(p$l888IA$5e z5u>AQ5Oy-Gw+$C{V#x29$(f$VS!^|vNp7R*?a-f@BbKty^axu93NT51!|W>FdaKFq zAQDvhGPCWrv#Dn&2YcNnpvxhmO(HmEc7$DGH2Wdbm^^S1yM!f$^*BkG`Dxag9$>^s z4<`3rK%~I|A}vpHUMrrrEgo~p;v9$6_H(}56*4BKaIE_ULc5c)epfvggEFQzEWxeTM@H3q z#bTv$oa#NprS==9)c?uETAvxK^pgJli?F)=mVSEWxJtX80Z-`cor0Dyr`E!t?D~Dc zRjCy76CZ_TAxz)_rW10RVk=;%l#SMjYMT94Fg7WFL(hqCn5y&)gKaNmUEiql^Bau> zq)q+Gm@RV{rIs%s^p;_DpD|yq8&j(o+ZOS-k2;3k0DIh~4-(m8&Qzy~*bW_pquWFV z+7DpzWG4m=6o2ycV8~Dbl<{L3?l6?G;@5?(RlkTN|cLpNdW2-ndP3tvOcI{~S-nw%@;x|9OAT z+*wlhsX4Zle%;&@wM|X`{Ri~JWy)kB0Jc&)GjLFUQA$qq8*In;DU$?*2jV=Tb+|(J&1`iV2|%acF$bJ zVYl@hm>R^IC7T&moJv6P45G99*Zh>>;t6Fg#Wdh?daauOLO#f9$kf0k_}f%--n59x zI)2Pj-z~v^mf#MdIJIAh>)<(D(YQ@f#~e1c4-l3TEXX|y&#}u{)qgWL2F0^LWx2?` zee6}=#_AD)+||2ENT(xAHt^zT@5AiT7BI2B#Bt-@L>eAoU-!K@kDS7yp$mi!9cQ5sNq_a`VcBSRPEwr@CDh$8>g)yC{vc@%Jd0pF&*kNbbAepnT3F zvS-{Tva=7-{Z25s=Ufh21rpnRA2E8Ngmv7``F>Ht{gFONJ>uz`AW7y)M3|nSe)20X)MU z&zPa~5a)Vtg*l}&!a7fm?=wxe0;ir&nWOXstG3zFUjlY*@6mJi8|-^LV{oJUxDTsf zO6w9Ps6Amp!(2wxd4PMJD!hcvIo11sOT#KW1cZ7zr880I3sV}sXQWpdDtfo*bK(ii|m`)<+}jjN6Zg@qsz;0v?_f^>(9dE+}|@sq@qdi z3sipng<925%%1**t=ChWdt_E&H@q}@G@S) z@=*c8upAlXJ`I}z1DQU3CN?&9OrJKhrfWgh+d4V2nLl8Zf4 zT!gU=l(oCL35X40+~mo^M91SgV}=|LW~{RlgX{&EN4n!MVuY}*z6=;=j>E*k!o=JK z*n${qvjY#SIqWj_XI77?Odq|71(v(%sk{_ht7VvKtmkH%SIlm`h2)mijA*j~Z`I@2 zXsu>g_W(8aSj+q zvBl&x{d;>epvxQ%>D^(6@<~pJblqxvo{gZIUoZ`bvF%3Um32 z<)t6==~#?O`)sVPyr+qPo#J*qTIUrH|Hx!$qepa`lE?7q_YCZLpFyjh(r-#3eHy1? zvgtY6haO@cT8ViHST1-(mrl2_^eRSkb|!ZFpEA(&Hhsqx&HgC4)a=mFauND^&`6 zEM;~BVTMg^vuM~!`rD0V!n6s(LL`8lW-w^bP@E?@<2cX}*D+HW(Qkw#ugQ!aFq)}j zTyY#UhAE?`FxGx7E~6$hURbiTB)17eCopOFq?$5L&bf?oskvtINEaMzN7S?#Gssc; zkUj^FV!Qz3sD4iD9JQTEstfV&yNz)JmN0$d90vCn&~b8On9VR|I(agr&rp`kT3S=j zbe7}ZLzv|<8~a{^ne8&?zw1Fg?f*IM`CsR2j%U}LU*frxVSR_=={$?UiuRLd*VLZU z-_Sn)j5Acmn=xqy!{s{nN$w2oHw3pyG7r0V?8-`N`oCiL-2DQ&3<Du;BQ8f86L(5Qs9{6$g@I)}XvE}=D}+f^6JeUlbn~68Hb2XbE?0;!%Ed)cd8SPy z(T&q6YWbAFrhD-1bA)c)To^rO4P#A(Iaw#+(khVMW|!E}`7(2Dj~%s$6o6RGd)S_ z%zV-;p7E^yGhz%cad$!%A?;$xpI9uCFpW(bCy6u9A$d}+09F(sR!NK!`RJp0ii5g0 z+0`kYO)YM4*gBalTFH3y-O92a(X8%yn3%Q+tPx3Tt+SK~vKDhaCpHXP#Khkh2|Y>4O44?YE)B^n<7zN+ZT(>eI&B9 z97mC-qv~g482P;B28SKL|3LrgN7|1n5@}h@hTiW9xBtL7iytI+e@|$~*SIMCz`Ooq zc6O|0oG{0cwI8E+a{KBQag0}4jLW3i^cpsZ39bTO{YK&DwzD#yb` zhPu+P|6nEyL#nB#OvBdBUh3&m55mRuziK(|Yd27i-Rax!pW^`oht{-H9M@bgOzWTP z|Es+mEBaIP>pa=5Mp`>f5qUXKoPU)X5!F5mQ4!8soz9~i<%iUVg@~&hvO=e`f%?x0{An9wGCjw&?O{wdB6tiVA7=a zvh~H+$d9R`JsH-25L^1}B*G+&i`}oWuz4z*_MRjs$&gpK8u2utHjk2<@F=M@8Mm~E z39{kJ`H>vnu$gs%2MN1;lJJx$cHKR|g`5EP9~{c@J$+d=yPM2W3pJfCA|q8rHU8J_ zbTn&jr=w37ePc}3+cVe2n9w7`ICRv4(CzD(;jj(UdP^=&2XmPiR)|Ab4%X)`p}8lTQG2o(w>yJr5sw&rAdTLaZ=$+QGDO&Qyl$5f zbom3@H@#wAbQQ}lKgT2TDT^;Y$0a-)kJI_Ax&4++ci*r)w2(ntlNoZWi2l3oGHTa- zoHymMde<{X$oL*Huh7{Z&ES1WbPK%9q%B2Q23@7^#VqtrU!d2GyY$?i%H)GDuwRsm zvh5ArHhsaQRSr{Hma=={U#wa26`wWVS-s#pBbw$ju~`P2X1rm};UDxU{DWE1A3F6; zq_avECdof({O2p}KZx{v4(*4hq22Ksy)M0>(Qjd3zyHwX9dwzPg>kdJH|W0q3*~2j=vwrfaUCvSKZ{NHqW@EU`{FX-%jEYkH1!yBceoA8Z}rGMy_`i0&}KXEX5gk94##`Kl7tbLCD zrY9Jzd&Llg0%j>?(d$UHut;e7O{DR&-whvo@E6O1J~O*trAW(i zX4HPj#>SPnwt6aauVk*-YldmvzYp$yu1neKDLaM*AWv$=;DD*kCS z?;sP>zpBasN;5{6iLvY#= zLHBk3jM%>yivWKd_wJ#Gzdxh*?7>=UhrL^{+^_NXtFK|d>;x_w zA{euHElUIU(%(IqncrR1)#R%Gh*j6wEZ(M-HX< zls716eL_9=m#~Om7~lDfS?X`P$!pz|PiSWTMmO^Z+Ns~sP5X{f`cI4`pv_Z$Vv+O< ztF&L}CwxZh-ej$HPWy;%)>l;0-e4yEIiCAUuY2Dx$oPtG>N~op zen#W&N7S=r?n$q(O#C48c#VGQ3pDa%zQSm_Z!1G}b0OVIeq*q$3cclp7(e|*i{D@9 z_~{cC6+hA5SBkoyu&qbmY5VIB4Ssw>>-l$7Hs{dVyFhI7l}3NPmf#l__UR98Vk%Jf zPZJP%MXR5G)A;KT+I;w%PI2XE`p45T@d>TI{GsKK-?WwY)Z!}X;#Yub-1C~x%xeAl zMf2}}(fMW-Ed-c#L#yfV6`FkiO5>luX!H6n2KYZi*)5B%aiz2`enzWbKj`xGZ%p(827J8SO~d-N)-xkGAWVUcwN zY&!|q8pXrUBGnr6|8q$qsNYT4A)%3N|KoFw#%6A zmt?#CD&w|_yx#m6%biKsY>vn5P&(GZX$(4YgZ^jY=yCKUeNM&EJK`h*&s?U@(bL$) zT%z~UNbJs?rRT9odH)o>jvmAQjDYR2t<*hFN}s3B(Oa&wIeCI!(Z{ek6)ANTy-%E??}>}_ zJbH~mQMa)I}WkT*UfV4Ca?3=^ed~5fQNrI2e!J zu{3OsoS=VHEb}*(VSnlp^S9+NF7hJon+uqHRBR9y&44|UD0g1RcXt_M)e{L4pe;=> zq9Wy=zo2DiG~nKYW?YG$#4@Y>oH^19?{|wC@!~XLS0Y%Gx*wkkPvXituxF(s{{1`& zm^hAZT7p%&s%UGgp{w{Sk&b~r8rq6Ss_D?NTURVpby+aol0)GmIDOm#zqJFH5jci9 z^ZVfMHv|{&o;Ze#X4f$zwny~j@@-uzVwB0dQkMscKeGzD7G^bK-N5zu)q6>xRXIaP z#WA4AEiA0>)3DnG4CdsbIW-m|pPO`?d7Q56Q&3)hl`i9M3y9{@M8BBUhNZMs&ZM(J z37rfc(^4gqHU^JS(J7;4#~eDV6{D(NN~g{bQCBTNqw`}_bc<=!{T?a~>2w*LN=L_Z zT93@6t$i}>?KA1nCk0ifCv;p|gzCY^s9k!G_TBfWTzHN_a3;3vo?^T7B@>oaqHKQ` z(}8)+H7~){u7oZoR~gnlNu;*0Es>NynguNACC+P|%HW=H4C!$flWvz8(!EgR-bDRvV`H?NNf3eT?2YWo;v2IQ&ho)B$;{KMki+-_o z&JUJ{{h;T)-*kHQ7p*`1LG|TtRGggX!HIzjo$sHi3ELv zkHXNt{Y}g7f7ABkFB*PQe4F{3_EPHn_)+sYnc_#Mjo$vD(XU@L`lk35`bU}zP&Sn| z&0qW_eG4=D^NnUdK2l#mtn+(lTm2j5jF+^_enP`vUuZ6%sQdv8ivC9BwaiPvw5|Yg z-QNOMKmMlaSLyr1U&038(){O7>V1DL^ZZJSCx6qS`a7LIOEM6KXBzhb*DY@uwfO}- zPkhF~uY`r$O0Yj)$;e2Nkda@oJMx8TUQbx%_KYnPUlTdB5$iWqU7&%U6@bXpYxHx0Cav3^`Z|zpE zKu3aOV1N(W&J!50dO3zuC(~!iA~a^XGE~m%xld-`@+IhdIMI9AJWS?HW!UVcESt8U z_0x~h$9WY)7OZ1X%y|YyUt;LV^D^%v*a{%^k=icm1h(PA438ZXFgr^B<1zF!{OM3%K<B~ym z^p2Km1z3BZJdMS%Xe>^gqfcZc_D7=`vNcBb=q~+sB+GSo>9yw)%YC0=zc-den+mZz zbc!jVIShz6hS^!M$JWb?3QT6%a#2_v3fQ%5Cna}#QIb-N()&u>El?uZX=~1N@kYb zG3X}D%i0VrOKo}^nlpd8HAh3nb7X@B7m}UWoi&A+Su+<;WYZ@^@7r9ut2_FGSMqtApJUT33IIKh1{Gf(#-lY1Ry?)WHH%|FHB z1<`mdh>~&&?|D)9&pXdzhf~b3I>LhPM_FWkl*MKdcv~K0Vb4fByGJmq=Sg`#irLoD z%(IFXfQe**h4f(-g{Nf%(?&$HeBLFz=bypb`#dveo@4g(7#2;BW1dSCEBwzeXa7a) zP8~z{V~NNl$?zgU$4|SD$Ea!+IlN(|O&PY`ZZoUb6OJ3bWsAi_CJOikTgY`o`Ii;8vKou_U{QB`kYI4ZwR&j$o|0}h#4evnE8@nfl`jV66dd=TUs@3zI>?p z(QEDBe^c-GZ(4l)LX)o_Q2Of=b^d&(!O!0)3Ej$-df2P(iDSv&VfwXDCibs{q(va{doWe}9sGUefIMYiawIdOsA`%XtC0y1xVve}16;?{_r+_KEu6zSH8z zFPeS*EelaOSq;tVb)F7sN%|>s610Hi1F>u%zMmu|8KYTpy?h6^>=!E;6 z#n_B=#NBfN4#UUd=H$k3`w=Ygn9nH33Aj$2%E<8(YrYX2HEuGF6K61R@I)rfTFS8T z?hKgb$7Du8R{>4t@;3qzLA$9kTpNH=+J@k$2GUdAN$GGWC zSsskJ*ui$`a!lRa809B2)Oj%r=kH_2oNbI-y%uYa*$nYoiiOx?g6~>vXUt=SjMK+s z4#QXb(QWoz`pxsfcBn5?+|FV&@g!PPqZmEvCTA_5vT*bvc39tK#E7*loEk^piDDyP zKW2{KN5HsPPEE*XdW!;nrYsuZ^~7OHD%^ z^fgqOIlTu<9A>d+o+U9^OIcUEiQV_cvpHiTD@!(UKF^k`fumSz=|b*l3rZ7Pb3eVY z6p@coszl#zv)N5tgTIK-y(yrx0zdsrY|+YRv+ga{>t4ZM?>xSS=kPO(#ZNbub$XZO z^%a&GU&P1wGHc}ZI{hmI8eCSYGTz-Uu*m8ni-hGYHow49iwi81T2WS* z#^Ganp5>;ZzfI5LC1tTiEQ_UHWfm*oa)I?mw>a!@4X3TAg`pJD?ol~UAep(4*YW?vIoxZ=K z(a#scqFxKD`7ToN2c18^r`_jI^7;cUzkQ_bw{LX)_)$Pc&i(v^s)CWd6J{oCsqvpL zH2y7e^xJ!}$0s^`5=r?583SQhzv(P(+kRItu1~_Oe$(OePul%du(Kbiy!)FL0$?pg ziZ)ezF8A%HjQ1C+pQJC5pdAD#+bCqM0B(oxKLzN%qbk>{zL)is|6pLzF9zqsz^v~m z7r(FhO<1FEzi2H?u&J=X&cZr7-F|~X+Iza4eT3bO=UAS7%BZN<*vFP)o}Pzx#s&J_ zImzhbN3cG80Ozd-nX)~Ce)~@fYdFT(UD1pRjlu3f1bspdGI)0=_FMKcJme4qb|~tD z*zDND@V$rVyL%r)_lMGZ=N=qF4q(4^KjSuq<9P54qxTAfl53rIA7I4BZK6VsFx>wX zTi53?-#!)#-HpsKKa7)6I4dQgjk4N}Mz?twTKS-9G8gmy8&EazLepv$>gHZ_F%3Z7 zbQQYYx1z4=PY;tY^qOzLru`o5TI>>*A4XU6rKopXfri;COl&ryZR&%L@hbF8SDbWG9ItSeb-0Nb4RacsU92Mbo?@#q%5_x+hsI+Iy1LO7RW zLuzzyyv;qi7^+W2QVWvv8j>Q~RsmoylN+(IZwO}^{b7?xsPX;#6Ex&B0S2*bu-wHO z>z(-Y-of&|J6LSHgC$ay+U#UmpM5Or9maAgD|`K`^bBJ~&FcgB_1uMDkDdQr{Cn&o z;9teB=T3a(8XqyBqO6wUD@D<6MX$XC*hFw^*ilxk52w|KN3^bZ$5i*n#P|9@bn6OE z8@^_d@?(Z{O=7Kb77Mzjv#d)Z3yd;Yr=88BzHzJ{t3s6pXqn~J!8&(VBYa>EC~I?_{eVzKlhob$9~`y`jKf--xwqyIOy63hF<>6 zh;#3m5%qx?;h%9n^@Z6{pO|yx8v!djj1+$1blO#vMi~%uc3`kOuAUS7LL`B7b0hJ&*ClL@3a}I5H z@9xiAxX-!IKJPg98RNaD7w^l7yUJ%=*ea2X%YcG8f>prke zoX7TrFW3n?XM9Phq4e)8x&D^bmtM0bF;s8^oeyT zA27Z89n)(cu@T2~Nco0|sBd)n9hTyF#@9dMnDmLw318V3CF+ZFU3T#^_9?&P68Bx$ zs#lD?`~-kbZ)*x zH>e)zz;e{j7N8LD2*v1|7~d|#_I@3!%AcWESjNKADhwZ&V)eM1sY?3-1@Opt_PpkA$)=FJ<1NhZqSvy&|y= zqtIp+q&?-pxlh<1t0ytwBetF;Y`x!sNo*P0?sc%?dNZzfUIh`&7F6c zcdF3qA8?5l%g(u&8((6#T>%@7k~n$r0!}M^@Ys8ijdp>YI(8E~GjC2DO2@+JDBkYZ zv08ZocegYwP27LxJJaJLZ!hYdI+%r}p-_fySFo}_g}3Kz?2Wt$+$>>dtGInBbEde~Sx;jMg<7;Qg-bRyY0;tJc(Y~*421gi5or_<@qts4_a zESy009ZhbXwth_ub6XgYgjmE)w5{Kq^670ARuVyzEyiH?6tv9wWHZc25I@hYEb2(66 z3}z>a&*@TEFo2ShUX%&)dMs>YM}A+9%#I|f#~(!L#ba-{0B7Y;b|}=cQ$1Ixqg-M6 z@&yWTRWD$-N&yFy3fQNT%MSI2LNWal+d857Pq=D4`uRH25v6;A2>%}({!b|16AJEu zPxuwSu=Lpr6LF}aVS|7Ag7?ewqv#Ip6(oRQ2WPwNRMWO4<`R-is21V71Z zc#nuDbI2tkMkLWbwwQ~O=eR#PjhCaIa6>(j0Qnev7aZXD%x$>pZz5LrFfP-qm}6>* z*?J4+n=fLf?-iD3e__>vXV`XW<(TAKZc4WiD=n_s{0SRZG_!Bj3#NHIWBkMSjLvFj z!h?6rI{%WnX>XCqc!_-0C*(8VqbL;e0dd<}9ub7nf0!49dQoCBvjDFATj5jFUc*(R&Z<&_-iba<` zBc0TY{DlT4Bnf48xtVc^^{AvYGb*8y5%-=ktn;&W2Wn7qe}PKoHztHULG?@x%5m+; zyFX>jz8c2deM$E#LRl9-Lo2(PIgP(Fv*ry_-+rLb+=2R+A55=%&ZPI>nO4`vq)$Is zRMx?yci&l2+|E>?OsCd%p!D&FAiU3*<$Pt@)(W)zDp1(-5LN#?l=t66%i|#$yC1T2 zYc;x70&b1yt}SNL(H5rLRfsxbUoJw)uWDlM&L(uM%2~9dg-ND@G&es(P2{KVY7p&S z#$1uAnAc$F+|1%-#cX$I!E9O^hPuxL+F3G55a{G~=IOREN0jSLZe`Al7G_UrV#c%< z7V14`*7O$iJM&XTe)e-_P8Xy)qn!oQU$AiMGv-eg=ONb5oz{xpv=`{jXl4G?W@hU) zvq;p}6Wi!dYi8P%X6EX*|6FG>wUJqdEqHAF$`YyDti1C9tvk;#4QpWFct6ev_j7EC zHwE$~c+Wh;@#(&7TxG|C`DTPnPa{k^6L*;m90z2vZkqw+r6Xz27yOXjm-q`ZT)Wbj z()^xmj92H(Lp!{VIIv0(t*hI0R=B#e`iwiaTl9zyol8RW5H_rlLtb+#qvd3T*isM} z{jUTjgNC#$(vou6Z&Jm_!kN%b8#(dXg&DscV*RU=Kj*SCdY?u{*<+)fCQ=DM12(xJ?b@u)x9TfkH)zRN#=n0imGwO%D}yI_rvbMku@g6EnjELdE(J z_6r=C79#5X69@jIZ2#0yJm)0f;hMmbm|)~z)H1qCD2@G1#LaD|epnk<)!XqK{D>72 z>4cBV;ikkRUX5zuqI40j`Zsc2<~EmPFHq9Eie$yh`0L!nQDZv?=bT{OwA}=#1!FsP zKXVs4V`aSx{bdWpK)#EVuvto?W3KP|0t>~b98UZPE4CMNAm|;+cK2|-{GG`Mve1oc zV6s;Ux{X3%gg-_ltN@A3GIYw{GU8@6Iwh}>xL1LRM+H;$@8jgyjPAT5rX8qc-th`_ zRzE`1QK$=#dZvfAGIK#LDl2j@oFSCPtP)gpZZX@Zk@@TMS-erG5{W!kY;0$RObL@G zmoRtwV`lBnM^EMwbLZ4ESGf^K`2tK7Zep~r78Q*QrsjP@V&wy-WWPtss05vdLOEGK zMCOLh*Tz?It z>#rGmsTQs3uP9uoVQ$q2MqDpqO8rO1rWY``_8W5RZm@iF6Pmj!QF!$UrATp%)3vA_ zZDgry6B=4~vAOXXweT|LJcnUh(*!v`L-uSTD($~9CN`TH^g3YlSoVJub`H$B0?wF14(HOMY3XZiXksLwCM)UgV^In}Ineul<0p#;}G zMR9hyAi*Xi=Tx$Kmr#;ZidnzrDau+k%vjpUMC}Hqn>I3f%2TFUHz2*D2`#%u$|@Ai8^f)Mb>${;~IE` zi;2sL-l9O&eF@$@`i1)3K0Ge!{&Ozv=Z}A3kEuJEJztWk5zMOj%WzSTWtUVoyXCL2 zLtwi?2Ckh@{11rb&a$2I8DjlE(V5@zFX-IHMe*AI3o_WIc;$b=^&vF!|?HpWp~gy3~C-@l9Iv>-&mZkiTxK| zXVHTM?2D6`RTzr*@r%UhU*PoI3mjS*%`U3|f{$EbuSGD2jRNu6l#1K7^Bgq@Qhs~p}G%f`D`uyBiE?Y(sN?uf@GEuEb^BiVfUAtrtz z@ZC;iV^$KYvSQeBG=#hsSb)I9()7Wj1i07$Hy!Kwe<9Z2m zyaU;JEdx8zj%%J|3F{oiw%Xfl@;S@l^oN*UNMrG{+rq*}W7u{L)0B9u8XsVBDF%bq zL{?mn!8G?CHoK#+eR7Z0Y4Mm=iS4eXV%TsU`|C-pxD$_4U_53?QS9_d#X2;R{ocZ{ zxW}{FE1k6#aco==gM)P<>kL8&H%{TO#W|dflG(B(g>_aJS!OQov1nf(^DB5RO~Te7 z6icT>?2Mv>B00xOvuM`aU0}IcBt~{gEH;b6$UX%l(-@5HQdnRbiJ{1wnZ%0qDJ)$X zg`s6UmX-;uu!&~t(s2A8t_r&u&I-GD3`IMco2Ov0G9DvwUMtLESZph<-82CM8v*k; z46VXgZywA_qZB-r#^b&+h0A9}z&6-Vl|&|cjE*yZni*RcAE#nM9p1A~;iVPEPUGDy zo@>XM$q8(g3c*Vw2m7HFY)ek$TDAPoDd9W+=qt}0N!5-16qNTRs$mwpnh&ru!h@AI zPHb7bmYoadb0Op`X;<91b;W|LOf9y!OhjH?5(!12#N?Hc|JNy{r4*%+P#c4cgamum zNKu(Ti)%TmZ1vua!LC?V9*M_cPZBHbqnW-glEgdqWIfWLv}gd0cg2ZiPoTW0=g()s zT8jF!Z=)wy`nM7@-UFLOHXPQ^;<)Zjj?azcxEK)sw{c9sOFvu;NCC%-IE5sm@#-PU z^&PBJZ6Ih+Be%yka9XK?J7e3~G%=gUzqayc-;ccM-@z5xTAmJh%~hFZ&W>)Os>f>z zhO~20`7M?c%dj6;!|YMF**~IzC1Y+Nt9c0*`6}Fo7c%u|DViT(id6~rU2<^lm&V0q z!a^_0X0xzb6AfaSms8D@05M2iZZPw}HS|m0pmOLo)6CMCaypx7k42PDz0KUbN+hr6 zV`Gztea}41`sT85$Q4Y6o)cEP0Ha@TV%_%!7DMi0HY6LfU$U_8eHVvb_p$8r0D}Sd zu^Raho4z+$((eX#z3*Vv_bw|2+{3BIeXNH)#CGx{W)4lm{?`=j``*E^Pa?}EW?{BE zpQ+yQwntbervjU{Q!%xGlZ{7WoKd5)xIB-1WbF(=YDQq=UMlR| zJr*~;MouWNsqJr&ToZ?xXC>MJ5720PiOQ+#B7KVL*_+II@>(dYo2azZpb>h9xmhhp z&p*$Mx>hEK-$1iksFS!H6sua96rYQ7^;71ASD+nq6U$AHn6@sHRm(-aNw<)ezRUDq z3()A3&-jt0NOu=xJE#Jy!9{2e%wv47OtgpPGrH$BW(~~6XJ8e3y8ur>u)jWHR(GLt z2NW~4YYwyel%w7~pM^aukP@k4uVSRS^AH(|OFsDZj+sD)+**%A${R$b=vsf&bBGt2qQGE&-*}H&&eF{+M%=a#2oWQVN zIVcE>=vBy~Umme^STsV1T?jakLtvMrHl9lc(7q`RF?N+ z-`+F$4rw5EZWIO!jB(LQ!C4IKO%pHT{BMDXijo;POt{CU$+_6N-$(WO4K%!N<0aEb zuv`;iYGpW$DIrSc6NWOyTp9I=7eoF*+0ah}jeSP$h)*O)JR@NIOG>)E;YGhMluP~) zB$9`-l#up)@70Xh8Lp7=y?L+lwA8f?n;%`XKdW4+HZIq9RK~wyLafyv+3i~$fW-AtJT3Fn@ z4clMdU@F?#q<=Xky+qox6{l_;n0IT#q{nlScHr3Mjlf&1y1vJ-`)gK;@@0M6m?80w z<-K42T)#?SeV2Ev=>3kRy`!{1Eu0wUy6RcLfU|El+B2ct2Wn2TMP94HVKS4F`4@PdTMD+!XwSCCa zQ_oTJt46y0ZzRtMEB4|q#&^Ct)$k`{e5)AW_9vQW8__%V0tK}ardNPegs@~4;y#5n zB31Pr*|-MA)_y`IR@knh56p_}Kr`zzGfuYS===ifg-zH>wd1V&4GsBr7U?{}T)u_n z`p=P*dXA2cIJc3nSfTj}BgYw4Gv!2OM9r8#mQs>@(lU>^XXznRSX)Dr<4qOTb~oHQW-T zxmhXy^P?cOrM-BND#4?R6S!I=fm@jh>tAjqB+ZjZyRC$;b|zuVYJ9f45)$G}O3VUM z;?>!;Qwb$?IiwY*A}1$E>|fu7lva`x3QPiNnO|{TJ%N-!ZO+9kVWXWLo9%;Hp?wC^ z83!=6@WAr!JN8zGv%h{P*Gr~zJ6@UFDZ^;a??I(dUo!cgyu_q@%e_>*z81T8D8GGZYunUze>GOp3gPyTwWGzej7GTn^ z0rNi3Sl_b|8`0@j_O8RCdp%BFo(h6$#5^5v+2m0Din0&a7A?o+hyC4SW z)GDTBenn|+H99xGGGkUP(-J;2d!{H)`iRuM4@hPSbz|IsV&W&X9=u`f)n{lrwjej{ zKIW+(kVtukN~su-s%5NP*}>SPdQ|GffJ?1Kz2+NZk_7>Ieqe$^2}Xh>r)gGUBLc?C zksUv$+R+^Q6bJjx>2}2RbbG}#LAK`hZOoZ3+OI$i?(LO7U%#4QpM|ks8|s0b1P0>; zVaayOppWGj9!^h61>e$P?9{+?;x9oTGX!_DA1?!P?c;`rYSPUBUIBz zK{hWL)9V9+I`h5WGeKPQ=$`Kx+5Ih}dc0$Nx7Q5r*&!5N2U|vbL!xUt1I4`^+w(IL z-9Ip~$6G;iuNfuwF+h~d^>{DxuaM~Zk%1z}P3Yahgf8!K?$X4%u8+C1u9RJ>`*}Df zj|J0AF?MidrS^Irk1S`s@@CG=h{ZzJ9vj=Oc

    I_kz9b((=Y}0E!^kR^`8lO@ zMP4t8F01qC#waoy2eJ3{EbKq-BQVg73$~7=+O8sI%^r@q9wESQD{)~9NQ#}#W@iNy zRE5}*pN5>Qt{|{~{VGxCr@9s9A~|v<2R3PPDOriDS4R-yY0u`RTXDBM%2CThoUuL0 zlClzboIkVZW7Rd%N(4EFa z2}J%|utpqv^_XbPrX`{0cM-*oQl_7)#$CFNlR_=+9`=Y8!xOL^e~p=P*YHw*OuSS9 zL4siR4!J|%&|JJF(m1j#gJ|s}9!V#XB@@Ttg^5_}g`p#Vn6*={Fi*mV>5?9tR?HH0 zGtp13V-SC#V_(3=E@e3PFTj7xYc?-$;($&o8cKIDye*W5YaY`MG@`ZcDdyH4EFN8e zjzli{`G}@4E*~-N(Snm0nTxx9z@+J=|5RFQ#QJq&{n8$G6C`ude(;S2$_>m269YvzAFXTOm^rnPnHRqyH@^z?D`HU3 zt72x_SC$H8HYesaDmUISF8d{FhUF-Qy%GBm13tBlsbY$821#7>facWP>E*U?~F;TLhZySM$6=5aPu>Is>L{nYg^wrz{E989omSQ?JE}a zs1?`Li0bfSmO2Q9XHdeVW}&?NtB`&VLpI%H+TJ>3PLv~r5fg<1lM@6c7g&K>-CxLs zRWiQyPqcknP+ujEJvIlW+P@eZSHtM$-%yAV%B$)dGO-Oztp130sYwp6;kRig+2Su zobJyUErO0*|5r@x)+ChJM+SEj?eOatdUS1KaKE?o6!&{z|2OpP-oh^fUemp|$p89^ zKB8{lUtZIrPYZ*3zhGF8HU{;1j!O3i){gli$f=26dkIz5=OsgXzhOYHc6tew)#sOX z`t*A)Y~*u#^mM6K2Zen$Nh)`Q+xwJfrMDuH$U6aH{i|zP$IglE=gv976YgQB479h3Wq!F!}%T zSv7ek3STKNslXVu+5IN3Q3w$ zWqwZ5-y#%Pc|jM-s`_)lXcxPL%~(Er2bNZ*c<7%aWL`FbCTRp3|2uGz5aVQm4Ffp3 zJr&E;OX$9>K>l()-cwpgkgdUecq(oR7jRa-%wqX?PHNmIPT?+|io!k*yG)S8O)ktm z&kBw09GQQHbk&nwQt@KBx+9J@`%+XxT8q0kgogGe)auVjg`DlLsn;B~w zIW)c!%cWTuHi-dzzJ@J!r5G>1!s@J66nDkrLC5X>V9+@rcE@I&fNEg1Og2tSky~PVd0Xx(K5qjqEZh!OZzK zi=wMheq4^_>Ic}zHnT9h4ZF^l@QsRCZhDUmDQ!sItYBX8a~9}lv(vE=ohzlxE^bEc zQUUta&rryC$lSBFm^c+-pC<^|Ae+5XPdKbx!-0vFSWhlykIPebDwVKFv5@(*@3Ur4 z1#_M6W7#0=U350{JDxFfS1P94bI|q4Vb(Jd3_>3;yZI^8LvvYH^_tm{B9J_7W0|mu z^LCW7eEvfg);~wzQ^jmnjW3=v~Z0=}958(?y`Etz3k<}1qusBu6Lqb33QOz! z4%5SL(BCTV>-Im{rCuWX)&nl?&Sitzb}9!Jv0mO5D<^Z7Y8mrr%pDxHEbtTda+%f~ zVV~D>eAY4c8+fr%Usa&NsYeH3lO&u?O4M zs}dV%B)q33sY2F8?{Fo`VKu>yCWP(Y%bcc09G{1&XVE43>L}*`N-=@2`T>6aFvRb^R z+~dpwAC4!aurfZCLpv|C*~$yQ^mJw)ImGV6X?V;E!*^yRr=~{WJ3E@AdVy?P;)|7u z2Tql@Fi$^;pZzIpH%AiSc8LupyYV=k$l+N>IWs?q?Tb&a)yRjied(eT`Ep{uFFyKb z@SN+9+rl$=EINsoo+rBvPIF|bFMF4s7R&zl&pLy@eh?@1V%Wd(92-xb$H_W~L!Oar zTIr4Dxpd}jcV|(}CAO`KX73&!Hf{;Q{!u2ooWj|*=Ll|Vqu9InFzyRuI6NmAk2#?n z(m#!hPYP3t;@Pw>l0!$Mundo3gVAyJ%@4zMeF+(AD1IL<}sY&Y~IVCO{)ubgF7 z!A%xkOki#0CFWiCVO4Y}t|!7++jbS3%_qdMlGwg9gah-0x;72M<9I5bGlMuXHx^3^ zVPiZ}u-+5MhKAcLPmRF*nIQh-?i`IyXXUvV7QVX9iUfaFygZM7%o*ktBw~^z_Mdl- zZQ+-&b5F*>I+zWW8KT_-F|CQm;95A#>n~z;If{9W$(U!PvApgIHmR3cn01l0=^5BO zPGHN05LTZ!%ess^7hJx*}&{8iSk^(J9w8vX`;gzV42aZM=U2X1p@Q5a$C zGTFK?4426PxQcdkS|G;Syckw23dY1JhGomcu~;69!|V{87DZ#VIGk0BVpu;b6i1P_ zUl@+fidYsc31qHCD4XX8;%9P!<;wz@Z63iAgBZ-0#+q7`X+vX;;`d^dX0R1IRBH1eV{0`hq?@zO2iQYh$@51W* zCI}=6be8|mz)y7c;DzzHi|A(`bCs!&(lNPQLE`8fQpY{uv~)13x)*R)iWaso1K$bp zB&uBIm_jOXikFEVoyg6ZQCMkh=itIPlGS23ujI!f-PPDx*<(Ceiw$-*=+2*lla&J@ zS^=cW#*#557Z0ftwvP}|uFEra^tgwceG6uLTA8!cbK7@jn1u4OxM20;$=56 znp7g}+dZ+L8gU)Z#j&ff>Q;rNWiu0Ry6Cc!~9xAM8 z=_@p%8yOUm&9vkvj42RyIkkZawplEQdV{ptJ=XelFv|Q27O`&_ZgCCU=r@dAauwUy zw+u7B&YFm~$WF>+!}fPbO6IXh>p4>fKS!x+Ba=IS=jzhTXkh^dbn9Sb&vpg~d*8oz z2YtJDFtB$U{kygP{OziLj~4>14C~g;kRDH&(ftWqhJ0e2u+@XRJwu|~8-|K~4C>xY zub$1qR<_c+r?98Jn(5vBsj!zF^y}V;T=#Oui@sppwT8JP@8jlIjgeb25vETt+TqE} zW!qUOb%MeXA6YGX8sF&;Fw;E2qPfR7sC*SWonttU3ua^g9PF&OQCcAPbFznqyn)!2yTJ?Y}mb!v~x>2mng?pXK55vB^fU# zhm4#GvhoxDV>%rHNkL*`JO7%TsEVt-G^ONo&jT@}OjmFc*xxP{;R1Xe!G z{O50AO~%|RA4_3gKU(e%7X;S(=U0iI=8pY22Y&MScZAIi$9Vd3c4}Q?_3$gKmkPye z;WCQD#c%k9rc{F!PUg5 zT_sF1lgKFrEK`obTjC0_61killf{WKDWuQ1PK3NKdrX2kJSB;X3Q=5CcgNP=i^=+n zaJJaMBB8uY9jwuxzko1}2vRjexIgLv8Na+|+ralM=-1Ak0ae5}e!)`6_t`66Fj1of z*VxYtH@b4^;us?KAtLOY;k8W4`;OGiYSi!iV3N*V=9xcc z!Q?8`ZhaH>xs(NqOR&~zW{o(1TQPV{d%hRP?VN)8B^ImNkjZ(8x@i+LeBPpQ`2#YO z@>w>q9i^#7I3x-JzwiwG;@<^PS7T%Hl8NUV&?@`Rw4G(>AFN=+#iwYwx1eP3fXTIg zB0o7712O1qyS-vhmo_Git!36$VF?G6VI$gW$`BC@#Bt>fDwryWOVXzn`3HY7N~;Ld z(=Smz-T3o2Fa`fgCba#7iD#dn{OoTg9xp}qd^_3!El3pp#;|pdk*oa!tuxJxulgOu z=msRKzo8c0#MrcYlzi(MTk#!*bI%xD_7TO4?Tje;fSTBclwBT6qCOzuP>glh8%Eh? zV-fv`Vf&@M=x91mBySziX z-w*os`iOF`?~LyDi4nj2&gib6n9%n-gL-^Mrsoevbp6aP1HSW1&##Q{@r_yCUbAWR zcP5H<8ZWk$?9us8EG{*C>MFLkAYo(M^5Z-NcT1@y8Owy z9_8HIR?B|l5N=N>W#f`n%v-V$*A+)89b3lHc}F;`=F4v32K4m|@S1v71T!mQXC<+< zS3WL_cGHwELrq=}o<1B;(d`jDx+j!ag&Ls;ExDCph3i3m);TZd@a3(nzOWvb%(d)I zUPjOvC&Jvd*|B;u${O;FR~vzpoH`0Zh5fXUKS@kcj&aHpkr+Q%cu#rGN9dE5Y{7}0 zXV_(SpZyjMta215Zk^AfhplXA@x$wh4R?z*d6YShl8b$4%Z`J$R);(3LEP~_)SU`b;GzNlSk&bcespd zV@lsT4*dF8mEc>+rGf`&RuLf(8pV#LV zCQ5=-`c&g627+Ce&)9bTidokV%zD;iER>jO&u18mNN?1ugAHAuu(?|~dV^Y6-mQVf z!|PFd^cBTfb!goB9UaX=W|>tmdvY@>H-4ZZ%C&`RGS}+-!MBMuqV5{84})K7FzQ*0 z`KCIg@}4o&v5GON@6Z>jO**KV`KGO?=-y@dmG}IT*}}}y-kIVz9Dbu=)2Nav#5zc6l8Hl}{BQ1WX;TPQP?Q<_YWlWEkjPL#$NfGb{ ziGVpo)EVFF9XdUpVJGp0v3**Q>G76v-99qB#~0*#eiL=Rq0;?>s3*>)=LZIN{eVKZ zuly=7yw@k8$eyvhJ0dVPadSf*>t^_qHNF-5sg4+#n_wl}N72{Qy4ZKcZnV3oU!9vg95>o zw#3w#ajJD0-mUsvXq?HB%{H8IaAB|YYBaPJ8Kd6!r(=|pQ=*HywiXI1%BW~-Afu&( zy81*mtd<}-a1NPq`kX$ojfA*+B>UbZHtqp&0nx0#7><9@F=8`UQvF~ix30@^_u61; z9`~YDD6p2C(VUolnz())L{Il+?a~Dtof*!Ck>}VjF_G2dgV-qRhttI4tdA9COvYXl4gwFtMWr>B`>;Q+!Fyub+t;eh=?qnd};Wm4#{v1SmZs zN~sV(N!-#pe1zR9++S!|LO%2QZX<1X!j zgj$3Ot6@gp7veb2F&xy&6k%UgG@H=P_?;;V1UOFpYRd2+aH)96pH$$4~)>ehyK-13_st&djlhm>LB zAgpkg$D-{jne|ICb{36jEi7U3E074NL^VSU)KM2O@oz`juZpRHz|=j9(69cBi3dth zyZjo>GnFVm{S)bsQe>X~Kr*r#srnyCN7XZ?Gfk{wc;gq86YCgP{u!OnMnPon(25sI ztWa3Xq$b8ceuKvOCMMe5WMSMZ#;m@FVO$5pR$pQ9xi^e9yM?VFwh@L|g2+BH)bK9m zDW4f=dY@&N1%WKNhwHwtXscD?VE75m{_XravV~tJyrTEmR)&rhHgQZF117v=!06`; z9REt>g{>U_l7XW;7%=uF!-u|M;E0#V{MyPK&CjUoer4>S4pdZxO4N9PStr)Kb3p%N3_kf+*jkyy-u8`T)Jqb!e=P^~x3S;S78PY#6sF3cq@~Fu z-Dz~`B(Kijz~t4`nW!`wMY)M=beTx%Sp&*1s|w#ck)6ql*}r!p$-(nEuv-$p7!_`Y z&gNpUIb~O*cyK|MOSgtnSoY6XiCXf8;4%9oNkWpIk&D9BY#WDWUBr8OF`lBc`pnJ3 zbIvuq=3W)Jj@O*qcP!L!iu0w9HQzMzJ zno+n2Tj{d!42S0h31UnoMk|I0#W)g&6%j01hp*LDlI-`Avv)r?T}}{X>&>BkhltDY zV9)7w1o-%gXmgUYPREI{JI2{nJ_K8zBH8pf`*-ZZB|ngz$99r#c9;k|9|9e{IJw~n zCwCkrSS$yKx)FAsgxQ_o#D)`u+8)Jc{c(=^1mhNdmVgcW@Y&!%u=85{*4dJKbTdV< zo2if4$it*fggP6OY~@Lig9p)e2MH6$4z@o-h|?*y=O1O?Q7_yconimswYd4a5VHFq z$Fe;M*t?bBW7`SdeHb4nZ#>t!6Kmy%_lDErocsv3JixyD2RQC^f<4zxv99z8+wbh> zYi-`{3-q zo-@})@b=%tu`ChX1GjPJ##y%d?Iq|&B%4niAmCOUTTZ%gDb9;CqFvL2f;s83kAUO^ z_Cy5Yc|MjSq5gQK#Nrxv7H^U6i$06nxo8eX1>>F^&0&#-MMR2w?oWchKM_%W1crNZ zc*|yXAKS*Z6I)q(atEt|w&4=In?u>B*_nG%TxS3~vc1_>7s%0@yE$FvkIy=BFNLEh z^*PA_!*yg!+-2XA^_W-~;W%qE<>O1(Gj$sw^W#{f?|_}{I(+ql*le($)AAu~=~d3I zCC7O3P@0C$-^d^Jph^gx+fTdmAaWAkGEQ8LUd+~yYcT)nfcL2tBwyV|V6`*Xt5xvZ zHjf}{XAaoTK}AW4iK^Pjsp_Jns!5kl@{;NND!05c6J@3&FZC-9&dPXfG32)UOwuc- z;r4VZX*o-YFWZW5y#W`iWx03Wh6AQf+z%a1ZKaTcWxr5T(1X$vL16g<@a!b8{yzxP zy~$*G6HH}eSu^pXi1Hyg%LWOY{f|`SWx@p_ah8e^i2t91bTpeJ{n#`;mbn3!8T+n= z(N!PWrrSy++{JO!i46VLLa4GxEu#sy-$_<{s|jGVmFdPO#JsR*XM}x9(LE zCte~{?iRTdpA#*0m9Q!2IjI)I{#i%xnR=2$jTm7wgGknlA+pbTa+RNvVH(fzy^b6z z6CFHihad)5JPvIj^~P?FoH8WR_aH$VwiCW-6A9}!60&g{QS0|`&VCbz58Jb;{0Ljm zIFR79fwLQT61{FGQ5!cAyM807PCGv4ScxQTs><8QYkW`i{+w>lEBVH>Hd#h}}?n&3UFiCDjlunl6rF1zq8bmfTW z4vyYCE=XZ5M?<#~b@%{5caP#P2jdcuzG74`QL;k=WpHitR0c^?V(ZltW*#?E|a z4xQPB|IL%^f4GGmg`%V99pSjQC7~H7aJ{jMQ~6?`yEu~Mvk&L&-FQ3>;CQMhQMsNR zxV;9ixC4Zp*~#Hs8#%JonsmFp+^{)B;AU66cCIIQ(;5O?wi3Gf5T35$I>HVU?RJD+ zmyU2G^$fwc+&H=0lJIkOSm8qpPj1-*tU@~YgZHLvYo@*cd~Vz zE1nzO@LF{c|JBFXvuZE<*B;`KNWJX$i*yez&IdT?xQ_#C_v5mDFGn2@utzNK-msTF z8(dhoeG5LeoADQc=H#j^qTh(^)@)^m*v8xbBnRz}WUu}*L14>JQ&mPnO%_Q-C8Xu#{`EO9Wn^Whpe#8AyX|W1 zFmWTqcrJ;RyKs1#fbR{#+C>L2eiuye(*;C_EW=>(e)3Zm&?IDGO;I<>3c6Az81~uY ze!@Z?=KQ$N1TVPCij`ZLuCN!kxexH3{Q$4o*#e#E!~eje|3K$*XZimO-1Y9`Gv_hB zMrBw;6*J~t8^i0~v1Uax&4b=?P9lR8-8lSoys^;VLDEbSy<`O@C6G8Xi3r(1(x#ll zb><1YC!gV}MiSvFnbh`b=Aps^BIP29ni|c%*;@&leu_BtV3O4n3090Ib7UD02Y2Ax zr3|MbRUAC>C+l^Zg^g=qoK6L{mxPTly)ESTOANczV&1h3yDp^|c3QozPgo@^k>;pu z98!NnOZzbvUCLS7qgmLrdThnIH6rgIET@^+c14eBQPzyTuvLo&7BXDpGWxO4nRNXl zlQgri*J)yMzZzDVzGnWga->E+V0o`x^!rv}+@qCwy-QitCx?{=)u>IZ5H_?FvthN& zKhyaH`D3PD`vYy+B6JKYS*+2|Ql2?fFbB z7omNr1KE{LsH}R0(ZvpiUadzn=NrS8Uc@y16NA$_m{j;(obzqA&u?N}%2Q;D|6o`^ z9XeN97_mBnDb7!sy8b0|y_D471Kbw)ivC!y1vye~(UL3)1;-QI8c0 z-|8MK!rn06CI^djqA@mdD=I64*`!i!EJV0W^9TY|tBR4dM@gtj& z>;DY7K`$9Quz`uA+UO-&N*}of`bd^DWPCM4Mi$d=LLGxfS1@Q?HGRjGFl=NkgT_?S ze?ldFWsB*qSc2rBDyFEvLZ^QV`knt$j(aNX<`YJYuV>(xQYK5cpe^=0Y;1wpPaY%2 zRnUK88AFBh7%)DcrM+ITu}cow4yAa_i>7GgbN0^J#d5)>Sskb1w#m8yNQT$0@Pjb6cD+sJMrz-`qavWA#$DQOA9LieE zwuloPcHD{gu6=9?o5#h*dAM(1LF9I84md7CO+%iETEmf6l0{Zt_Fo80UJ-d&E!3n2 zW3pM39V?@_zFF9U+Rd!^DC|eJG47?xI6gnd<&vr7$7`}?;a)NW=JQH0YHfa3%JcjD z{1LxJ5ZLiK2Z*zi1pI`0>1x)@Mo)J z1UsiEu`u8qgTItA^x1PZ+1JuM@;A=OJtj&nn%HSEtXtqou+nj^X(SRQ6Hmza&QC;L z6(kkNmigQ9o9ji4ybqze8N3?!hHFEwlB}D8kD|YbHoFO$ewOe_(FDt<5Ha~SQN8mi z9QcJzU7PUi{+yTN{v@aGdkzbmy=Yt;r;OXt(aUG$$`68+IC61P~QR*lo1&uB>&AUms+<>QK2II;=jZqJ!Jx)CGOmrPsTjIMSY zM!GMUG`@-Hy9-e?sz;{ePbNlp{QPK#viA#AvtBYjyqR&;-_QT$7vCXK z^PFkV-m&m+?Mm=fEHR7xXLPt`Ft z{{!-=uaH`m$I@tVEe`oug}-97ATW!VcZ{%lh;i&kMw#W}82yzI3kq@c|IX+|O|0_! z&Zq^oY+Cgi=_w`HMSf+}+-zo*{>jkbXUx0*JJLZXO9Zoh zEzIy0ZM5Sxa{|7gw^{Tp->)nh`WA;?cgfu>tl%tf9*(QSai$&1jFz%qjD_5x57{$) zJNxB4*tlRdix(QbGuNPHI$OO8r&4F zE;`4Cq|8USBJOzbNk*3>WWlxb?TfwTAp!AQi8KOU*?wnuL?|Bb}Gse zzp~3=8Alfe;&1CrSp7DZym!O&MKl{b_Tf?;OGd?9GJ<8;u5Lqh{PdqQP~;c%rzUSW z#f9Ce&hLls%FP5$sK#}6AWK)7FmYyYu)>wgT#^seJG z`!c7EZnFG*76X6O)A#9nHaNG@IO03u6H19x%fm}K6YCjQ@RUp7{o~(P%>Fq%d1oi(zq{QMX1a*VrJZAmCSDT2*Qkw46=MNtDe8tJWFIgcXV$jl07)ab_)vT97Z3#l^-iA~6)}M;VsBb&w zJ?mNiYcWQe%`6jxado#hLUp{sMhsl*t}ny@ejy0#4c6U6wCvg@h^?93V&Iyn)iFJw z4gG6>vhgx(v1()6)F+rYwc@bv0~?&)a(v4d4lR61$f6G%6l&6HL=|gVtL`oqLJF)TbIhmZ2+6b_`BP8PcX}hPx$_T>S6B(s?)>8T+Rk?9@e*S} z_)GgYV4?VoHC>^jU{{1~@LPtj%E2)96CFniup3{^zk0p$!^@Q^|C9;2Y{mYW=poRNbVW> zgIaKue9MGiTj@Kxm40JiGiZoV*}Z?qsYfH(jup5qIKgchp~#K4Fvn^kHnTTUGro%5 zQx4(2=qxVtjtF7nj{Ec&EEnzLh-3&`dKQR!dxiQ^pfOLlHNg>i86zmZ)|>pgJ|y1x zh2$qwIFcgld~7CbGLl$(E(EU&=Q$DL%!M>Pu3Q?+&h6t-pQOeF1?`_-<(8HImnZQj zDkv~qU4!xRzjDBS2L2YS2|uu&^EFPmymDgEr*Kxh3BkE8jL7QMTu-v+$mAV73>iy9 zO&4;C#!&ZYEJY=~sVV!F0ISu)PL;4u-6(T1xcM$f5c*)OL)m=6Q%JO52+k>4SqR$FEhy3(b1gD?VqrWGzc2 zidnPZE&HS^iC_2vuWirSdg>KhLp~BbubnOZ^Rd|4#Kuh(*c^Gm!b|U&pZpc`z3q&R z6snhx{QC7HHfNjhUs}x$<0q`0SHzwzZLHc=!;E^A?>&4qPd-n)UNz3VXTQ6k8^^P$>e?7NBq*Q1z) zVjwLaCoJula?Hm*MsHmaQg41^OjItK&EHTIR#LY0J2GLp$TodLDzb*qndO zuPf7-^z=KDVO1EWeL>-DExI>9AQ9BWqNI;#2Q@G&?HzIv^&-H$Mk2Nm{nQuCjA+Hw zse;+tE3tEYitg|mXkL1btk}kUOCwHOU*adz%Kc$aNgwcrTT-vsJ*<`0!&{i%PY_y^DRR@lSpslhCl@?_WaOH5YV zN6^&AZ0%LS(YZS*EmEWsVNij zj5>>bY=W{eKJgYTx02Mg|`9Zm~Q%3zd#)hFmIPi%~Pp1734t z_;rqsyw9#NIp~hPM6^Z&VUpE^Dl~}lQcesL>8Mg+zs}>MRzi$IKH-A&%6h#b{?{xb z6&`YYWHb@8ZV{k)P7q!^SCtY7RgR@zqKxK2UvX2+;K2MKQcgVL(2gWL%wq|d70QK0 zDQsHmj;&KDtLB8`IysX4>M4yKe*e~EBBA?UDIDGXI zsaf-Y`=$wGZ_D7(&P<-qj3r{FAJ;t2bK~R{&K^%@t*5ZmX*W2s&7Xrw7uZyI9m7{w znDZr*_05k+Je-Qn8efhddr0EK^IX%r$mJPPWLu_lZAl>UyAp9v$z=Dr%j}CuPEA3a)M~9MD|RM=Acg0&o(=*C6+b9*{KtO^R!5|=|tkG5{->x7_&R>Gb1e$lhzx| zkBDO4^Sgp<&tlqehn2Bm7(Ts*d0YZ3Yp-GzlZG?heUq)2|~9%!pZa`oVOd_^lP<{UszX$wwzr6>`1$>YfS>m0VY!s)#UY}qmgU(ZQ|ot?y{jS4?s=Kd#v zDI%vh_FoB17Fk6lWX4Wn>qZG4Je1~sp(OkEpWv{U@bCLWaq+!|*@js5yM>c_ZY6gw z&ZG32KIPecDZSl~mPfx*Sk{f&lDEhq0!8K_K3;%+t@#=o#(&&z

    AM+X+McU%$6Rc>=e?lDQKg`Avl zn_a&OD>>>e$Hx>hZA?7A3POFzmJ+R4LG$2GB>sAj7{v#~s|sRJOCmxuiByeP(qw{3 z&^S*?zZ{+n{)~sHvvW$O7@Re@94TY{=EoRW7I4C;fz6sXFj{$s9j6hM8m=+$MB!9wAm) z#GY;-Xk)5>|P)IhmT12Id>i1m8Q>68}C?-yWQQOBXg7CiK?anG&*zZ3Te-t*y*XxXJXU2K1BfqWi2?D49H_KCNMP zY7uj4IzQ(6h#3u4EKJH}szU-xgmN>B7fL#{0t5d?EIs`Ym8vTAuje!8zzx3o#Gj6j@Jss;C`RG3=#`tO;I^w!b(~Fo=Q^w--GUgSRFe@{k`4!J`Gb$o% zX@O|hr?^b3=ib30HtDY>f9ykSrmVrxaS@jKmJ|)mWYrWK>{gnxc+oP9t?XGg+YE~( z2k_C(VqM=VHW=;~?o8|Fl+u-Xy(qXmg_=v_xK^dc+BY^BeRLx*IFca4K)lTlbIjNm zubr{DA9Elfd?`uMGjQIZjIxFbwSGEw_i#z>E5<90PtFU{lf z^+`krxpHimA701(ID90CV{U02J#?DT1Qntpl(~CJn%p!Qa*_rJdDx4`rQK;P{e_fu zj+~Xhz`kXNSZ1!rie**=@A4{9nB4`-A#kU&z$? z!R}FQoKyWs!93S%eDq!}*LF<1w2AUI(bjE0AMAA$+pH4hc4FCDEE{%dV9KNh^r}F?yAtiXzmV~( zMY8E{VIj+qX#Eq}pmN4M`yH9k&hj6~L^L9Q=N-zzzNuDyXPQ?7nj*OAZE8YZH=l(^ zn$X!-!?XjUe(?u1&OJkN)qTtY-yva{i&^Ab#;V-FWP2;4EQ>LW{(|DD91PaAB0oV` zUfULin`Yyb^aZ6cSsa}G0o|#UY>fQEz@@iPY5I#n3Dsy<{KoKeO-yz#Md3s_Dy6^C z|JD;p?rJ;TDQmbv0O$7X$D_Pl0J8h>zh@ZrsVC2_o`7YZJRM!k!DWZhTQrvq z%MJ*4QN_-shgmY;fR#3mOj&M(x!F2Q=d9tVRucAuAL4x~lDtwGp8WhWcQ@``l;-x; z;an)wV}FwkE52{yScW%o_B%+ncOYi%Dtz}IBhY^nH`DF7kT{#2t{Nz+Dk3RA329j& zxD@`^M?DmT`jSLdsV}M%e`WJ39b)6P2#p`ji4z9w-s{T#V+Yu=b2~?NM{!`!Ix@3$ z36GGc{P7qbB~GCrbqvq)x{+7hou?&zNU*UI9qtkm%4wLJJFv%M6MnXKoU!>g z!P<@hYe)Ro@4_|dGz#}UQ4hOBsBRr^2Yx43B8LRkNBC;yU@R=)(NU@RjyQ*p#6?0? zvj~@YM3U}93?`k#O_cc$yNv&+EUNmnb4TSKu~O+=)J`W-HGycA1XAUM0+Ws)O6MZE zgCA4U|994QYi517TJ8>cPUM7U98{aJ@7sX)n0Kt{@)Uy!RjjgVV3ts9Ha*H&CkC3M z7@T(9JMmPY8SCz15dLpq*|P~jH z^AF5tl(1`d1E~&wV6#vhYj+!78#>4y_kqkwzj0pkBYO?rux)xZWsAP@R^mDT=-$dd z`u@iAUVo6;r-RSE|KRVgKWH5JnS1JAxw7IL+lRGN*6kzTy8nZwU;ZY+>J=yAf3WA| zZ|s=+h1({7l4JM>6-vMJe$Zd!4*f{dxOVEse&EG`4%)`PBWLa>qRoE8bV4OJwSMPY zukSq6`^xID?`*gu2zSFPc8qHk1mF4l;8V={)Z*N=imhGhaqQMPp<@HK0`}b-Sk(zZ z=#E{Vh;kntn$yr~!rQ z-%*WvhSI4L)Q*bv^*_+_e8&92g&1#aMRQa+i}yC6887G!z*J;U=}Gs&xpiQ!MsNfCsW`vE)GR!(hdW%H(HR13Z_*Y`Px zVt!}YfJ)Z&ETzDqlB0^w6ps|jPj?L~oorb-X*Ct2im}vmz{biBy(NoS>}bt`#mm@W z;Kbp{L0FB<#5*~jN97XKcRof@(uK!aQarjN!|f7HPP8n;_QN*(5~GN=^yIv~6X(|K z#>drz@G#e(6B?$(%@PVs30WmsCU(xoDzEfkEu@s90%KHk88Ln;PU}>O@;4$SbRp+_ z194j6z{w*AFt=UEv12D#Ep}8WTO{B&x{FPF;q?L`ofYY|hrdqa*i``$bvCDg*4&LKE?K=lVgmqMZgXyoY*dz5_ zD6Nm!4u8i6QNFb2Ye7gKgrfQ?RM&4}z3 zFMM|VPRQop+2-*Ng4EmCtKH1}_z#%G{lT(XjjWMvC&u_M%$GJ}w*3t!R=p&C?JI)T zz9)3Y4>q0p#+HQ*-Hy}H zT7qYO<@s=E8Tbdc1(}9Aw{U#j2jV2&Q8n}}Ib&bqZ}OE;{U`|QH*wv+W76X@D@A*m zcK?P&*WW~2bxw)>=_hRd1)X`zu3s?{_h5l`2RbLqP&-kF`t!dTBZz8j=gZs?Wj_f_ z-S?jargXLuB|%^cQO}Y0Y-EyKC8O%TqwoEKMFSc!ui zK44z&Hpc5#VIKdDUkx9iS^pO!V(ZZ=`Hf*0+L^JxhRHkX(9Zjvf!A8l&G~{<>Pyyc z{fPB1wahbZV_eo7CLOB7a&lG#x$Oc2YXHk1kL`4IzeQI`4< zBj@L=^Z3FUjaKffyu?KW-E}={DY0+ll-*hG%ayQk)e&?TtFql}E7cN0En2uTe~yMY z&JuK2Xkc%*0*lG>aMufG<>(viKcB>d@(DB)cA=r5JD1}}k{9zU4<66PynCU694CPI`YCs z%1=gmf)u;9kLF6c1ov;~5`4@L&m-w>`6#~uw$W%Id^s*4=xWT_o4## z)B4j?*q4IBUexCHCCSW|B=xIo)Y^c7sUF5A*6cDDENi_HU#tK7IAi6EujOh^T3g_= zZ40ik?o4`rjY(C)>uWsa$)Ha}j=n>@dKO0~rn1u_g8=0)F6*8nQZ1Ay&2Yj6c_qvY zXR)>eA-b_7$)<2#=@u_Ww{cJPDiKl@R-c4Bb zEX1Jy9TJB<;s0Tuwa--!N|vKHFoRjcZ(%U(0V{hyz@mGO*uDspUWFo`k9Chu zRrU~zUfKV9^n4_gTs9jeA97-S3l)Rzk*oNaL;IVEbZ)}HIG0&XA6WJ1HFi56Gxta} z>&jsE@jMpa?Le>i71N)86lp8Ak#!v2QGm1KL)KsUAtK^y8iq8|Jg}bfF^zakEFgB} zN5ULlbMkl_$9LwlBj_nhbZ+8q+f0E@D~AIdrP&2(AVJ=|ybKO5v%br5@bjim_zJU2| zkD0XLF0!$?DESqlF4{&l@HU!H-k@{p9;%J6&4(fyw8qR~BMam+%~Q~dcZ z~b4=cLJD0&O>Q+HeP9#{+qo=gz&GOL%mDGF!LE zA*UiIETlFH3K{|v=rU18n*W>=tn*VvvJ*zKaouR5qEv~F*5tJNPIm3~Ve^6A>^O8> zEbrmi?t@%UUr2m_Hf8suDZ8b{;~N907VKJ;-;3(9p=4}WFVsjRM^~O?@#1+H85!fT zWiQdrJBbpA{J)H!$K1GEsF8gH9CqbI;xVQ?zl%imYxYlnLhbmsq^Lb0T=p6vGcU7D z;~2?vl8BQJBT7A)Acc5Rbkgus3S*m1B&XC8N!7SYl>Bund)JaN_A=*mE)k}1mJ3r; zNYoZ;OpsWT@&!T^6R4LeCVoghhvr=-c9B1=3&JT`a)vnDU}EN4~X3|^&SUKq_D-!p8BPsA^$2)C2b#CpUL92$##>qQndr(pf~0_XQcao#b6 zB=;+1yIkh|iZuS5ojP`*BbJKIvo*d%QF z@-Xf>-J^NwWwM-8Ih2{f#_BZO1AMq-;D^_oP&}3;ab{sS!3+F3x$HFiSNh?zELgNv zXPf=Ay*k_Gp9sNYMIauFe26m@{nPIPChl=8bKTAAsCbOp(y&VL#GxjgRmq_^RG-H- zH38>}OW4Q8V|YFY=hRpXp2Xmq5RJ#e5Oy2H;BFd*OGrFc(J^d39gV}Ccr5P5Vspfs zeTi3C?&HIW3)jSX`EblI5>u}*w%@sgrGpD@?&4mUpX8{CFUI>sAGmXsoz}-WtDnTy zwZR;|lg$b@ZyajRGw1dh94aoOfA1{o!-8=+n~3X!dl*~_#H9QxW?6{@x?N_Y)d{xV zx{BeQ2pnUgIOchsQwx$=WqX#5_v2Yn8i?hcWTsV#YdaUg!PE%CoMX7;97Njca3Xg3 z3mfN4p@|;>b1!jpNgAP1iP#1l$9>Bu)(A_hsdIw43K0|x{D!f792)Y0Xlq9!qZ+}C z$-!t&4CIhT0d@n*2o!biRY_7_+=a6IVcd?K&5hJwI9Icfkg{#~*KNSu+6&vcS1?sr z!w#cBR+#Q)&p`{$g&PqcIuh4S+9+x0GhRUzMQL@EWTpP8z&Z&`N~DTPYDkVBg|o91 z;Sm}nB~543n&o($@Wsk$4Tp||VQ;;Kllw!tdd{5ald~wyoJ3Zf7B>=y&`{ou>YN@_ zmJStC-<%YoK-Nxo#l+Z9C@^E()^8{)w>h z4ZQ2u!R0Y`2^@A8cNuZxRfD-a?><*G?-4xiCdUSxCv?IsJR}lXqUBAXu$2kYcZpZZ zr*Y7Gk_TKTMDhkFM`aKvS0+$GfP6mDiiO08eC02%i0Jc?wWD9+HL`)Wv2Tb~dy0j@ zGd4&y5v(fKjjdtzm>M>XdW!Q;H2(jDjiSz;5mjs*nT`Fd60WTIz=PRB(Jag3*og-G zJwLF~^ghaOULsl9fRS4sdyVrrz5f-CuBDjfe_?%a4b!eyGO6wr)AB!X;K*}47C$Cz z$7@0z+C|%aq(Qx$8tDr5FDz%(>PFnW-fIl+qWXG^)tQ#$?`KT7Qji_bQ=qhX^YuPxuvrYcH zHhjvO@pU+kE$5g_71WGsR*y3@c*ZvNATOyk(*0ZPtrxSu`h?4VT`c zWP6<@Rj-juFJM;j3skO@u+%G``TI&RzShC0gUQS;dcoAhS{!DUqcbTBdtoPKS6yJ) zi87pApW!4SRGY?a7GG{<^22HtU8rY5c?(l6*PvF|f?eb@9MWH78Q#v4ybm~dRS>(i z5etc0Rw>kQT3Bk^IS06=@Sek(1=pUl9QN{J%g+7y_;|5<(?;SVbx96Y)(-n{1K&vcbIjsl|`5TLgQdLa*aQb%I#oo$}^!h-eQ>g34N~@teI290hhNNTmK2S z9q);EY{S>0iDe5aSZq~`ec)$giayix_rDnW1+=CWpm60qU4SmX3;g+kU;h3Ll^bsu zcC(G)fBema)N&LODg}XkVVba>W6w9z@8uu#{r)#y{`#ANzroDM-}%Mr94aM0P_Fx( z2{pel;`871{R5POp5ZdKfz{%At-HL&u*Y)@dp6Vg4yaj|Xa8;6{3ndNccPuuvK=hg zU5E0KTBhcHN7cI#siwb?_OD`0%O8x5DQ9HccP2(wGp_9$is4m^Z~4lEglfh#eni{5 zbLyi?%)7Q?+`o#Io10j?t^ws=ZliOco#o*l&>eCY(-jR!+E%c_|1;D37hu`FiK%m+ zU>g0N(PocujQoz)$U>~T6r($(QYgT0=#0-7HupJ`$5yfM*eiyu$YWaBUkpxdM6vWY zMx_cu-P`#aSOfZZerIfQ9n*3?p?3B)3%k~%IjWiEn_8KuaGSZVf>@oNv%YisrC%PS zd+{@)a$cc$=>`2u-XklltmK1_82Yra>d3kbn2#fPJ3mXi{^gzGWW z*}irLvML%#Ys#Res)n|P3SHE+G?7zML`_QzMMZVgm1WqpX&h}!ftJQ~Bpi&ErVA4Gk5cWQHcQC>KNSYr!r%)E`0t}E-; zu4lyxBYa#B5bv^=xPJp;1Zf8hcOT)xUdAg&600Tb)TnaGbaDxjjUaR0 z1I}q(BSj;Th)KbMz|NASnM}ptGQ#_IVAA~=$GbnLYUp2F8r;r-;T;_A-b!e{kC=6T zO6M1bth#mlAH$;CYcYV|;@$5JDf7QG@BTj+@(ncFKt-r9&BINMs}~(Tu2Bq*e3r{S zVgBT&O!aue$g9;1{`ifNf`sH=f&6Qjmi-&18!Oot@`Xd8f3hm>E7OaAN51wqrtGd{ zr5Kod!oM*2_D7WSKe4#(FQ)6%VG#BS-DZ${0l$9x6YbW&Fo=D_pd0Oi%wSZ_7p7!% zF!|zhW~8(-?qc)L`L^cg{y?tdZ**H=`Muv5VtoPYTfhCB?9V9uJ<~+_$Ui|kNT{Ci z&8+WyZK}&V410@#+y8|a^lw-rVEx~;&3~fv-BOb-@3ByN$vk&K+}=gVzxW5Ter1ep z{gVlyLM1l;iBeb@lFdI*53WN}kh^-|Q^wYa^NVTtIUks+?<rz+BbG2#y`51*Du}A%>emt1G zsJTS0G~vq4r5uZNVwa~U$FH1cZ}vG3TtCQ-G6!P3Ot}%dn7tb`QB+k&PDdG44P_=x z5(M_27E)G05m{Lkq$iBQd6NXm=hR5OphAfMHnwcu$+44utaU!hNv}|LZ`ek9$^s&N z70JIY%LB1(R_b8tN_q(e)|blSVO-z39lz1R95V7{&g}VETRGrj?uf@qTTc9&;A6N7 zuVri4=4!+GxSgoKe1KfVS1t~3;2AzUVbV3|lR zPrA$*rC2taL~}?XglP46{AFUP_@$7v5lNh%bc3@KFOi^`O^8A=XXGP@P>IBEVl<6o znhELk5|geSxc=Ho`r5xaJ-Y+nA+K=xwF8gwo&TD3eh#febnut|=MV&DF9x;8;8tP{ zKC@$23(K=UA|r@IBJBl=r)n7R_$A7o#mwIPRw%wQ_R2P6EC$}3>#e9g{enW|I>uYJOy^B=TLm1qXPLQ|}>efS$;d)kSzZN*Ml;Vo-l zbL{vFj(B}%aWzc2^@SB%#Qvi@&=zF6QV^@>(budOgMNAZYh*4wL&LWQ)1X)Aoqxru zptqcKc`5A64FXrb!{7cB$G3cAYuMk+&ifmE?^hhq?EE0_E7o@TfJx8S%>Csh4uY80 ziM*94|8Ltzz(Nf0m0iAIr1F6oZVkwJRUy+Zl$T#EvVv%(!s-Qa{R64s3Z&Y9M>V)s zkp4F`&ekze5VvA{E#vDxGsWjU1|sMf^?1$v;ZM-t(}d0uLBbmvk=R&|soy7-^%quh zMl%zwt5_cQnMp%BpYLm7`m85d#(!X_Wez6E-%%EJ(V4!qC*P)?a zghkqC2CmFRr}-~_y`@nLiv@`lb|tsG7mv@{;WfpQwDSra&J|)OBbKd6 zzO25U%$f_XTq#{l`0=IeGtgm|-2zlJWEihD@+W7>$o$L8+?~&Y$;qiBFFhXT&11NB zQ;F-hR5_P;gyf_&64EY{kb0Hmlt|JO!^zK^!p$@ZY70h?e@&8-yQ8Qn?kW^mKk^?A z#c!T5=VqkisIwCrO9z%LG9=XPB$p4J;L`sXJpNyC{*X7ZNBl_japTk_AGF_!=vexh z3q$JZ7|=o1^a@f%1dLx$%O0!Sr0G`^KDwNvB8rA67ZNnOl*Fk`OdFHJ-m#B3IrI)b z!yi!A=Ph^Ds_-9~%LScMk~B&Qk;&qW)OEt8Gw>UKnd(tZg!FC~Ht`1QhezSFzZ$2t znXFS!Kv(-5w(}og@kMHlAmQkf}k%Px?Fukc6^Na>& z@4d>jyQNIob(v*53b7xW%*mO#Y@eCJNxL*w`CZ54{5=-mE?{>=ArZ5q(Kw!j)`wTv z+$`gSR~8TF-J){*O?)P#veLT@*_K!5KQWH%?UHl$n-n>Sk=ZzAs)gF}27vqpMj z`fCI;m&W6iSjITZ(>NzKpe5yxZNFfq>4mf5d=*pWym081z-+AuHlKUKxaDV9S@8t< z_=_wr6as8=mRsG0yD?_$mE6GHSS{AP@MJ?{EJ4|O@u)e7PyI%&)NA9h z(HLJ#XZAbj3yzXvoU#;B!ZpeY-ui!DC6X5eCaaFj#4&8#Jc7*Inq0|LAvyja8CPx) zlX8*s8P_;}A(G7W0E&vVxpj2}^`*mwmmNvroqp7Jel|R(FD3aSNMF63K#6b;8wa8{ zV?MUlYj9jFPIiF-djvYu|6Kn67k=(@z9D<(8L)4DCk+2rx>uxoMdR+(Gv}CvAvUpF zPKnkmk>Ozh|uXzm@@7HN5^!MR0#)%6>xCGeL+t3xR1-hV#YS4kXqo2>oWJUAUd z#_cGA)4jMK6~qm%K*~ z@Vn=^7kQdPbv_&_Kgx}8H*SY|5q;B_uq%EdAcd0~7)AD}U~UHclY7RUkQ*o1S9O}i z3+`klo#b4c2mV>7+4}4(yE_8d-Exw=)KE&jgQ-0f#>@Q?yguN={pb@sj6Tg%&rpgF zpQlEgL!Gx5`5|GrRRt53De^~SD2Wiqx)#dQ*f=Uq`w}bq(vim@+=%j{{irVwBZ7#& z8^pzXfn2^N>cx9dCARx?IDpSP{dnSgmIpVZC=K)`=R_c>{xLi}7)FtsA6HMG;iWtN#tMMSER8;!j-USwgOQa4_44O&y0h`0xn(nvb#T@j*5}JHw%e9&By(;=sc* z?0VwKiTeR;srKP`wmVy!T=2MehzovUB>O~DB(C@Jxs#mE^dKP9pW`>YIg=em!Wj?Z z)5AD)!-K%=0Iqv`lHqX{@AG2Y+*6!7w~yGYFrov*xr^&LmFPoYz8@(8$H+a9K#aIA zzIowzr<~$Q-D!3fxZ_n8#^%bCcxHOzmmY{$aTL4Dz1djd!wIp^{KHY)@{Zntj!$IUgUy z)u5eR@D1aF*A=Scud&^F9pysBtzG8Altl~JY;uIck@whcu!s3`j4-y@g3eMCtZW=v zIDHARbIx<1S2nvB?xX(UOqvCOl?$FIDeg`|Wq0l*m=mh)PR?mP96#;As5yv?IDf(~ z?dHsrwWQan5Or)F`)2Imu(cg(YEn$ln1H0BCelK1{fh#V|M_&Ql*C9jY#2j)qNo_F zNRZ!Fjve*lsJ9;ng?c%CDi9yHQ{20=jFc!fN*~BjbWM}ItHXXu!zTruANCkdq@f8J zQ*Pj(y&Xq~HO$dpEN*ldcRd5S?IRk-%bzP=e&U7(a>Fw~bgV$`h;=tT{KyhzSz;jG z5}on3*zUhTlx206|9>IN!=H>(zC?w35*>Y#=$Mm)MSBw!cbbR@FJfa(kr;G}yC=g) z2|CG|;y@;U&S84VduHrt#Z_3H6VmrdP^{vJR4FSb-^EXT8A^GG?yN2%=A2Q|&+o^t$b86Rw#k@qEaw;+MNmPx>ChFJw zxGKaFqI#0784;w-^21C23}=+Z`D%sZqWk|L?my$INcVMZ6jKM=ZX;$<$x#$k%wi&l z1j!jiK){@H&KWa^Ty=hSxhyv~Djt-a>j@0|NRd!4iQ`f&eh)TkOY zYW%C7>$&e56^^r3>o}V@d7z3gK3z3 znwHsKgd2OYahY%>)`uusmB{`1LDc95Qs@~&zTGu)CY;A_dN59!-fUC#;ee>&`iY0x zsCk^t6T0g1Kh^CYv~|KUHmjXrt@%~f9SO$xTrkU;QZc>i$CBFHEDG|%wk;lA0Tc7) z2n<8dV^tS`Nyr&2sv>XA>(f)1adAIuixMyqka8@#!|Xd}Fs`|YQIrqvlXtLm z-hTFO^~cQ64Ug03SbpdVu1BI-Ja3zD%NMXcvLC(NC^RZU@r()=@qH~;k;2gyhhZP% z%i^*~mhADy`+OkF<{ZRj`e~vMU1QxWa~g)+!FHw-hRYo=oV19#kr`NuaT}*4%+@x< z!qt&!a~84OY6aV+4zcm4djfF6fn`slEl+})Y5}m4o-`H>CCS^8HN%!sal(|1_dQwu zcrPbTIC3g_F=rbMiE2<|=gOI!S-O(lP9{toH=Z#nD#)sg|DGEE17J#WC@4uIKXxSR zR;dsgq|No~GYItA!%`;?_8vQhql+uYjvd9*X)Bj5>2mSRcv9j9lMyXLR_w1LLP}6q z*prI#0i>>7&)MN(BbuIO=8TyvS+Wc_9dmYzXt~YMisd?sa9*$wXOWv-rp)1hz7{w}ohtpzQu5Lm#>mswfi#a#+3C%q|b6PT$qmr3y9DW;Jg-9+c<`E_* zqM=L`dk3U*Y{)&1k4R#bK|B}6r4yotD2grEYat z_b3v>+VA6*5>K#_c>Mji%JE1rUS|&){5h9G0%aw7+rnG)?LpyY1hWKAs3t<;TiB2-EocB?|sYWkoS0Qe9EeA^{hVnj>Xom zSYg`E>5VTq=J^!=iM8CA(8<;DFY%c9jD3!uiPdi>$^0oH>%X$g;w5YP=RD)eZdlm7vgt6!MuArwi1olN&LUmPsmoH4F`z^mdV^lZ$mRm z`x{ZrevizlTBPs)4XG1lC}q4s%C8C8)<012u47pHM`QwP7}fY0jZ5{6Xncc)*K?-z z%Ev{b65AghqqXx1(>B&HdQ>uUZY8)Mdyev;yXY=&VYFQlCP8nR^jntr{%Yh6%Ww#M z$sqG2tRg<5{aXP}5*5fz70ZhJfcnUM@mo4j9aoCW^$+yZyT!!DKNu9!ign~$2AIU4 zRrZwuku8iX{>Xs1S~N;tqjL2b1`>s6N!GI5p#x3HyQuAJLi1n?<}2=iU=FTU_RQ6j!NS=Sqp5~m)d^+OZ+F*7RJ@z1oU%8(YJP541o@}pG=Y%^hJ+XhZnuHtn4YAy&x)hr@1K?|Q#hy~ z34Hx#bNRd~xoMK*B#fXqxi9r)BH!lrqDBDB-^`S-DdD(GU5A6c4Z1qIgdRN4y}cKR zKX`$NgIMG1I(?muf0u${F}8W>eqi zzW8h`=SSV+m}CMm<7)|$&BR+h34fJ4VxDyBhCbv<&$n21>%e$)GwV+Ljm1vy*r@yf z`ys7t)9kvdsAyn4o@3YT-Ty*er(3)fJNH|m57>8qgSBY94!vvf7+S@;0TnFkRm}p8 zIy{p9hNbU&qBnlP`{eIj@chQE@pZTfSWUV7lu>nGu-o($U$bXyloNH(dBFPPZx~nd zhKU6q&`*B6a`Nfqm3!7=s^rWXJ8{h+_%a~NS# z%dop2(R%PVhCTd?VITfrVmr(`^%|YyPq4`N1KsQ|m}PvyI`td2dtTt~)WOEXpO_c( z9G!D@Y+2sQI+quykGsRN@b?&I|IXzjA1EE!PNVXB!o@lq8}Jg>Zf~*b`3kFE53%df zj#Ib$ICOjQKh^9%%c}b;(OEpeM)^4lHn*X2sDm*+#YmrQWb`8#w7VFs6SYjf*oIpD zA1L`WF|ze{~X@6i}oin&BPa>fnV2EAsWRVEhKKQm=e1?JuAk(pJGP2@*ZN0oj5 zH&I!mf#u;J7+{!zYQtX)ih9JtsQ2`X|XP zjYY1HkdjVC^~ilx_ddYbvjeR`c}(8bf#SgmH19k?s^B%V!^FO@I*%FNkC`6#idkpc zQ7rt*a^q**H293$k8knp{)FUZZET)?j3lW}%x9fI-)WU(3`M!pG!{zGSlmmP9%%~V`%_S+Ojx)IX<3tSyDE%M z$WB&W*pA1AEv)i&V~4Lc5wSB!h@8Vl7Y#Ip^BO%?{rjblGRptsZWMn9n1YfFa>9Xm zE>|QmUXQy;bBT{WO>9ge{@0=iyK#e%P=6Al;z_z^B<2}UNq&DSvW8KS*@vpaZZzii zrc}I_w00er$6jWu;X&rkorS%<9n1C2*}u?&t$K^uY;3`LLo+rmGGn{G8GH52*(+Sw zPJJ78>RJC?`u|zGgm#Pf|D|+n*sJ5nE}@-zOW3|pNKcIGIf-eG?9+8%=lsPSuyN8ZFzD~|KZ$%M(5a#5j(BO~r|Vt5Rf)ckNa zKFH;%eq2-cBS1BXmZ23y4GrY_)Hp85`Vp=kMYzf}e8jwgs=kD1T%$rV7oVRiuK(ReI-v;oZT$*0N);^_J$>+2G%3rwe=wP=?Igab<*}D5RZnjlupJ-#==?)YwH8a)gAsbKq z!D;C#;skgtFTY@N-A8opyu>Q;JxAAe;Obbz%AjxT@pwt&&}ST(_n0YbnnVMx=FqN> z*k5_V(Atj-eFLN3f%^O+tkyL$uKjm@{u6$C_ksa`{Eqa!7Yw<5pW$Dj_m|)4`R6x! z0W#&Un0>UGWr?4d(*Bi6wVzph`*)0Qe5JQV7RuGXqf-t+tG-e`<~ zeZRwmQ};*M_Y}_ShXx#bvNsBb>!#|-uJfC^J%aGQt z!s*&aG^7dzz#cPcVj~VapEGn?CK~167#Y&W;<$J8Uz*6c>aPM|?WmP}W?&b<3f?l& z`<3`yEwe_oFmq)aqb8*=ZEHPKHwot^fU7kq6LkSJsS7PgWk2P&0s-BKhfIijj#ls^ z#@u+oguoVLD!<_5@BkmvXE^q5#l3qu`JSzuop+e>A=RvywF6yaGu9e8kuH^q(*kcM zP2P>E?l~rjI62SkBw7=8aYQE{2jN239N9ua)hH^318dA1NP5sb;&1llR?c{K1(&X|0NOS*ltwG<=sy)y7P`y{CZ$T052HR=`fb3dTb@CP%el;F1RJ~EI0V!(SC{^=ckzI{gN-ZKU#b~5Y>^!@WM zdJ3ow`2-U)Uo!D%IWy8g zTDO%QQtfz(C}`WG5hKw#nEhBLURPt=t?Ojqe^$G{%e+S`#yy*HQs~5DWgSzuHZkF1 zHIsH0F{$HEq&HLE|oLl=_dvR3un~!mC5H@8CvoZ#WQ87>}*D-Pt*4khv)Wb z5%qt{!U0w2iuz96R*iJwdlavABIkGy^MEHv+oZA7?=3Ta%f_&KJ?eTQ;`zR0xXB$n z1=tj&l9@fC2&LIYtO$P3)M07ZbZ=#*dL6bV4M>emL_O^TV}n{SyYmUvvo#_zeqvyZ z=txT5(?6jRm7+Jug}gxPhf?Ha%bD%-f+@!Yv^G^Ub6XP@E*&Tjzl)Mr8{=c2pmOs8 zBMM%i9P@yYWiOd?wH>3A?QAt~VyF9CcFcZBq~2#-#QwFmX94LR`5a!jip()$o%L2@ zXl{bjJkic4WHWu_8O99sLuptTLnSXTa!d%r2VG`~LID;73fbm&jLf=$R96Uq74|1x z@a1N7Um|POxm3S|Q?+~87aGgOU1j+1y~o*|!JPLBW2xs1u7@rn<%i^kR zx>!~QSH|2USUHD$0k8l8Desw8#LUg0!Jv#Zi%g<7m9p0{kuyt*xG=APbJ}T~)=T_f z=nkiKlZ8@*QvW{G6XSYGoYqg|uu&45O>T3=IF352+uYn2jd5o#3tpGvk(h$#p+NM? zlQB$B#Vsg~5W5)8c;CV7Y$W!HH_)j}Wcs^obUHI}j=DpZXEG=Dq_QWefn7;8?24)6 z$*63(fq%)1?oZAmV6F&P}0dzbZQiMV9fV0bSLcb~hsoloP?0kJ%bQ0#9P zVV{pZK@^vF zoded3vUm+LGk@kzi8lLckF%-uEQh>(*lQBR(M5r5H8{lnO-DI+$cB*1hJ;?3$%Zv! zQPcPdIhC=<%S{ye$AkF7fhi~{d_M-ZcC|E-H?+8&tjX!)OWC*g5bL)eWar+K?B2bP z!@Ex5AE?ch%gUrB3@7cn8mZxVmi>3#Ip9T{ct26Nufi>% z+}Rt#(Yx0~ZyS&Hy-s%aeayq2e-JsgmY{LDoY08Jd`1ZSMuu{J_-zgiOA^sA17G37 z!Zk!BP&&pzxj4=aio#1wujwP4$=C|K6_SXUk}u$u#)Xl$IUyw)%kU`9jlD(o&&34v zZe!V?Slr}-@H}6I(doNvil|}qGSO45$-!~3Kbyq-t|P+!wMGWvI_j#Bzjz%i-n)kD z@Mv6z#p62kj(B~WWs>3Sni@mg?ka9>%jQUEDcf$8vp=vJm({^c%SuE$G7jVHEDm%v zl%O1Doe5`l(>=z&&u7f148}dp#Gs=JM*)~AKA}u_*UF?~$|XW*WjMCi%8L~hRKpvo;a9xY;Da2{q+_prEk1EcyZ^pne2yzC@rSNL(@%q^^v z?%@`l#rC7AY;cQa>5WomKdV9ceHnV$8SGn~#1@6~Tz4!a(k+WZots3ML~}Sqz<666 zo2N&xxnD4AhhE2iY%ta`LAZ^KVC9e-|IfPpgO(2uW92}R4yMOob2$3@`Ckj}S26i= z7}K7Wp|#VGwL7vgyBx>Fhp8;|y~X^E{+N#Q#lElu%fMUA*>w$vVZp2y`@oVBL97~l z6KjP47G>0+=b4D+n#;HcW-#0RDmGE2sIR`v`mjnYq)xJIa3Hg-Z{Q+;HrDePo-rlJ zJDp);L^}%3;&Y;tm^<|t#!{h7pBuuP zVVv(-ta9=(4M-Kg-wzX&3!;W4jLS+!_h=A0#}iq)ISDQG-Pk0G?IPf18lT6klx*xm zQqav!!G2#X`@C}SR!-u^gd(&@_^?u4>~F(TSu-S&j15_wUv!X)LG5gt8N#e7yI8Dq z41>vgu+u%rys5h|Fh0n%*&EPXv=yBhyKz^E$6-W1J3{-KCeT^LPC z_HgcmDUfn)47Ei)sW0e7N#QTVxjPahcNNbWy9B%(v0A(n?IC+`92AJtK!27D@Moz| z*U(XnI|$iJUd3+k73?Jcx$K1;2K{T<4H7$xWT049AkO^*ap@PxGNB~{0>!idY=?wl zHXszs$--$IyurxVZAe#rW~0Uf>ifMRRPG*LBMUe)t_o9)+nkm!BtoH;L*UN2#34V{!>nDk1-u zW-k2L$?~2}Y!MMMWWn#a=(phP{T@lv09`<$ze3E-9*W4&jJw2RtR-IjFZ5i*ATdw3 zhe8j8y8c;WA5h*e8dJ+hM!fqb^qJA`|3vaL{PN@jqXG&U`W5-!hn7R+TboW&`6lHKNe|nJH)5(QvC_+^AY6R)K7AD;n$SSk$u~w{G{b z@80$A?*nE^)}j𝔭`JOtP=W#Onzo?Mj&;V%;<{t8Lk zB+cyOpMu%+`^Zgi#8GIRQU$7YB9ezSGokDYLt<-DEBnCU z*fumpbRQnu!nC}1s9$`6frN;$gY%e}A@;58`)KT`L~U;i25VbU9GA+XfM@7ke2UJ# z`^-J@1osUOarJu6fjJKeF!;))J})`)^J`{Gyb*vF+q2gfp-NKLRrp zk+myx4Ru+xWHH8unpl|GGtYQ|h?cJC87#)e#DabEE{QfB#on-BQft)6FOs0PxI0yM zrKr9=kh}tA_CHv_(hnwFjorvCvn>QUuElTF3Qn$FO~{oMT)Sk*jevQq_EbYrbu2Op z<4}}W762RdAGgzy6A@BIVGJYWN8#kDO4!Ajgk2hs_k|7Ei^#Qi*H&B|L64|R=S`mS4C6qfa0>C*qJx|Wx~71IQK7bkG_-_$kUrSsOY9B>Zg(Ao%GBVrl; zp@5-HFIcyzo|3_DIom&;V*^C5KPr_;!>{2ty_!o>r2<5i93Gm%Ny%LH4a&k|qKIqC zMFgrA;Hy|gMbD=M{+z|heyJQDm`vQ1X5q$4xT2CrmRu7tGL_WGzv283k8zRc#Jk5^ z-uL>88$Um1_w>(L$vkA|#1D9i9mb>EJJG;i|1b1j=+nOq#dx>pLS5JRJrL>?>JSaO zlXd-Cxvc+zih=b+9{*OGe<8c>U6&u-$4%im+J~x9IbMc(+h2_GsY3eE?@Ug(lB`BP;Vp7{C1^O*V|3{i!`-S` z;QyKtOG~ly7ahhAmDq{xqqwLU?Hlj-%{d>FYwzf9or`|lNBTPEVHGPn1chqsY(6ka z_5t&LXcf+*jqy!gcVvCev=c4JZ7yPb`8S3{wJ<;Z1EV9FP!|B3Dj;bsfIf9l71Qs1 zMl$ySibv|v+AZc=*M!ju(V6Xdg^PPTMrI8x@aSNx`4hG;f6P^t=QRHEhWG)W*!Js7 z=8GD+4{gW%=leMQQb_8GBD_uZQ9e44jf?j&U3V_#j>gQ?TZpZr3G?-5V=7oN*I+)2 zt!*&WpTnw2n{btk67D~ewCc&^RdhYnL&V5CzfqChoqM%?@a`PJinq4-TwczNrAr80 zvXvXlH<94IkKBv{WXF4wb=!{hYcx=wIvgnlS>$A5DSwY25Z#g+ANhp<#gHth`n89J$B7(QT-o<1OZz5?xGw1qrV%VpgHT@ds zl>bU>|3)IlK4HisV33%cLI$b;O74pv-nRe5B-RiW(AX@-!oc3 zt>^E5F!1SXtm5CYWy3Ru#y+C&pMPU!!S7gI|AKWitUe-~$(A-&`hUhL;5}Q9f8~_N zYZeKROptBF+WINZH$Jh->nm4xeImu|2~leG1P*J)xmzRierd$8cP*~nYJ{3Z9a@F{ zS*`vq^Ip{gIF;C{+(+wV1uCbq(XRX^0G5sXW8t`#)w8%;1@lMcGrQ~$WVh6#w5FNy z{SufZoXU*L50KqlgZ6J3m5+Z`j^&K zMAED8ncp`TbBQ7*>Il~z_KE=xnb>zd7jjW5X2N;(wNJ+_ zD`YXb{R_Ve$2I-dGo*LsFuwW=ePbJ$Uiy|naTO?3y+%PSOINIm?C@NavOY01x0Q(p zO3>O~%gi0s%v#&TGV_N5T8%6*Y+|E9E3uO8M2>0UhV&a6q~4Gq`H~HNpD{_e{WX%W zaq97z<=tCJSs}L3G!1BA(93xLj!WizA%5u0vn!BW=Qgjpx zI92?{**1MPK3pt1BPnu`V! z>)?T(VieowY{$aJoH^QV>^6zyoM9Yi4R8Io=(JHRUW=j#HA!N_=@f>(YiDrNJM0IS z^7w}jTpyjzsbQg<)l5Qt@F{$!W^!IO9-nb3oRp3xOeK^3!>-|KkicQ}8zLIs;fib) zm3^uS9e9@j^)!wSiz7~}O1Q5A&dWqoKcb^)swiYPds0!IP5rN1`)7bRRlzdH0J^H@5elv}!;6ia7w-MNONL2oFue#jcb zQp^vvGj3ZU7Dt+}x+1>6{5^_(1-PAQ*-4tm7S4Ij}zRLio`_qarK zviay!91?{qOMZ>^&N>3tK4HVv=Zw#MfMsAK>o?cqFt!ZOovoPUJYmf1FARG96t$A4 zOuhIBsk;q~`tqD18R<;!c!@&x18fpsAQxGOd5i$%)oK>s5V0)iA*{|I);Q!7UgLX~g}PT2_e|xvWpy_geg`8ZG^`k>#SZ zSgHCP{k;t+ZOUcN)&{iBwPJYZ6N@#(b`Twpk$}dcl&{DwFJ%78TIMS!V;B8~>6aUr zxJ}GII-kYD>2B)%j1|2_q#T=#`N8{W@2zCKn}}Ig9wD~sTnRdruNe~A zf^MO3fuS`_sd&YZn^mZm3s5E%GO^?tN}-Q1kj!U_Tn3ss?--laz`Px0%-<#Uy{+XK zIo5Jw{bQk4+_tuHKqqt0hrhG{XF3lq+NQrxeGuhX)k}VH>u(UhI9@Tv;9(52)-9RiCui)4*OM-l7 z5*jd`HEU$QU(7v5ev*Kf7Ro9LNKBYC5hXQMCTLD(g7PfXrpmL@eH0IK2ho%+$Hf{w z4BLG%dk}?gM;t3F0!S#Aq9La@T~FZ7FZ-F2(q7!p?auS8-ZTl2bzKh`ZN62+sC3p% z*@=_0111aiV>0SI%ccBqle+qUUCV`>#spw170ThU(Ri%5#n9Ka48H#gv#~`y==qTZ zsWL)U(>SG)&O-HT>>75H6GIa@FftA=l|+K%^0=&-ik?aco5gZY4~yrhWCo=_HIX{H zRzNGCaJ6!L$L6q40PV!^NU<{%ks(>eoj$dM47$(7nQ4R>UL(&b0e{aRj)&jF`G7zE zTM{{I5YB1iF3A4ZbVkTeCl3Gl2{>(x;z)WWY1>0c-|R>9nVUrKi^t_hDYjcmT$hq)gwuGZ;#@}jxf&Ly@N}GSZ2*lHj2jLGcSy*>tnd?7fImxC{|ad zGxOz5mV^Xzd{Zn(jAGcgIDz9PF?j3W5Oui85fib!{-@gfqb?Xk<2O4JKkGDB2FIf3 zbzHd0c$@-5ak&x2Ns|ZyXT@;D{5osSCbP;vo&8I~+2jfk$=M@O>cVkBD^`-x65 ziO5;^NSGYS5qn?uoQcNLFBFq2fviZp&Ah7@S#u|z`4@dyaWjvDW*?WoINqOiT_vYyBQdTb&@0&-k$10dz#y3R73u1X=1at5C;Zc>w!rK?H zy&Zwky)dlmZ!s_H3Le>MIEnAP?wH6fGjA-bGBM8!WrNuMo|kU3H6opD7xOrL=r%#? zE)eS)z=@;LoIZAkH0N+qEYc`3Nuh9I83l$pTsAq+P1Ah@>V$H{FdpyleCz^3@!$Cd zoAZUpYF%R3(1U^>I~XxyE2;|q43oUbc=>3COP*$$@Zc9Ru-@;P<?m5fBJ!d#`GJr!TOt~F5pP1`1Y}+y# zRTXvAHFZ&+FcGb(6aM{nIorBWDP{*#=@qJI%88WEPd* zVtr%^xyj>sk=Bj&%wH)i=`X0-i}nHu9%uKUL9Ad?$GAu+vM#<_(pEI#qJcwG{iM@#xh)MW^I37wmG$l}{#hdD#kV-JOie%6M$N#qagJ#!{ae#x4oN#s8s*kfGTB9M7D=L0GTMVuVvT4iT-4 zvh)`4Y-f<8FCI~kP*DrUe9%o64~S$z|J!J*#bR0Af^X zJe|(m8wGf7DG(is0Gxa}WkV{tHXsY{p;6fPNn+99JA~{mVY|&S(iO^Cxp*&{x+WMo ztw(Et1C}E4P1Sb9%w{v%^Q^G4Ux)tewVc+t!>Zo7tTEq2ap?q_1SjfBejqDyDCvnJ z#bqlJbxDuAiKgs1w+Q1c9_+n%nzfsEa`e1E8xKt9TBr_D!Bbf0A%(Kcc*aUkL|$1A zc}3}e_!m+^898|^B$XxcSUZ#lCBM*^D~V6teC!`x!m1+_!v_W5?+u<%He1BSo;=O& zPJKalO3KBGi1}M`22fqtjoOOdl9@iC3fAP{{Nt7-%qYQ`9mGC z^7lDn)5Jaz8Ek?-vS;NxmM>_yfB;we%muTPq3$-2fqH(?vaqu-$YX8P! z&nDq++Sy^$f`^XS$x}saZ2cP+VIQy#dds5NkJuZPv;Xi198x|q=FwNQYQCV_@CRCR zs+bk|o@s5MSNJEBD?X#r0rR4s(l?_X2|m;FFBshNnUTAz(YF%~(W{>M37=RI{1TmO zFIjQr9jinXSCSHqzvNFACw^tkvM0>Q_#NqYFv?p*ZV`Q!N_4PX;uS6u&v5O!JgCP5 z7XSDJ+kaY{EeOL_8~*etFa7z&rqWrT!KF^z%-ww!Jko_QO8F0 z$2j$@#h~W{G~^qYQTr!@f;t&r`i*`!8kt!7iNR6rOcKKp*B+sj_K9JMPnf)?odHYB znR%?1@kgtfv8|nn8``nE_!=qkeX>U$Ba{0Ch4@E|D15`j$fpc16!qTnkUg7Ua$@QO zDu#WgLgEwo13rjO_aO$oYlzxZhv%f#q>jnPV2U}$?#4`q>5z>gyfuKu^^*nDq}=y%c#pbGjOIQ3lvD5*R=RFj6;;C@P&N21KD{1e{lim?dOYQ@-&PayDBhl z2gQajjDP$mGOu9b?f2MfmvLy*dluEg%<|t+Y50n2)gR27($4(gPnf6t!AZ*}aa--bb- zu)p>iw}S#MNl%&dKzz@&PL?ce!=>8;oCLsZdc634BS!}TFsq)A{%L*wUH0AYi_f&- zK30HuO9wInGWKhqGjU}ZvsYJQF|dKIXKcE4@4%q%BP_)7oCLtk2e+fQvzZBpE10>m zmAPX7aO}~+k{^UKv=UBwM+cL()iZr&0UApyG4y@RDEDkEy#*ka=3wgg4vm4r%?)Ws zzjp=B4($wdC}d&u8wT0j#`eZr`q?F65&e-NRwXPm>UubDB_`b;iH6&RR{ft0zS_Zz z{BQJ+u4Y2zCx%8hpn1Imsf!Iv$`C-i+s4$}Z%`JUMc4h_wDy*ucD#*w%R6u|tYGof z5)_Qf7?$%0{c8`=^cJo+^gd(q-mr9KgNU0=xU74?fu-+>9Qu|@S<&%zZ)J1$8ge|^ zxnO>g9N8k)bUlGcUmZ(_btnwYL0QU|1A9;6=(vEWL>;zlG-U1!eY~dxv9@;_`{r+_ zI)6HkG9;+3l%TS>r$}vmNxovhvU%%C^|j|j;(F|&0$CEa7rVPxa0vD!q1u$QhaK5s zt;aU!nM_j9L|J7N3IbqqvYP(^FnJLr)n()uBRdq=byDPJOyf?jIwwny;!tu6m+C?> z%*CVTE}Jt02`$kgKXovVvVWo@zXw%?KTwz3Q#dgJu-e|_ZM{svvKQFT+k~EQU{ka` zF_ZIWt;}s!%Ow6^*D9f{Qi<#vej9V$d(1y~59Ra>G=m%2J@*lx`@+X=Pw*R%!#?*hZa&M*@$1S4wgwYVJC5)V^iLosSc-g3+E8P_d7v%~l`8ke3hEBZB-KCjUU z{D_X%M^?GL!O~2)nOnazSvb2%3F5m7{)Xq-Zy1bf;)dxv?oMpx_|Y#+sQDZE;`6Qx zMF%syT{tCCw;r#V`|~p_dfaD)L?`ZDPV9fG%|FUY)Zai1O%%SOv*j@(mXRiP-%`M~)Dq)p^JFd&D z(3oV&D3K&44@qU)sx8=FP3t{Z=@@Ll>9}b>6%H6Dm zTnp5sDsL#|$tqN345Ce}T(xjx6_r2nQs1AbAEMY}b&^>Nj96^Goz;4mI5^jv19L9_ z-_;b^Y7iH}StUw(q;-QM6cpp+eBN|_@S!xa%DgJo`U zRpkc9L=O`+H<=@&POx){FPA3!aZSyiYbsH+{8B-*WFT>K67iApBUE8xi*H`Z!($wJe>uv%b6Q+ z73CMHnAD|XI^!(HnrAWG5sl4(NF3H*z^EzVNzd+ep?pv z+U~L7Ssu3c($O`!%F4@0M6QmeR6UAvO+VT-!YCed4fmipv>&Fiuq}rr`68a5P3F|* zc(!f0#+Jkamit7r_e41Hc9G;vPh!R7YZRL1@=jKaFYqV$@Exv*&gYbS1P62@S*09| zhhhlJRmAUCyu=onD?)y(`6spcyRNcE%(qPboNy$GSRapL-s;O38HZrFCIqK#A-GQR zW25v{_K)#qmC|M0R0G&BCJ46~p*Zb}!s@sW=3XIKY`u+}vM&x@$E%8RSR8W;i#_4k zD+RJ_fw7|XZE;3(F0x%6f1m-#cT_#UPS$xP2oU~ZzACodMe zh$!Y4-^P8DSbwi*%yxvbYk$0`=Os4EUF7b;JUl)36EUfnt$IG_Ow+}}!He1b+gT>S zeDl;14zJik?Cn`>xN{DpD>pgmn9VAwe0J%a;bF!U5gq@Y)+$Q6Q&I5)8JBHDyL6*4 zXcQ+~*Wmu(Ea9QY2~OF>q2}q_X&z0&UOUdu*vvj#8^)^3GjN;?GV;=>$o^x5RFp?n zSx8oz6)WZNxjc!8>oah7n9bomN3pP6%HI9Qu(5RJ;I6G)kDATJ(-X)}9md@dWsy;T z6;7<%_h&;^RsF=rxq(#6mS8h}8Rj<2(AIXtRQ?KUrBhigeeb_T>&K?DPAZL+a|$sz zn)CeuujAI_bMnUy{`wJ~_PWo-zBz0inaN`HTbz&!BT@{{%KPJ^8P1u}{zT};p*7lx zGvoXTkn_V&A%eCc4dhSCz-z28v9q(dDt`?>sX(qOSV-h0l$vr1_{_`HB`#6gjvV{B#t`wj1AnVe< zs-G*_SC)`*(S~~gYY56&%C$sea<8}$cH5qF*(Tf#SVp?H2Sw+dNxtgDy{pS9KjlG^ zpEH*W?eHp@OL&SlA$OgL@U7b(k^R+*1SCx-I?s^vNm^oi8MC{^0^by4l5ZOlP`r>c6^qEYszE#_+ZJdw6cIA3c(UW5a+K9)3JG^W)@m#VW? z#0KmlA|?on^BW0UlZEB3lc+D)h|I(-%o&`(K9vHj`u{`Ie{t>AL|Q#pt_(J6{Q2Y7i5g9;tFnE(&tb~0xngpc%2O4Xy^fsws{iQ zBFjl@{=YrKutwBFnN?@$NmGr#2a!df^0IwSg}f$$Vjo%-<*K&`IW46 zU%|eE$8qyq%hAIZS>^61+}Ttvd5t6e&LHkxQz7+wU)uj2V8VfYnjgg7Ut-x~d5l@} zj4(CZ#_~B{?AP{TpSJgZkLF%tul8vUmYIw&$YdgCm9T$X1)jcDti9NPo9}%rY*KMqbcX{0&sZ68 zpFJDPIK83~w}q+faV_D*_Ih+DgkpQ`3AQ&LVtBd?_2U`na_qldL%<{NlRY{7;V{Xf|a`xvOQe@hA)xU;J-A3$gy+E(y zJu|M9;AoP~k{|D|zIQhEzouZ)KLy)gvvKa7|4(c4@3Q^v9u9pH@Kh=jF0%-A=R_8d zOGn2p1KrixI1SCjtWN=UVqT+x_b~65#`+&KaUPY9-Ku=%?@mJF#9d}>D`K`)GU`&1 zXqG)>dPF5!TT;**7R!9;M6}07Vz4L~`>U;tcDseUZwpfgdt>cc#5lP)9GxprwYe#r zPb<<(ZlQ0Pf&Ba^T(5T^Z54y5t#G%$-DcTOcUY(-8h7+VhTHhD{@Q&;ihX!?=_6$0 zN-^D>!u*|yDCgHR$Ey^(-cc+T^_V^SE{mKBQ9qH#qIIP#{VgA-URl`A$zYmgoQRUq zjL&L8FR&Wvl165Q)!}(cY%k+#Divy|?w8K}0afh$DHqFMv&dNWh{H}1-_L7YYkeKH zDbAQV9AT#F4xCNAQJJ}E1jc`TBO zS|}(ep`tYS9|0zZjItE6azpU&l;zf~S;X8NN0{Gwg8cmP3k=0KARPaz5%_ywA}!N^ zm}@gA%^OK}j3$MNL%!cG_TK^aab6&$^7$;$^89|L?yT9a*p9!F`$RSzys8(}! zOdVlUo-$D?i1i~fIXLhR`vxUb{Nppym76#^CXK+U5Ac_%=jebm4)m*_xK{^Tul$bU zdoVJqVYx&jyL)v~E%}AJed|aa^@5`^9h}npz^Xn~Y#i`{uDh&Sf4`ou>j^sl-^)s9 znfRPdw@z%N?{jSfScHE@BjpQvX@6i`Cf333J{zPONK<@Ckk%6-7rf$*`8Re>?<8II z1EJ%eV|(%y5q9rs9`uAHl^3iw=;ZQ|Z(LsUhSMIexa9DJGUew44;DN3>aVz;|IG69 zpSWfAf_Smq6@A;;Z2X*X{Wm0!{e#wlFL?3GD>8q2#mXfQF}m@REjv5#wrywkkxwi+ z@&XszIuWTK5~tfr)S^zj&0i5ZxWfNMfTCnZg z^-u0Q9J)P4w|6@Ry*qH1=)`tdC&ud^FmZPs*9=@+j;Y@pMh{O$cijWVjIPAY^%*0qi?IxSPrpS47;k*T zP=j(@Lp~rkuYx5yFL01(`~EnzNuxx^67U|GeT`T}d|-e{E^6i9ki7YvS(}=fy0;3| zv=>Z0{FoWPw6fr*XE^s1a2k-utPP!5Eq{(n_m@~mJjY_@Gc@(fP&6rKM2<)y*Pk)C z_C3>m9xx@k0XUgr>iWMYv~LyHCU>%DXfwW2Eu0-)%DK_46m)CHCFlzxp)%dR3{Q!2 z%!bypZ|yf$8#Z!!F;}ugbzNGJmzcDla4`Sv%p>gsnt}b}YnkkJO+wd7T z@jVM;-(wv24!3i!P?(Z}ga0Qk9R5i8f(Kl(e~j79kIdQIf&HxeIExNpP4}+5u(o3I zV;iPDyDrr3g7`nLQP-&|reZ$h-c15v&jmCZm}p;ul~g(NEvnI6+sNWU4+J#2uB~il z!KfOR3Q(F!RAMMq&w_33C?Bmy?Px9P`>T*C`M}_nm5i$V#PoodOzk?DzOe>P>uMZT zi!snG$3nPWNzZI7{9d6pGnb_&-ZO4QK8DLX8SE@vk*Mp4*%>T7_6|v#YD`wNVkDft z+wfKa;}(p2wxKb!k~#V{xF3GYG@Wu5&MITNLj|teUbAp|HlCXv;Nt%tTaRbhNi?uR z^(A_0wP+1aVvzux+485D{PKd?y&q#Sxs^#K`DmPJV`R2y7=&c1}hr1h*hGmq@bkyMpR(3#VRw2+Y$NA@5qX%v^vjv&@ge8$Za z_al2T-RQ)M{rfRnyN2!F#$3CxfNP;+1$V}vtT>r53gb~w>^cu@SvG<>hBaQ?U(+cs`z*WRP7*|42GyN|PN(+1*h&%^KXG|KYEkb7%9C3pXy4y=4^ zA-nX{JRHY0$&T8Zq@O8CF7y+T{3#ItwWT`n#V4lLvjgFcm^R{5Nm-uJ%) ztmB8zc=c~$o0Ql|f63*d(lgEs6L68b&ko5Njt**L`;dATX(e-9qnxX%`S>aoQu*sM z0{Y}}a%d@s2G%QmtOjT<5ei5|Nu|`~izhS&q z^olNzkkl{4+5DO4CA$Fj@V_JnA^YzS&+X({?=Lircuj%AQ_^+c5hUQWXL21^>;w>& zedN^ASDdwZ&+)x~;BNDT)5hN3UZ(wra?`*beV3qfK7T$crlnssS2!j3IUpVCT9`EDt*}nQUs*^=@i~fT>L4R<5 z(Zlc8bjCWo=fuV`%E~{fZCyYly}#n zTqWG##Rm*8{(xfmOU7?+VqDj?lg?G>X_hd_s0P!E&l$2L7sCMI)+`Ef^m)gyk-5Ub zJ!Qc1Tr48qFw8iIB?8|4Ey~ef(#jUY7wCvi(XP92m)#$TZQ8_|o<&@Bc+2d8MQl@O z!Foh4=S@H3I=l+sg)fO*^ooO%gu@=#j;G0Mr1i=fVNk%NT@4s5d%z;G95ac>xN5ed zWS)U)W$SU5*S=n?0+G(S|dvxC@}uVlK88B0x*e~0`k)sFk*f^hva5Dn^q}j4o zk?{(eC@3i+uPlwMh>`yZU~QyR)hiDUbYX+gdJMnZ`!;#~cS+ROEr%qg9 z^Ewaw0;Y52!W2>xB+0$0N_Olo+%M`*%|AM@-)^zRXrCbSLM*L!W2$_Jb;{nXQM$|; zy)@937j7lTIvWw36^sy+MF#Jk0|{TJvl0uOl~)}0eQ^0q*BUCO{|^R4gCRh(mTmR*Zta2^_r z>&Q@CM+tQe|EJe(U9bOL|33ZS-@9{=Ci3G)94yZ(7Gxi3KqoY_eG=#N71I6nwtfeFVUZ?-5Hvg`b!@KU^6@cUH zyO^DdL1XX%ru?=CurZeo2X z3cZ8=Om9eIeqD+0@z zXB>)4Kq=$boMPFn9OPV%vn8yGi58dfaE;)=ig-*^{5T|ahfO1H;VvJ^S|xAxu1{fs z`elxaI%=C-zG)h(8($FoA= z7Or~XOgRyS;f)N`^V6}6Ov5td7E89o3OAj`1+CloD~K8l3}CzRO_q<2XZOe$3e*yD zwD#eWaLqSI*Q2cx$LK*cwL;;=P=7wic3 zS-^UCStiL&LRn=fGAdGxm79!&oRZSt0j3~_ih>NXB0{>YRKPoECZX4~Iko#q~ zZj}pL_U^^i!-XBYwsJ9CxU(x7q@)iZ_wG=NlX~(nOX54gifex6#uVZ{V*@5j z+?X-Np3S;lCzD?1gw8FF&Pn3v>}-zBF5sAU2FKjs87mTX-z&Yu5z6gud_TytN4M=A5s1!6tU*ob?HQow&TpE|lp^RYa;kz)!wJz^#dr;f*+kzoYM8Fvp{jBhz!Z zY7jyE7SYI;UM6$(bukRZZ$lgx41(T?ry?WjL3Q#9?>l1{Mv4T)hxQ*mgfoUr1qnNHU(m$*kEO%xRx^oO7bE zdyvDntYi+w=CEts6-?7oaBL{%azZv|Z`{SRv>IFYK(2V+BFEz{6~<-U^GM{dQwTSg z-s75k4C{O&*c23v*Y0b0Tux?@fas(nJ}leh$2sdj&Mv>f8OvxcIws*YKNc^O8(41_ z4Pk32r!7M8*9+sao*$RQ@7=d3jiZZF37V526vxShNgOjs6*auWL6byLo30w&f( zE{Iy4*SpOz!#i9QbvojlgGW#drl&-Q5}3jA3(0J=z9H5%nO&z-vGNW>=V3WI$#?NM zcasg);jGRm!zwT#B%OT8rxk($8o%w zHI6q~vnQ4HD+TtbsEI&q2B zm#?uVE`bdp@f=zmjpt?ovB-Pqmc-$noXUclH0&c|u}ry!&a9Y8%4eQw`I?KjiUef-{BX(;q$4q}B zOBdU)Mdm2W47TCCY77mzW9Z2KiDu!#auY^UlF*ksIltn6eGWc;dPD>q;PBRy91ptA zwtagDy>tejb4y6Nww$<#iEQ5>jh4y`q~!()vo%&kNG&AB%E=0n$s#8&i>8beO49wX z^N{0IkQP_`b=bY$hGV;gGZGNnE8^pp_1ihI-;3L6R$REGOktq{>9Ojh$MmH$zuWhW zTUaY7t9Om~K6hAYu#buJ7h-F-9b=gTEFW_TcbPLR9eG@k{R%E40&p2AhNDmZU864k zAJH-)k71YDFxVHznU`63>IP$;KH}H54|ptX;^lz9@mAs!H-;3jT`C3LiMKhaSx$($ zaA0!z?Ch7o@&38&lB`5?SS8D)YB?a)!qK5^lnCb**sq1{!|K>NxSe3>*942md1PP< z$pb{Bi}?Ee;T2jo?YKzPv!+iwiHp8*OudGr1?LC#{fS}bM@)0xv*pTX zHpG6$F#Ij1XCJcP|2=YDG?%4_zk_KW16Cm+1fe>ify8=8CP%yY-qOD~g$Q;V~0D zn{kw=#ayKUy{(OmJ6z1D_HU^Awj;l~h-rh0&@KKON}-)7?5kkv#&YDUKO!C5$bhC- zs9k@;lbPt&E?N$MIty8KRwp=arABPA}x>iH|7i zrZHuAHRjjfGQ{*AR-vy^JlDkF6E#d+)xbm%`9~%`#CT;LGK(vj87aQ6=qc*nZ4B|b z&osZssHMGRhS)w+*SE0TvYl?Oj*4`WAbj7^O&nLQ6*y+AByZRE^>+0^EarLwd;g=G>n%C7g{lEs6Tt9>E-X(0g zc#w0~#uE~#LEi20WJOLO{l*ZHaet(&T!Py2pXoFRAz7^qN9~O`I=G`Y+nVJ@(VW(c z$H(zBK5lM!yIJD1d?`N5*5Tv4U1&2tBCh_Qkhf5f(^_Kfw{T(WR@_4mqxdQl>B1D>;I@JlXAz2Kq%+KGOTNbLQJrJ;X)KL$40y6c!tHFhKGIkn<1 z%q<((yyGK|7EK&*c*hc%3bx2U|9-L{eA(`3Av|F_dX{bTrb`?X* zL?elKflQTvPw1oX|7wjjO+%*iGedOB&^!K|k!A%<$o2FY8*uli;4-8q|fcBMlOgi4mn4kw3 z-Fc17u~MYm^O3*ygz1?d7;vi-^~z67IMKjplTt<;YDGgdwlNnTF!IuU2Au9dsT2B( z#&7KY5EBvo&3ZkUfz%^o>y`G@7sTuQOVqVW@%-Y(-xPzsP6aZ7c+J@}b3baO5FslF* zLfcWe@j|%924n=lWN!!%)V*VT*mJaY)G~3OsI7pydgOg%?Xv`oUNgqN7)S5t47Jb1 zGVm>fZSyb-@4AF23yX+%46@D;024K^%wX!FX677!#-ORC7(3r*hS*uOWIGqs zKH%QJmQ$)<+5Af<0ZO0IkrnH0uf5rf1K$^dhLeWtkc!cY*muz1Cn1GFM*}vogLHmEl ztycxwvLdlO=<0|+^24{k=r8uAdA_a8%=jDgGv47-dXVfy1J33|py#%pxw;E+Hn(M~ z^e&tiZDX~|P#TLzP*Kv2@~R)nxITh{n*%AhE61*lqq*Xv&+#K$S?RflLq`v>YTa7l zM2~QM-%_rem`6nLD7J4_W}Mo1q!p!+l^KJwk~|V!x6&CaCxgm(4dj%j30o$^s#U{j zZWvBg*{@`j3yRk6V%zOyJQ67kyX z|ClPSnNt~RMta;ztbJUW^r#rslDDkUYv=WVKX@dZl;4;PHcKT4mzKwgF&%sjKf1~i0xm4 zL)0??u#YIY6fnDY2Kpjio(h5m8|$%2_yhG5!X1RYXSjYYZrZ}J2$$y6tp$hfZP<4` z^ReqDh22|N+Pz6Ytc|5T9E^72{tSF*}oKsUMj&rIcBsK`2Zs$KdR9#;Rwd8~cfAGb+(Yc*C?=0#s1~o+i1d z#=l~WX)DSx!c_@?4!`*tt&}(P52n3FEtLsTPb)$bV{QIAIW zV81IZQnm>+(?gw<7Os8us-PY09t z)}wN)5XlDu>S6Uvy!8s@>9vfj{Tm}AT99w}%=mx?M%BGXy6aj*vECCypEF4~p>anl z8QS&S$jDA)M9ebsd(CLaBJ73R9O@`Q75D~8yKGFaiJ@&eHp1mfTBc%uO*k;~RHp4~ z#4hw51B{DV5&o5l!%Em8^@Mf5G?O@8IQO5M$sG8Vi@iFyJ?$HY3JuJ$Z)4TT_h^aj zux0maCT?zE>ZJ#mI0^Wj`he5XHZ~r9%;?2s=%0Ja%;*mEcUGW#x{j$K50EN($Godg zFgn`FMzv;gM?dAt*k*#pKgPUg4fCYS1i(Hq?EPDMfBuWXou81O(ty;MN=6N@5yqs6 zt5TOZ=yHS2CLXNUUy8N189}-tn(D9R^pT0w75AgOxa-CbeJF@lqbzs7z2rQ9%}s@oGq@YigjR zroy<1laSSziJGPo>pX|hRPrlz6%yR39nHQLYph;uX5p*-INv|YsTw!Z>W5HY)Q!%( zZZzlrK)E1mix{u#x}2!&XI|d}6yxjvkLi;2YQn8oaB<~&T*JJX+F8%&>NjkhSI4V9pLzT9OA#4U zIiMDS!{kU#42dLEA(z9WQv}4aIX5VW5RC?Ai-@^TzJeqDvI&x|p|f8b>9S4u$~NLN z`8C$Vn%FYz2|M~d!Mjfr^Zg(26JHs-rHVN}mg4kNGtp|l<2|H-TdL1FB2`YX&J$Ki z7I0AUp>SX=LakVJ?|SBA8)n_a4&AL)M57jL1la7mHM2xGIqiOVtX(0Tz~BO`dXx(X z)+C%o1M-q3=!JYnDMmD=TTP59XhS)+oiWAFQ4{e;HvbhW5$%kwdxh-vM#j`VKsl@u z>8d~YRX?2xS)Wl6@Lu3l#-v&KsAha-#GDMKXNX2QDVwP&?--#|#Jqd&nLI6r$#>r~ zVRjKSMFdowm&Zim$dn9{(YpDR(dJc5iG0o?;qav6AD|W7!Kj3X%(x@wjS}nRS%kvH zCX7?wGAy)~NdmG1qbpIb|H!cGC1{m?qJL-=s`Y;`@_=xK!u2R>#bM$PZ{iT7vqSR47EwYCg>GI z%=iEEpKCULqx^VN$ioYXHDNye8<1$$dAQj4|~Jm zUR7M3@CJk7*({q|&WUOF+5B572E*%c z=vHQk&P+D-9Zp;CvwZ6#_Ra4kW$q)c_v@gc_Z#wmd_%)eUql^WGI6YMnGe4r`QpF-pttiJBRT+`D`?A4fjDXQtY6^cQsboH$@q1WUc#Cl< zALYuIIh=qCHE3f{LF>*?ohP>s!%Xv7dzt%&;-q zfq~31JVyKBHu@rNQr_SHC2|{a1GiDZxQ+3`ZR{B_&FBA!T!mH*_h-wXP&_9Eqqi*z zrH2n0+4zCAmUVpW_lc)Ho^oJ#F6*VzF&&jm_=r{_c5c^5$VfAD`HfaX2V|*x^ zCj_%q{W7~%k7K!DKU=S7V&Qz2trL%PK)k<6BLJuAA*>9qV)F6hEWQ`R!XiHmk|UX4 z7>!L-6xP`pSlmcpUilps#$Lm+I25bkFwCkdF|xUcOIAKhXZo;uOAMBdL0DziV`}4z zRbf7hoUY)Qa}S-Rek{+t!wPe69I}$IcJO6Iay)j<-k7IlVeNX3^|8qqth$b8R4i_B z_n4D?6P*LcnSU<|^YnBUCf-HwK%{_MG#(l0OplAeyd(>qm@phlGMEwP!=k!uCdP}# z*ig*e@F>>gm15+33#Wj4tRHuggUWGiP>*NR_$by+3}?&uNH(iSuw2WJJ*xhqPQjvP zVXU7N&QdLZR!j-tsPa_~%3sBFX$bS*lrZu5Syr8k#p&`j>}p$ZyAsXn{n6N+^k!ju z7IUKgG0ly}%=QYVHJL1kjAU*@5{5Cs%&UvRG%5z;;zU*i-(&fqP!^vJXJ$n_RxuHn zt-p+Wcp-XQud*v76NAkc*cn=Y&YDYXxn97$RTnrAUc_vt6Rf)|>blVz$8)#X8=sHv zsv{hXF2PODi*vJrxFq(8_&IloQVJn!@*Vt?14){5n@bbD30NFToOulACwj4T(h(e| z?BM#`%Y+Kk;Jfi27dKw#+_p?iY$8~7OZ=vyYZzt5VVs+e*Yoahh@End@CjZVP`HJKQ3gZbbRdC%?wh|DC!E{N(Pa!D6wV~6c#aP%BvJsjymvXP z7i~v%*;EV-^|>(P@^=Szbg!~7JcEU~=_vr#pN!D)zFG^Q8q+hQ(*IY`R~WTWR&Dkl$S?dPWB&9qmV^Tbr`bJ0$^^6 zG!!aPA!6d~JR|mH2ePE96wBt@IF|%*yks4BD&(ma&}z-=L1XUER26rlq^KA7b411! z0K0bV8XHcxF@MVutaWYCnd8YS^I%RbyvE6eA)M5U;-tUX^_q#O62 zrT0(tKc>h3Z|RiyOxM3ryOu_>*6SW>1y#tzG_lUCnYRNz(eUdN9DWU9y+R6xgF{Ik z-AK^bLe6RwvS)ZQX9s3+a#$(G6SCN&-hr2D4ckW~k@f2{PWCUtK{|mgGD+B~Cb31U zf)k4M1jrRIIiyTDuvbhg{D||MA|e!ucrfV!&5DH->DF?_I-lcSEiCl7!+wt@c8)J% z%hY_<%*3v!D@Tu&2?rV!P<6byiCM0GtqqB*LsTs_Q$YsOyo19e1djt!M1u&x$G0Don+%XZ`nr0S-XEUpz5dFAYOleF*KR%23l_fae zD97!1GG^yfm|Bz1;+v_M?zn|(q;TPTZnHVK7TxW!toLogd`T=v57yyq9mCmu^|)BY zaQb8eMvhU~ZqC5vfQYr$0h~QhF499DQPwp?PRXKXYBhJ&lBm|MBYs>GRob=qX~uKO zID<&*3NB4f79bZupAyCOY3YPbEhW~do*?r=POWcXuh`!%EUy)i&SL$c0%Er{k~O!I zc++z7wOeUdE2KoDl7`8p1WdWc5v>reDi^Xtw}#mbj~Mw*xOd@N7j@mfN7@^$DPByP za0y4*0FFuD;bOlKT&FEV+i4NTi*z|U?if~c)_s4fc0++YC3(FlEAK;E*jNf~{X|KI zB!O3CxfwT))2G+q;kH%8$KxzpzJgPy4zXs%46X;vC(utyY?HC5s;VNVtbme&vVfSv zKLSh+WknejrG^QBDN$1@zCZvfp<00xO)j{19>e+3S=Kh5Pd1BwG8;mxH%2jN{GfF@ffQaPmy&H?(*IvhUC5$5%M{bg-;kK;=+*P*C<9lWMIV$ z)I(a4D*cGor3Z|veTU-J7ABVc!L$j&`J{b9M!JZF&W+5RT#ojg&nQn7AkGl6Zf-G? z(myeEd^=N8KB6?ejmh`Epe}}!?tVZ@zYdMK&kQjYjw#|bGKQ^;j(&r3#tTNIJ!MMb zO9tHOU~=Y5BokYhlJS87H#m_XKMdwW-HS#F=^h8ZftcE@EY^h>*>qHeF9M7t0>l z$Wn(_Ecm4q!)_(a)~sdf6Bz9(9MFmTsQ8F=PyWIpvqI)Btw+Nn8x^rW3cj_-=Dg^t&FMpgj{e9gPUG4Hl~AN)o+;;`W%hzwJ7hcM5_E9<0Cqea?E9c-z$bY zm$2CPB}43TSbXjc>Z*BcS^SDcV?^g@@*4fo`E0U&iPGpaR3~I3H!p)Rr zymcGfoKG^(LWdc;F6=al<*eQvjv3$NsA)7O3?ezJe-p2TUFYe=z*ameH*2Nrkf7DvQ#&lp7E^-pz!)B3`J>85hb z?hb2waGnpr%=Gl>jcnvD!(10?w_Aewtxs?;6 zD$x;*eEC?>;}6egYL77Pis*Q5SP^y;lUUwYxI49Y9LMIec0_@ISS5~$U-{`9sAs-q z+Ms+6|L}m6A>Y_Hwv8<(zM#Ld9>+tk7;2b`op}fP-CJ=I4bDxXftfP(I9YXKG_{!- zjA@M}T3>?3M{_mQgkfXdZYCKmjG@{|I!GC!jxU5SBX3&u*%n4SC? zY3+Q*r+;R`q(-JBe`UI671IUSW=^=z4DtK4rZu4z_Z7tjbxep805mFNa`am!=yozL z>I3r0ZyBESnwcrD7?k)B&5T#{PijRo_dWgNI~kw*kpTh(>H--3Vw+Jf`ONU}dgd4W z&X7O>>-ul>4{Bm+-5(4OsAE|8L-c>iXSc)))<}HBw%aoder(6KM>Bc@YOz?^iAm2Q z+$eVCKPECi`}x zezF$1mMl1%m=sUljGxFJ#r_4~$(@#DaBA zxca|m$owK)557fXT^lntbuvk#go}osa2Q<9VWZDj32+^;|A>xKB`$N@Ico6{W9b&` zb)GYGdNcN>k8l+5JGI~gp<=%{uke=RzcfR@6SnO9hVgF=xc$^Z&CnuzXPlySPyt&Fy_llA5Syj$Ow+Z(*v*Mq z`ZFWsnMh1lCTVKPUDBNNYHK4l48HYw3oJVF4eH|2s46$#3ert~4_-XLNlRk#tO z!^I1mxe*b^x$~!qye=4U_5ycqZzeO@nDi7))~}OAWxPD2WR#GTS43X%KRwu133-JH z$c-M(3ilyIM=RhPrpz9%4eSd~!o@Eh*NA(p3^~I=KOfTT=5sw_6iuZ=DY-p}(!0M3 zh)GaYBtd!AuUuQTi__E6u$bzKj@^8Wj8j&Yvi}D;3=PLw@;V;BH^^&uGUGP^vcUqD!s(1K7Y#4^9cl|JL@!y2g@kY)Mvocon1XWrOZw$J zKr^QgJ3lKlmlc_~cE5iVm!4O0a0Wc2dTOcM^wsDSY)?@=_$ zWa1qGP=k8L-F$=eJ>j_0pD`}uIRjJgqnP=MKIsjp6uzckQZveV0uXWSC|A9wUqUSk zWuF;%qY|x3@!b(MNH_e>uh$z;ZxTQYDQ8^eA50mS$I@<%I7)P|SgM_=Gn>&7wVOC7 z6O+ANdxYaf^XVf$KfdJSl;hv8-G39JEW4az} zd%gnsq!*0wszvG17p9(g$nfHK^wBBCBwYaRWCv0uUj_6VnUwt=xv*A77QaFNyny|t zM$~pTppg9m*{F78m#1QN?iC}Qi_r-dAalyc-scTNZL%>7e$61uR4hW@B59qDZOA(@ zp2>{e^;qu{j&)KVMr$9S?A6Ka#1Hg0%3_z#XJls;;TigcVPbtYUipmt{9JUGHLyv5 zaK!u)Jg>ZC*5OX(#XLc7TP4dxM`G>$9J^C5nPiZ`_VrIVX#J4wI!~~3e}(>;R~TJ+ z!RAf(Icxipqhs3Hq9~xXw1utPzG3iNJ!`tXp>EJaR*!V2VsIvlCz@il)Di9Zy4X4{ zVeSGwZ0wzwtD}dN7|z$z!`aN9Ba<$(!Ey^*wky(F@C&77Jt-;pk*Y*Z%G3K(kw2QN zm&OwrX~3q9HXJ%|oRzEHICAieh?ASR;{VuPsh_;SpZBGX@x1s$&C}HYt(-L zY^=~=ku9YZM~VfE<=RzEqONFi{q!zu7CEzP_Zh4$53y&%b=*x?5_VxafhWdOlB!Hj z!US?shtpBgi`qO1+KYc7#eNfh(s3L$IE3+HUFObqvK;^IH3Yy7O_jFeBp{KmK09h{h+_8yC|)_%qLXeMbMMG{(tfGircvP@`Je zA)L;xzSXSgS4xm_8(xx)n5&eses~T0239knR}#^`KH}uyM&@f2F>_D_uBz?q?f-}i zzX^xcFBfH>R(|;tre(ck+Q8ea|FMP&jgOp=F6Ydm4o)g%b7Q9HK^4omIOiE|KbNsY z0Le+B2o23V=6Dohx;P*8iPy2wFUM$I1DaN84BMB7WB3zBExLojz*x+FNWl5TdxkqD zq7wInK{>6cM|Cp1tRAJf2F8~Bf7<%*u&UB6-xsgFD@V&RM{>>?%%YeTvmy$ZvmyeL zbIv*EoRb2gB1#Y?3+7Z-)vmBZ@9y2*=bS$G-sgONV^Sydz0dED`OLNEn)7|fJH~f> z$9KS5bN&g#x>wTPNJTH0K=1Ky=vF*Oec~sq^Q2XL@ZVUtx>bDh4;Je;vHrNsZT^Jy z-I914ny~2k7xe6USl0b7=&o%QCH7Zrtom5l^*0RHbz*(v@2G6Kg?YzcP}$XvY3t8u zIzGan`A3ZI{=~vNuQ0zSt?aFrnD_m{?57?T>{V|H|CvNleE7&Yb2E%qK*x z)C^!d@egSwhcJ2}Eq0|GfAL>X$s1(d%m16D%bT$O{4P#^806@>XRP-ZQd-u7?yPz) z6#bp`w)b&bJI2a&BWyLD#9&?z8!rFA{!eeS_k(uKS9M|k4i;Q)W@X$HOw&a<{rDef zXWYa1^*;%z-$nC>_gJNkp!WDJYDe#3SM(I~OMM)Q{5$TB&$)8!7mgfy&ziH}yFP^|&?FrVipJ6TdGN1jDb=p5-HTMZy z3|?ce`h=ZEZ_xkt0ot=i`R3D3j1Im)Yv*IWQy)Ng$$g9#N)eH9*QyM$Um;=je%9EG zv3OA{T1y7FeBw{6+w_oKmwMT>znKeO&pGTl&Z&$)q2+v?&7qIk5&VpuDN}4Hf6nrv z=WG>ae&q5H7tZ{I({gE*ReI2#*Ny&yQ5-&c&P8b>AAI+OJjWa!s^8_Z@-UC}t2pd- zoYm_#;B>@|wL)TtT#iof4>%vjam{98EVJ2dwGS^JE#ZY343B)o)c99)sae#}9XS|BG*x!~my$jPIg1O@D&ILn@rTw5VD6SQ;{8a3L{QBPa;WpeDzR>I@_9-soZQemY9b7P|mH;sC^W^{oaj+4Y;NBt_yD9BHOV!?VOw4#if}yxjeIj z^RrtxHK(4_bL+V@yOB$?8o2mBxBvBC*)Dw7!pU#1bIPU}`?TA9^^d=zIr$F)Hcs;Q zdHd!bW{WDkQ{RNE= zo^s=x|4P=k|H`I?zhN@-1*_!xqYHi@Y`(NE3;Qw7ddB>J`yZH&{WH6-mJ#fgO{J*X zn4}cq+l7=f<0vXACN(*WimWQaE~H9gL=)+p#I>YCu9aqy6r6&OS1|FBdH6LIb3Qwk z{X-?hca(EHF@)@ZWRjf&iEC}fJwBd`H%o9H%pmY)5l&-?oV{Db$&ms)Zsl>{aVFmF zIk-M9#8IqqyV3eJiK~KaqZ8*qqh*3zHEGC zOxNBx+y~2X>q*AvQ6&Mb$hF5+(u!x``B+qUTO3zk z*WeKlNyMQzQg+3W>YIaCMI{#k6F7hB8qv3Fa1Re6wp7-b5=&He4i~Qk;$K!kkyAJY zyCSC_;pO{%2gh?mh^#5Yqp6xx&jnXC$@sr%;8<%sr`{LfS(A&$n^NLRipYLcPh3Sd zS^bri7A8_%S4e7kCK3I0l;;+aAC^K~WD)_D^<2xTA~YzM{Mb5zu4R&x(S)a88pYYy z3H2@_FSUmNj{*wQItjU&PC;@VVOQhGh{&ZgsgZDrr?;Cg{%P5yNnFo)29udtgRf^i z85wm1dPR^^P|4+>V4|}#Id#^9z*E7bA4}w_a{$4KrCdE4L8V76)n{e9P($8{0;%^oE*_>@uUQ^Fn3da7K?sBmlLxCjB~gVmgOs^ZN4A`uKZG;M0)=`xv1V+Z#a zOt8(=hy89RS#9qyO=7<*v7^&_XV+t*#5QnZpNq7R*?1oC!P{3~1kN`+8v2mOqhE8c zT!(?{bGg;Lfb#rhE}Xf zXzB}r{inN8Xy}Ow)6+s#eLg2oFQGVZwQS2t3U|l*nh)pw&Tu)vkIUYd2@CS3snwRk z+@%cnF5yv^8Y4YlPk)K^;nW|ct^A6f<5wtNto)rTl6AY*v3!rTq7LO$+t-r5t(MSj z<%Di7C3#CVi5tsF*iu2thAOhw)sp2k+xuf%!M~N>{Q3r>`9Ja{}(R% zRuLW&Pflk8F{KHlNkWH}N0QoEBKs^l%d6=OlSJ@Op};GRtjaP%niI$@NhPsSh^#W3 zz^-`wn?tzJA5Cg+8o_ttNpDObp)QW_-W)t~0&u^d#`%dPqHYN}J&xq^fU=J#@Ky|g zqsfFd#}YV^iO-EByq|;-bwd*8LB2@$AmaM-@J;lgN=Ps^ER2M~T%x1=h#e>;CNhY4 zAt3*FZ({q3iHeROdLW&!L=Vz#XOWl?PH2BR0V&>u-OI-}J(keB$%OPLa%wnIh$@*= zkD>_~Oy^>M5WXW>oa>WoMzT59C+ix|9;dECF{!eo_ zc_)PMm)S&xU8SU;fS8mRn%m2Xsf#DKuLi#o8LvAIkBX~eLpGk5#&9yO z2!79(lM`6TUXQC>^N1wuSOSs9v+y_-Px4Xm(NVGCYzpVj3pt$3^Vua`9>q`}_j&@QC8t#Ynu}7hpn3_D0*f(u2JfwYvzP#bZL)Ifhu$e4boLrHLsBK2TANzRGH zy9!QRqDXd%B=cYrG0t(ao>=^kNo>x?5#$g4=Om(3o)q|?e8%|>?Hb+UWqUG}WKBeJ=k)g~>t zISjH{`!<&I8qr)>#TM5-*82^zB5VMg=sql>2C$0k!6vE?>!@DLmG@D1v5kI&&G`YA zZ)|04(hy62`LC?+`wy~bNgwgS|HIF-eIPjk$jw#$o9!JDY<|WGZIf z=~zZ3VSX-#b&0hYU&vxjTnYN;GO(7o>YWN>M{+f@S6yR6bS>X(IL+3`N@grSgMC6R zvn?*LHKCEYD=)JtxrT37o?&%jD>JRHur|4Znaf?+6;sb*<@$sw=Bzly#_%S-UVV+t zk>wbj3B@KQ2i;3i*d>T<7m`>JnTer$3d5^#qd^s-) z6T5uotB0`tKsj0#q1YcPV&Sq-)*i`6-Nv7F&bg@B`m*^*7HXE4*?+nShkXgyx<+Ci z9?!<>Z7k2tI)^XdTF`{?ZZA&8NK3!ZAE%Ti)J3K3Os(LEsJ4@lO|0D!#hQaD z($4#0wmlm2)sfh2NXB?wGzJ@Du-Fib&FVO8*QR5*DhcaN87z@w=G$UXS?|w^9kJ-G z2*7S@5eBvyY_c!p+?O4+EuA7nEu68%qa3x`#X%P*A+0UaF1oO86CJmW z&bIZmG#YW$QxiSYC1@Ck`qEdCZO(rtFkSSurN>;nkTa(i({*b#H#%2PRuD#O^Ifuw zs;O;hrl=}W-dEGrvyskr3&!plGTyGoWXCsr|L~7YjD5n?(AV6&>_ehzB@ugrSmS8U zwteSu*^|tkL&=!$_v2Vu4`!DWIhxsl;U0eu#(JPDjqRaj?7ULIp>uT@SO#FZHI~&L88{Z#VwaZ5y7ERWGfQzOuESRLtBY!} z$*E**K@}S%_&fZH*mM=oCFF95;$&sib&Luy?y8e~4B`?T`e$R&ebyS<(qsXR*{Tti4u(_8_8;3Zx=`G>Q zWn2G(6;`!GUV25`)n7Su<{8^M{*9FraOm#;!==o>5gq<_Lc;!;vdjNU-KBpf!~Y+o zdjAXQ!T(Nh_&;;1_^+%=p2R%+5jr`~F-x96Klv%<8Dm%^O`#>~PA}srwi!b#iygvF zKGVsXL_cp5o7h+AXUON-PcbMSW_iL08*=`{%H;1^mO6oL+!V&8Lzu-rVp+)xA(xj} zCBHyZuD8ybz&v>jJGpjs=u4I*JZELbYnkT-x(QFk->)%Gc*e4HIXB?}+cMs=DfLg7 zqb=g2xz#<9v1dy}4FRQv+Nlo6I? zD(64LDt8jioKeg(pRz1N?8<(PUe<`j_c_L~kFiR4i$U5HD~jJrK^nv;a}0g4*(UuR zc5!bpPkV>H@;d${7J1(b(Z0tt{tebCKd`p&7xCRQR_8y%Jbeo5?4MbY_6u9Gf0DI4 z!6M}yrb(|@C2Oz}f7+(~gjxO%tjLiu66KoQcNiwWW{s?QS^RUBWxYo?MPAGC{R|dc5>WjbNGW8SZ-~NT;TjGJ{}GQ@f9CYadpw@~ z%GseeL{0p{sfkGr{P>)MFGlftJi+M~PuTt2YYx49$;nsmIPv@iN8i4{`P~!tzn$Q~ z+ov3U_m0D_zvuY#_gtBJi|5pzxH$P6M_>M({ont>zIVTI`1#LVc=8)w6F+fv>}T2j z%&});$E)9Pdi^tJpZ><#$M3oNWX6a+Z`k*#E9>QzMUqp@!`)ItThq>TfoRYV~R4D(bajJ zh|tS;imD9__r?8^C!xV{1P5)WEN{JZgQi@(V2GZvh%8-Ow6%?XZy~kxbbtRFn8-49 z4Ffb(7RiZADK4<0q1qbXliMYcvN?Uoos{rwF1VZ{H8_E$s%;dfEoZR9gga$s+^=51 zPXizGvj2~~ee@Y+JC9OiD+-^t2xAj+aw!UTFt{+)&;Cmd7{f#Xr{)TJVU$Kvq*J=OG#>~H?U-X`J zCI8Hp#DBsfR8*4xzv1lpcg#b7VNLiHwxRFX81#!A`zwb6{t0WJ30y;e;&SA_5*6|9 z1g88eNAmxLO9lVN)wF*iBK{u)B>lVW{|oWa|BaBO|4vxke-NDXcY?D8c)>la4!?t` zSN-(;>Gl1D?BnjD6WEGH_+2!kuVWtDA*!nh8~;{pf*Uc2y^cwABUb)5F^z6TH>v}@ zlxDG|fiseH8-oT}8Q6u*l{QJ%28;sgSebYeea|LVCUl`9g~B@X4qAc5*k#=l#Z<}q zl>4G~Dp-?p2MwQEmI)bYddYPe187|?;h+$~O7}bR`F*r6Rb!tziHb)f>y-24bIbgD zEb%PCI{!YJp4F_*=w_*R1>|1`^Qyoky%qf!QS+hKF$!-)H>nxj#2PGPOVEoe$2_DM z!$>J`fwj0Lk6_`~%BtW_^h0l89eW>3?+#9;PGRcXh=uq-GpJEiV?WxV^-@6Yq3u`0 zO7Xj%PYtV5Z;Q>9tV--g->VG!l$)sd7Gay)jn36lc7%!f{jb`|p4xK7$ig~S|$Gfv=Xo+t{;pG%k3c|G>E5ZDJy z41LL?1`~#FE@tqSbbA?Q(k^ZyF2-HN(Ci zsIQc6(F$FC&EJ1Yq^_-nx{elF`r0g&7V?U_0j0$&X>PP3CE_d>PPh{s634Mq7YGQ5 z;NtO;#w>!hD`T*=31x$Wv^{p$SiRC4o3%lht_)$r+Gw`fhv2Zr6U$|%She9IRyN03 zzuFyJ%hPPIcW0T!VYb?z#m>x$Z4OtkFgn7vjXv0#y0BxTho~MGoOTCbZm^$SGPjY= zA+8)aM^DZQlB@0U-@l%#n?11A2*!K;6m9DN6~|eB;)75B%7EIKjCY^Mh-aFb--^#t|J&oJ2W zXK9^(WcIcp?DtCbwtvIfD}Uz9rI$Ed`W~Z`qI7omU?J*k{e^eza(gd?`Ci(-=d8N; zij97v9^Cq{JJiTmda*3EOJ|0Wv>MhW%+jr3{<2nP7?&__O$p!G55JG8S2vGSj4h#l{uvSRrc0u$TEu%FwZBVWEz+Gv@hxp_45wUbKQ$BoukqiNL4I{O~J zSWc|>5o*3(Og}_S30s^Rmn%1nP_jUl5sLoUth(?+PP>s)bp8EDXOcR z`C3)lyw$~gW|G39O~rhnm4UhGbrvltX6}k+J~k*~k&UctNj=6|U8pVSWU+2L-|CmJ zXjvAsta6#RT-sXOd}c@s_sz0I=2+#i&^QBC4IyCFa@c{HXSHYw{ls(mUG(^FgDza!ii3w&e1aB|=(OsA$^BUKcIxCBNTJM^i{l zRZATWJvG$T7jxpcj`XAU6c$*J5qF8m;8^@aqVNlkAT%&thfeEaXL|9Fs37j|*T^erbpd`R}T z|G@j?KUgm0W_avhQFZwVjWd5jr1_6zzaWjp;VMsBaMaQzV*w;!=| z{(+Upgb3xB>AtrZ9{v@xqtZsXy};=BTRHc8jNSf(q0>7|T>gZqv$Q)dzhZdcM~vlM z)5GsDcKQ>JuD^2Zz@Is_|Ih4o`!h={hj8%xjcr%{&hE3{%XM$jcNRr?=ts-vn%;-7gce`fy2KjZY>f3Wn+f1vr%FC5nWH`eX`E2mbxA!EZo@ZI@u zoSpkuu4?@Y#($7_&waw&j~=4G_z8=@9KlNKEuVh-jJcXG`1spVwAZ|0m8@yY<#(*U z@B{0;f5G75Q`R1P!evqN;eP*vb;dsu`Nh9*XXbySVaZRNRT;-*Ry$fVx>z*xChGHh zSv>0wW(yx+Gh3AIoEB8RYs6&EfY{i{Zrv$NzRhQ^Lj`*3-fZ1ef{{iD`&N~*d|o8y z*449YZZP{-Ot(@oQst~w7gHjNb4F7%d=!1F>J8S!A36( z2fHL}4TG__N@TfF3~MaXFgK6I*e;q?=25IPPa%FwABPRfIc*-t7SofgUFDCJX%y>L zWMXX=kAr;-<|ck3KvJN_GroX}c$1gE`eXlfp3-<~`)g>?2gr=YHPj2-(@gfLG? ztCuRQe}b*Ml7&$(;Iv&Fz0{3M2P0Uwb}LR--8c|@klj98IT(J7HP=qFCE^lm16|o2 ze}-i~J8(=q#_FIw>`Ok+%4@FdP4;4$_hFoK{II;TgFPu{vGm%&skm$S?8_(UavQGp zsTA(*#A+Z6h~?f8R$a1BBDQ$lvsvM;g~&(k%eS{Kl||1nAX zpW$HBgZ_#kR5ytd*m{S>n{J`Ht_Q7+Lo9X}WYOBYELnFK^^M)EIQ$sfePfty9cIPe zF|2poLesumRMG<$+uujUp_?V^`_SC*6jg_D)VJOgZ4NEumiyG`>k&L6UwUZ^A zZlJ%s1&=L{sNea95|`&($@!k3+J7P;_-BF+45PE5l?9t_i<0O;W8DLcHjiMs`3|n$ z&oSRtiQ2|y7H#N6Ws73Bw0{l*lElN9t{q{=_BYawzv0HtehQrj2;P4mSEo*n2fbkB zseaV9bfB{F4of%QM^zF+eftBJY?jwsdsw>pHfmdMp|ZIj)$OA!-Z_Mt*l%UiPUyN( zT6Xj=%xeH0$;)%Nr6|cS6+2rYzRF<)FP+6#j)|mh270{7fO* zTa(#%_y(&S?qa>FPdb7doZNYfbLMGWa4N_CPp{bB{fyxA4=LULQfB3Z=Zqv zeo6Y>3D_J>MtgrW*2mK@bd)ymU>3$ZbFkf?iTUm{tenzWbEX*Eh4X13 zxExRSW95mBTuS!GvcGJ zY7bl2=y2umAskF~DM(($$ir`#9Qgy!9xS4>Se^Tg-|?VpJ{d_`R9Eii%-OZX$0u>( zq$i;f37kH2o{X$LG}mmWs$>n9FKeN1WFZ7*ftIc|`UYBjU}0m8uAw1TcGj3!8)0Q- z$hk8*(ju;>x?&S)QI`k{jN!byCqXfhxO-kBH6~U*cMt;8qqkj+z7|97H81AfgD-g_ zBsMtm5e;2>l(lT6vSS5J9m}XHTSigzX71#jC)ZENF?9!3@wQwqwBdTO77yBtXsA#V zCSFBOoGNvNdK8qa(=@h)%BelnJ#&@)0V-bYp>T3HHE&Ln-gk!lM}b5%Um^Ks6d`q2 z<-HFP*Uyptz?0a{bL0$Op=|0R70*sn_UsTjKWrxa=M6NxbfjW(JGpNU5cBIB8fLoQZ;^_is94Lj$fi~ z#DkpM$H;poo8x3Yb)x8v3)wF(koMAt z)VIE5y!Yek?Q?|O55%v_n-p1Z_`n&G$NdSoeTl?Tej-Gchu6-mg~)>Ay@+r#E5kp5%;(p9h@?89GAJI}iLu zE)X>Cfp^~}8OM*@C)X%@;UhkfwZA$>(Mvb7$M%xkyM^N6L)46)qI%?1j170Lw7Yq(> z=EkTcKlSS|KC+m&zo-)PqcP2s+h`vw6g@jelGvFqSgm`yi$w8d$U8Smr%qEnahSCC z+azY2Wj&4(TPI?l9OrV^VZ3gilrg;ty>*$ep~Hkv9h5m;NPB!0kJjDfzP>>6b2;a^ zJ6`u3$$oc`tmk_uetnRl7kem@`Ep1naGIp4!}zpcAb#AH(0*sZ zgB-tmh}hBNg1;kV37#@uACU15h&_AB{oycK&-PL?wVR6P+o*WGnVKgXxG}hqk{Ube zJNDomWl4SAYI0Lds4mz{Zt_ZsvsROrvYh-RdlG|JQD3^A>$S_d-D*cqhZa*KUot-U z2VOk-nh|Lohi`t(mwgANl{Hk=lv7=Mn)ZhM+-lv<6?c7% zOwBR2T7j8`1-5oJ|LJ>Rx|(R{tDv%UA!pC%6Cbsb?DQ45pV)`}ijAU_j$pTL9s8V) z;IMK9MR}`;4wgQ)Tb=HD13Ihc@ze0feBb{8&nG@$VrnM+4@HehJ2^S9n28&zjJIm@ zqRWhd>Lm;{Eo7{HK9je;<;DH4c=O;>-VJ{`{h!QV`#$8a4?g7G$R8OR`-uA!U(q{0 zi$}ws@oeM+UJT0|4?ki2&P>K{YSLSy$%8rz`fGI=>srA5hM7FRy;x-GLMCMYyni+? zg;*yZe8SzQAJFkq#(1bag!e;U-~W)2kxzNm_cae5&tTxmJnr665mH{tK(Q&$+sx@H zHDahohpw*0+`pyIK(`Jz+rDFLcovgl+ql>`@%Tf=#y?_8B=QR(@ZPD9Wm9e)@<$$y z{Xy38N4my7qosWYqvEr!W^Gy;)VS59&HcMe>26yfwiB&?ihi`cxF|Cp;hejK_mtGdehj(V;m^j?d?2llZ80F?VYhG0~&K{iX#15(9c_ zH5u;E=b;pu$M@#*0yJ|5#)hY~K*XPl74Jl#^czkyu4?34JbWe{P zO>@N;3uNu{c=qsfUP`D(CTiql_tbz8Us>O7E6W3bAWoQ-)Cmq6j2Q2*qrc9S zfzEGv*z+m(MHo!nwBdH8Dw9h51QQdZe`IX*57XFEZqcl$nkSMMQzO6s7I{?s^jLCf ztkZ(~)dsTWZ|E+uVp4F?+qj7F8_Vcvm?iVhWbmfMt^X6AKKzKMk3N*OOI`>ro;~`5 zVB-_P-=_>n?4AsLEOGmkzYWab&E0w2tD4KxyBZ9)sWH-O!q{~)hFbL)ygg}VMy)YKPo_LLb3QOn3l z-^fX)EganChW*CP>^^juwd=Ryx_>|Q)yo;^(G&15Vx(Jz?$()%bp4UH{a^EL^b?*8 ze#8q|{3~(n%ia(9q5lhBN+OPrd?EpmO-N%@obp&+kBPI#hXvpx-}3aq9DWqA{m}EV zkj@9wx2RTtH6r^7C1~Q@$OVfFET0{*mHXWu6at_V^>-4}8U6dq3kZ-81>+ z;TICrj~N$|QmV+4p)Z7_Wn39!QkhqoU&enT`)6|Oo!I@O5c&H7AzA@~a?XSV|LvnW zJR6gB3+R;Z!aN!Nn&(3LuO$h882OlYeP776--*Qjj>q!)rLs=3Nddg_xVk4pGQP4G zyPwJWCZ~NSV^5Rj=e$;YH#BSd@peB?kM|`%4t&G+QV_nEg798hrxFhV_^9|vN!&59 z`=uQJL2P~{<4=i?p3B(JWgV|2fnN!DUrQl+(Yutl!(yAP=Z*ON>2!R>{zo(9_2**O zM~n(UpUQZz`~S$he&sr4Eb)zuH6(M4O?@~`=E{8U<(!`%e$3AUpYf;u&!_)`{gc@E zi`ejF^g|vg{uM%+lJm!hg=8hRk7e#ximzmSe-{7#EZZv~%#p{Ra&Pie9!-i59)8Nt zeP7Ai=L(5@!#j!FTZKgAydNaCuY|B(3qg-cEQge}OU#C(s7=WJp6rK}?D zYk022a_Ac&LMcAVKh0#E=}lfgo%V<1%>(g+#Ah1ULij(5|9=p>m16R<pCZpHcVIo7OSCnV;`p~E^9B<&?7Yy<8WEYLI7MZ-W39bJ92we|ng_rRu~%)JCH zO*KxRG$tj+p49ld@w)drCZ!6DbxO5qwPvuCzEV%sdn?~3HT{WVYT&*x#iRGZf2GOr0wM3s%)5)~=ydnT&pg%FZb5vPP8 z-uDU_34sjte$LRq*V9U1s>_InEozJj;XiEA3K4THV>NBcp-k7 zytzn@&*YUTQ$;N*p z?#<aA0s;VxyJqB|h6l3dp*yp9Ht;R|E#L6$zNYwEi*bqNNY@fZZ_Sl8=`eiVmeB?iUfnhl zMX5%w;N!8V-KRokuN5o^HhvHvf8Qet<+cv@J9Xtcb$;lUSl2G#>22|I$3iAWA-)+9 z-?lFmb+?3v*DdI~q0dma78AEbrAvW&Ed=pKQHo;wxDckIHl9g>y%K^RyDN%UNb0Gq zd-U!MQHP?G?`bi7YlbKy=`QZ7^Z1V8^q)W;cF1;9kFh>&ZVQ&C`eyLkeNkRQj6IV4 zKZ)A^>s`Tz_-3&8TgLATHpSlY9#sb0CEijb#_oyDgQ7fp6s0bBlh$jbOO#ZLgb;9`N0i*zSaNR%S`RLFfwgojdCe^dyvUli(uC|H@dw|9o%Ls4ro z&z(gK-CQC$qQ^k1U_;hD&@OGEDBQtL<+#MTYd)j*rMNv5Kix8;x7LWK(&;=`@Z2ZG zT!e};)*7 z)-wEG1SYJer;e)8OjI@Ja_W>h31NH4NmB@HGkYDkvw72DcI`RD=IvYAw_hPLEfV7l zMNyatTPxK^l!%ba{ToYZEt$u7*BtKG32EK@LINxS=~&F2;-&PLnsGBzohR*j^i|Cf za`~EP_r7Gb^CMwnk?m3)9*Y8bCRI+6#mc6%Q^P~wNg_y9mtc%`d?SGWmPc*#xLLHA z_5yKci#7Mk&4nx%(BJq4eKpcbUDu0(CPL@HM< z=E>bJc`vQOdub_NDAir6(&U4AJRMM#_C*Nn*4Nx?`J4#>e|xU9e9|J_%oC!$E^TVf zTsgjwTUFoERXd-~QfVP2u{!E!$-G}kD|cGzZ#07vd0XjLx8|?JHWU=L-=p;$DN0sT9)wMk#Pz z8Z_n4;&$Ca+AHS^Nvl$G<2wciKjT-4)s(b)!%swQ3IYDmCoQR{$@@LCr0rCrt4Rut zkZDbU#O9X7Q?Sr?`#XA?XGkGk%-wSFPl+Dwc|r;|Rq1X1g24_cx}x%)NI@Erj-X$P z&$zVMKZ*SVg8kMK!KwIh@`1#rZmzVeUvaBWo%?O-^xpiG)_fz{DrWQOhPvQ#J`)dT z(RY6aop(NDcuK~QF^8nEy;5R%_Zv}MYTT~*f}1VU8A&Jg_WmbAf^)bdcpdN7r@26I zT`m}w!qL+_Pxg!G7h!Rydae}sZ-iu}b?;QAI(eoLp{PUYnqEjAK9<5ZJg7y0j~1I8 zw1vD5u))C*XXg_*tl!MRBfHsuM2o!SeI$i!z~izVMiz!F*498%Px%_fe`+C>r*f+q zE@iRWBF>yMA})9@c{wXM?PA5Q?JH!vH2sCqeFt~4Vf%J=9*~KS*pQWAC%yGzewMcC zVS|9;x&sed4S6h8YD5&w=)G@+;l=QK63})5>UCp!TXbdNqBc4fG1~K;1Y#k>l1w8~ z4PW#wWNJVZg@EY2sHAryJzw5mJgvNjq!oK6B=q>cDkGvErbfP^|IQo+g~`VsEvB!- zKpe9~D*Vi8W%9INO)AWHVuvWfM`DZEI6C$*f4TPuX$wViOWW{#P*ES>aOa^&={rJ_ z9hy>E)ET^?BS|LJwnv4>{j(VCnj!YhW~j!Fv8Lq`AW=Vebp(WqWUWFvO>=l&Zz%-5 zToi>qUFDjhD%BYiU_81l_K!>D6u|dhUm}%HKzeCmWo@GThHeXyjn0$t%^16_ z&zMx)-WF9MPZd!ULTHi{lcKsF_srwDTstXi9jM(bv2qX~8ZvUjgn{Cfyj1@FS8FW< zs51@hp`OpAtydAE(Gpu_42k9aW^GXq;%`v}4@EIO9h@afRYT_gk}**NU2V!AZMSo~ z+MLNIM;?|L@LJ+DTx%vpM@6c#s3{?|2c62_fV72JG(?q(qV5+3(kni0HejT74TG(^ zjPwXjM41kW8l4bz@wir-(aJSEY%>({)aPNVo%nY)_nK9Ba7UDZ6q5cn%SA0F(IZKF9IuB7vlYI*$w)5#1@_+J3RGt*<5m97Qy`R$CU?nPe zBV9G-jC8K%PJtaS?r2KPWj%fKMX`uq1h12Qs)DyUV(%<@y+rUfOO)Oc9(8JnpLJwC z20ZE0WcU*vJ<{aT+DKOVDz2X2$PUM4Y;`=yPRD(0-?1CV zJ;&Iv zgk967GH)fNIVR*Ji<;@wqgg6MRgoI$iK^W1HjzrM{83oi1OenP_desN0ZCj@HaF@P zkshr{hqPdKq}@wT)+Reki`r^a3es&wxmb}EWkPw51vhGK$c?q8G;29EB^qLjsZ_&- z{Q1sD{3_$UmLUBss$)o6g@WoO)Ftato@P#6!D^Bt)oCtW!`*fh@{=qm&)1+d(}Kv8 zD`-eNK#ad8#aXK;%eSDX-I{p!Wq7XgC&b!|sAcEzUA2nBOWWwL+al+wQCDS7SJzH_ zu4rDv~iN9$8tXhl`N4N3k>$q!vcUgBaVepGjl^AE zMoE?qx7xM1Cv9Sw?>s8=kODSnKvIA(W2r)|`X{cE& zG22L9%pS^<1;0r~w3gaYm19O;k_p9`mQ)tnkS~5}&N7f9I+Mi9He6g2$c1$$a9qCC+mcsvFCfA#1lagR2@i3&VY#n*AR&=$`q9o3d%mjTJ z#Ye^QTS<=5qFV6M)}TSp4NXz&x;$u+f~ov7O^VbH1ApLW=~8}v_!)1daNa8Wl-o@v zwA2aF4``8=VnuOJ`@uo?YA7wD}NwoQ`6@)t&<`JEVPF!9k}3>~}IH)MqvEp|+eo zZ-kMxHVd`Y&^FXZQ(OB#{S8dmOj}DAeXTj1KdVY&*gA4jc5w8-dbaOe&gMO9*tL5b zJ9qA3+YVQD>^aXCM;CVP-okE26MU~&(p2Gr&!zR;XuL#SwKFBfn`mj-$er%(6c*ZX z_l`4#d0S~~cBZ9i7rD7BYR+X>Hn1L9PQu`CH|foR{w)cQ2_a8!2nnp<5K_6lFhQMmr8et z@`~l$?b$|G&r15ImdX0;>8iHida6CQ3)fJcbbz{2Cuzk_P*Z-4lA=u#w+*zkIdK2( z2@0}zQJlY1;m+F720{v^tKolsM5@<4SAo3i|pC zm>k&*Ystb9Uu9W0RVai=4g~^_}8V6dMw^LjyKD~30yyE5LR@>0rAsFs5qAJ0Z zdll=ch}}m^r7HzR+i7kQf{ry|c<4AC?R#hzUo_Nhqqb&?yml0yY#=JaPKxwN@%wI> z=K$?(M`S(gsIFd5|1%vr-h9GCQHFo&nZYYjmLtPUxjSf0YS{{MTP3CyTcyCS;_m%5 zhU%zmX`-WJ@c$+-U6E(HTAy+DtW@-DBg#dIxSw`l$F|k%*m)4g zotuTQHi!b-FKwg~+xHz~ljB}?AK1ax9m}P6UB$L-#)O5r5gdGiqy#S^taxsBv~g(9 zA%gv`5$Jc3(4fmig?o~g?8kw9>*%^!L{gFmjTNz?e6C499!6XDK5~Z-lKEm2g)eqd zFtv@g``f9lJxW&U6>(Ow1Ui=EuDggyI7Uq3DWW1nr2>^p;4gFWoEsUbp}4tjCON^E z#8_Xls{9D=cOrjk9qG>(7@{JF$h@=lZn0*hk&Sda`OR5)$Qu ztJ`MrX9D+bm*ekySjMa*(EkMCp_fTZ^_AX#1EIlZihbuQh0Uvy9q-t%N15V)sr_t~r4Wk5tgu z6eWasi2$Dqgalp|K%J0rcgR=;oIbsgq{M541z#mKIhZHU>Ui`xf||*-l)uji#!h!5Y2exfeBRe9H?(3!8?Jgm}?{x|Q3n*HJTWPs8LUu1^XcCbm*}$A!ZuHgn2jIhB<;JQ;sXSm=3Ke-QC8 zfw)OEZ)wiv#IaoxQ%_DF-$QboAIZ_4M24IsGP=Ub-aG?o{F3-V&b>qA9R3V-*ZHVc!`<|#ozm^jK5bf97L#KFCg$DvEjZ{ z)Om8_={72!+EV)cEE>NTQW@GNF%xo%J3bwsg1k6x-K>zjI8U(nx22(g=m#V(iQtlhGUJ@S6z z#vL5myN$zp7gL2IRw6@&l-rX@Ffk=V^QizQ%;K(6m;R!+rkzDhRk?P$m)vcYH{5q1u)98LsKz8{) zPT$Yr{7-3kz6j&sn|7irPfIlxfJX<>*-;~Hqe2An96jMgRDwS)hp*Ar(ub4t9#WG^ zgdB?T4>>QSbB?fpY?AA<@c$_Wub*7l_tzL)e)GofM@Q0LUuAz$9%p0IDK4)eA}Uyj zCV`8WPfO)^$l)VG2=N632gTuWP5HHaFkTn0a?!<42qBTW9v{jl50Lfr5aF-f33;B$ znYvUCM*9*`oQp^BF|v}c0xP64w7x4uAxEGg^=jbO?vgY5~huhoQVj-`QTBCBC@HBJTA_Mh!4yp!Z(rf<}hj>A0>BcFB#9>NFEO(?oI{HlI&Z~%39(A2}t%80)4^0 z{bz`bOpro+0snwN8XB93iW0v>#)!{CIeYv(X`z|8>^VnvX$BSJUZg#~Ld>%eg5Sgv z^45!E$)~vz6HP`*HUk59c{TbIk$$lRToV#Jc9FQKWGRYCR0&=}g2VCj_9aNj_R>`^ z+)kbo^_N3kcO*qq&Lq4zNBH}lM7=%D`O*fQ!xKm-NS%&-YFZjqRZUXlj&k*?52>k{ zgocH4?ONb8E&>B1g?Q7rbWwaA8poweo^pO2Q%%=75`BeL|G10Azcr)xAJ^H`8A_VR zQIfrqNQ;Q4vZ|burzB^pJ2~REkBG1g!FHGwjTj*_7n&+7Ne+#nv#yKCs2pOObMWp< z!|`qi2YwSg{2GGCD<9&2@WeUPT#8i$1v%wR4z-H#*d};QCOkZD+E!oR5Q2iENJ-9; z-1p=7@pD478FY1ZGdcN$+`N1aA3h=^wwLYO4homJv2E)f_8i#D21f^WI`3uO_PxR{ zC)u=N7YFw2WcQY(^mVVIKHrA&d`HfCn4@88hN_W~h^^lrt@ghan1-$%DmqpyQBmX4 z`IU@~*fTu(@$?r_qoogb+qIvqn|HEn&u(ccx8o>%tK%+5*>>Z&TgXc>OxnxM+qQGX z%LBh4U#T)-`gT=8}%I4qFp_-GC|J8`44gM{Q{X(RFo5e0Gk zQ4`?>*Vy~u27CWCgM+`tvH8syXA=XcZS)cFgwc7um5S0Pj=O~77Z5~vOeg^XnKU-v z#xFR4Gv`G?#f5X^grg*Okf^D6A+Q|0en`OeFPB;MFBL5N*9LrkaU$hu6r0WlO9CZR zC`6W9lqQulgiD@h=<4p|%C&O@hWT;T_bP{u`N~`w1o;FJd?kXIXdklM4^sGYFTuk{ z@OmDI=gR;t-;cuoRs@OH)7XD%pQyoP?zi5@{rp8byKive${B*f{fSA6#M$itzQNvj z`CXCVdyy#i2PF$=O3EmD5=`jNn>aFli(Rdq==}R5JbpV(W5%}}J$aO=u z8Gh2my{Tvl#`hB5dyU{xnPVz|3peYzb~A@I1JvV{_ z7d^?WC}i|Ww~(SJ?U-P(+n*!H4$;_F&(TwEvi3-Me^^M&kA$!!QIm1_#Jf{IE`@0P zAR$Bkc#WMS=#3{Qs)NXQRL^BmRh_*(Jbf}kPMX*e5QguytAaHz!omYcO-~R!U&7_Y zVZsx_@sc>Yi!$*|y+~U7Wh$oJNW3RScg%|r@x|%xdQSA^5!;%Et0<-9^c32=TDatK z37FA{^(V2k;5<#LNGR6yj@Qe<-0+ToY3hnCPm)wK0Zuf2m>b-|DdY z_nSDidl2D$nv188ON>h?EXyY>DpZtUiC`@N*W-ehgkae#xVT1jSp(Gt4cu(%CPK(K zuK5NRdm35Qoy7J(x3lw~a&h@7nV7eya4!(TO30!jvyp+pei1oRe59lD4fMs?^|0VA zipZEq!CEPPfs!lNyoHF=dALs6#}%mPTcM|`fu1O_|9LwdUDR~d zSY-SS3pG`^aO@gaj<1ovSDO*#DI#NwNQ%+m=+P}~*tkbz;9<6j)Z4#rpRnl;k%H1r zZa*Y#LZcqOy8?V~ePJC{?`bB0Gm}v;Ch+IQUC0o8FHTQW`@=)fH*mLg;Gmpr+ymLB4sM zIwNgqbOdg0e$>|uNnhHI-Zpiyn z(xwG-&MlaX3o+D}w@Ee1m*9l6|G-6B+i!8;;8{u36t4M4aM|CFkl1VZd0yb$p-WPQ zV<@?QmGozlR6|jCO@pet3WQLP8`fZuax94%Aq-Cnfjy2PZ~O#-4}?7PWl(1`& zgV*b&utmp_&{)Uin~kh)D`oFby*U3Ri<57{NcsK(M4vuS66SvQJT2o9x*o-P@+^JEV{cz_+7Hgn3=nEQ8kFgl_m-19j@_iTs^TFHgWJ6WQ$hNU93bahokg)RME zfhqR_)6h|V&^?>Qs`JHJ*SLJyQ%Ffq+75drhUW8h>{FVWt#Ea3cgmnn_6up|B)ZDn=0<4;u)n^x#~70mpyH!Sz)O`(IwiIZ62&fhe$$)7-jVPGy-S z{ILUg`AQIM$(;l|^Ck9?4S&bK`Y?DJ&XzU`zWCGlveTNG)Av@t%SPJKmnNKq1HeemER+S=N2 zc2@qD63MkILN0mnqEedZ7oTRmaOL8UF$BE#!uhX3oaw3L@~}Va&+L}gE{Nv#QfV!& zON-|!32<48gO@1g{X&c`Bqd9si@GAk;w0V|50DcUM3y9Qc-{f>f8L7U>u@f~JU)L4 z<=T@-&bLV0R_xCH%R8h1#_@W*k89`85FIH6EF_X+M~~8e<2t8Log^kEiX%r3No>7I zjtIuz(*yrl7b>5fA!aIuEAKPe|94Ssf2!qjlQ+eW67kNw#P$9*9={kRIYE^7)nt6# zqY3Z{kl4kLmXbn%e~|b>+THkgX#>6R^YYc)dyG)UWPb`g0t|29mha zD_CqS6q{U0NQj{0#vRU`|J@fAqKe{U6Q$6^OB|2k?RAnMQKtT0;c`5Jh=|K%rzg_e z+rq&zH}=2C#Azan1F!OM%M;~y*6; z57mW@l;_mY(cCHd6GK={GJbtI?7J6(>yIg%`7s3F7yeS5Be|M2$q)mMxIWN(Ja{CvTY>?4sT}dCJW(> zb!^zYp56Pmvc*xK1AAAo+hH{xZfhCt*}=rv7YvMP(ABq_!$&kZ>av3?Qsi{?EzuON z($bkPuSJ0=x6@JX2d1W>fxfmLsw$e27?;_x|1|p#i`3g;KvS(P6A$My@^C2`NqU5P zZ6q<=8UJf*iB4LJSCE~w0Z#b(tS326ltAE0($h9kSGSwNhbI^sK1*@QR#73VX=!qi z&)1Tfa)_#u<5ZNKr1$=1VfACw6mO&@a~D%R+eAK^)Bebu+84T1POYNhu_5jE?C5UW zKw0W8dfJ_7tldsksTB?|S;>A< zQjSqo=}Jn(GV((9&|B|A@2Cw8&u5W2u!-!!T@(&&AiLY1>iY+{`QQ?T#ZDAtxNxV* zpAcUgA;t3q`7a|qWhd3;u2hvBBPVmW_~x)wPh*mEohdHd#hpjzXc<|_jc4Cb@pd+C z&*#uIu0#LCB8sc^sjGIOu4*q6!&3bMHVUwJlbz^7N8<(Z)A!R@ae}0%O*GXUqrSq4 zo?90w%iKy&xjo~LR&n#;E>WKwDIBw)u-~52TaHwBIFOyWkDAIO^!A;mx@r#zu^UK; z-a+S$OOzBjk(%g4e~%DVigKg5V?+lz(o}wmr=#wS4sNHXPlt+uW!xCBB7JNf6&>d( z@7qFJyaWAJXC+}yakuJ|}TJLt64Ksk|O!Wu2h4)teAM6Dkt-awGRD zj~?!&^~rY>jaif0dys+=A@6&eDC^xzeCcM&8;?^a2|YP1Dk)$c1-S>wNE5pn#P7Gx z(c0`vVZkoJgQMVMIk{>3$c)*^@V%Wpe4x&~9*IS_HPufI$sO2A<-Jw34r~@59g#%3 z%Gl!|ii);M5?cw8+0lO8mD(Eltj}FydO7ZM6V+$)`3Tj$9Q~qA9n^$uzJI{hEOob2s%+?4h;#DdIB2b!Cd|1|EVuELG_G8bu|_i(f2 zEQwL8$fCBsp-3tjrD5 z8ck3uch3hD4T1E3S2l8H7lKq1&h3^+q`(`0s z&os#@nn!WI30qJy+6~bR93V0Vj!XI&Q2MG3)T#MUCz!go#`Yjpjo6C#Q1>EUzVEX|} z>Fm~V`lJVEPI+NuXo{Me@_<_d)YLWkKq0T`dx8mhX{nf@ve=m8qQEZs#A0gaAToP5 z8yptXT)%_y-p#~ZF(%Q=o@DQ%B!_G!F>JG_5HTot7jXf?l80?5NZLSo_9p5}Hgl)b zgnM1f#QEA3=BQFtY(9Mp*@`>|A*{9Jrkc@x)0Ug5#EprztiW(_FQh8@1b}&#x=q zOYfbvGKRg7f&KLNzEZ{BiaH%i^OjMWu!~#8>!yFsR3Ek)cXMe;_C(^bY74PP1k9)G z>1((ovU{bFpOA0Q3er=J8R*_Dz%-*gPl&l}19@rol;kX@xI0rV{(#q`%FlE@S@I7HWNmBZK%k$qdL!shP*8#1{sr^U`Az* z%$sFHNBt@~8|=8(xq{|=U7lAjmZLiE>{)?f=v(M;WLv zbhNHzU~mp26Eme7(UEc1P?Efp%G|9ag&T=qw@{k3QVNHu_-C!WUPp-(%)t_M-qjk@ z?z0(hV=posGw?PKAa!>L>28}CY&}fCW##J|8|m(}BPVGUMd=&4eM4fFV^2%@WpSu_F^1dd|`i-U^j`5cs9R^Ev$uBVB_U&a9 zrr3~|WJgDv4&@npC=!fS7HpE^%Dw(ZpUAnXuE~U3|f#k$DJRbRsiSa-3 zV*G>Y-$edN`KnRv4DOVv&{exkVz-gpd?91W&4VkK{V_H+LsMNJ4OJ`j^{n~8($;4B7v8qZR$yVc1`E@bI3GJf zU~D`Au^IS=B}#7}N`6rociNrEO%~wAZzCsR3ppvGII<)u#o+YRt>mPM@<`f5ZibDp zvW+MTJKB_=h}_htxKxwELS1PA?a0qHCpXKQmPR3%0wZyvAst=X6jbVyp1X|J1|xcJ zYH{<%9B%b|AAq*Nol#n!(Pmxqovuw>su>y;YOkTpbxpY-}|n zCr3vru9>t-#zHD4q6jP{G0cU8C0Olq$te3)TFqtLY!y|}yn)iI4%A<8q5k3(icX)Q z%<~ADS69*7<`l5FLvgci!Tkh-l0KW!2+(gsZc0uDl1f_yK5f3J)%VY94J2HPwrJw zd}sHOcg>YdUu!84>#1*BOOx2#d3UZ{uP(k7J8Pr}728S-C80W0DJn3dsm+l5`p-zu zF{Yu)f!?-tTujWT;W+r%HYRH>|0Axp@xx?-6q zNh@lrtz^wB$jdROrrMIqN)vK3EhtSF|6aG{cBLH&7gkXcyPC-JyU7oAC-L$gs#CUd zyTyV#cV@%UI#ZY`6>q0gd9pCYwa~72~^GWT{qWG>ZRpl0x6`N7t zs6s{Ya#4)7c)rS!K*$JP2R;OHWt&Ndt7Xj6|AgVPK((@p651tt`>ET7!Y* zYV^&`Ffm<-rRgHfOqO77qJgQ|Tud$IVq!iUQ_K0nj%t`&&cobdsZ6p|j(>x(oNHpX z05kJNa{gj@JzHMS!Bn}%d=_SwGcmUKQnt^~vz{e$>R@ED5L@d-=vmH2)ovzBZRVq; zjBh#@E7NbVGM)ce0MTa_u7Q zbe3Udv=n3Wh3J~=p}p)gbeDaIrNtta8yI3|WPpvSz7zuuR@&&xJPT!>c~~gEGLd;@ z&Bms(ZW-UybOA=j3+1)gZa!Cze<$l%$Xb1|!%&6gCI;f~S?Gzc%#G%uVe>8K`kGi9 zEWlQ5u-4PU+C=zEs^qSvKQNp zmWV%eu`qW~48E)?R8MA ztqc}nZZJ#MKOYMVmFbup$!jYcRl%LaQN~j+WFq)8HW!Q=8)7KfGc;X-k?BliPc{>Yr}EmwY!T{a zMyOfOme+y@$(6O%f-iH$=h_&{Tn46+Czg^27BZHMtK@;H<=2=@`#{Mt$t8)Wm4aEp z&@wB-X{=jVej-@3lh+iH5nB#7ZzG>o%5SmNKWA zE%tElHYGNeUE)lHHlWmdMU?KipA#1k9!hE@`!vrH!;Sb>#J+W6$&j?*zlmGlh zRvomJuT$tO#8f8Ili;iAXrZQUf~vOR^fMz>bc|8eF-28ZS2i8lv}MziWBRfgpsr&h z=cuD=v_cG6DW56NnOrX|>Izgf*2sPts(NyrvQ1yFY;umSh8$BzUC2~h!w?H~1J;^Y zqo<|ILVbN^8(1)3PsY|Z7yBeQTE=K-TK~S+QAJ%>4GnDpjK*@AW4VkYglw=u0=oqj zT~)E)T;^ZR5=s790XPZA_QpEQHr8XFB-~;>#co41wM@~`FhfUOxm~R>>Usuf>e*s! zWh>*!CdX8@Y*3Tex+-hrTscSPTwj>#;&qT{mz-MWUrhs9Vksk964EVxo zF$?uXLThWHr6qQ&uaGep$+iSdxlT(*1r2rai}*rI*BAq13kkX<>e>=lZC%-v=N}le zRL24}{RL=g8l$J7kAe0A4Ak||Tf7A=H5=5mY{h0nR3v##?ZgH{V^p*(#Q%Z?CDz*3 zvNw}+lrhCu8a8s>O0?Cj#IE0MRn=b3T-n>`FT>bqC1y)yT`EQxsp+Ast%9n);s?Rc zv>%jl#m5Sk^t5FxTMRVprvF&0VWN%hO2L36`78r#@$qKn=&om;zBLQZ<15&b^{Qw}yJcpJzOjrg@mG@~ ztS)2g=q&ynGm?KQdKxU0qBPe;{4AvMo!LU>7+5h^@?e3k;Kj%s6C23^@vo+yU|BX5 z!I`qw>HOBVl=ulRD9@Hv=9PTY&`=&gE4dn#<(YoIM_)Y8<#P>QUDjgXh&EOcakE#asCLtvu9G^T-| zhK03MMPq$*WO5x%No;K`wDlGWS(u6;LK@;|4GE5hOrjwH(9|+ROB|!6D`3zx65^1> zS_#<*Ks9t^T;&=qd9Q6MuZ@0xKfRgBaZA~3&=gRp2}!965Y5Ek^~#+WwNzyeHI^zr z9T3vf5`bz-Ks41P(KIb(VJ1R&cIfD;OE9#ilSo5(G@PEvv<=4QGPW}R^cQtCWDHG~ z8i?b>fvVz2HAxr^U48jX93=BfrS?P= z;x9!{0I8)W2_oYzl!~Ncpn|6IzyV1PGaIYv*XrV5<-x%kO2Ui36hCT6GHFQgHMH!e z*RQX<=(4#X#&6BAB*U@rPo+tVdJ1{gT8-M`EulB&Me!i6gPo(-f7ZyHH}OFKS0V z6E&u#X(FHLV5lw$t)Z-25>!B~A&H|Q%1w#0;vZ#Q3UGC#IOqydn;59F%xoQ6OSekA zELbGUaIvT=6-hP~IY-q%il4-5dXuWGBAtY)f&n46-~DWim7OG$GS_shm3RnI%A9J3 zbHv})5_jn~B%$?%fQ{9JXw)RR1vA=;PsIi+8(XnQ;-u6=xE7$l^7~xDLxPkYe+FyVyP)Pq9vk1xm}F5l2?+4 z)|U3@>DoywrHD##SSoAL*Ag5Gc`enm5W>}Cp(rag15u2Nb>+RRb=n@K*ot~KTZWOD zg}h!Q>y`LQF6n5CV36@O1$$}|Z≤B!^X`fU620s85rKrsSm*OcPxxW>Un&PE7;l z=BJWRQkWH6mE4%l5y69ozVL*?DH3085g9s?r-~0Wh2Sjh9Hz-lO=6=iHY?&tQ{fL~ z6Mrjf)=`L6?9vhJ=?LfOD0T{-wKXg;G?4DXQVO8HsAXLhS%=2|A+YK1f@urTlvYwv zFw;OZn|6?%u%Mmq@^pBNl)2?F!l7mmDcxbd95s5h)j;@>Mup#NY0hS)K-{WuGJN%7-(rsZ%Xi$ z1kq9K5^~cNQr47epd))NEdj6Y0&$py>=#cbsNzs<0hpSQgaUX&O?Aw*zQaOPh3Qgj zAv2jv)S#h^YosCMrY{`Vtbf{$P$*GN-jx@-ozqRs>)nqq^d`bq(Yk}$smKvT$7O8}-PAk`67r7u;=P*kU` zT(2kUOHs7SUJ1U2B=2I8`3t1VE);pMqH86-5(3gR5WfnUi+#EVbEh93s`zp`eoCwq zJ0En<=3LKd3KJklC17Sd6YL0i<2j;4*o zR}xggl7a;pS4T&DrKoC2LJeu74P;#=nzOLd(8O$st@u^3UF;HNW+3W8UuUVj7S%Of zD3zoWlGl?Ws;jgYx?->5ds)ANQ}N5R%`%^kB(%QH0`ZfQ1d^nNbA|YHL{aOq*!**r zNNcJsNvt)UL^8hErz1Ys7S*b)>=j#;@uq$9|Jfvd3RaYYpd|^d+&N*P;Ao+#Doey4 zO56+tXSzxrNKsO9MBCuI-~AvtFr6bZj;>%qPgn4*r6qe&$c8f|*8~qD1oY(^HA5xF z$^&`ynP+4;ZS&XG3z=oHKyWPuLE35UX(G^<@xPUEL?!D=EQR#7#jn4|RES9mwh{*& z$!%SUp}we2L&;-f@wtiM*ho{EQ|yuRG!=djf>T!ni?sQQpwN-^PTQ^ccG^Gl#6RL= zIbTmlT0&iEX@xswxqkYq6x#g%0IT3aUBLHr@Bjb+07*qoM6N<$f;@OC4gdfE literal 0 HcmV?d00001 diff --git a/DOC/source/device/hd_fpga/figures/sofa_chd_layout.png b/DOC/source/device/hd_fpga/figures/sofa_chd_layout.png new file mode 100644 index 0000000000000000000000000000000000000000..a8672b549bf33d94dd82fc83a7ca03c7f66e87f0 GIT binary patch literal 365790 zcmV(+K;6HIP)Px#1ZP1_K>z@;j|==^1poj532;bRa{vGi!vFvd!vV){sAK>D|D{PpK~#8Nr2Tbx zm07dyjRtAl-HE%q5{CpyLa^ZO?h@i8LN0L(}g4+FJW}>Pf%7 zzxD02_I2%j&L88Nb3QUgjjFrux@$f&prWm>hnkiqs+t;T=xC#BXn>}!&j0by($np@ zR~gD@9RvOUuWSByL%COZRqj=6P;61g|9aj3ZYcLF_vy$z%DR8;;lI1~zZ-wquIyQP zKVC!qzrF5%H~zX`&&aUj^Z)JrimmF}S{?T*@Bi}Q|H)9+R_;|^75f!GD4+kkYyZ2U zT(7(;>nh{_#_!5d?*GdM<@5i4_v`*&-T#;0l=px6KpFq*b^p8Z*S-IB@BcUV|F7dO z+yAoVFW>&34sHEEbLRh-`<3gJ_5a$7a_q1D{htiwer5c1?|+@=|785-yT5E#UjJ|I zS8_(VPssrV|0=55qLqo=CN`mRg{W8?`jAR}obc`+Jn2~%om0`vR zZ9|63Jld+pSQ~qxYv;@`14(L~vFJ!p)O5s1Z2_;295dEK)xe0cx+bU^S}@i?o8e-s zj*dCwRP`~ovP9p~n(;<9XlM#S8EP`lXcU?T2Iy*9$uK})Pm8fyMq=XxIW8bDQkA`$ z%f586c666DWG%xHXqt>=yxs`()CXdrF8ft86XzL-pNwU%!_d^1z!{n|URQjiX^NVj z9fo#xs2Z6wMq3{(W0_Z19c@j0H1yRNr!PdQZ-c7hGeb!xSyNN?WvHu)v4+fHsEWFa z5n84~$a24`>`6=A5*>9RD*bV&ODxp1on)WhsOj5^AI39AcQ6{JBjs8xbWE%Y9+YxH!r(AOW1f#w+WwFIzw+UQ9P^khz5Z7DcngR1yXO;-&)V?zOj5ta^)Xh~c( z4NS#HQdl%bV<9oq6Wl=vwl=I?uJ!kQ^F5^s%7^SDd7}@(M6ASUZ;6y`9Y}CR;Qym8fd)b@#Ou>WTLQCcz zqb|1Uo60=4jMo;z6@P2%+KX@PWPX{`a5Uo$Mxbk|iPER+s&&=_-C!u%`^c zqn6kt*wYZ~sTrCuN=Q&sSL{_Y#YC{9XC}qKWHfq`3tH;Na-CpRProBJYI@@&c8YHd z1p`)+IBMvM?+lEDJOryYPVVAQEs67ZxyMrCZh*lUb4*no#b@^DYZyqrj1x?%Ge-QV z_*g@Ht!6k%>@>sLZXx5;Z3Q>#jMp5Efq@hmnb%nSr9FN;hO!48btUlycLoY(B|f@> z19fXD#O7kZi|kJyHL*cmSN5l6Eq*g&oVFh0ByUyqjpdjb8XB^Omh4%4Fy7dKk-Em{ zTiIYNd8w~61Vh8IXle@9We-Z8s_7Vu-~aTPGT&&yg1U~G;K@>s8A_aWFt>L=+t^C- z!G__gdV*CAbc{wzUWmZZ6ub$Rb@Ua|6aUEG^(9BNB|ci(<7E%y(UbhJbFgHb+IWe% z0V)p8c5=WLT`gl=96hkMGL;jf@tEQwuf{k}u*H3X98=f8&3z(Pb`}x{HJt3MurqSR z(b5%{2_8ad+PDZPZR~V0HXVz-gQ2{t;_7aRm5nx5*2>Vs#6%5OH!CbH4KT9RV#0Ji z+)Pw)(X_+ZW&%2vCQNp*!$sE`D+4zs2vL}u=*t|&7@8SlVQY)Mi=&W_I%W>)Omeft z+RO?oGYgs951f<-Y$e!ZaPYK{y?bI}s)fCijsVS!DelfV+vwpapmCM` zXic!dTKw&4DTyiM>pWpH6HI-uG}Xs-q8b*K=3=Wi<`!0%iyvKF9ORg;_}dbDcLBcL zIGn|ImfBM=HFw3)!wfTHGfb>4u$e4Cuo#7_mAjlb#L>wF7Y{q}*BIHm0cHl)*jn4* z>}-Ldjtx%sUU<3)!AT-ckh#@`^c*KlV3M;l20}QdP7`qUk|Lld_M5H|V$sKInzh8- zNr=z@J4Z|0yv*f(@vDUodO~FGQzzi*DWpDL9cOnNN%Y~^drZL5!2)9)RczdBFmkg+ zPxfc)*jh>q<<;54LiRcyb0br{#h(u5_ShOt z#8&38FgL)>)frP0DRx%k10fwtbHRbkZ76s&bl1SnOq61{@6O3$R4EVYuVxC>?lRN7mhB*Vw)F+24+lf*2P8U(39e2<08aj zFaXzSmVzfEAxnw7*kkD~irQi@6QuZ>ODsHHZE=uXG?83$nW%}Ctv=>vhFDwcN_+)d z9*$VsYhW$;;$Uuzt)Vf~1g{qQ?&2Fa!GYk&)Kq*X#mCe{2xcPAt`jgc8jG!+q2#J1 z#*(vk_ENO0B%f>rqptSi-_bZtP{qkb4}D`zENvBBnquvsEBG>#LTH4Gou}}Gke%UR zoLnXMP0b|low2od#K_n{=C;Q`goCY}?A^sl3WOdiMg|rgWTYj@7I*qE8+UIfG%SK$ zTQ(CPww1)=b{si8kdr6P2@EnLDAJmcgEs8hZ$x;w9T9t7*}c}1qfzc0jP$ZLl#8rH{ei|A;;qMIT)rzWRxn0Pw0{u<;3B&QwZL$oX{Oh zIkMK5;~RX5+T%=2v_40Uj3GK&l>>55e5@XaVoV4Nk-21^*a&T64jOPcMvthlft)$s zk27)VGM5Lj+X6VSY8EH9EGBw|JxBJKaPp`tQTrxvD$7CQdJjB6iI(4sP}*Zm$(FA;!eVnG+JG z&9P&qL@!A(XgPoIz(~?gjN?d%>?7WUJ)!o5Y?w~;`h`TTS;@)e zvpKxgk&si`>^nJ{BeC|xM2J5R%lkN8qC<5!5~IOUneSMX9dW@E2@A3(`tWd$p6*Y$ z#OJ`~l?1O_M)>Z@?Ah$f&Rxz#9GO65v^n9s3`vYPBRX~ramwofV?u()ap-`R*lIz{ z0d-D9TN4**%kd*d9En#a{@7p+#rGxQ#31qQ5b@tA;*XmV8YM9a)8)WUZ;88;*r!I^ z0bRm_$8+k$c!GlshzNEidXGH`2USUk7(%LGCuM5@$7lGHxNR+gD^?P>Yc3&M+=+{D z;$V~kVPWGrCTqk+jTgUKaA3bKF_AigDQoecKG6sDI4UuUKRAY?hx%~l*l1FY%emlj z#7C%cBGQUu2NfTw5*4B&xHA>J84?*XR^qA2p@UlTc?iiz$8&tI2XU(wa&+TTB38{K zYUM^^R?p{Tu$$PUO0osl$TdP>DsD zfgID4SAFrDA@L`)ICM;n!zYJxDrq$Fl7q*N50_jV#i>K1I3#%%D;SLp(c@%{tzg$k zaznENCkMhu5*=(t)LsXPttQ7~OgR!gT6{4=a=?wl%l$dFd^s`e781VIlgLmH_5_;~ zbWE51hxFOGLz_d9N{%YIYAHD@aS7Cu_mangldzpSvfemOA2Z^&Y&aQ-mK=<5WcOZMLJqhQ7-~s) zgeze#5s4$jDid!PR1IN zo#;V+iXZ8zuHA`Bog5wuxP)lIW8Tvdht%;Ki0?ot;f)+9XZ| znNyfBk^IyEA_6t2yKgN7Y|NF631psd6gy4HOSd95Rg=>8VVpTLngeq-v)yt&r)O+u zq24MEEsZB;;}YV6bh()6NM`y-iVC$zJ3W!uNOKA4sdA^Otk&q%b*f=8zSInQnqNGp-ic zl96miNuDNOR-2L^F_Z0f^NIA{%?6uo9GDcuMkgl{BPVbzdm2Z=OvE>4To8XBIbc9m zk|h@;&bhhHoXN5wFiMTwOlPvv$I{X;guH`GS!1_?`02syHV@!Lzy=l>PT^Ye1}P?T zf7%rBy$2CtW2wDw#L;kV!GbddXC`u3ip_;gJ91Jda42FVPb&4Ptsg`~Qy1!6JJH(Q zjpsEzcv$lVt))Xri8bKdVP}%#jk%QWDY@k!Ma6)k0&7x}RY^KzO~r449*+&|nYf6!HH(PcZA*H*DVLJnIT&avIVu=Tb|&qlt-N>P zh~PO><|)dYOxQMcuI5?LTJ6g9LRXF-bRuG(wd~P}1AAQ9xkAbLNrEj;_U*A2;bO*v zyPlNYn25K>2o^10f|I*93zltRS(Bsl)g_G@mK=$maf9b zN1yUiZ<@*#@b#nqt~rT^9i>|M6Lnw)$4&_IM|qQ&G+V0I6k*D_!iF9sCQjq{F=uYw znnyySJB5XFxN&t2DT#BqbYVGXPWh0WG?lAY7jo*12R8}>sIA#Y!kx9GU-0JQc~?nd zH?HM5a6WGu*|+ABP%xF;^GnG~TfhmaqIU|sxLN2-PKp)9*-JQ=w3@6-b2*nOb_F?8 zm$!z(x)@@sHd2)CPF?OSO3wIm|Kco8XS-5Z@6X}9sbb$|Zk>-I?bIw{59&zL8IgF@ zfV#WuNjW)%S+HskUgcajslID2R^w<@=ilHo?nHGk5ReMo4IAUQvP`aBOx(kD<{ zB-dVYA@7DCH&W(Ob#enY&aD)MWJ_V{9BQsD=X{biw=YiOM!^g&=gbyDT0wNYE5#RV zsILhi?ZIwRvzJnuGliR(a@|F5vgF*g+pEaWoJ&EXE4R{?l6xY6;)`?0&voQfq8hia zFXlq_N=~14qr7S!Y3Z)qtX)ja13M}!#!}bRpT??T+-cLIuDK6y$}Kn<=*9h#BcvYp zCG)HmRo4Q@J?SPmF{I|cI|+xKs4m$_`q4$?otez5N>P?Ap=`K5hl?c>xs+nflRPOj z39{$1SzNi`OGb=*7H}cQfm_$auZfe1 z51u0NK0(5n*_6~TAn95VC-1H1%q3$=1jpyIt+;m8ha$mIg6#9^`E^3ji%AgWegC!# zXQSL`yB#4!>?34kPto~VoJ*g^$%DGQzqgdS2kunV>r&pJMVXLObLChbSLo7GV@z4e z9Kq={vQnmSqi8M14o&3DS;1`SI?iP;h z=_!=mUPxWdV)2vYlH7YBd=h69=7?ySMEVISG{Ih^CoK>a=*o#BR@79Q(AGFe$Xgq) zNvbSf>Lcx)BRc|PFt_nUP1<@r{qd-1OOLE=Fd7XFk$drR%<|U~5YC~lURb}SCzWOT ztX`;x-y{PT&2z)k(~3C(&UjBYXW=|grc8Eby1x%|=KFFoA(AP6j>H_^&X%1E*c-H( z%f;teyksG18OiM0w*&uKQ;CfaX6^d%~{uFn<$soFutY7f@GbORa$Mc%%a-V&XWNd4TlXqs;U2p)9SCBhPEu z_$iXm*K=6*X%`E=i(vJ~IQG1WWk&97w#TewyH_aDa}N-*Tb~Ps!)a{p$JGn2>{;v2 zgFAQeUpSRR=k^kl9mVO-WvqC7oaG3pZ2luAr8wxN=94XJFsy`8|;Aa+&7u=4GB4nLnlN!wPu+-

    >@-Y+JgHy!Erl+1i!MF@xB-bu3$UhqGZ%H)40r zA#TGgv3IRGylgq6TlApfZiv88Pc!RX0Y)vt&neR3;(15*?dZ?WEkoF`b%;Q6AbWOp zV(<1q&h8ARe_$-*hDWhuu?wg6kDxHsp32NxY~K<{pGM(STyHCC%UA;-1qNjS9|{mF zB@oKe^eGfbtF6@v1SWS={RQajSh{eMC^s|Bgw?;S;(r2Ma$YUHXJ{Edl6ZTLpVL!D zws_7s|5Cy_?dQpGx^&B$oc+U1yTlg|K5$dk$ueVC9~0HfBv{R^>)kRnB5}$#AZu4rJYQ zadDCUwD$|Zv7sCF8`VO~*3p6b?gB0kXB>RYNIdV!_oBECpKiv00?VQF?rY~nAP#hwPb@1!z53%EWBxYW#G zH8}t09pfrVwBST|R~|-hBjvo4C?5l!Ch2LObSV{}z)?_SCbBu0l~eq=acDFfBRx61 zGmshm$1v1qCqupFGq1%|mUNm$%BetJNM}(gcJSKjE=0GOOzMd)5`2afrKwr<`eFyI zDM_&u5E)WXP=}MTomtq=m#99I8QNkLn`*(IAyxVF<1ngnE-%bv6o5w8w1@!P*NmJ+b1O_alQ`^yqB^% zYA`X;Zk#&QhjQr{ONzBvyex#7eHKdNuSKa!$0JZE61Dn3z+2% zQ@JnjdYagf=pH?!eGg~X!=B82x{&Civ8*gxz@n$4*j3SjLzjG+(0UB}mxR)`nJBJ? z4mi46e;wm;c5%hEfeW5ZOu2Q+n~FjU7My6v(3BH&d$S9l&#`oTdzMl6Pq8JFV{A_4T1Fac_&CKtDpOChWGY*FqP?qw*f?t#d)IWAps7zmxgqJOxhzT|G~Aquz-57I<+$oHz?RNr5mWk{ZU*Oq0$gm)Un zsSRDYykioh17|U9z+eGW2NJJ(k*^?-X(e_}AS%#PgX(H>=f!I^n9zS7%Vx}>xS&0i zw?wI3Z%*#rV6I#aVbYkPq^8XyA-Or3DJ?0;_GV9XFT&e48YBrgDlwmCJwWGbL z&$Ih`@t{CJr~)8Epp{{%8Rt6_D8cV3g2a7UH#ELr5uSXx;sx2isp+EU5 z>*inJ`X}J}HUU-srzNqdE7#rGH-lNB$JsJ>8;9b;IkJ8VYy0hFpz%feS4m=m?H(>R zzr+&nu}t@yL7e9W3aURMLHjeqt+#S(pD5>iqp#qSLoN7!m{6CPu)!Q06vpYo(F}R9 zmWa}YL|s_Jkk>1Tsc6o%W#I`{+#JN6Tyt_#oaM{4xu0l8xip|>q8iEsT=}#Li^$0C$nfZFrPoqEQErQ;g(& z`kGwa#aZU$UTe#qr~%CGJB&pm#&PjjFH+P5(Q;dk&UdHeL|ZCEMX5$``9dFt1T~}V zf}PmFTHH^y(hRznY3!4MK**5OG2Q6XbfQKLmt>pC;luoK!DM80W!8k2L=2lG%Fl*; z6}Y(un(KWi_O3|o=~iNMIyRfntdWsq-D$o9J&|mJ|pCyz1nLKbN5mQ1~7UfD* zgfl1Nn~UNa&)6U@QRQBmAph4fF8TdozOgjKW%R0hlC;w+<(hgEF*bxt#|D#<=tKIo zVI<$~AdTFG$jJJfIy!_`X%<}EH;69X1w6^_nu)g$)Oi&U1yIG=R&1CtlAi9{#fsL} zWOXf9D+;7t=4RM(_H19eww%HGs8H_ZOQ#|C`4_nUnd15){}*`azC~Z_Gc|ScX{=X7 z8~x`5*GwU1WGVfuuG3%pF+Gg4i4M3$j9ok%+eQ%8eHt;14v<^@K1n(XTsye6Crs3~ z*;jB$`4vl>c#!PI@;+g#>@tr7_j(chelO8C*Rr_(N|u#R>rzo3eB7so*YmTX5Bk1qnndo^9NfL-0Tc z$rkcHb5S0~%wE`+(F2C@B+pVh9QEW*pZjSBlu1C}ORPp^K@D~+?8KaY3n(fvr$_=Z zE2$ZC!#i+Gr2FB+Luv02Nnx6&s4YFRZTxn1C#$yG9p<@?MmoNWZh&~rMe;K=j5TxCu`X&D#}2!5B(^D~sr5)a;y_uFq1Y6uAZaXR zC)yELBa5S!4~c8ImuX!Wu&a3t8yhbr%x3`6UBX$^HH3?k`jIiV4>P;AAZm6OmdqYc z70cu3NQG(ZyuncCdr@4UG#OBvTfUJ({&SCUseD{JXSU?&%@#zA=)t~4(|Me1qgnL) zBw2@wG=WNzsVFIVzr3cNA{L3#D9x?LolCYH-_eTLRgGBHw>c$8`%#=`Lur9E;S&cj zy!$dLGhM`vnQ|{x<=rxpfYYHc!-C8-Z~6qZB7WTh3W^Nm8uiIc=*Y}Tok^4LNlWO> zurAZMaU?*LjKC;cU*M*vfkL@-NO=W~7!lr4>VFMMM~AU}gqnuYmu-tfm^Z5r^X3j? zene-M&mJeXvo(7*`OCenASh0BMsl0N6E&Talr~v{H2B3 zTs$t;v|TcR?(^BU!I$zJQy$5^RSLlFrC3l@V9v#JzJ#(wDrb@ zKmQNGHBi81Z~CJ^>30k@@$@%wIe%a*>S#puU$GT1YRUDeq@G`QxPDDL{}sevo547%Q_8ZUZ>%#4_d-*_fxD0I>gL?jl_7J>Wa6Q1eXes*v9PG9>k)ExkN5q&Gb1-SRA#ADdABZ-n)vE zC!28oSQ84RL0#YPCURY69?;@D>80-->%zV&$(*e9l-&V)nbc-3+nQ`=x6eMtHHl$< zt5ZaEJj{hbhbb6xhFO7o3GbZ5hz?0qF)v2X@RNY+ofQ6$QeY}|^Cx`d`17Z3uEO>i zt$B63i6|*=qPk7y`s#L+=7~~C6AP7QD8V4mNwL;c>;pALA<+hv5%r!*i!9c#SQPG= zc^x@0aT*y{J5yS0z_cm-8PjniPqLged9}*ZMUAA2+RD@>_ntnR<2qB*Xe_(8Pu2|n zEA^9^Fo-FWJBgLk6=l|zzFj60H+Q(GHgow~>{a&H0d93&_pfKg8d4Fv=yUlSnan3H^IFfE;Xa^u`WRz=kpo7{@zEA8mtco^3X4MgomRFR=0 z;1a7WP%62v%a-+BSULWl*x`k&o;XZwX-&!nkY!R1j}q#M{nnx|vlWB84kPZMCl5*t zNV_D8YgRZ9Go3W`qd;^&ttz<*I_#X&;=eVxT*bOA6~MGMcrD<1FX~BDkph>fE_;KY zF_8|xvC&WeV{lmuxXe`AnqM@EOJ9LY-ft!CzNhtX@||i-S;d^1gsQd)d_&uYNsJFX z#mu1d%r-#BF(`%=9qus6V=psnE$5i;6{ZI+WlqN^wz}^ow|*MeMG+3F63?xD zgDJ`}kj_NPD8+=V>sC^iCY(C#!r_Y@S-3Bd8G9q?wyHPdPcEe6>Y>a$F^whrd$IS3 zKZlOgr;VQz^*wB;=T__6;Curo+#9&jtcf+pjj`Dc2-h2|lsj>6Y?SpVACDQmY6| z^!YBi83$*~ASyhV@`v@9G-VJYJFnqUp0#GFkWx1lY88X1jl5EAR<9dMHLd;Z+Axpe zf|{D3%S;Jo%B1dM<&5P0&FRx|F}vr7@-){o|X=nSiAmxhXx_5jl#m!1mm`6Qlu_x+Y~mRr+Z) z)};pOOEV1Rr`)4lkIG=GNoFX=?F)nOaqY^bYa>~<+>Q&UIAZq~_cZgGp4h6jl3HitQ_Cv&Lz32s!s#9iZe z46xcO?R^l%dHR$|n<~$+B=LAvDy2=I*in>_zhgnxVpFqxT>;$UwdMAxpMqi4~7pKz|xiL88C7b zD>uw$@SxtrY@N*RgS9xkUm8(nZQ?i7mEO#hJgLmb(lCop2)L@IaID%BcC?CRVy6YH zZ*+hqwbK}4cZ>d2_A%LOF-Llg<97FcObTkx;2tCB*J%P(t!|@l_(c?#0@sIcitA^5 zEY)Nt7+>Ya$2a=uYDM8+tl8m~VCvZhs zhSDqPXr4T(LH2x6D33DCD7@8(QQf+*cl|&n&+gB#UK0g;)|w}S_e8BdOc7-zbx}~H z!^YJksIGO6_${j`kndCg$xQbb#T6{zGLRr_LZ7ap$mWa}a9PW}2)GL6yX8C8IM@9Q zA8Dw|aIQ9kyffYSa?6_HT`gGB-;d2Je2JXbg%#0F*cWTVqERkvS>ng;sAxt9giw~) zK@;Q}aLM(k+3jk6m9mU#!g6uC*y9{M4RDphQ}DWZZX#Z8T{(BV3yas(=FE}ltX&w) z zREJZCdeEcMMvCtElXh8;{SmzcTy?*KORnvHhAFvs4Fz0&|82pg#<&`3{m6Qg-wCbq z1`pj=IEgLP(f;+{flI97KLMA!23%@6&)-ET{En9KYdW|7iJ9Gg!n@5gTzkLAxz`st zKI7K;Bf%5TGIY))`j6?y=vhPAv2Z*QU8d2)<_?`rOPE;o8b^F?F*j%&(cQw??y{ad zmkbh&-x6xROTaad0%@B{aXm=2BKM*_Po$mRJ#5FV6CK&Lu|Ipa9$?bveR~ISVxI>`_tYoY*B7Vy-q^W)+bly_MHeS0{F>Jyc4u>9VqMv{uQN**Envdb z*(``&#e^y0tXR5MUK`Arvp$?S;7mb^BPpl6$W2w*A=C_d39zG`IUtJbkl91F`NS}y z&2(aejuBn&1U;>e)7S6{Qymky5?n-bU?K~GZZW!30fXA)VQujk9f8MJ#q~+RCBXUL zXtRv1(_8W~sUd}hYI6|@tYVcDzKtt_2|m(x#2v@tfEmVxoRf6T)RpFSejX%QKP2O zvGr83iaz{w*Oc_g-fZnRhbJXL6qX4*iX17+Z9wtWPAs0(i2X~pGFsGRc~VEs;JgZS z&67n@jtYo{xyG8bJjIzd+%Fc$7S$9mOZnzk<<{BRGkdAQ@+5RE78jD%DCojp|csP|d#2y(4up+yB2gxIVGN>?b1Y|AMdX8v)lB0hhd2 z1Fl~&Rp9y$#<&!?8kmR>P{7g_a4CT4{y-O-H;k$EnyT9O&@y}`FncP8%7Xfa7H+wW z4oYNR@B=2aP9&ynCOf>d7;2Wvkg6Bh>wk%T4UQ7kc^OlEr*O>g4A-iN;xc_hsAViS z_V$&|$xzy+#7Rnp)1IAM`><;Mc9t*L!pa4)L`AG&!@4<~-9M2F z``U8uP*d7?H^NDvW$Wtk60!Mm{)XHRz`{(uKJZww(iaxsgSttN8iMiwnz z&D!PrS+yiwpw?QfqKkmbja;L>aH(Jku z;CRM1Jj`I@WCm&#vD)}Khs@s+q;;Rhx-YY`RWkiNtSAnRsG67dbn%G4FS5|5; zy<3EHXlEaava5UrS7vjuirsjaXh_lB00#6O!L|K?a?O^MW#|aF)SNCO&C|{Y`Sy$$ zJ%piy#a87x@kmrm@;Oh&wqM4;;Fa|6zJlHzb}+u5Tt`SS+t#%d#T7+Z>)sm0rQq=o ztElE(6=l|C*V3NM8PJ7wV#!uU)MLRIAJ$F@WyN$iq9%5vYk&(kl3K8AWh3T|*~|PX zt;x$X7n`Y`VhX(E{?%H<2WbX!PiADKHX!R(drB|+QE^F*q-OGcx&ljipS)i!RxeDe zMNxr1aqG<4z0jZRiw)Tu(f+IA`c|*%$!_j#vu}g*|9zh-HqrXPe$$UEsPhFsotHRk zT*}W_=>IO@`c1&~(|-b7qPQe3)YC+5iKi-B?-*b69m}m>ixpM7ZM{Ux;FSREg;>eY z)KGD%^_Vsi6Mow7m?;)@f!0gL=%zEwXeINj&*F6Rb40XVPgJ)ZtaCg-exq#7n&m+j zySctMgwlK?Dy3bP+^$W+87B%$_1LxFioHi#GGUfG^B2w+ch{MDizYL4$OL9h4(DwA zIQDL8Mtodj+WPuazd<05ZcV;|%gNOdPmhKIE^FdqTML+c*}g4+RZEvJXlNMGYqtLBL`|rBQz!DlC?A>Jx$8t7vf(Z?(aZaoeD0RRE?5oPEA)+)gl`m-QT4W)Gj}-1zf+;(fk*D z%+;I{HO}=FT*^ZJ*}zqtY{BkHL6j^#!I);P*fwqu7gtYV;pDYqzir8=H04f7T?+5} zaP?9kA&r(ZzU^WPQk#;azEc8MW#Onu)Dd_Yk#N2%BRe#eI@-^;&@u9THslvd;ANQ! zxT;bq3Zh(WW?oJWwy*9gfiqZ?hmR)cGSdT?GO;gDM6zGp6+nl;z7(a`<$j{E+?S{h zQF}${RW*}}@8>!)bl6Bnju_0toCcI9Yw;|*Hs|*Quw-%{LVV`2a{5wMM|9)XnU12U zg4h`~g0R4Ll>TFkOAgH#mpTgUnAUwB9c>qrcw!N0x7!mjycbt@#gKfhGfC%yG;;xq z3tfnwAI$LJ#T+}-M($0mvy?JWs$SszFx8NXWK+!(&>R7Dwx~q)9Zynq+t<=l;QBk(TA!I*{S(WpzQ;-H6^0T! zYEx!It$)E(uEE#l7X3YUGRo^1gBu@XS+f%?YjlW-Zl{>-y^pxSL+o`sOjPh%rZ=C( z$$-mTt#OUp)qW6g?c(PCK9uB1$E$`P?%HuG){(pdOU|Ef#KB`h>^%`m*tp58*|M6k zlcus}Lkv@<4PxKUq3qe#oZY*d(5AH)&K{z=+}+V~Qi`jAGw!bS@$#_b__1IvUGd}c z)nS}HcaD9>&$Iu`4fY&9&WTeuxp009S8q1u+7&11?JUT?T9X`UEUG8`E>VtyUC69i z&Mm8F9B3BH%-}_=_1q*kwvz>&jXp z8hww6;ScB;{zAuUpYg8tBf5qvPuK708~hDJsR(Vo_p}jkb*L)f(*Eb*3YK2uyaHF1 ze*>4JRJa0{-Tw_-Z*i3Cs4C!clK=Pf6mTUAxV|;a|0!@KnR8%LC+;s@L)sB9?qBW6 zA+dQ~Teo56kX{V#IF{%I%h?y(o8yPuGteiVLEa0dD{M zqF8{GnQbm=Q(rSqRgtFbw7^Ylu*!O&9s(4l>v3O{;(dY3!-Q_E9yFX&Ykk=t5hC^I zOsRsKs;hqm*MD7c?Gtbni~CS@|194?z_m!g^^vZYpIK)3oU;P1NCB6#w9$ITpETea zF5ohjPT5M@cufP9Y~W`s1s;9P{>Cr`F2gs}`v$I4#_w5KQ^2MDochv^b##9Gzk$nH zz-1)hYM>_o5wmp0#HPQL?;>sZYA)~QUh+sHwm{VWKa%=+LZ>~T3vwtFTC z;-30j?cmOVzS8*`QC)9i%!?*!*;-~U z7|F#8Q;3iE=J?sh_%w6J#?=Lf25w)$+h7UR7wV#20 zQ`y<}Aj@21805TyySq@?Eezi zLEqD-|0@EA{DAww_qc_Az`f6BI(GbsQ@!_i8NH-kHG!CVDk8x8kHFPI`#o2rvZq?U zBiQ@{Gv%`$x^Jl~aIB;Ejw%L!;G_SA=DII%6~FH(uUkpQddM-*%*;cO+?9>Qmi)7P*39I ze5GQKii<5+G&YPS!-w;rz?PLGJh{7mAP+OEOXCo*NIg6f^;@Z)MrIg^+VEt@l2$An z?!~diJtWvx6W%L~tqVG^eo+9I&UcpJmd`3EDMcd+x+u#+q`ehK4pwJ$$S{^pTuV`g zhh}v|saUnN#70aXIhe5Fomdhbz>4L5%pKo_E63Y$U`;nhwyQ^ZMi7OfdWy0{!71>{ zky~QLr0#3!;WUGs+rAVQRv~hRJ9o|v6!5Cax9TB}j@+j=FLpJw$Hf=PO8pVLyH{Xaj(Rh}n`Yj%C|&vlhfM}bS1d4uO; zF72^{DE?@PjkD(8GsF6K?8Hgb*Z&1~v3x`7eiDWA2`j^2sb%nkfJ-`50ZOQVYoOU{ z8XCN%y0mdmv2`a!ac#5yL~{X`Qd~v?E@J_go#Af+r=Rc=fH@d^L{INIu6m;6bbdom zlv7o;%a2?`eG{>l(lKi*#ijkb0P{UzH9j(__6KTfzY%Z=)bxK7t0%=Eqs%dz8KIrsPIp%+aJ5_FzC~?@ndK~F- zp}Z6~kSgu-{;j%P+GrzIzdBd7wQ03PRYnsyp$U zTeCm55y5S|aB5f|TQ?`P9ED)Mjr{QTXohJrOWFiH69|3NqRMv1>oggUoxxakYM(h!%g=yvDb3qz2jMG zbBy6G=LoB{gM}R?b9!oj5~d7fOmHVgg-jq?a9j0C16Co+!O1O zmE*+N{%tw7elkz9+_=6WkU4=Nq#f-=dA^Y*uoQsGQ{|eZP99`Q0B72ec3mX;W?OC^ zYR%zTJFZ@ICNtBX!aNJ|RQ+Yj_o%TkQ9LS7SemGg$wU0vy1XN6rcD;`xND{^lw~`z zWx)sr1yAH|+I+5O1#v5JEGG|6V&nWEjxAlrr~n`CrL-5=s6>U*MwHr;&*qd^Goi~? zdOOah=w<-Lc@9L)ZX}Qn5e2U=WhvJy*OGWP3}5?T4sGefgB)Y-ixO1pDAhUc3)r%g zs*)^fFfq%4yD7G$-)Kz99S8Dn*^`!PPrBIUQl&lxa+>v$3WgabnkTdcw`;L!_(*n7 z9xagc(BwcX&J@7P_h%%Sv3+(c0oVV$;(91`xo@^BITt!pAfSJdr_17j5z-Ojeo;k z>jTG4KM+^-GflN$h$Z|Yj^QVaG~oIbOAWY``ud2j0+;TOn2IYg)cRd4;TQT^zo(w5 zC@E2Q3S4R`g|S@Q*c$JdSmzy%THnich$52?T}$^fx^fMHjgB+E(`;sToxrqS-MA7t zm<_!lD{7;HK^e+S?o;Z|5Lh-ZA3t18J8D z)yO^KEb&pDBO9DJ8`GaPYx=Ngc?^BW_a$n@8m5e!%ht^k*}Z-M`&YH$XskDFTiVf} zNloEYeNkNXU1-v@HI5A%(sdJJdKPZ|7a~g<7fiYSoGPt~Pd zWn4`$6O~k(OUHefGrSu&uQVrmMzE-|Kv5xL!+#`570T7xcDK zZTSyrbN_;!TKXnx-%Yc}&PBjzs{Jnl zs=td}{gv+3zM!_?|2J?c#r2j^H9rtu_XG8`-U#H>Q%8vb>AdwsjrqA;XLR5;qDO3C z`=Ui$n6s8uZPqi|?izh9PP3}n0S+}e&h*wZne01{3;wCxHNUFp9rFMH|MW>jK~%|I zgHLp}-ba4SV7^NTR~$*tsm{eywp>5w!u87&IDPCI2M+A#+VK-yJsQvH z%Q0LyHI8ila}Jlf-4IM zO}xgWjATaNOkh*e0A3`S@K^$=EK!fA*Q%46YeC9AsWfSrl~SQMPBdj|v%4(ue$JW} zdx;pZk&O*DiT}OIh-$YOrJu%Z*K=GHa1~G8OzgM=%anf$KYzGz$F%OxY-99*Zqm{ z_HSw7A7rJ#gM0#e;uj3%!8t4Ce za9MG5e5fd{h2))V%F|RE%{-}`Y!k6|0t~U+WnzOK%4_9`mfV+~U#Sd@8W5#%ugH*R zx82EI6~VTVqquoYY-DC_={RaoP^{0gg`H^Y7R!d{VHB1$Bu@gdL;(P3K$pKm0QgX) zO->T<3J|kX>QItVl?qWLPg6}bbMI8@nZlGBqKwQnol%L{q=PGlG17kqcP_N$_RX5C znA?*4%m$RDRu{17l9ySZLk9!s(jtuE-6k@n{}^_z3KAt0$iArgjBM3OzQ;{7Ag)>T zog(EVmaRO`lm)?C8CEZr(i;NaGA))x+H(7fKrGXO`zcMxNcJXtKnTkt#*39ybJEoE z9$f*DT&LJ(r3N!^b!BLqX>3_CpF_L*ax`WPQwMb6;=!IA-Z@L)HI5@2`%;?PT$I+= zl?dfYHAKA{QIKWNwutHUa@ry7+>P774Y-hdp_68A z+7EJ$W&IZlxIPeE^}SfdAGl!pBQpgcPFj_?8oZ&dSj8^3f1t1RFVxWm2c54^`^-fl zgiHY&; z?-@~3I`p~{J93={5<5CNPX%1>15h@)G596ap4CK7aVX^fM* z8_rGjxpBD`6=E}v7kSgCVjli4P7&}tpVki&8TxQ3N!eA!vKWyg4XENyZSpfLrGe`6 zJW(2!^xhc1GGn>2g?Lae+ZvR~e{xp2(Wl*j)V%t+fu~ zt$RT#LBMs@{0$?kzo&|Tv9qW*=XyU-!{vKwJ62M&?iZR=dq=j)v0v z)UkO_b_DH8ycW|?YME#&9dVd*+sHm;4OYm1@G z3mZY!?LZzBiqew6d7#!mrW;E=nTypDH70*oB$-P?s`+)6eY!pc^1nQ>RuA&LIJ|lQ zUFu9=>+B(vwyqz4F894IO>WADlV zj0ljR&GggkoL!QoC*M&;prtK=uglKRNLKpors!f5xi@Y0?DFC6%~o=4x&p77q$hr-b{)LY{`}P-&d({?$}c%oq(Dnmw2rm?P}~~@8h&EUMf;d=qs_W~{flg{7J)&CpX z^1nu=Z?Q1^^snI36B}uy2JLHqriH|vxrhWkft8+?SVj41B+jR!o( zr!Dpk+`mqTa2IenIJwZk$BcwCj#Nkwccr8O^U9*>Re6B{PtP#q@hw(A8bfl9CAsMq zsFnWDlk_P{G$BV5Y}y*TasQ|vXB{#)D8Sk7wU-$qx3JoMBe6b5nAds(JGyRVedo=b z2#x1@-+j#M9K*COH<%T4gL-B{c=6OKJ497cRu%%OP_xejnEm6);ZGu+e--Gwp{eyR z0<-U>@ZY0lAm9=}{YT*HsQm-i#1@VcA8)MliI(E&tBV~pGJlJ?{$os~;?;aIFN1RI zb)QjR8j|XT8cHMS(sjaQgg1|YR#QHjY&v$iR)L5OnH;h>k9;Vq`wJgP&`*KhDnN>*;)i!^c z4>>t5*p~_ph_{m_H~|<072RJ~2iABNxoRu&~NoJOo^7EXq{p zSL$2*4Fm0W*y_F%cu6O&_ZlO;pJ{FIcUtScqk-72YNo#kxZeF!a5Xae3NC%!A8^%qTVg}k=q)vMA8Ph3HISJ43a-Ct06D16kDAOA`l8UZpNQi6s1Xcp8{c6@ z$R7TGwEbmtRq48}jpFX^?(PH<0ttbH5O+7?F2vp4-Hmu40YY$h_rfVCD6g7Tb5>1V zYt8FCBQ?Lhzw_gK?X+{+{?S`T#^~}sc0cz$#@pW>re9BhK@PPxP2_2nl44Lr?7lRT z)@PA7;|#HT+DXz+r)W+dXH_0jJoRU6$JTMB(o#TN*s=iEv%5q1;=0KA858-&%SF-g4lK54lg=Xv zAmcDy-Nd^q!Z!YsnE$^3m*OVtk^l|f9n<9TJ#{Xe+Q`}7Sy-AH;iwvh_9}nWmtbxm@W~>-(bm^{t^&U_*E%j3XmyA?+0h z1#IRBI}{Mh-iXb5SK=({i1YL&B*1{f<+^;+Bt_Y>UqD5LmgcPlhioK5K1=nA#dt-U zfOIsyhu87N)1f@LsJH=nB$rQ*B-LFc=$*B4O<`;j>qi14SFVjA%5N(VZfxd>;`=iN zkUkqheYzt%C!}JyxE8%tnW!&~Mr&;(dsZh9ur`l^wdW{RPv*^0ePK^y2@hVv`4h&% zwubZO-a?{WOt9P)NLIKLB?s-P4%VS8VmF!5y5z=cb2z~hr}g?=Y%ml?HGq5f2XOc5 zK0;h}bLZ~3K7XHXUWU<1NAjc1NDgo!H$tDnsC|@&YSNRaNpFe=Nv6^G>$`CK>efGi zOC;^n^Mffn`2Xjm3ZAHJYX&e4472|$qeZOxd zU;oxO*J`kwWA@#vZuu8!7?-S{d8;IYYv&aJ(aEB8{P@jE8Ns`^$yee_s}j`;cMJz?NCM)OYb70Jy3 z+z~%EKiNsxgIdhqo$g^l3K_!rbEDX;GKVFr7Bg?f+W*}0vuF{^ zRxD)k`jPZ>sd4%6QhJV?kkej8OjRe@?dOPVX(YC(fU>SEPSmWVzH7F~8R^uG)3|#} zx}nhcSBkFNv7L?$&uAU{k@EHJxEofHzOt6$t-{=9*N~xlj>LT#qLJOX>Ss!Tu{CZ+ zd3b0YV$!&00#3rNhJDST0Y8XS|H1CDzp{G#Z{l>J&H`LxB#?T-xVB7C%&eD=)ORPl z09XJ2XW-K6{~c!pxLgIe6zdgjmu>d&e=tP=Z^?i^F?+y&v0jpD?U2vRmrl22$RCVv zMgPBJq?pHbT{^ZTtYZBq#cc4mHpj;6f8frj)QTsyNuSk@}e^{(bpmnLoL9+XFG@amob z;8Q6I3HZBbBD3OVaY@*TLQ=jL_VeNDxW3u!xrvkMs#mNII+!m6L|zEs+`c-O)aVsN zc!cBQ8iB+11Jv${r%=5S{ke^3Z;ry#)rpYcEu3gm;mQe3qPz{caDJY!r=h~ehV`-N zODE=%>|r4wGpElM1wcI(u(*DGq_9C1?qAjrzYOSG;X<*lePzy03Szamcv6MlbIa*G zwS=w{+N39CQ5>w!x7}NKb7U%CUK=hlZ4l9s%Q)3(%qx)+&#n*V+U1E{yfT*vpT#t1 znsK>jG-r=Zp!?`zPMw`VeXJ6lu?Kjgc(V2FVLZAwm|IuY6YaN}n*yq@ZVlnlwUJ!t zoy3W*?f5#X($qGQKANkgJIM3Y>HDQs@u1nqlD~?HtB)@zZn9Ra4zWZU zg~Ul3(t{`CDG=aluAbKScsIp-v@fJ-yu3GzlSTVEQZS+KeZ~AT#r9f&sxD+{-%aU? z2b_G6m;^b-F=*)TlE=Se(f=oUM*l*>*k7bEzGseNvZ>_g5MlfyhMyxV;zu?wZ(!WK zCg#t)i_+)^sLnXc`dJrPF!u(k)4FJz_K5mrkJ&Q%0vo2>WBHu(%%6RO&66LoeCjoJ zOu5hYxtEzgqnj<`FS2;SJ;qJFDc8Tvo^219H|OWR3Euq`L_wI>ph17dZ2B+EmOAM- zTE;V6wvhs2Bfl5Y@R?m(4&!f_LX2q$F*YV#Otq!NN|zM98r)Wt5VbOes+C2==|zyJ zmqqT<23qy*QK+hzKwHb%S}S2&gZdtd^7O$#AxC2*XB5p>@fARSj^5lrKvNkmm%DL% zAmDhvf{u&o+`2od5A4pK8HDPl1tEt4b})AzlD;^CzoFZPg7_8WyG ze;{<~88nu6Q?%(V>2n{UIkH~#ZY7DkYH2g8rKF;2j4Pc0KhzmWhcZbSH~ zk5((bbXPorf8!W&@PEF5A3X5yXpi^}m2nEjrFdwp;;(3T3BvyqaA^n-9vS;Rega&R z1^iYDqnaoC&m8o3+5aad4g8&rgTH6>&~KS1z%_5^zl8Pu%2FAJ(YoW@y+1`H@gSkK z{re_AetBgZ)q&PLPypAB0en(C_Ugt!?w=h&sh{FjlKDKlHAv{NFcc|($5%#>9lDwK z7gzUvVXXkQcekffmTOCU$#~uiYq}%beF@Ts2P3#vp(UMM@nyMU^68*ISl;6yREPw* z+N~kQ)}POphVc2G0EWoMg4p>qx9IZdNqaWbj^OJcjK&)U<4;~H{uoz9Em!b%lihL7W zgg93X9$eNI`rn`T*X0}!CXkh@PhI6yJ_*P@dfJcMkB0L0*)ZxWj7ZI% z##fRj3Lm~!_~y|df+Lo2rrm^(idA&435Y0|+q-^bMhQ?gc=7ZLu}O}3Arj-&(}6S< zP2o)8K0XTL|Kf)DN1DK`Yg>r(+Qi)(qog2)2zwK75nn#KyMahAW6s|aSu9fYwKSPW z((&(fO{65ujBoEx7x^qq>#oF5eDLtXD2fy}Szr6(E`tY(sTa@3k&|rBp}O$`+#-|Z zdQTNIz#mQFWVQuovPbvL-BR4n@>Uqc`x_%^2w2ABF5SMlcdump(GLDh7gnUW14MJc zmz*5^cM?YYTbR^$%pdlxfWu!IEKF?D@LKAMZeVSyL*rp}?l*Z+7rdFHJ#%TU*v7GP zORn{3aU;-$k1fua=xgC&W5w~4JE*SQMN_Z|XM|N31(|YN7~f@SI&CG(xNus7_*ff} zlrPvH znc)379NZU7t6czP`vUPY!;;FAXby!eDYOw<|1# zp$_U}wh!g+vl}D&?m7KZe6INM<=C_JguP71^ivZ?pDy6_ypto3w{iKwXzod4xgb(e zLuC<5SFUBw(xra@*MenB`qrISS~roZl5G^0uBWon1wXTL9M?4ByrPE#%bKyzd&!>f zZ&3YgKZWnMa`)aCPPL8Y)omHb^>IAEJ%p+k+BnsIP1b~$q;IIl)2N7|bw?;z*MQNq zDjY_gC2-Mo8r7e2T>TL~o9^SN@*d0W7nwBXb6*Egtb96B0BJzK|3P!iU)eH7@oYB* zaQznp1-$l+_$#V?z$HvfSeatx@BcTz)j95a0tC3G3GH4s^e=t4^~@5QJ#*lXj31yl z_BU1!`I)(cz7gR1J5&07!{R|7&|Q0sn|J2+6-3{SyCR9-T-imwuK{;1OYtaXY+oA1 zt1H7qDhrG9Q|G+^mtysT7gt9MEtalwX*BtPTlx09DvAd-d?CgEQh>iO&zXjzsXUhg zzA2PgQ79j7j^KL1J~}cLg)&w^WLV#Hg15Iu(;m8xJ4dvI;SS@g%OcV5$n_sgCOcsn zwJnB1$3>bd9v*vT98X2Qlow1DM&~CiX?Wj^^4C&uuPz$lH-+qM8=5K>@vShbCz2cYZqDS5*w9j;Pgdr9zL8G*UYO{Mo02mRhv6<@e7483 zZ&vlo>!Zac1wi!^nYWTtHNJgM2U4)zS7O)eTO;VmnZd;ZJ-)gzoR{L?M|XyE@A59< z-L~`S`V5iEBl{+@Dz>LLHxn+>^Wu$(eXGsB5nKC~)Hyz0qo_~o)6 zx6X?!4B5f!vnp~uVaifqcOT9mH_4v%rs-n8Vtuq>(zyEb=Fv<}=2>tuXPmGC#hP>j z<=l!%v{DCQQ@PV4v6gr|k_Hmy=)_FLm)!%uMq}VT0j|H1I2@Mr6Ob78jlBP7k&(YM zbwn#gnOAUkH=$SL?}rO!ygIvu-t#-SaKuJppd~R=<$2ate(tp-E<6D@(-ayTT#4IIaR#A4Jt z;+Ni{Y~Djc)atNbl0?ax;~ZUghMI}rVKSwGN2RvHXovJoJ$Wb$`Nh2nToJH;DY1He zXDAn5%p&K#KA}HY5&pdydGFjfa(_2h?ycb2v+0~VITbafFrD|I~CF{%aU3mtp0r&8lahnFs3mn&K#B*x} z4m&PlsdSNvqowmG=xhI97|{n@f81ofWz27VI{W`DaQzn>2L2DL2meJFmtv0Bj{;n; zSvvGJ`_^3N{uMhupIgi~S7u1jN;kb^L0+UaCojzDn0wH8?gA(Rzt<PT)w+eKx8#lteYkTfMk_eF76y>g>HYbh;w?v*@U&N1R=JDk*XZ$?Oxh`_|*3E5PyQ$7|+5h?-GeZ1C znw{Fo3t=2z++4=}+bSG8A#BFqiDy?#1bFB0^~E{zdI?ukjDSWv>*tP~)$-z`rZ51ZBjW-t-@#iyhgki4Zc)lZ@IqSLg zSaDD30`A^kAu&`EHmAnLBjMb+W6T{nKFFbfsfzDoMRutVy3NTkzmhcKe}r*;%aY*= zK>JJIQ{2Z6K1WIJ2UL}^QBf_&ZGQwl0yL)k^KsFQ!exIfF4|F?-j~2};}-TVxx&WD z&rn@^3In|(xEmB;W8s3QK`!q5<@G)<>kxWP{CUgj;4Ybt6-fNQMO z)_AFjUSWYoQYVV^hcX zPY^z@nuhHs@lXyYXlFbP8;)>V$_%W9g61(^O%0@pY>$GSe?`ZEg#eq_OjZza(_ zvvl}Rte*4|XZ1)rH4|wyjKFSZB-YC1=&bEPTO$Z3&1m#@#bKnHfbH%$beA{aq@IDj zY8p-&DL83IW1*5r+VU29)Y}QvjK^3d3fnyy?AY3gp-K+Adm_=^n~wF~6x{cQVza&i zgEgfX?astNGYr!`5hSUm(z3CcLX9pQl!Nip2*rMXB<7mQsIJLl)3#>z?Tx`wBbfsl z={QJ&?@&DcYi%!x?Rux))i z+S>|oSBu0?6>9L- zX7lRdR28UGQ>H>?o+))v71X#D&|sgyAv<5%3TfLFztl^A+o;Mm-sXxP>xLCv6YT`vX8F5)onC~4bY61Cwabvu6Gh|;%sZG3{Q z@&l}tuQPt+Hv(LWpJ;z&xH#^A1D68%{|^I~NYpihe`nQ@A6Y1Le(~@hWWT?%XxM)- zPgvOMepe_T_AMD>KgxN(m(PA-p}aq~-v?IqlcbRZ93erSGyF4i2mH!Bc|A+6KYPG8 z%pCkl0{;V1gMOz*y4v=EZzNeh$Y*aEKkx?@5Bx02@=iM2&&(33x>P=2AfM0Z|6AWg zz+nSkF?PrYj3xP6L^cPG_=|MvXKWnsoH>KuGPD0j8PAvE4=I3tUo&OE_so{@%pUZ$ z$bgS>UK!`GpJbd*s2Kg0)N#K`!N|4b|G9&|kt7v>mTkD4V`P7k%ELd%^*=Ie@FRKe zg~Z`IMh*Y70QpC927ODxgl|zB^rhIV*c6HXiP^*6Ge-WOH~32i$rz@I{}%NBLJCUp z%h*Sz41B<7VH-A52yLUj#(C5mCQBiV?WcI2z^_aj{Gdzov46NH&S#S~<^D*#`H2!=iy-i7$STc>Prh`8|sUzhu_H z*W!~ma_slAeI;XfkH?_T92)l%_CsE=O3t~ckC}YS9Ql8WfWz_uZ=?x+CFlKGY{jlaom1`Jf#Peu75_{`j^CPJ4wX6WR7e-ivLz0!gspSvb>u6GjDKY z@K4x|7O7ZlBeHOK-*;ROZcN~O!vtPD97;pMR9Y*x5bC8&LRb+VZczk>`s3;8OF)Pl z$2)_mFH+<1A!YU`mbF>2<$urPn!jQu%U91rY5iDQ>bFu^vzWTZ9k?26QRL%KshdC5 z?mh&zwxIR%35p+Ek^bD7JJ-}{$=J-xGqZVdbvPfc_ot$F8zx>iX&UoE7*{O^4YR1( zT2AiTFdSD~5U+NC2sLxcbeuV?ewTp$ zpOuPZ2MTZrXsnWCStJFpM3P{d1bv|-!mPnag8z+{;eVrG{LfMt|1F91FXoK=m60-@ zHU0lsw|mHd|6zuVclIy=E;-+<{{Lpy(7!QN#ye3GGkM7OlJNgx^U$9JP=06H&~F(( z^iM45n|kpVDR`JQ;=kqE--uj&&y*ozuTXWxPuHVH{YARrpXri>4;}M&mPoO!79gEI z{5NLE@iWA}z8@+2OR^68r`YuybL8((@zEe@2BSv*w-niT5|^LInV|Sd{m)|GpO`6K zcI?34rFaxes{AbW{Ed0ijTcBU%^og*ES+58;}H^rsgm?nQq&bf|96b|N#dh;=HCA> zzW<-4tN$*^|2Gy4|5dJt{=YJAw1TbtQwsGbQl|WZ@}Q4Qkj61| zz_-jE@lWx=e+gR=kQ(~CsVp|J?@yvq%0c0QEB~2K}40ga0Y7<$44DE#nZ-7qFVHxUsqaKTwy(a(wJBB#o9v zDLz=L02RrPzDM)P_@)g0lQghzSnF8|aAM)L*OI!1g=)r3Dw?tLwd zMgUv!7_s5MFk_fvmi+H5AMu0itGKE9Z%iKbOW)iq#S?q_o|ib}ov=H_vmF%EA%2m@ z@E2)NztK4LU#Lrr`c{k>ssJtl{GkfK`#WowS7NDYfyaJRe2h#v6P7{xE?a_j_~E1E zMa-ITYUXxPu;(zD+sf%&afHjW&Tvff&0@TOSeXSc?hfOwsIn(FCUd)S9v^N_5IMcF z@5y4#&F;iSrIM79Noa-_kvUPsqy$k}Y%h#!J5?oX*s*>E^A;~--tzyobn|+aEZl<9 z+KIHZtf#1cBHibAV{c}J!!8ezBw4s^&tPk46>5L(pzf70vNx-R_RXLrXErZyOyudU zA-ucQpR&^%G4Z)V_v~+|-BE+l7AML#=TWUx!9k@e^7kC0(5QwkhaApZW)WvpPN3;& zJaw)ydFEw{=?;p=JuQ$2OmeVOJ_T zl7L>bkY-z*sNFIEkH!@_>o1W*-h;dh1!yBVukkWI#Y zeC#JerD$dgI4l;BP^=^{Svu^rK1QJE+W(O8if!`$B6)v={2eCSnBo6qjo8^X^3UW6 za4ixxFkbeZJmP<3pT99*l3FpfWPJa>utb1&srX}o6wN3p;DK`8Q6q%~$>)a#{7#jC z|7Q9Bj|VFJL-zSz3Py2*@b4@Yz#AonFnj1f#fD#nQ7P`Z`y0~~D;>zOzGCMY0Y=-& z3I_B^J`>-G+?g``kNZ<630O~(>&=zIncn{;3kECh*ONvh1=&x|yG5>5GUj_U2R;|z z`(29e&n%Q<=E=Cn4gA2M0n#KCg)he}6u?_JSYg*&Iaa_&0VHz$ieZ1{(4?PH8Ss=j zV&gCcV2t>Nxg&n*EA&~yW~PbVD}~`K78X81{HRz3^pEEr{DXrcue!(m75m|@Bo6nP zDEXn7^E6#zKT&+VOn`9Jpufnu6pZ}e(o_VLg-ybx*UG2-+qr9A@tCzB1)xtk+`I*0Di6Gf>wy&Mc=}JR-TKCX- z%9rNK6Eqj!p`!RbCrWRV-Fk<>=V$4;qD#|*6+FH%p6iFV@Wr)1Cf_M0V747wi{0My zG>-m)(zR6t8pczyshphU9hgk+#dhQ+qBnNaWqpY2Vzm3ZV>oR6LZsqxCX9Y86jcCg z@SlacOQH(1(UJfwjrmqO-7f-YiWM7vXYa`0*goMuffnbFksyp#B&q- zjTFhUNTl1GH9w)Y>Jz2YzQtUmp3?eXQ5p7;HH*Jv;r5?UmCt5x`ku8*-lDwtOSWwO zj>SqZS*-g#i?=>t=C&7X-24rB)4nHc@Co zk4#Mnvwh`j z<}dxs*rjh-sQWD|SHENVwr^Rw;wx6p{lJD*Kcl4d4YM@9W8Tj1nY&W9AraUalI{Dht2j}fE3V(fx9sEw0+5P+C8@{<6^JCsKM#GEmo88zW6hK+sB zfLU*tvF#_^=6y}>q>VDOZ;EE5*GRsd$UG|zb=cgKwSmQfR* zGi}O4Mof9e{IL(1H}fgm7ky8{yzdAY_YunlKcO^3Kx^_NhRu1!oUuPh-oIv>fcTn$ zA|nOlrj2;PLghEi-1P%fG=5{E&dCmVctESYS|C=THIA zL5j(zLL!Dpvrt`phXdQL;YE47Nr#sm9qWPf^PA=eJg=gplFoIkOOp7WbHb?E@*`FV8LJ*2#_ zj<(iLN=hT>ZnNd|ku9_~EJIyoH4B$7VZm~RR21M^wr&M;*3D<_){z`7Q=_(YJ%>)~ z;NY}{taMjQT}?dg|lc+pX4p*+Cx_$zWuP>rAWo_SMpcFR;D;{KUxk(Af!KZ1M z@&y&!8*$(1NBPEbO1D?zxA_cq!!8oGK9??cZJs5pr8aUeKE`fXt3@+z%xM9upM|3S zDh?B1k}k4e=(V!qRv^W9FalhP?|gQS_!gz9iY_O>CHot%{y>28eLR(J5xnIN?i+4% zVAp*Ncb>q{>js`VmvQ$wLfYPwI2c~UJN*j|YIG17){EnjyZ9%bK)?7BW?n~$iM)tk z<8?H3TyMS-$WsJMdp!@y_Hb*XER&^TdqBDf+w-d0h3zy)_I0ha=FTI1{$FFcr z>%_6N7pT|El%`+Cp|J;(ufAYkXBV0u9^+7UoB*Q^{Pvz8-tPf1W-`ve zGelH_ajIx0TsVNb?s?1Op->aKabthM<|^oxiMOfvMej7F z-p_EgJcD`qH9Yh0;u>;?eMYAVF}_T&G(WHHH!#*XhLQ6vW^KR6NY#&wQh&ynT@M+f z`UT^ZUo&C*3np!ShJiGqH6s;I+4@~r*8j!K6s-R%cC9GIOUs5FXLA~p_R|`pPx3x@ zoaZ-VHnNq#Y1teSMb*8rg+l^bbt|iRFz*^?2mFf7*jCQf8SwaV|GuY4Jr zD>JFfRHn27_Th8KTo1{6err&}k zi-lqAVAZBItkxPqeySe1{`+V;vz3g~JLouffVx%-3NHDRa@2?G=M1P%S;?_ZC0<>c z%k$$KxUX2f;8s5#JQCn)-iGtAvs8|MO!20A-1i1>c<(W?S9D=E=_vL?p5Zm~Fso$#ggC}NPxZxPGPo~9SJ1rLj%4QAp}SJVQ;8UjIJ4OCbrm` zIpAYqMUc&Y{11l^S$B~5+7u2R3?f~KVxfb zP3>{9v?Ii5KY>*~_}BT8-kL^GY#3pV9+;VH6PfLgcW*o~56TIW&J$#7L&{zgLJh5O zkmDUJ>;*WD2#B@8yETBcmPBIHqRDUwAXwV~?-*yS4vTHC8wf89COpss7d?Fv_M78p zV26pdJuwCrc)RKnAT}h`hLKR7NSxO}!i}u4cQeMiEs&tMmH0MC5tSN(jfnvXT9${cUj zzXdLGyq&oN5yoct`Wg}17ED}IG+EiPl-v4AlQJd4+ERQQOv~O@B!)(IcpJ+9fmU*S0&dNIBz0tw78*mAr7uyt^~i_|z@sOc+{@Xxb_L*F=|+Ky z8rgd#4tiFE=~&}yX+YA6bUY46lTx26@yaLOBMK)yQv$->i7X8x`)LC)4e@xz`*2Xl zh>X3KIGIZ>N-o=4n~+o(PG+(nF+qL=hhSvu;K=Yo~X!eC7#OPCbvSP9e#zjueGk z(paj?<&sP?)Q(^>^e#4I9+JEH1jQ?g=vY(5q4jwjRd40Y)Dv79_8XSt8adx!%=0G$ zd81g~{qhD5r7h*vjg{19D^pj#gZ3jfxH|X~A5(^hmk+rGiG&?=q`GJaWeHle)Ne;s zbrvgDZ)U?50j~Lr7qMdgE>_Ljh|=aElz8}1qnyB{4iln&aK!UNG!OxKB2` zxVD5sH%H=Zjrj8NI6hn-#kCjxcyy;fcb@d)QnL~VhMuK<+831UXuw@Nn4GnhW1*nQ&0{!Gi_p9tM{6|X&2`0lF1Z07@vcD}-N*J+$ou416}MCj;4d^E44 zta}?Zt%vN}d!OLlH}E#N&5pg7*t6#vSvogJQ>rG|x&t3!3--3h@HFZqG2#k={$0eH zR1u?5NvK*Yxhg#r2(8WB(TIPvFo&iKMC;X&x3iNx)e~f?bdqf&9o_!~9&RV_wK_re zp3@|%pAeR&xGTP!!fid|Dz^|~U5{t)E&OciWSLPt-9ex?Y(8^DdpwmFsuEV6t@rk=SNOU=cb>MLvy?gPn z?ImgNDWWxwlP2RX+IEb{J;$lu(nO3y6JhN)1gvTWlsd@SaYD8(atx2+cknc>u043! zpCDu3X;OCe5~+TS0!h;9EvLv;YQ`<$1OfM65i3lpK&^{B8C$OEadO0l=%8~rxgEvB z-ptK37L@-Yg9)q4j^+*_{@Y+Os0dMBAX&rl#SinKk0Vf1MX z4<5tBxe<%XE2Qf+k)YZ_+|I+K$awuVtFbQ^_I&XkAx~9r2n%Ft|r=u}tcsNQ${|doQu#@049fNbha z*(b#2BKvn-$6W0xy6P9u-Sq(doiF9@3-)L{VVCxGGBxhuzxNRunorPEe6IEo&D~Gg zyX!T&dz-M@UyZHqWp=Bc#A($foCZF?q~DL2kGPHhtbD5a7}rUf*Y;4jq>Y=4Z*qS4 zpD-I!Ex=_a7%@ojq90#gTFmw4tvtRwkE0FSInrcCQJy|25tYK8JxNMRm1Y!4Qc4nq zg{m~>8BkHOh`qZPv3QX(i&+onPev?mF zhbrFRL=pInA75QwERxAfx>*e0oSn^=SNn7BrLe7g{{b$MieAGn(mM4ug&XU!Q}d>L zmpE~8E&&@4QM9*Pf|JBC>vC=w-zIwJHA$X}SZiKl?AR|EsQ5Cvzvz3>)&2YbjLNuA zES>mXWZ{pD6y2>@2u*$XFDQ>w+_(5UWBYv~Zp8;`bj}iQ&_J4D8;SecNY#56F{_&x{bp*VAd584%ehWcDKex$UqEPo2N6;T z86u4ewT_deDaEf~>(NIHc*5&MqH z=jX|nhEOHonx}S}B(2j_sLFXQnu!TLB5YD2!Fpt@y`*a$r9pr#TVDWJqgxopQF8X3 zCquv{Q;y5hJWZ48DRMNLMI!d#cjzKP0vhGJj#Hpz5?L%<^MfhMC){uvi}TO zyU)tGPm{UtEHx@8soik|FRxY(cHI)@*C77u5+-tG8)fZrqSSD$m5OAs|Lcl*%WNx}vB?VfNpZhwg-hG%-0n${1PW()oh}5pbJK`jn zz5=T9S&sHuTDG60UZn#Mk+y+HZs6`vN2c~MX(FeI)a|82nn;$>2_g*IiPbs80q<7A z(@x{7e~54s@#o&tREwWHY-c zcablERW3e?)0UWuFGA#ektxSTSyTxdZKTfaEQw}$@ze9w)#yu2Q6;8DHEV z%2$FH?=DQ@Wc3sj>#sFcE~c(z8%0@Wq(+wz8WBiLN+$lH)kG%c(9kNfv~CSu?MqQt zTFuf0`&h7W>mR==E?um+UvVtUH3pIp=}Pk6L=N`|t^FL2?-zppmtAoFoX)`yNj$i; zj*0^j5}aVZIyHxn*M@NUi~c+m#&z#;Kdv+=5jOT3w^sd1nMg%{!zfbLlv1nIi|@u% zVpUy;*}IQU2YYTf`QWaiiSvFZjMbwVH~KOI2mGn;SBzn@4G@R!8TB1&M}HC!`%~W( z3B`&HyTzf~$NeH8_8a5-y(4zfB@))v;5e<2#4)ABj4Q);N+q6?iilp*$$sesI;*R3 zpHzq4jAkt6HsCV91Y@NDe| zOUIvFi_>&Des&}F^D8*8rhqkSS(wi$#(P2|u2bulwmw4A1k?zrF0{w z*(LZ)t-)pLAuMM~_nKdW=aNE{)UwfBo{#7FYP_a3VmG-M$2sNL%r3-gVIk%V^Dv*C zjm^v)d}dVPHn|>Kg$?uSaa&M~j&dGbw&dV4sT|K~hcKB{jO+9=qDL2#II5V?iNzSs zE5>I$MK-#r$51gVL}y`U-~P6eANG^WC0&=dj1A11F2aSj{RyS)^6aM8!UtsQQXc{!Qr5h(Tvr6&lNHu$@vu#CSPgaxr>b zxzt21=37ee@;#2;TCsCpBhJ&B2$bt=+bj8zb`qmGF&Hf@;lRvB+$U7wAn`VvE4i=$ zYe1C0uoAPG`H~l9*hw6mmI@)5+9Y|{hQ*wE_ASZ6C+j>W4lQC=q4=N%>-mZJN-nue zK3Xrz!Fo+E;|AShz|g-iRO+a29+!}TK>}Qg6;1~WQ7|9+E2=|(m%5XEgft8v^dm#1 z9!JUNyXGCjPxT<7J8g+K+Q;#OmK1535VuR@>-tE%7rRrXQp{1MZVqp$p=4P$cUIo! z>hvG55Fhkb8uP`2QGNHLzP>t)>&J%i{Lyfl%jeNquOhN=6AAG#n7WwbnH+MqG97pc^}NU5H5X7lr8 zS)C=?RHRA5Jt92LQDJ(49P^8WnjNLeSENer8LSe!sJ6XAxlrH~^D{&{oFmCxSdYm` z@_eu0?s=5tsH-%1U8U4W7?YjcJi=kuhA+$%KbrA&@b7W<+tx@d~Jh;!))f_;0aH@QZcjJwo8?6W&VjKvA! z-OiKhaFU_}=SZ~dB;VjD6=s*nvbac?X*YF&=ZGpije|(uI_s+x>0ct&`V3(QJ`dz>^=maS-^0~)(Vl7V+XDNO$>!rd`BxmM%TvCMHxm=)H=L(r7XNj>F_G)#K z62lXe`dr1+{V0*)7ikK>Bza;IA)8Ho?&9OXur zNflp~7|CXJhFJfT*m3St(9>=Eg0u?n6g^7x67e8kk94FjdK<>m9d_-y{9%!e*`ZkH;!wQLGzS()= zC8zSOFHs=57!`b$^4|BP+a9M$##gU@fec|(PWdPBe)Tr75bio4E30`(tbFXJ<_xP_(ZQ*4Z%VPX0h6RT?!8QsLs>@pg57qBzBg`3_D z?8X0fhF8grDx*0&kt2m|6erdSvoE1^{Uy@2KEh{Z3z@sC$z4@W^Xe|Dmgdr_*23vA zyY+gT&7B3yjBUH(|VMoH#G~7Oy zqWygbflrDE>5irTdJw0w4axIc!N(gy`>qe`TZ*;sM&kSZcv!WS#NooYW_(Nix;i2a z;z$=m8blU&E;)wnh~s3aT&2sTnM)=~Bp7)SVIPTyQy|)|9Vq#nWkc{0cKDrQnaLIQ zk8flDln%y^tz+uAW~N9dR-e+0^3tOWom|IQ=}ckkx+q-HgUN(uv}PSbPdcvE+&Y{# zACV3&tU>8GQNjjX7geLOu?Q36X3QLYDleQp+} zo+sIB)ryl*H_7v>37J;O&W)w$Y1CkNpanOp8gz_`Ff;4IbZG<9@fMUqUYM|*7}CYx$7w`)Z`vJDg4I?T1?y>*9i7+r?h`txJmW^cFTD63;2>hOp!c+~-%ZZF4cY#=>$egese{7j}ZS% zE5%Bs8NJn#5Be=)V>33b_XzPkhnM8a?iF?H+*&Ekv=ftqhjI7sMsts_dew87jxEA( zcploTt5DZH!Yc8DyZbfF1?&v0dhwcGg3}W5`{n{1^c&dk-GFn-Np|hY$6Nm*x|3@# z71qCJeleDki+iVM5bknOq~a0G+>Wq&eLg;OYS9)YW2{jvacICj%?_*I|pW0Vzc8IlSVd69UW)%)K?`OG>&AX&GZmZP)4cRc zGRD_Zyr7cjEAMk*=zDA@7I3!OipO^+@aA@Zo;~Q#quYwb2^LX&P!C@VCC=Varv73) zx%Uo`^fZQ~k7iUq-%IluU98o$$PG3@OL+myS5IKkx(R;(*Sr-AnZ0rz8`qBEaJ+&;XRr`N~u^o{_RFs`Rn z8_5`UiHB2upm|jrj+;VgR6S1GiX7~w^8T7VN+f(X7ZP)E&K-uhW(Dluzxe8A3|{v8vEbOpYbnLOkug%f3w-}ClWk=CVkIG zkpUmsrTZD{z3=dmzjo#y&|GmF>&Z_E81x3a>0hC1@g+Xh|3Wk3ORRU_LV0m3n|-el z6m^?eDZm(qYGSMfr1w;!CJDY{?KymBevME6Z*d>_HTv3L;+*~)y6N9yVf7T<`A1k{ z(j%`8)bvud!Hm ziY3bJm?k~PD^NPPTtCdIoMc@|ew_|fHniij^o0P*k9ZCI0qc2Rp=bL6?>ey|e~t~Y3o{D%Eg zzeR8FM=TS6K`-}vRDxcxX+t;Lbk7hK|AB)CI&k%_=AcU+fqJR%0@0i(5FW90KaZl!<2$m1P$t1h#2lf*IpH9mePiMOpH!m(1AaS1Lu0+d@juvq;B zi{YPekvJO9{YpUeOM;$}uKfb%4PW52<{ny$?y+LZ zD+UhyiGIVO-$2FoFOi7^exWsxSWA^{CX;r*LB!4r4^(j=w?1j$TTa_t3Wd5_Jm>(X#C*r^X-W^3ZRw z8dc1t8V8=V9L&L>@N1-pGM(qKJFxM#a4-e7ij1{XFGH=a)fNSB3l`L7jlI?qig zbZ?PxS?AC=TS5MXt#lq+!NVI9IaWTC7k5YV@NU1p@3?N)Z6#*JHLgtiGbKy9u-}$W z;<8T4x3%K6vJS@~LVu@z-3*?2m|#q)Yu)RWpl`@lFrJL zczNcMuUE^VorlTLm#%MLPF3bHqO*??=hH@lwsa7`gOrseQkfk`dvY8nA`&9#v zMI;utkfN0$V<@LUtAl9sM$&z1$;&-XOr|8baVg=3!Q^Jdky9E+Np>u)N%33=OQk6> zkCf_Gk$0ICY8R5H-AsyM8_@!66*QWrcAfyHhwE6T_L11dc{# zkz83%L}(dVdooEjtR>O7Rb*@_-C@Fh(vK1le;hyKEJB=uY068dB0HXzN zO-cbt)$Jr2q=~F45g)XWAZ$C{rIOOT9oH- zD}m(p2Eu%D$=Y8)(Vj-K^*RZ+E2lE8n;1nL0uPh8FNH{tK+4OKD3>_4CB<<(GJ*3^ zIb;@A5}Q&-u6i1UI@J{JJ50E+<-&0BXUe zM|>Ws)h)!@=TM|oAaOiIx)As5{zPH}f+;IaAU{8jy7YK12PJSK zHka)DDpG@`_H^^bhZU4*Hi=cmm+?dUeb4lMid7&!V>we?O_KA&RxSKFL1vchGBj z{hAGn?qIz6CiY6NFxYgH0_A4{R9|8__=T|KD|pN;?0b%C_v+IeS#gV;`DeMa@-gRz z{DRGx8ZHZPy;MAk@0MaA#{S&7GoA-mR?>dJnykIp za`EhDf>(u8e;@{(O)FWnYyq=ZO!))2<}O{z;-zcYwrT=xBOPDTkl@u^!j0o=Ln_e7|*j0N0)l;bhBBJSW}f5*}gLqmz|+hn)z`~$CW}|7Y>-&Q*P=> zW46dZcVA-m93)*cfB;KNyrW$xh?oE45=gfQCsEy%cpVEu4GoD_Ga*jhhQ!^@gsM9U zZ4SUAGL)EoCdB9(5wqV6*H||)LOn@IiXq=KiTK?fB*&Y6jL+Sm)Y855^%f{&v${t+(ZCxwz3n?#DyK{?);cs+B1O^gZJYf6;1BeCiS z2-I-GRshZ4&!5aaCdBF*;$b2CL^#vt<4tZ%9ML`rc<6Z&uVqW7wz>Ggn0Pg7vFiY_ zYR;HBx#N))T-&ABLBgH^Dk)B=5D5;Iu9LgKj3S8Q-3&cvJ`9Rs2?EdEyXvdl1uwd@VBwTCraWNA1t|;NSaxw94~&=6C3mm#Rn2c@k73fGr@ag3;{tL3=5ZB zG9t*(0)ImfGCc$7bn_-YH4Nv37`d(ou_m6R9*o7?@F0=80VGR)#c71&vnvmSE!F63 zY-i89cGjsLLuq?2dp7s7O{t48q0m3DUS3Pyj2 zFBaSC&{|iE=gd~z23)~nz-x@B9wkMkgvK@1bS$|~<(ym8ZobQ@aUvB5{|%e5bpl-0 zyu3ez_oB=cPm+3|c-YRhl@#pXN~NnAbuV-<{id6UhxvHKq~rFgm8!Se96P_9SVJAE z{hisjZ3PRLt!DPpxqkrH{N<}zxMUNXmrka)Nt2%TEu1~-ARVcQ1dB3?tq+l9or87b z1@``Yhvc_TRJ=6f%AJYaJU)%j*A$Dq4dCXJ!F0E)aA5vzPOJSw(wf5rnUs^csEG=t zdV;oBlC`~=L{;g^`{KDJ=dSZl6(I4XKmf%|?Gk&}euL`PJE-hoAi!eV;K zY5ECg%`^C`T*FJ_2!_HcElV$wc>Fz){#OKOPGDkkk|ePqXzwKwH{K;ej*s1Wk|4EC z@~Xe#pyMs^%O%29P6-ecVOY{j=#l3HrQab-`@A%U9>OzU6K->h^!0bi+uR=V{FL9V4bt#%XwktPS@_ zSGv&`$57=CVO6gQj(AS^rt<{uxX1y`N({4l@NaxTc==<}jW6K1OKggJNSxn&Qr6xl zLgf*$+b$Bht(yaZ*GZ}P4tKFBK=nS}yPDAtX~wbQDse~NlHeryvEwLKZkI^OcumCC z%j8QOQE7(X=w@trBbmJEs%)3FHRSy< zH1iuoh%e)nP7|qoNmR`p_HKN{&Ml%~lpeEn$9*)E9-$_$H|`K7rGAYt?=LWoc`e&J zEMq@np7IEb)Z5sHzalWAiUMKKwZ4ZbbjzYbD}e$5x!4`m1nv@2G9{AY>8CloJ%r^NdpfqXlDZ@V-}P0bZFo-H`iFEHo#B~J8MP4}6ee0x5$!L`A{~2k38-x` z`c4I;IyF+JSAp+=4s`5lB-kYw+ZCc`TY|PjBm3-XF|;WpHm;fagVogOq*JgvmtxgI z()DsUl5>oF<49ow5d=pU5gb!OT2cc6?wN8-HR0Y_7(2({QQavLu!!_Mg~Z4?!VROO zGy0QV*+O_&k?8O=qI_xyh%6!_qnRL&EW$!dq)^MTwTi&5tdSs}a?BowM z3?f0~Vq8I^NQfjtoXhZ!EG03mp2)yLg8W1VCe`6#9gT5Pp@82ZIY!Q}kt?hqQKU-< zQH~L$*LDzMlR}tzUSINr#g&sBD`WA@A|aucVAoWPyc6)Q=p@>>keuB`#O+Td$}mz` zp4iaPNl-wZu&s2tZVjOk`NZWl;1HNjNL(eEe#LkiMB!4}hMz|zDSHcv*Ulu=D27PA z08+Cmh)%B|MOV)0TrOiMB{{v3FyA~52IP~RP=kwQB<5M=1Vq=7q+KLHl1qqTyx0*; zlwYFQaF{sLRAH6*a{VeI6D!3x6$E%?lO#UycTB|aU>ZJ!BHi^%NEA61sVmZUe~hr? za7yb=h^)#c&Y*z!An|onF&U|cB#s$G$5ayKpNFYK6s|SmE4w1n_7oAjKZ7u%Xd?85 zZI(0;5nD#8j>OTkO5#{dT4oc$p4o&17m*ZOiGy6ns;HKrpekX7<+z#@5^R(r`4Em* zqWG-x2$3e41UbsMBP)qZ5qTS2LZELBDamzs+s0rNlY?KDV!o9kj(Nh;lY~u%5oIIn zxUPd>$24Jt*#w4H6B1KOT0%Vm9+||&*AV8JiLq-e9#x%0nJH{26d$CKrX4A<2q3Gp zk*FZa0mD>6gX-{#773r%C_YRfJ~WSPpDYfT1mG(9k`Ui6j60R0-N}@yN0XtRfbX6> z^mZ4cv9F9>x`n9f$n|#Tu}`azy;>;*>ZMQ>Sj_>c31?ri%OhX%LD-LP8eZ;_*P&?w z9w}6d^e&2vrQ5TX+|44>rAYF-W+tGSnlcNp0 z={aP;md%TqxqOW%#Q!|yXTkEtEMLA>q~at2ELAG=*HMEAe4s@mC-cf{4Qz;=Wxg;M?CqCPqat9}J4c*DM6nSUiK}T{tW$AtdWH^%B z7$pUez>)Y^Dn)w5<$DsEZ9%cSE){1BNvujC!OVpy>p&tbqewD|#_wPh$+;0^M;jB_ zWKT|O3~iYS91{7I66Q!qgFCrS8k9H2kae<@SO-^<%zTNmj3CD#me2#?L}f;c9FTp> zO-Skx-CmqXr*9D1&LS^c14#1TLuraD#n);?Li&*`R6o)xlyt)w>7tPwjE|-u-Is`T zU7`>BP*IaeS5y=wHnzl8$oq*#l=vD^en|>5H;!CA7n00_h&PQUQ8up#`CAx9OoBcE zM-GtD9z|T1S#}nMW$>K8$S0b!K$S_PK!Yp2zL=t&vA;hMc z5Z~%XQDZ!Zlj5khaU>zrozNm13SIV5(VIi^p-ke-| zlaQlNcH2Q}%Mv*f5JIk_6_L#W#0O|n8s|vq^%`QLf+UXa62}nIqyZ#IJ_W_d^)myA zO4cRvuqS1TT#SvO+{TW$LT|z{%qaHIqvCuKDP_sTiVdN*fusxgCYs0KA0T;{6(OK+ zEIzj-zB`bjvS2#wY-secBO~9H7(ZPqi{m+znj?+QNAlT2uCK_4V7x6;uyoAF$fF#6 z_X4b4atJajz}BG{2m5RiU301Ot7N}nIQw*x&@l@~Rj#|kG#L&32oh4(apAaP9jzsF zkYw&wi zMZ}>}GU|>{7F;LJt)!%|ie{foeB86K2|tI+vgf!?`wB-nN66$CIBvKgh4p~!iZ=Xj zR}y?!0J5=-@`P6MLn_EEuAwDVSch9CreQ6(XgtGh>X+Eh{6yfCcepMT85Mkw+%l0o zQc(V96*kmUT+l_ae+60DHPj^+QZC1v`HQ?f~&|%t)VQllrB$c4sOD{f^XwKM{Jn>6%KL^-ziVg+jN}BJdwfG z(%l~mV`~$J($GP9R5N+u737NztwC8tihMAS=)!&LGd!n$z;@%^F~4twPabiNEtIFUQ*f|?oWdHKB6G=b&BP=`3R&j~?o;3Q`OtsLd)((;<)H6f za>~vS-YW{?VzI=rmcrt0iKFCVW;Hcw#Z*f!TKW{?B9hQ)`YWtvf5LCdN8D$M^fS0Z zZqZFbJ8ST|Un&j0Kv-NmhdruEPplnKJ)2)8~E3%&A{9dHUB(nfg6* zCw|1=I+Q2JG&qyCi)zo!+)CG^#KIo`HBA^!Z6kS2BUv*msb74P^yxj6sobV>>@6;i z_zjyeja;a-;l=H-ycfpx{ML9{8pd(={y2_a+(f~{oz$JnqVeblN=}^P^r?r`9=?uW zqAO>6y=W<)&8eeHQQonJ*=sj4Z^gPlmbF>5bOB2j&P92{B+81^DJR_@-@z`{!GT2 z5~3VZ$XF@GrQD7A%)?j?y@>Oi9;yuscocGwGGBijR5H7*BR08HNyuyXY`0S zEbRXn^IsSuB zkA>KvGxQ#YL(gM6_%I0pAJMZr!dk~F_Lg5{gI*Rp1ADNtIfdhvX42MnV!Gp)(C}lJ zjemkmzb~+rahMLef>pmRe05|@Vb@t|UdpD%ORNzow%e^!c6JfCsvb|5%dAm9 zipAUqIE(#GeKy>{rr!x{7oR0G^)0K6Dp)DfeN$u;TWzYbw~{z$3#cutBf#_|N(MdX zuDLCKyDc%eE7!jv=Q+>5F-J&D`WiL6HWnQ$V@Gih8w~O=bM7TV;^4DNWUFcih7K1{ z-FyMZVSmKYy59rL23^9U{}F<1USJ$>jwLo_Y;C&2YLVSq9!K%jJB9DI4uaM-;_QBf zwW`Olns#4&f1BNsQ-(vY^x0s%?Hb-G&zNsjz~Y8(RtHw1?AXWwvy+5qp1@Dy=x=+D z4cf;rlE$fsqkX?;;)C1R^*@d6*e=rJKS`Vpu`0BQ?KwS?i$$0_iVt)p7gtCwYId>5 z<_zkauHZ0Gkqgf-93=}4*U}}+ zS+Zyz>o!lOT(oF*&2qYqm{RT6N`d=H(tS^o>w5v4;#1iFdXd;Kk_h`sk4ulHaJy** zPp%K;&2_~sKmF-xQ^IljHLmafD>*8)7_Jc`x3p5UtQYT&^{K)uy_$mshw&2N33U{v64Xq1kWl3EL)aWY zLwee2iq)$qRjVUIyNr1KVj}cPs7$;`U_>hh@fifPb>nAROT1A%h5k~|enq(ESL5A% zoESNGs&zSq>NVtOR+6AsOtelhx!xzpOu2x*e+ph5E%^9U$~i;|_#PtGqm1C>YOK4C z<6PfMiKld5)oSwh)R3%OLac7FNQ_3Ra_(X7osE5U1%Vk&c#92@&Q)aiHxM3PhHXtN zHb+j88-I$zT~!on)RLl8My!F@pkGRD+C97v)?q60A*7)bACm(7?Mq1wYbC_D0MER7 ztPh_eChsJ9+QPup>dDrskcK74%NPnn&XJJVi(Y6dK8M@!aw)~%yqNTW7NXpX2u!OH zAD+acT5NFapj5R+Y^WwlY={;=Bs;cIl6xB?-%Ji1s>3g~9$&E`#$CqgTT56>1=dX+ z*tMOcFua$%UBVzV8_C{VMW$92ZkF|AmOmxfrG|jG65>jZ2xu1)At00!)JlwR5q`Oi zcpW}VPReP@cUOxIb!6@<|09lKLs`sKxy}*vqtfy3=)}vmm;lQX$%8f`L^kE8hQb#ICnPU9a4p_08fHfgKQOqCRAbF-i>2Z zuP~@%62~eEB#tSHILh&{X0_C2-^bcJAM4T*f(lyt;uz*6xfs|)cyI~!l}*?jJ3~gw zDT*YI@->>skUY+kSOgeWl9P3xB=2_IgL669+%3K>B*CbhV&5)_gQ%d;Ry^% z^(u(d7x|`tNZ8SFsw@ggv1q_Rrw46=^H}Xaim`4pMtXfX?=_qwvmu-6Dt9iNbmGMpbdC(t>AqMv7b<$lJ>!Id*J3Hy`(->-lsNKbnzoFP_ZE zc(PsNDRxaG$1;?{>^Lr;_vL8wF1k85vva57!9FXQzj*T>%i65pv4v&ZmI`wiPg9c# zHFfJ~Xx&C}SvR&R4Wu8vL}_9N#U;n6=uD@hT93?Q>v(y6F^>vn^40Z$yt&bj`!bL- zEnD%KeuI-6z9wgjB&KOR4O<#%+$O-WDj!EN!e;1k;%ByTWJfybX36NUh-cfJVy4Zz z!Nf&xnK1trE9Spswt{X8UDlZM9TR7MFP%VW>x7?}IQ>r|DZgdrn1fTU`4L|z6coy1qUod~5eqCA>0miSucx8vbmM%MNWIp%-I zF??60#IXh+m3#u73y2A+BWO#vjG>$C^))1FmE#xRf__ph?oo{tsAQ0#B;(#$(iaCs z93!Ox2P&81rk74?YBPSSha`@@q;6~^d8^3(xNbB<3ptS8N$UO_@;B!bv*V9AhNz1_ z1k8iCOB~hHNlIwM->8ZZ@j=Yy7RkjD(tSG64=%!1;uvOMEIt$;NCS%9QBLK$a*8%K z5GOShx1oqopK1&e>u^;)hNp5Dj=SsewrL?UxC=wQMAFiFiS(1ktXxHqN)w^-H$$bA zXzdHkn|qT9Gu|?P(hKH~|I8ezr8yIRVCKXhm@f4-X2wTG%=wBDbKl6{=Zu*BrM!M2 ztm`2Q=9aR3VF2!$u>_f?;IT3ukMZ@G4!?la_`}4nE~a#KDu-7e;`sJXp3SgBm2<~eaqspF+Mg&9{Kp+ zMSD|IrAJkf5@jV@P}#ANrEAx+VUy;67}v^WEL%B~^;4G zbCxT|Q>{5sX-s>mDkrm)=_ygBH*YiN3RNhn-a|>RJ2i65*~9=2r}@*7A?HYS=Ukj0 zS0jSB6yr%xwmIEpyEvD#nyXo>Ia9EPqotdvE#FOByJEhW4{a%-a{NI$(mgqn?#`)1 zAFjyp*P{G5m1;v*$v#fysnV0Pou1O|a;{Bum#C6=*p%XCR}RO!a4I>7_H=JLGu-G& z_T*x8AlD-TIhW`}ccC693U`SOisO|znY)QIMLQ_0-$%)D4;qpkIGYqmXPR%H4M$Tv zI3MTFweTP=#=3Jn$An`gyE&aLJ}6S=M8Os=WH)H!o3&Yj+rAn}10htu8Y zP4ePWl-Lj%z?mcmx{7o-S-6uE*?-t@T6}P_cn1Ydx|DRe(=2vgkumh79H2YHjuWZ& zv}d|;EZLnqQPwn;Ym(opB0f~6y+WDRvdx?--cDuZZptK%#kux$OAP;rqX%6Q$FuPg zNAbaxXfJxQEX0OAa@`#q&zCV2D{($|3r(fFDCn`Hq{4|4aT4#8K#7B=*x=5|ByTQ9 z21*?LIh|rBan$BizWAWehV7gY8;%#NlHX=XNt+w(@y>m5?C6W5_`NTV0TRa`&c};g z1qR~(|HM&pQ4vQ)E*979rR0RWFk}ZVCde4ltT~-(%FS34&L-P%EXAIC(Po^<-c3>K zRyvC$1_dhg=F3)~#?b;DnzBr2F7cqF$cu}KCgOivImZDm%9vW>+ZZ>!hkk>9Lb1HH zVz&Gr3k53vDr)O@`Aq%}`m@y9FY@{u{&)nxV#(}Z*|AF4m(~fqgbBLsZKBPsohr?A zVO>4sY8@eVWi*XjD>=FHGA)a`c{1k#|26RM92xvOmJ1@Q2PD^Tv*V9B2C1LwT;&-*v zX5Pd_^CNg~?Z9={J1llyXNn;H@X7yV#N>Z5ZuEcmt!}z!{6|(#`GS6<6f2|tCZMHw z00aye@CyS+eNF#iPg$}5Jn=zSq!Tt1rd~_l<|^Xlnvoi<#BD1k&!UQk+zcr)TS;hJ zPQ|%#E;^Qk*aEzhdU026C1vw*VLL5ENOz1AmXNWfnY<0cgtj#hE7GJYxt!Jle@;qL zos9G4ctSWuacRVh^f{ue%c*iT7VHI0Q{wC7vX zrAW?bKRRO5@k_45P3U~c#!g{j-O}kBq(fH=+o&gJQ#-jETS!u^Ak(Fi+MGx_ifyGJ z>^Kwa!ReR;qT-72iam+f_BK+t_Q)8Th}N!V41Q3;LdDFU7XYALpy zk9Or=a5Oamc_c;ka!|&ewy}p8iKoJbSg|2vYg1nwvLqg{`wFN`D5bgh0PQ)}0`5*+ zjt-_eE*qb;cAU)*6T9&!2|Esp59^89DRNKZpC>ltY;Gn_O{8;BH4XW`v=>+lxZ86f z+J~;#KiHs${|OFm>L7XhQHf(?UmO!9j@g^r`qtk{*-=HBL%GPtxc`-lrzIE9M#m8s zTZngpNbVi2WNbP?{C1JSD#Gx$Hxjb5m4myEP`0+7D)lldQwjy}95|h1!-W_Ru7t&M z#pJiBguBY?BzO3KKMWE;aQ*0O{|HlEy!@-@h3sb)?t^$fmgc zXTShOT`AuGABISs4N^ROrQhG=^>6H4^hQ|TLwwZF3UGDM?0R0QgNtf7 zb0)VkY}_?Qj6KcFVJ8_s{1n#XZ?SXY9Y&AnVdAJZW{fz>++i1(H0mTnryOU{_%<94 zwo(|Ih3kG_ymbzcu9!)#?TeSLHvw99i`LIe7ueiPKL%r75RyzwIj|*>@YhZ$6JwUXu(0T!P&}= zFg-VNRiwdaxr^-%B>ZPQNm@R5>)GHJ>qK~zj9b^82y++m^lZu1w;NG_;0@_F=j3l>)24S&w^}C6T%E_@zZf6dzbtF zEshQ{erK`6n}d2zxcXWWmE}#W#4p_3jT{5}J{#hUOz||ez}?cBjGZzD4H<*j5Wi2( zA#qIFE!WfY#9s0~t~`)%6MLdeJxMY7!-iCeqo16^+1gRA>qe%Eso?E*>;+l{iYC?(-#dzbi5OJ#aVl#o0KJ zWYq(dI=T{_;6t#fi}=xv1o@jNd8OF$oP!CI<3l7D!gajx*&oco{RhZ0&?C+?gc;Lw z7(2O_IU`OndDLmfj5;OT8Agvj!V>-1Y=vwbz~KNNBUeFrU(b-KZ`y z)Vk<2tKzJlX!E^_HO!9?wl5WH{S)X}KS0;29S8jmEcMS*sB@Q4!!IzC*A}LSurxV> zqs|pf3{Rlp(8C_1i7}I7F&8sQjUqOn2bX>140&Pl2%5J7Gx|=eMqeSS` zkz`g)m3cW8?!rtQO32qPra`ls(*5;)_Y~&qEA9!aBg3Exf17e_<8z6xZJ}7Vf^4lK zqRm7?+m=vsppFL1W~%qLNdXD!%Qi?h#-_uRp{zJr? zil0o&sd5%p;aWk4P63Tt^4>l7dAa{CHK8Ou^)&KE9HQ8cAf<+@X&Y2u+ZY0~io#MUSgvw`G=2g^K zmQ(B{v9c(jLc5IGy$xg>yR zkZ96Kx@j$?5`zT8M#8LwO&W?C2q`4Au7!BRPT~~{O&T?lVpmUwLp2$4o@~QXawL8k z2JM6!bjW#XC^O6@!=esjv!m>@?7`Ob2o^>sF*H4gzUg^%&HmW*O)p?wx2g=r_qVyQs32(NS?mT}B6$884)1x%x$VCYx%D0XJMZAR{Q)y4eZ|0`e`V;% zPfQy0Eh7j237t{Dux{*M7&!Ph28{fj{-giO;2|OzM|?~FQ9m(Z;9tm@`H9krkFX!} z8qev^2^jnU%W)4V4*e?@YOm0?d4ieEC#+X|huX%s=heL`;6t%5A59g6zhPmFw&NTIDXK3?1p{DdCAwPZ+(JA;8$2EeZp+*cPMXviG|i%taLwO?Ds9p z=U&Hp{#}wgcbD_6g_NU$bxZ3-&w9cnv;bwd#9RH@(J4TWr`P`+I-K zY9#^t(f4o~_!6srZ?GBk61zdK#n&IP7pZNw>^*9_&#?FS8p{>mptbP>ntR`1x%(B? z4qvmw>^0lR-oSb23+xm=kYoR_;Y(~sh>eRru~Yd8)(1ahvEdWO>%V2kj#pU9IjqEf zeXq}~oPG_v5l?Xdc0h^0?EemHvB5@cup9JN&h-_}^S);9y5|^py_Zh<6;`XiLuJb= zOcge0y~9G`gVlF19`z9WA+OOJD!v^iV;L-VkNkkatS_-&@)9+xXY3064&ya){Vng& zmgCL0zQES-9lQ2DXUl|}Sd4m&wT!`L(EpC3-RMu)EcuA4+7s*ozs6$CXY@CGX4fvU zVb5!<%s-*!@{x6uuH!iDx%fcrQp8dG=pa6@9{d#t7Dya7Jj2xgBbGZPmTSI8SxLqz zxn#BfOU%TEWs4Nof5d@-Z^Z`^has=9Rrpfkcwpw&=&pQ)mYw`B*Rx*v9lJKY#X#W$ zMJ{@L%eq~U(Hec11CoPw10{C`ea2(p2MmXQhvuyBv0d~P+7dJE@b9o(^%>LEpD|GR z62pBTa5Vl3Tjl3i%zldJtS1t?mv~M8Gwu_9#C_}?{AIjD27S|4LnDTK&Deo|X4Jrc zFm%x088rBB3>@-z28)awG4OAU9`IL&5B??|DGh zo=4;<-NSameT;@2$9hOMHA<&AvF1I8=HKAL;4A!l@V_`V>TeR)O0L(M^ZdbxzIFTF zT^>$*`vjid9ZpBdM6&9YF$z;5I64qhUw=FfCKBclMxc*9$Ghw(%~7KH(6&C{TC{4F z0N3_EfNRR?B?4aaQC%~J`sf%At_q;0H3Fl*I)E?BhfRw! zQJP=QF3ScSORu6|eF`=IR<^?P2IYA<>=dSBRd4~T$_r=(AMNwuHl1=@+|J^#{xY_c zkK;7H72mO~xGy<|rosm+3t7KBiR}wAP|+wxGqMG*Zi!=TH>=h1ShKxI;&>WM^~>0; zzRba8=W!cRPtfdRm@jNWYh@+tR%M{HIE!s-3)tmRPeAumw3Cjq-LjTN8&WZFY9q+- zJV_FV81aF#_`qOGE4D5&&P^2l!FD=FfF*qZr{Uf*^r2%Rx5!U zjuSn%or5!*314uEz?tXRHmr-u6HYUH@?l0!sAlS@P9}^x!Nd_K88!BVNXMfh2|E}+ zri(FSj)`PE%J>oI7&WGc@uOPVwlW;co$feD?HeeIOx<2W^1_n>>Nl_-dzuQh!&EHG zwQc3xd0($1E=|AblnNvCp z_Z>t_c|U76u4mnv9lsT>uXcXLvMpbsy5$SH(oHE(KEU8~4$0>R@V-$`(5(tQ&NQLd zR!jSM72}x)xmLZ3Z>HCCEEi@Wmo3s^Y~3+0_7MCUeRVFP@ZUB~mJ4lWiKa;-9tU!n@QP%}cLe+w0Q zXUVphCEf8PsV;}9$-GQT^;wd``$@O&B;2Q;+~{ed!cLLxKS{f87iBiRq=b%Bkan30 zoe`n?Cn>hREHrt5+Qh>oS4@(Yb)F2138I~P$WIt0Eb0Wso>MfL^wD76OSw%S1x53u zT91=wc7$^46QtT6pi*Y)A^86xrHCwN)?4-h&ht z%u`}8O1Y890qaY|iHxX-8YZ>;ILYM~NVb{~ia$tBj64@MNkrJD*eNhSW@pH?6T4k{sL47;eBEi1qR*0Ud6WoGkymj?1j9+v10{|kJ1Z9B7&t;f z_AF(3qm&s>N*phcAaQJn9VET{2+w3hwtk5L#Xc~d$`Qr+TuM~QbBBUN%LCQke!1+2yPF!d708j;(jDd$LD z#APBmCApn#cZN*24(f6blTve%q`(s-+f5M^FhEx9q_B+>omnuct=F`-bw6dHn)}Oq&BW(wR1ARmd4CJeB7tx z98F{6a2!{wiy|Gbi)6fsx$``34wKjg4GN>|!7ynQ^Ta-E#14zNDPchuaFF~6a33Jt zX%Y{U(*%A!gU=hcU#b-6rDq8JBA=lHLmb(AoRKXpOn-S?3fI3dz2sM{KJ4Q{t0zCq zy~b@RT=#|KEM8IO(b-REE72q;Qj5`9TS|Le>74Z;<+~`-zxAW)u?^#wd`Wb+q9$1x zjon6ky?r&ycYg3&;re>pGFGi$hw`Qs9PZx1iA$f6+pdMa%LME7FQMgqpVi8fY}7r2 za%==g?|sgda|&F$x`MM0KH%BxE8M>P9#1ZR%!N)(BHp{e+^65rxLXRIZ6M7DtEt>r zOX!XcG7n0@ICOy~!yELgUm;NWB;IOQFhBHw_doug#XI`Gsjzrc%fG(7yROBXe?ocj z;{JCP=&@@f%gLQ`_Yj&bVu*6e#y_^3 zFuy5s_f1iJND9;9-D3hT(C#x&b@)X}yw4G$-bJ9}F}gjD34R~qeB5YqiloXeo8X{k z5+YBLc<=-TidV>2JS#N*9Mzu3Xp9lRgkL67>o|@UhiUOWBSkifC_iV)U4m$}Pbb{1 zoaFRVM5#}Zt2j%k;ta7C!oWR`GY~ODdH5w#OwNgPK1PNxwmR2BGCZ6qbn&6aC5d>) ze0)=f32{A1*{*4dl^00RJWht+8HwX5N}^7a<8~2mjl+b=YdSr8Nbqqd!ONXi#~4cN za|n&-AYKYX%E8kVD$YwBkCWnkh8Drw95GF4&{aY;Cb73YPGi6n*-l{+3ty@nBWN~F zCCase$gC5@9~vW5`8)+mg2DbYr5?xWh&d~9I8VI(2^@{2x%f^~=9WRFy)#t~exwGZ zkmy)UaPBd@EGMYlafx!p%f#y)A&gCS?B44aWcCQ@5lim%w!;MGK|uPe!(p0rCY z=G)~F9@|HZhcwFr=O|ISM3%}p@&2>a`^?ZDE;hMbCt?2;njQMMn&its&USh;4ltaz zjn?wzWEZ&e<(I9z`O43{zT|Imett#a^}nL9co**1{>E!>K1W&ik0{!F&nCxTS?Ts8 zD?NT-wflE$wta$i&^5vWW(aeTT=2Tgc<^INRnC&Dbe=S|QA#w1C|NO0$BLinU2~mF zt8c<9|4RzjpQUj1OX2e1hj}SnqR<{l;d*#|36Ex1k!iD+e0wWK?wOJOB$?t{;gmnl zCFu!FBYy1tlyx-mer~pk^Ce$KgptY<4w`OXGqqr7m)s>m}%1&Xv9pAE5160xEcFnqglYmOE13sn8Pct ze$N|=g-WQV%KQJqhL0C-O}==yk;S``EIvx{dgWhv<>g(hALD9}&*}Ie&IEi1d`L0M@Dx6ekKOw=$MhBr-NUb3nAU{R1X)B5$Zyay&?WFUUUvLO9F?}8Wl}b zL^yrEK@=3miLH$U*_jh*YD%)$;p1(Le_IsQQx#+;coE}jhO@gFEyK;yeUoVkilQ|n zk|O~T)W?LQE=lr`niSe!{iNEj{A zQM3hz&>k2_(Lf2sWoh^u8xU_|E(cVfkQ^_f`{JnVP9Z(m6(2hbf-}Nt>8%tD!M|Y$ z4-*W5>X`tPO`o=0fFL!mS~zMilp1R3tKbCG0=wkW0h3J2GS+=cZNmK z?-xv|_`hSSjaW}7a`i39);A~ETA!fCP)erq$jR|1(ZdM`2Q$k0WdHm`iDNj;f?@IB z>dhfRl!{8o$%-M+$bbZ6OCn{Bkm7Js29l|+k0(o-g{Penk!8_TcV|-*CWj_ChGvOJ zulS>`CX4)`5+V%sh%+%E-q47k7zaW+BdI%*OGbnn!46iqi=W#hmntJdIPDchyUfpz z4I(o?nzB>PczIZps%uKVjtv2hHUu|DQFpwE{3LG@T&=NlHKF22IYrrFvbW@7bR=Dp zi*=E~R35Joh5wSX^A|Z|f0N(;mym@2MDHukyMD6t@-QYV3xuts(CArt~HTP$1_j&oGrBk=X&uYsp$ROZUc~>EAucnJ-UD z;rbt@75WAt`!d3j@>O>4LP#<(`D-^TV;+fmy7I|h37sA=0v_Yqf|EnV=`48~_; z1kw9~v1#bT_Jv5H>xra1k7Z$g1!JX~`TpE{QnW<7-caD!@E-hEUSfXt-$-9`ioKs@ z)3Ns!1?yU{`>IU3w#X#S28KNnd6M`L#deXX_h6co`g(29Rh9BI<^tM{KER!1bFN-42f4UF{+ zP|}f0T|)vb%~^7Y%4zH=rLMD#uD&Mv@(XEettTZrgH-iqVFV)8G)0c6)Di4gOx@Th zZS}=eSI5!Xnn`JYA$21aG`5$}I?%v?;A$@~qqL=xV3TYzqzlHWk5i~2hg~<5%KpQ& zH`h?pm_}_|%F8P&)QlF<(_TPpcPV|cc1KYzC4*J?dB;$wbWFPWaZ)vU$kr$(s=YSUk%-Lm9!KUiEkPSc1)r8;7Kx6kCDFkSuE{B5}Lc| z>};jJHl0R^Lqk_Gm4|ca?#!pDLwwNMKyO|pbpw6)M`n?BXjoX4V9@RtKa`RhQ%m{8 zp!hnE=E^vl+p?)1Di!}pEMh2g6=5anD*$G|u( zZ50%@NX|DTQaO-H<48W8Z4&?P8U`wBB#!mucC`~|SwM-f{4`;yS?Y@y)XXQndq7^> zAh{@wpjmRYCr^rI9wYU+478Oq)DcTdnK=!I3rUOXB2ncC`ASm~&*LNsQ}@!EMOS?W zEyGDP3>BXHYE@|60i-8n?Z&SSK!uV!w;EGQs_>)(WN4Kma0!jD&8 z;m%^=y7Jr2qwmfwr$yI>7E51Ro~okzuRTOuswFifjkFgrwB6I=*zx@&9V(;{XhMG(I+e!pTQiKu zyQ1+$ZcKW%@-Q`wj*>l8*REl(XeSu)UGGm+FX7Xs?72^|O`yeX98 zRDXgE)d^4WB_-UGa0^39dJ4(Qh@(WyRhXH(tl>hUsWtw2K_nFf5L)U+)LnRB%Ng!JiDAdJ^B3*Z3l&;jO+lyrIAT=k5&>COd#zOJw2qLCI zy0E7mPWIZw%OQw2GbS;@lbYUA${hVD&=I+6=0<_OBYqxsM3+aBROCxwt=QTVPDo=g z#UcfKjMWG#2qDSWnOIvhDNv>4$AuGX>O{D$3+X1p2yCnfEfBlQ1M#l(AYeF(*!n=q za|21&Q4<;INOD>L0fuTscZjd5k|@@9Bg@EL=DUz(XopX-4+(`qM3snNdqN0p_93M< zf;@YBoL$UGs*EPeNR{M_07|5omf84|r}s|`dX9MeI}%$KK|-;wuq1DSx*{bGaTEmw z;bUY>d|4DpPI^Rn>QN#GIX5Cqn4K#zmTqJkI}>JSOGH5^@udNTRf!LVLkVf{r8qZ| z2t9p#Qhi8@cOydlQ6LPrBrAxat^UtaXSBb)=-foQTL!GR2P>CT-%WVXr>E zq-RDFr>9M(u(!kt!E3Hgsg{dKI8QQ+tV#9?$J#s|HGNk!ZIw~7)kEFf2~{(1oYcJW z(r_l%QdpasJ$ibc=o;CeZs3cmK_J>%Vn>uA!$tdSA!`QBHg$QgO**;rdr8T)o1$T={@Vg7`$P`&C6diJ+_4x=U?ac z?AyP+$JL=v^!qos_SxTP+%k%#dI}j|c9XMm7T1riFdNlx)1W;`ZhWz zHc@jlnvnMExYquFOT#^anqP1r<_=b_bEx{?Vw2w`cKaS@pZf*&x-GCfaDwf@-RucG zgOck67Jei2dp{vn>j7CocZqNpY1;D~_spBvjm%(i>?}ToR|(0ugqQmp#b$ZkmNUywsXVMv*E&7pG8gd3I)m@&JNQ(dCAwe% zzt9ETeJ_#~GAkGk6XJQ4#IE0yXZn=1y-&zI@QA{FxA0LrOHATb0@9}OI(!YgwiyD; zuHqMS1vk(0WJS(W<2XUQ)d;aoPl$>7f#?H|iC2Cs``;B`%u$eW7ys;wcr*$VpS(tB z(F{?Uw_ffa96V2v*I80c1_{evAfxOja(6#|8OMTs_azor@v=HcT$b3IcLtxccW^8{ zOL)mmTzxL!?|+H%kZH;-#|RHRK|;q*r0YK!=PC&|eypG?- zrSTlmg%X$O8!y*L3A;jx-7#qxqr`N4i(ljmQuaI{d;eoH_ur;@+Z;{lck#|Rk6Vu@ ziivrAN-h(eb`2Mg8EIVN1NTYN&4!68y-8Z;Pm+tjVOY$?B*{e|$C=-9vE(csXC;31 zy`*KyS#mpvXYhFnf}fLN@R;DpJ7lCBAx*7ca_~Nt2k(hA9l=NY2*!uLMQ#7LXsTUj zujU-PG;eW0^*$Xlo{(`Kt&9^G$M=bEqbSNa#Fguu;NGNyjcn4qIVRJyAutm>5lmQDJFT>9r7X)Q8kW<(9Wef!w5 zVIN!9@A|E9t=O`auQqH)dEck>)*Yg|W;3HLs^odQ&=wj;y=SV7EaH!iqx<&;`fh75 zd`pQN^S@)bcnwz;mU89#5}sUr_2qvRGv1x%<_FK|QtZXYrhv?iO|+|=z-R4od|rD* z;HUnUg5XP z+bAgfBIBzgMb^euI`);z-^e$^0AFy@zJZj1h za9#ftpOsIE|MFX0Ha%hMj?0*=x`m~}eGFcGg1f>um@7QSVf&BlIrMpEJh zH&9l5j_rm&;I`@ok+NU();nlQa_DaR2BTN+V=MD4WWIsIBf{4Gg0A*czEZi#a#z_~ z>pp5qk1^iy1edjU3I6&8(Hp*F-});kAGn3hJGW*3N7yJldHH!Pi?^O)uKq1+58UHZ z>shv2JU~bB5n6kn;=JKLKC7P*welIJoA0xI&lN1cSiCIGEzFnPm-!Ddkzu6#6T1{2 z^Rf0d*0?`p^_~UxXg$Gl%L`nVe?!LCzY_4(L-wwk!*cCy>=XpcYmZ-kmdQ%6*suD5 zLu!v$w)iBpVe8L0t$L1&G@}C5Z#lU60xH|?V=wX56Abn;-$8I#Eq#uW z#&cFl5&GO|o;9YI*{VE?=HBmdUHdZu%b!xX>nS!{Z*g$fEet{kKa~&ufc=IaCDxCK`1%%+%1_y{c?M&NtCir^6AT`UK3v4G z=?C_!Jm3q}YpnFY!P2(cbm|tJfqJ3U@Ghk^tN5$!1kLMd?dIf{?@X; z(PAv$d4|9KudG(O&1Yt_Y;u@m)Bf|QD80aG-LH5re@6D^$N2Al#P012n0@(Ba`7RC zl8YWP-%QqW*!d&7l<)Jo=3*}1Ku6^+8V8=?D7k2{;vv4PuMoZG5_YnN>qkGx{KY(c zO4Qds;`F-*?E3H_uPeOZ6@{PWynH7=FP@#hvsBK{>+;<0rN2j8>Cdd(_kvF}e$OX* zl5^_M_+0h(e0|^t4(z**-N9+Xb$SUmtf1a%P~vt8-*@j}_ue@|m(|d@vy;(1M>u+5 zn8~FR@alguz2p}uT&+^L?D^^H>s%LQ_T_mYzDft{}! zu5~dS4E4Z6SZP|Lkfb)LN%iX_XVh7}X)mj{t@!Or)+^R;=kpya*{1jgy+zhE)TmL@ zr$Jk4B{lwO6a_?5?H-Bu@DPgsI!yYvj^sSo=Jwn=ZjBt|#`QN}{+sj8O$9D=?;w@wGeYqN3tsu}0k=dpS9Hff(+qj&X1d^UDsx}^@=1JP(~sOHe7 z;Mg%nkXvz-BCI`o(2($7!f)YCjR4O6Hwu5NrRsuq5h)QiDv+)?g@x6o{ z%pz}R7O8u4$k>xZfJz3<%@>IeX+%G&5bu`bI4Kv3d~YVnyB`m?Vj^Pegl!HJme5a> zRvGy_Gl@_tAaz$YQ9J6Wik=}?n5MQ@y0C>o{7q!OUJa?P<9OTE5ELUFvTj(IU@w7= z4P?vw*n>GF?yVqkdmX8kgS5BZ#n3ee>xLG5L!0qZEhElxkWj}q0z=A4&2JK!+D~w3 zyTq@MxC4bG?JJ~Udp=?6dGt5V6Y5)uR!%vdwPW}w6%uUKL4x}L-aZn?z(97x+;M#66Kk$yA%4fpZzD#JLxN@QOj9tVqvu3WPj2Jm<`HiE5 z#CJ+A7QMtDf1rr$T?GUvWzkkSLtI=Z+F|*)beLFU=FbB4^uw`$9oS!=O?`~t?u5KyR-RNxU!sp;|toMzu ze|-x(cXYDzKoZ;c6|s5yQFd%PiQ497Yy^+Xo<7XhEfDnK_k=6_1#c;OfuEeDR=tbb z&8>88zE0J;tK8o5d;WXL|K`jq|G@NjO`LDBly2} zVss*vlU>~mc9qb5qLBWj@^o^+`FF5 z2R`6byC*F@yXc<{AkS+G|6S+ALF1(CzJ^hNFor+KLHN;+lJ5@j;QUS|>sIoORMJOt zzg^bmT(1iL?_Fek^N&JP<$zfv(XgwQVby+$&BkBe{kO!WlB0pK{2*HXR7o=Zbw#vS zcjI7i7E6@$==G40aTzb~%j7EFrDoR+DugP>MqEcHYYNx$S#tbt zQY~G${Gdn$t#jlGBgj&jB~(~okp3{yLE{9bNnz9wS+VOXbt>10wY!Xc{$;F6&yy5! ziwX^49wJM!m9J2~?-DtOW(YPuN38Y~@g^tmExC`k@dD+0?$D%oi&Wjq1m#~xJ8z1R z%&Q_*7O3AdMV9JGk~M_-E6$OqC9g3&DeLr*n0F3um$MWI`>NY>lOpv6ViInknRy(~ z5|LA$Hz+xHnLMHW`AQ<66fY2^cZLAdDH7F3ND(>V8h4e{L$|5kd6PQTTg17~W0rdk z`>IPKcWzLrI!(>~DUvkL2>wgtDa{dKaDgC+OSsDb5&0LSmk1AJigZFDBgFE24TXv8uLVD+!RT2 z48OuF6uaCId~;+f-y~mg@unTKf??5*r%6yhLUjBUY$8NTiHxk=Ek0MjLTvCQ46={m zQgM+S|GShcT_jJ~eY*NN@+6K48doHa7fDecBf)bN=fX=wY1|eWb(0eHIU;S&;+eC6 zUCw2aqpwqJFhh#k4ADB51j9wihZ%eg&ylKhg6abjN28O38(b&zpvYLIn*^E65}1Dz zz4YTEr>>IaEPqoz{qjxgV#V_klZ!7ggd0wZUwetpI*KdsYx!0={WQsXQ3Y^F1o~yfs79hxce!9Kd0}J4cPjY1mpw$nJa+RmzFh3ZUQ3l&8sB443Q`hPQ{d zTt`C9)3GpZ$JqD?d1iei>7A!WTarP44r8M^Y$XA`wJ&08IE%T}IV^N8VlCQSHz11? zf9blqU1S+|QmfTQseTI?(p7U!>nVsHCMKYpVx4ySG{@*r8==g71pn|lvI-Z!?^Q^x zeznZ)Ai_qvm~^rd-8M4KTgf-7r_`;T+>{|w7jGz_(?E|TV5Q{%F`-=~XB{TfxtnU; zPI8Q!NniYqpkBQkfI5<`Wv-EQe1j^oOO6ZMYo=bKmqE?L)aeY78QMp1QZrd`trQy; zQK{QVyrpz*%T7x5I%MxQvdo*w*RP>2WrBENie=iJ^lOgOD#fVSXBfZ8T5|IG$ucXT z%&>}7^Cq%P8z?cXBgLYcWSc5#bxNr7X(b`CpKLwpikic8tBp}%H$qtW;>|GnNp&ir z#-M@%gLXO0on)GHQl{H3>$Z_+(n#Urci^&)lWf{VlSUr{(!pyChNa*MOG$4dBdkI8 zFQ#0tnHZ}s63u^`pJ&`kj#)E#;@>(cvhj{BRB3j-^g)xx2n7K{_(j)|lhsSENdbk% z!d@(8j!82W;*SioIT>M25PKZh%Aygn03*t(a(T1(^`{WV#4}}%pM`bSN74%rO2p^ zbc-5_jcUm^t|h^yo)YaUI<*?e^6e9!wo$IxLyOj7O7w=w4IUsWx`D#PPO^oOW=kF< zSoD!=)Ipi}Bh|ctT(bsYB{fvVPY@Q^OR;u`#Bq#v$;DF75&R?^am)n8lcLx@8!h>s{>o9_mTY38WjAp zhD{08re##gYaES+(K9=Rwc&Ye4K87Ca2Z$Ki@0k`VRf1#)cGp5mS?bbn!(O#0vr2t zSlV32(RP61{0pcvNjvnt!BgeHEiGiCcQPg=q}Wvyh#I} zcq7V-6NwD)r?e;r$2dcB&->EQr$leN8Q-1QDcbWhZd_h8=(m4yUhG!E?~Q4CmOY~G zKqv7wX_T!mrB%5P&ou)?Z@EU&!5a+e-r$_Z8Isle@zEZ`L$8;O%e(kwmu{*DRy+B%v{!R3MJIfn`j4ZlFThgHuNUm$eU0TE1I(6$qI55`DaCrqZ2vyF4UR3 zNn!Jlg62()xev9bz63`F6X2pss+A^b#ttMIc@b=2PeEiTWr>l*yEu|(=SRG&FG((o z_B&B-<3NdnD`lo0Wc$Yu=%P=mt=h|bJf;|W5@F~fQp1(zyform9Z7R`CBxZ`T$xj8 zB|pn!wyPIS2F_Ht`r{pFMWTbUB)Ac&f_+HOro>%OAN7YzNE@pwU&;Q z**Q=uYn7XNkr@<2u#+~aHiE&#R{ZEin1K^1K3>#kB?|@z(p=nRt}6w0ZsJFG@@(BH zbMU5J$3+f!kjOL>DSoOXo0*9Z-H0)AA;QX*j{Hng+?`2r_9WTaPv&{hX5vJJwJn+M zPSlt>OX2b-B*>2_dkvBWLyD<22_`NC8#+^)l0!~N04Wm3LVG(3Z5^nya1uX?Jx(6f zTl>*z;7f9Fm|#$o#;QSuj=dBJZ(-H;VURMIYoixKS%UD6)2^(8-%NJy&WR{Rjwf zB-2t|nu0EQ1~z1gZ?X-%$+7YxRdO)h(Vj{hFVbCXNOd!&%GQ$t^K#byu8=q18{w0; zFY?aPbG-f0N#6NjN`5}Vnyu$Bbh?Fx%RKvCZ?HY!5}U&>vpIBuodHtl(oW-*I{5Pb z3=wJLjJAABoZbSVDnDWW^%(+J)X={32$NgSa&&ta!^`Tq{@#76mVAfq@0yvG!u8_9 zo7}phz{Ba!nHyft{n;f9KH7xmKOBj>l|y$_2|XTGv;@18>zF}$Tn61;X0+F-(A>No z73CeQS!c?sHG6(zT-(;|Wc$~L*tX{*j*o2T`r%C+J-d~{87oSLTxcJOAZsXs+KFTi zpE2e9@h_R_+r)R%A9MQjJKPb*wfNbLn~N6`>{21<^=Ssa_(m94FHQ$N=u{b`c*7;! zR-C~0y?%0}Gy2>VDZko z&ro>vHm@rz@Seg0d3=h(n?IoNicsXZ8OEBMsZB_vIx(5?&~(NlvuTgcq-XK5q8?X^ zJVLe~CuGaCjMGH!?2^u1MptAxElFu~$D}h9UPyC%Hsc}5oCr;#J358_*nB#28u1UV z!)wPW0yi%Zy!i?d+s8>%DxfnYpZ0`sI#NOyi%6BdvpMCP%h8|$nq!I?4k>3OLb`Tg zEB1;_gl;`8V}`J8M~U7gEX1{nj<|f95>ptC$fYAXpU#*Jrh<|LLo!`rSASe7RVD2> z>Q@l9{lq^pEPkJ_P1uBBh%Ti$DV6TnRIwq4v5+iIh8EBsl}A%@F{8nSbmuqV99Tl& z&T*N0_T`A!F+hq+DIKAev?gZI9+%2U*rE?|7!FJ2WJnTy(aH42R&)dQ6qNl#rW?!LZBS9 zV6h`|M<3~GC3J^X&>Wpao5Zp!TKpf9!JKD2MOi!dsZ0JcXCnB~MzpcZvx5RSS z6rtjS*qxPhdbQ9Tl|_A84E+-Kp@?*j2WE04G>?Xue1=1dnG7zcsJInlm1?o!3}KsR zh}w1nzum(4JS(Y5DHLWb7@`a4i6{{a85|FemHddNGd78dpnMuid$6&pA$0pBA)6QD zcuFvIl4~Gwj4GogF^%?k$vg4ENVxdGKZkztX-9k!69EMbWYyvpUXJ^&!}xDINzm>| ze0FyeEPn0H6(*lkKy_*~+Zbrjw|#X+SpTKGEza(wA&8sGi_qmMh8X|w0q-0R%9p}?baUo%qm z8PoUQqWX~mK|hBP^KAn8*+tZQd(iF^On*QE0ba%o4Vlwac8K=YU8rlVVAZA#tlPZt zxBn_`U$>DRtG2UEX(_D@Ml^VtFgD;#+FU8#C3Tdvw_;vXMdPVT?#)=x9%D#_yDrZ! ze9ZM*Z}R-=TilnzwRm-iD;+9Cygoz!2M=l6FErdDiHcqIwC+8L(^n!LmyQy?p`9b9 z&0H`|CCS(oU#CDkY=be?El1s=0~PZQ!mY~*F&QCG<1BI7C(+ZKM9ugxI{Jq(*O)?I z>nKXbr%}-wqCtwyKzJ~bR-r`MMNnc8NseIzsrCs(n?#VFRYycX3%Liz$=`p1Qq?I6 zHAJ2nWK(1kE|Scba>Gyx^F^ZyC&yP~mAnJ93#D*YZZNr6Kg-LgeC#ha!j!2lo{l_UdaFS9r zq5DGpOHGnQ+W1jo8c4ZzIGJYgWXhg3+QMQCV?{P55^5GpQdtk-9wKA+ANePSaWuMi51{ireuq(sY$3VnZ(o&F@-h7cg#KiMpVI61h%1?{9O4pAWUOO#Jiq~0Yq z=TmGNB{uj7mJpHCQNjunC^8Tmv|@yz#u05EPq=#)ag`&)Yjw-~NeU01qC{j)k#@OY z7O5a?WD$Frw)k88mTeL%l0Al8<2d33L&%~Jss{+S>yY_J$$#mCqZDe^i8M+R`-3DF z{uG$_l56fuv9Ukpf+NW;h)`=^VQ2xwXXFr=E^=IPP+~bn(IK%x;!vVnDE^nY%iJSBJs-h9;bTm7?sLBB9E)dFwY>zG+5-J zn@BxjxKPKo*3t=MP3l=Zky!c^iInc%WA`?whL|*%oWf?%O zVU*-lvgAjMNWBOWZ6k@Xj3PxRmduhtd;_}3-GBJEII4&*HCiMWvjl$_1x9`^{g7ko zBWp?=#RqBDfdt$6k}f$C7bdZa;||N2uC%n$DfqXgM%U&E{Gz zY@KEF!@uM3=?Isa?0LHII&(s9o}ORNP}OSA-B?5KHBZ97%Ov?mB4tszGzD4Gs6 zs2DO5Js24^qpSW9!^1mJ)%cQiTQ|#C_gmrGx^^?WHmqWc=4&Lydy=p{n-e3s#C%gn z?#)5U&-fAiT?RE58u{j=HJSF-)O*G8X!;;muD;0+v%h^B_T}}s+Es{s?L3D+en$79 z0qk}=)1lf$_0CQ_*Nx)%-VMAy_=e7nf8prHABBZo$6&(?bXUD#{};cqaoNAHe%a3i zZn%&0+Mfwq^=DjH|CP00{gGws|HQI&e__?izp`@ek9@iD_pDp~GnIQD(!TW)f$Qf9 z-g<@fujYweb(vPrABa@BjlbV>JpF$pO65C3H#{M3_jg1aJ}1KS1@@Yv;aA=uWcgj$ z=OQMuM#$>Lk8FH{-?k?N9gydZ@8X^K9RYf`3E6o=*w%fbHJ*wj`hfuJ?{QrFlz=aP z#AC%H>}9R6l@ADB^%%=lcZgUk`)qlPoA=-FH2R*Hecuwc;TvKVza`r4IUyd;Fx_$) zpXK)nUU?1kwdZkIf0Ni1Px1TeF%e4N;JWJ?eqrC@=K747L*Em!UNG$aju;bJ+v^3^ z%2#k%ag&f`4+vU$pOBRg30rm#>ovEr*?XVxJx}nq_(quNp9xj}PME?s#O(N%D6Qv2 zx@GH|t`hXsJ-o$l#_KQRyY@b@%brO`eN4FW1DsTD;Gg;vzWUFI-u;4zO;3qY z77UKh2y%If>4wW(9<^}=l?qRm^2KEwzklpw3a{C6`$UhLKA$x9qLhP>J z<1hXRb^Hzw!}~a`oG0{)tN5=xi|v{j+&13CdgDFpcikrS&@CKv=kV|RD^A-V5Vr0i z;XCC~^&tVqPo$v!9*d0&I4{3O#8-C+SaywAc??=}4~?x?anrktk@8I(TK`6%!DHgS zx=ZM0@t^VwvQ3{;Vl6o)eslf$9vT3k7mDp^&h56o_gzbNboAEt-6aGS|*cP?n z9)A18M*WAx+B|#tPlwQT3#4znPvWxs_-(j~_vQ=4Z@fmuM(ot#-Om_x=oGr?(g8#r)QXY_doFbV4Q_UCmvmUl}q=fa9!NM zxyo(am|aEdJrg3nkEh`LC<1gVYaj69qUhE+1?~t9oa;~~{@r;_eD)LdJK9Nd$fkT}Idyv*F#BB|)^GJ;`^pIl zKX^p@N8h2LFvq6X?(pe5XLJ0b8XG|T#eVj$9>U(^xk$k|Ty!qtc;Es)pP#~e!xgl?I*#+kNe-?) z%(gEE(Oo};eV`V;o%Bjj6?L9IX});sRDH25?_7gu9~HWqKWl)#I3~ zI?bA|kE8mCp{X6Z z#GzF~m~EM0!`2~GKRAqq<~-){&(Kf4!7iP{XzU(i|ITBWdr5ILypEf$_-OkCHtYKF zcfN_v_CB%U2zF2pR?O%*yZgd{!)NjyEzs3QdY1SR=!^w1B3iWxs-kZZ~*}Uw3 z1-mz|VD#?d?fmY@`B@wy1r@HzxFJI%;*x(31(Am@$IX{#ZHaY*)sy{fh-GSQbw+bbEH%qQ+!AAFyN67i!pK7R}m z_La`v3mYl4^`Rs;!JsW0<-RW8iugZ~b{jjm|J{K2DeCG3vt4QDxf6Dc>U;DL6x> zZ!=|P9n_olQfWR!toLCG1}_oZ(@bV?E%iqA)FosyHJZXqR~U1h-qIaxm~C>Qems%% z%v|d9TPU$OO19ky`4)$1FPtTCw41=vdg@K;sB_BT$WR6+dn1_d^y5m4HP;#~88|G| zzd4smqcR#yj+1RG6323wrm!=ljZNU$(MW;a;u^&aH|H@q5Y6STAZFX0xlw1s(Qc6q z!#QNR=Fw<8MvnC`xi&*oI!{p3cOJLFCgOu?XfddvQ)JY!kt8m*hjO{eQ4+;W@Ho~g5Vf9@lnzN@>u(~}vzBIqN_vWA{!lbm+5=f=_2Npso%qC+ zs&h%CO80Ft8X(8w2svUyvCSAQ&9iupv=N!oK(k&w&7oOLjHhy@JCv&(-dt<2X0FAN zx?}Mq7v)f6)JTo-1dXOcB-=|Y%P$Z)+(%MzGu38I6g%Z`q+irVUnG~h{F!aD;cBB9 zqsKxi=*gkjyns4sc4@MIj`ax50jJ0tnZmWNi4?aws!dB7tj*>4K&<#6gsW|?+^Dx= zvR9eT?p$dy<$R|d zEoV|m%gCh8sFebXV`MuHk>OlQYt0~WJ)@+g%Q>>DqsOJ2D*IBZ?P{r!xYSs5O70#b z(tH{htHn#&Okn5KjfKlNR*uJCUJ=9AUZkVb1g7$wwc`k`lBaHVJ=i-pyu5srz0GMk zcf%w|+>)#&Nb&6BaL!TLr-=f|rwW?^(zlgU@YxjoD}SJM(`klxp5**HR~Y~B2h2Wb zlALzo#lmaM-*}b#vmbMJ{v%;uZ!$S^h@;nn$T%O#*<%kRUi0*xdPwcjtMttrXX>m! zmnZjg;^>EHsw%T;`vI11`|7vCwSL`J)@|Ixt^;o|kZmfu*^SN#J92)qC+BBx>6vedgymWqJ{}O=H;YxPimA!)*BSK3{$K7d9{b5sNRMpz`S- z@c;CCG{5{a?|=FupMUfVYnJ|nFF*Q`rCuPoXDG_gei@XVzfZH<1BGW7jd;(!0w>X^8Nkf?yV$hdlPY6+ej1! z627e;1N-B6_=;rMbe`aSXYf?+B~LoxfX+NoG1J&Zi_DbyR=X$3JXk~Y&N^bZwi3U! zlcX(u=&K9sNw_7ExT}hoZLMNM z2PvC-u-(^>L+n+&)X&Rv7x7a(jKAtQO==4?+l#!+oyE*z0jK>U@%HtQvA2TA?ajn( z6Q;Xa3hR!3jNMP;?tF!~O&5sWeM+Qi9|^`+skEFUF=hro50NJaA7Q%hFuD7y#0L$( zVb~&#V{1Qp`lEP6-x9eyP57=EJeB%M*1kZ$+B|8&(>P~b$M(=&toEEIS+Rk*oi+al zL+a*U%n$bCl6V8(gVV%H?0u9*2~j^ryXsYHTrc94C(Oq{SmAzQ%pxzcc9)9n4J2;r zBXQ#xuDb^?4n2jd%{1|wE)%$4nw9b(m6{9mn9dQHegO+lVbKR3;kaj*Y{3w@z42un z6Si~_EpgPhkR}mwgXqmO5~Fj(?CmAWXqGaQc~Zis2@V%&dhk95`%aO5u#UJLwJ&`T zCvi;K+$R!o0Jp?j1PY6c-hKgZr6D3TPthe9O1#eFk+*=Q=1pw(E)aRJh2-6p^12pT zqh02A*5-#Qo*dBZ!e(3vu<>GH~4Bb9}p)g|) zuWQ6C{zG7=DMx=VONaYlfiV-^(u-N*9 zHD5gA%MX8L*~dTg(HDQ%qu;aQgFmoL#up!b z&x)mgKO?Jud;CcHSWy4%jpqeUUQ$(nz)Oa1YKdSidg&j;h(TDC~@&p>8X;yVQAf<;|Dh_I`HZEv{Z$!t=Q$JZMm+{Iz+0eD80J>=in^ zBaYEcI`vYJ?y}^yzp&)hUs?LfGoh=0 zLG^vn-XH#_P}YCvZH52fBZdEY`S|MMC1>CISKe26io=?7jM}zx)Hseh!+5eS5@<1w zVJN$Skf2l&?DEO7iYCO%kVn{KI zqO^C2=$urF>>WvTvn0gXg5>gC$~vm3tjQuXD4sx@6jCbMh$@UD#KlAAhmv9&O}4Qx zmAqQgIvdD#b|uBhf@l{RX)$Eh7t_{XO=eUSQFcj$#Z{8gT85vetIQ83**20~(-@Nd zGblYWLZYt^Irdg0dRP+_x_*W0b z^2ho~@mT!0i6!x}e`usXskKFPjy91QA3?lx5&;1P-r6goJOx@f<>Ibl_qr1VzMAU2o##S$uUj3{j;rZSaaS9h5oLb6>nImXeXr!KgwI;=qLM!lPPgbF^{6We}tIK zB*{g4;$58xu@5A(G@rb|655I~DfExV%PW}Z)@q7#O35(HfB8?360;C8ZBuYI?Bt`5 zPNVROoG*p{mUHu8e5mlhc~8#MTQBeU^I!7(-%)t=&nUe1tBilh_-_>6{!z}}4;(yD zPoRAqnLf$nM}^X#5WyW*?kNS9pv`RGR}{Fz}>kwxG4rbzq;h*dt3=`U*Y-(f2U#dQ9`VH$X#=k zimj)x{p2K$Z;g?spU*_B65rIVp|4Dh1aBV_HS1aZ=A)MdN@2;r^Ty)$5nuf)Y9IZP z^`HF>g^%ycc+RWu{}lzHp9)_*V9BzlD10!9w%rLjeUH*%BD7eeo?`V{30Mi;<)g%V zrjulwMPNu3PDzb~mW@%7J4#_%D@EQVgjvhMsBDu&O(xx_nl$4|vW$w!(9NQ@^)zv* z?b6w@3G=JME4+%}vJpx$rL$+WQxe}uv{4Lsc@5h^h z6JsKQa4*L{s1on|9zDHO_bc3+0 zTmpisu#K-KxMGazv=ItY+sKNn!bb{Rc7+r|w-lLEA^TU$C?Q)glogGWF0YRhA4GUn z;2T_tUy;bgOp#idB0tkxW$k#<5-TW!NXZ+aEN74c!I0sQNwjAMRR#5Am?n^CAT}7*lcirnqE!J^V`m5tDJH?Zm|(vK z++*s9DwQ~9jYu3sIt2^UG>@gcY;mo{I95p!H^eA5@{Q`V+<{jR{QdA~F01aZU}AwDknEJ;LvseroS+q4JIjcP?+{aQ+5n zZ@j^?D@%AH#p~!{Rcu$zGPULJR4JY1(5g7fz8s-)`yioPCvkjpoWy+toDHeuNqR6n zxz6;}B+`>#iMHlhHY$F@x&seUGQ5L(%3sKjct%9#3rtJzVP5(zW`%#kwB#oYWtbKH zj77mW1RQ=sTGS=VweG&W%4U+v8Db54sm?k|W_UGmzWD?<3=vQ_NOMXr$!bYt#P<^r zUWSEt0#Oq)BxGEoaNjv{4_+WgWM}??Nh19wiHSQ#tXnNX#XTg{k5MECAV)uk1kVOi zGJ3GIk0hvdn2g3N0E4~aDIjE6N zUd9+czIj*$rja}`OGdhEX8}p2TJF~2WLn$njk#k1Zjcd@32}T>PE<@5e)wIL~CVI zkb6X!d>XdVIiyWoCCBF!Rl84Hb2D% z{c#MUA7WAV4I0&tF)VwARqgBPTK0j=q`+)vmln!Y58;-_T%y4C7hRD zA!1bz9g4>p-F1q#%{^RLz5uWP7gyf;E3O~(aJkWeXCf7^Na0$%bn`<|XZOyjQs(YY znYSA~4?OVxR#@GmJc2Hy;{N9#8lUat_{E))L%~!AdZDLyh_%~4W8L<5e=A&@*KK5j zj7>@(&{V&l-f~6STWv*xjN-oI7V+Co5wL3%rXV&C?tIMsc?{Ted2N!QH z<@w?@q{X0t!v}@h-sgn!AIaQ1fyIFwx;GuCen$t6pAF&q+CyC4c|!S?TTB@oC00kI zoqr~!(V?iR6|zfhn0@N~IGA-~ZqrY+PN^L3It~~$p{YHFs`e>Vb&kILPm;FQWmHwC zQP=Ch(7BMt&`vV-N{NiGC(0*GFl5m^8c+AQNT!Q1)EswZtlL3Yo)-;WIdrzf(oyb9 zYE>?|(mmtU3y9E^ZmuRBRH+&_k#Ws^Rdfw{QM2Gl&!{_{KZU6Di82M5#+BJ=jXLim;cQO2&J$Xg%RV^BH$~$K2=~bfT`tm$tSXMrxyI z77W>yrIf}E5U*NDxUkn4Rbg|=<#@WM&@os}=ZFU-*FC8}=Faed6aD3`)VHQF)SkxS zLT|E5lgKI`BVMH+il4!W#PSXiV(lJ*$dc_Ad0d%zIGEx&p zU6~)bRkf6bwvwitON4qmv4;kTP$?t1uu|-oSRVJKdd7>s#W;*O(NN<-b4vz84GF@o zeaI}&pdx3K1dT!>HKa(Yx00?@i>pPVFwbgw`+OQo*KzyRh2W?8$qt;(BYl6R5C6|d= zc@FB9v&p8NE!KT(w-{uH$utM`CUCS&WU#Q58dtGNwUc`F3&g#D0hd>v;J9=F-%kd} zU3r`Vk%($%Qs&z7eT-RSMVQN2eZ1y?8LYiY$9CQY;e~ zzGsNbFL}hy#o`|z&EP=<15dXxd1@1py9?<_4ngbMix$>mhLS2FCJ%YcgqsqF*o`Q-^okA%M84V0?+A+xRPxOU}J9$f#; zm~+EQ#I2iSZq46l+&_+`ByRJz37QV{5VpI6z>mjp|L8C!>*uLiHiQ1_EvS6d#;(uH zg|1!{+PZkjjYlXg73THUcNo3;j16xKbyZj#i zn8E`NEq}Gqsm)u2b$weG|o}d#v$2R}tY|6QcirZDJJr;=Ebso=6Pl!``K+w)% z)Ha`#&iP0D#IN?Uzric_F;=*Of!YnMlkc+2RUdgt)od7to|kI6EX z2BLHr*Dt>(Sm9gTUe14rxxx+9zPf~a<}>y?on&*yG>1IrFz~&BhTR1`_FW@r+jC(! zS1}iMX}j*-GMG~W}P*?&eY@C4gJC)g8v3loP0RQ;~tsB{tEtDv>3LTrT zop^mbg!k7?1g=V;Lv2hL*BScuv~y>Np*O(du04Dh_yM%v{u3L?tLoatcjiaqB~Zze-(NTo*r`pW8jy-ks?cCJ8S z_4;*eSbLClTULrxe1+ClZF-t_(O9WWNo*K>0pScfWYcFKK~7sEyZ^dC@;7$$-P7fV z^V^uK+a`tUHEv4bdVF&USGp95f9pK6AO1w+W}(&=IaD9$Cu>tDp}R+^(Y;B5!35($ zB|I&RiJVeh`iOd(8Izh?d$N zlynwQQIfC|@!lKCNqB5}1&(O>pQq62SW?mSLV!Von! z*|a3qP~=%drgb+Z0gLamoFpstGLiaHh?Tz~TzejW;}gRCrf7_NPC?2yxHz4_E^>fc z$8u_-N<_lfkYm+Go`*=Lrc1;Yoh9GyF=_js5TkKJd~k*c!I0~8U6{l#_&CpC?AJ$8 zU>mib*%T)W2D=6dod+o{I88#8_#pftnfvaLs(OuZ-Sfn2o+e6vTH^R;Li{hh{IYLG zM*%2B5%{LiA;Yato1RWy0ZirFUIi%?U}zPBjy1PB6}U; z2S{|vr7pUZI+1NT!Y&d6hbeBGB_V%?R129eITWKcN1)*eVLek+c;2Te|Mz%0pTjt~ zk8NBxba36o-!YOT|YK8vyY z03q?+l7A^LbFs{^mJFvp($bHS-Y`Q}@-4~52PCQ9lU%$&nEnZ2U8fkx`Gw@b>ynFO z_@s1E(ee~#5(a72Zl}~p{BPDuxo#sB+k5ESa*EbXbzEF~j{kh~|6%@vf5Y;_7N$FG zxp(7Lt_kCMIR6&kTzZFl^B>cfU`o5UCnG1#(Vqy$sXvq0(0*dtizq+p#Ie)r>Ood+ILL}^TTxgeh3o50+gY}H1KYN}%jlRYlV`VZZYqpRt!L@*iJ`?knR<_S z(vR1$?@!{GXMWUwvym6)e#ak9EMK~(Rp zq*k?=P~{;qRd151afCsac>Yl0&Yi=wgpj^V znXD9davQ@Kit}Y6DuBMYK-yc<2`u&^ve=(wUpo>H8j*Iujc^T9f^_wWP_`j)uOE@h zP6T*rQ{C=CPl7jxqkX zZ@i7QC~9`0HOqssXn)3If@nz%roKNL*DMDTGJQo}n~=KKmP92-g0=NUW*8H*--V=o z{`hGak&E0Ry>uQMYRwVBC zB}B!RfDnDE+PvtG^X5o&0E01sv{lBFSQkcUkq^nCPI5pDr1-gsRJI^UN0)FlOA_{a z6RYHbx0NPkZEkd=c`zMnvy-B5A)r0cxhiXPAr3 z7r7qmC%G6%?_w^tW#C`rLDXU#z3qer>%YvSART=fhQum5k-9rjB&Qud0czBCIB+b& zlcN!#j7P*Unvq3wWg!|yiWC&YkPzfZfTj-d>T0AOQYKl`iZG*e_HD>w%jzb!ZyICM zrfxQEZe#m~Rt~Oez+qoMQMPB>B#3uLu^Hmh~YS+AOdb+9FA1v}^)RAuUv zGd+nVl<5tTqkjRv&EvR#oJXr#8;6xT=-t!C$uCaxKZ_RlZ)Z$@vZ3z49&(&#mSBNnMU!38CR`IoWp_sl7c;&1@I#cj_6M_hWiqnG+}1b7=Q! zv3m<&uiNQ>twoC4MP{boQY3mwuAJ z*P`azUEIF%5f^(tWd5eY|1DhIDuljyp7yuz)3SF!k};dsgDq5Tt-*VJHc{Im$&OPcvTH%gN$qF6LJloh)i+M&{4vRdPP3nj;lG)RZ2X0UY%cgrE76>n3$ zb(Yc{{p5xW5?EGCp-^A6TBCcmNazQYhEb8EFaU=7Ei#Aj+A?tNDPU2!TM*M+0 z)NZ{%(Qffy%n(6Ub+k_Oa<;6HyIJL8dn03&4b0@%aE zIYEwY2k|-Gqz!b?*ICCk!7!U!F8;6Qgv^~5TV}<7XLIZ5uj-_%=`i-97?On<)^C}i zV1Fl>NkfFyH_$QO$%WEFZe`ZJjN@sE!yM(? zB^Uh$q>0v1C%Jg6s)$>8rGmYYQ^l>EENqoLXkj*^oKtz#jCBkV71fKM(rt2f-XU-I z84|3<$xj^QNNG3c8*4eB=T12 zDhB(n;bQQR{QUoih`OJ!Z-0S*=R<;efo1M`n2fD^ruME zk(h&tc&^En^L&K5-F5VA>7--x1oLYi@;|TqCo>BFg~JCeTxhc3;q7<1dQE|axew{i z`GDthUs7H8DH-YerGdrc8RAS>LM*=FL85R1v5T`JqfDRVBgoTJW z4rlM>Nby%R7pmZB=7^%9F^Wc}B%8S6r{hYRo~clNPmEMuuvHAkTrn0s4PQ((JaOJ1 zfvtKl8s3H!B?XWutS8FIkQgH!+$^>6w>2kS&6+}&IQ$%ah%~k&OV@;4VFR(|x_CMm zQkIiUh`t%gdRD|3m=a}RL!6$4?5jn#uA)d*Z4%5vhh=)?|oJ^Q4<6nd;(ZrA=ABG$j*D zvDuo~ml)(x-%8fgm3@>**HM?}JxFmYAkf5-WJ9x;K1esz#oJ7S%#<*ZJkwoa4lc{A+u$d8# zcCwE6I8#qiY}iY#svgl!$=G`)NQ|vyz9|L5;ubM@+2~Q3nuY>D;F$H!c-8d*pjjmXs3CD2@pm~am=!h(p_wU9Ly<7iIwVjT5M1cNGBI*KG{ z8ozQgFueO1eLK%G_4#%F`_=#Wt#G~H!R00gAv*8Ayu|y$ zwa>Y7WF5B_en;oc{p8;Y7j`vFd(Q~d6Bp>87^CIfAlc=Kv^5AjZBeAHYArhZHnM%& zPS$VR{#)T%zWFoOZu=O;eQz+N}fqUNd^rdGA8|k6AFb`X^Aykb|plmitl;KG^B$r7uIz@!ZHB5ERV5ol)P2&YL z%%(9iIE97A99o*E@G7}LdEBhX&@*zVPg0{bO1{Y;iHl!v(d(w#=M4FFM=8@3mSWIF zx^c4{ntDogx~VmpBuig9ufa4G8Yd`M9j8|PIOXbRB(X0_;!cs^H72Zclp>8D3UqtO zFm9EEZJRuqy@ED1~6J&Z1QL52LzW5~F zXc0p_#X22=;V8L+GfQkO7k`$k9;R097**=0$=AFhs?s>vZMkq|2B2#Q%#E|t8L!%trMk@9C zsgk&7NKEV0XQ)z}B2m_?)ETEv^(YmpCn!`KCpLT%zrZ1hO+WdI7mYM*5q$Mj=yXwU zbWCual=Z}inkWBH94jS``C1pG7@Q=|Rq`Ty@z!lU6zWJE1w*Dmz5Lutt)V<`AodxY zqf+dyk@@wiW8%jtiZ#wi!#Yj0?I=01$3z}=Qu;EE9kNEFG{PpT^arUB42y9r)IBG0 zJVvGXaWRf1YG)-@i*XnyH+u5rdy9*?m}4M5kX+1`TrAU-Tr`&bB^PtWh6;&arP>%( z8sid^lTv^r2FAyT@fRBcy2ZwN!QV!uW*=?JBcyAOkQq2linTCl@m;3DaSHV%-db&x z7>$zcbR8?nF9Y3aIY%=X>n>mbSRA-b@ zlNd**e;CbvA=Cy$QWls&k#9X|<|0>gPYSD;Cf@k8B+h^wEJfr!g>$a{qWLLaIo&X(3&AoHRKg@rLJwg-C(Z9;8I|0@=#XNYc7bqICm9 zr9!8J18DV;H3Gw_3W%jNu#jwLDWax_UtaDw*>FY@=%^ITUdq(v5Gvg#MeP|8dcCw~ z70?zHNQYl2E&id@1VjmjEDF6FNjH;1pnXyb#6?n!rlmj*QmQ^dk;)xX4t-0c6xD+8 zBDyjo#Rs8+A&3U?L3v;*B>~l>S+&a{lER^NmIULoQb2~K01Z>Da+!3+XC!LhB+;&d zfudB}1B1lZK{N$M2!?pUP(r3-3)v<|$&dq|WHcje^oX#bzL$kFOYspY8sA7qZ=*Xu zpVsgI+I>T42?!Gm(SjkDe2>K|oeq&HMYBxpvM`Zz5{o`6wMHmbzC@1teR2+sQkzsx zX?8f>;)6DyU>f}*sSZr1!oPtu({_ps&XBHg{-1FaA4mf$JTy<5(hFg&SA_AE(qE7$ zaSW!}JMblj%D_bNK{*+=tz;V?CsX2>qb)L4`>+)5UaC}1OC0WztRX%yS(L33#~?r2 zd}WP*aH<1h#0UB0x;2q$I`+~BsS?K|Su0lxe3>-ET$!Ju`ds2LOjBwR9dSW)3Wonr zE}HcU_LCCJ8PW_TW(Fe9rNHH>&y%S2NZ6Rj^x)!`pQGxLx&3Ydw8=WnO0n(<5-e_^ud#Sv#mlJci(J&XijK|=^t5kas=gqM`w`v- zx3Sc?goY3VT{#bi8uA*=+Zf5bC||L;*qnxVUs4_N$=EK%Y}r*j-@A&#Thf?5AEM*H z2xI#O=-)BS*w>T%%ep^v{Efe3`$;R8>KwQ~x0KsAUSVPWW9ElH=lZobse7@7gzvqC z3{_B>oI;Ol6s`UNM0<#`Ne$yzR|o^OiVSsZ7n!@24V$;JPR7f^wS40qzFuX3;>Hy; zC!3Sw<;%!%Gny}MrFFrX;u&YEuX@scR*UmQ@BFj5XSZ4 z1M2s6;-eWvt8ynr8~U;Q^dh#ezrf)A%jE2R%z*kY=zrafg=Q^YzRegrT|mq49vVKk ziSoS5p*`c+Ztlil+fmjp8)MC~)2v!{ku6_Lv2NLMzF0lYrq3s-+A=A+dH}~QZP*+f z#cf$Xw!4Ois=I-PUkO3cH8kZ8QRZ4jv0Dil0R?0icaqzF9#g9(thTk{vc3}K*i&f(}Q7`E5rwqel+ zEqDlqsMQSwtr){;!$n+#`B`h{(q7s|wSNueZk6PE3WoSf@|%wlo;`)uo(kMH_F}W4 zQ*5XvY)uW}EBbL-bruhmdCU%p-AR?SBs7T+swi+PBt5K{-0C6H>*YXcRN=U_6Th!V za9q`mr>qgPsvfJg{b*~Sz(})Ia-xv7k}fJ-swi_QCC9ITwDeZ8>d)ff(uv9XIy}V( zmg}4FT2m<)n($wK80RgsI0|cXFw7PobWq_f^Ia<>&kM*%t|7l|ih#r;=V=+q{x0Q%LIFWTfhy0>g zs->Z{_(o738A`Cf1)U8Jv{dh)rFjGDDw|oqWs4NAf8NP@?UrS1SiK(You5-5=S-M& zBK4!j1!kYnb`wHr&BzxEM#uf6wwu7G;E`;Zi_ z8TvnYLX%<-Ax5!OZLg$9u|woY3l)aLLdnM&HoL?Lof%?<&ia^*W3M;KXG=t%!KgRschiGlPk6qNS=tRC?zug^i#5_7`cQ9A{ z8Iu(c2>$8??g|g^So$4@mfhsgrpM@PdXC|zk1&7b4uRViA5M#o{TxZ$82O_2;tQBb4R!x?6=se(?y4*B%Pne1fKQPwV7g&<=aX7WJ!a z5gV-azr$eDx7aU#MDRz?aaDMP-RIBQv+_FH+rLF~mDvB@6U^RygvPFWIK=-6ZNad| z{1)ps3nSHhfW_WFV)glt*eN{3N8x*1mj1wjuWq8U^(h*gzQI5+n7wiz{S6PX@cjdN z(chusAsBYfap=GetfY|`3I@Lw&+vNn5$Cg5kh@ z?2`mT#B+8UTxa8^c}#T$-?l$ty!?B-zxob)g$1m%o^inMJ60;*mZ0$Lum&VDr{F^n}@1DE)}>iiZR)e}RYi!Q-!kLejoGSJw`+IA+{-hL@WF`+qJ|7iG!uS-iT&zZoD{C(vg{!a+KmM^n{w|#-Gs@(cT96a$&E;F~aplHaJiYJf1+#m z5Uz@mbg7O|u)ZD7P3fd-h7xD4O?R9TXJSq9)zc!(B$80Acs_ss9Iw6dGYW72gzfv! z+4|mJ(fsIp_I>y#6yEqf3U7VOI|~0Q<3CYY`V57SewJW7quFqXpX1V)O^xM5zCYij z`SBt#g!9FfFW-zUHteCvXo7P6PU@|?=*kjVR(c%2*d9DR>hOwcCb3|Q{NN#}_9Ijo zH&bC%Phw0P8R5Ou>vmD6-cN;5HwBi>^u!z?zwikDCBjHN%JK1)?p{7hTI3*&{-e}b zbx>1eFy)4Aw0RFxSu{mL*%a}#I5Rp4TO45+XuMrxZ z`=~LfC)=f#)XaYJ9olJ7?|J!tScyRg4R$@W<%ujSo5VZ3124hg7uQBg?qLdi$0#uC zq|UgVQjw@h37upGcGIBKMWu`q(`K=!kDmBhatcloozaDldmdha^(5t=AUkw~CjSwt z&4pc=i4THB#w86yFED`m`2}$fG!MYm1&}O2`j*t^NEHX?m zn6yx3As8Z>$%yHrUgBS=(MO?qAGP}JayTZ*5FZAWO%UumK%~WgmQ)VjcU8HZ9i%8k>(*)N|5$aY;q*Dz6+5N<&k5CaPesmST z88ndRSW8NJAGt0aG-`BHCiz-u+(D;F4+H7v2(OvMFQ$WVneQIkN=(r>6~Uu)yv)T0 z%Is@MOl=Z&CwZvbO_Ba6p-#P|I2Y5DDH5~1jWqFbxI-aXUMXBlP33%^FT-gu9M28s zbb1suVO4zf=?n_5|6R_}|CZwQ-_rd5=jE5IKauf~6tSfW|MBty25(3)dru16yYl$9 z!vE%dc}{zCD`CbSgc%wTYPXAlQf<=g50Y#iPo!chMJh?O@5^Fn>j({BO*5)^nbRL1 zW9q%XVe@et=i9A#bmLW?E?$V?>_(vHoz7r{&4v3~CGLs6wEJj09 z3G+8&sNIg?`U7+{9za`5omD&5vwCGvH#PtO|MW>jK~(j=-wM~RRjb&%ej_VYzNR`+ zXqt8+?I)t}`z40ZhkYd6vBmLEnS}im#`Vj~=<$dk*20;YTT8id?R~z#^d^rkzQH%M z?=jt_N!&+QdGzHU=-JXlxNR)uTk>et>ceHz5OKR66SC(tEzSj8O$?QTmV}qa7;ZbJ zS^C~nmb~+?D9CVo=WpzM=YOH`;qOuV@ZW`n{hcMR{VGoUHz`*CBd__6B_I4zy2h`B zo0M=iQ;FG(-Skv#r!9K}^C^l<_50%=U`U#k4~a(pggd*DA8t=Wq67aww*ERg&TC8e zg&k%FCvlvZ*^)sf$+no8nVFfHnVFfH!2+Yqb{s?OaI&+xckkxz)92pv`(`;g_l)n3 z`^P&su3J<3*^AixjmJwGn1ySNM~a6hq+|HzJJeDDm?r-q4W@1DV6vo(xkfjJ!?oY|X^q z)S6gJcOoo3i4Jv_O<_(+zN}f2O0l{Z@#;>L`G$~e>`0oSJ84D^+Dd`}k}(v8Ij6KkxT3~_Bs!^^>* zSaVnW?fi-Haua|zr7BzgraGB?gJ6n}dp&)NBEi;)41F(&fvfo8K%l8PE*aqjhKCTN z?~JEw5MHkC)CStp9%DgTT?9#r_nA)mldm}PUVfLTu;0){#@mr#ZjNEJJzkZ`gz1Y9 zwm$gSN$i7NXbCnVr_7sN+31xg0!UMrn1=WhW#S+)aFZC=lO?$`b}_)cEdyUm8)7Wo zaC7t{-rtROUt=osoJgxq6Pp9cJLN%*r$5PN_D}PeZshnhkFK^x*ww_~5$H**^({HkUIOV7PF-mBNern;)Z8_ED=(R3Dz#e+E@`2 z=SN{=1X=lMBxb}4AuuD@(vrqB4|-CSXh_olX+W00ry=tsL&=9|j&b7CjdMZ({+nl> zlg9t@zw^us|H=!`{3|cY_58E{CZHyJPp)U4`!}9{UalAZ2hY9mzj*f9|3-Ps&sZP1 zNvy*FN#W7dCFBx+wvE{1-;2CoCTVjHJu3Ok9`9%D=q#1nn|UHkedU?|6y`s~QinPB z?mok}SDxYP)e|g~@8#a*LnO`l5&3lxIn#X7DIXvFh;3U9*|1%J>+secD1D|OvS$ai(O!h?v!>>v3-*62!Tgu=gnW~a&yNj+ z|B}Zy*H4qLW=>6T6pyd&;!v|IGBGreL& z{v3h)vJ_RJHWdGTlI2!AG8bA@}kC48R{&uV%o=Q3mYI;w=(nq_<} zI|(~JPw?q=Tx5+<>1Ksv7jVp6!b$H6VHzv6H{NErx`ye(Bw=h>EM=E7nbAq>{1eUCq)w6CGl_fa5}vk~2{?X^l!A4p+lH9PSBy_*A-9-C>5!fMSBb2> zhM!sofofCuoSerqY?k=yVN!=KVH0!_Pn9)NJTEfYf04mL8C#Ibd|n9zD#&rmS& z1XrUDLQjnnpmq)y>q)|e*%VG(#xCg`&Kj49G+3pt<2Hj8rOb#A^Lg1UXH_zq(?jFp zcewZtiw^^YiVfZxUAPvnkllTYsEjoN%;s>{8lbS^2J_WZOy#GD4;d`xlrWdpLdV!$ z0t?m%Jk^E&nQ2^4kK>szN1QO7#P-WL_?*Y>v;%y->nG(VU5!W8i#mz9hf2Fk`M zoB0-Ji!Q=Wj^KA@4o8b&0!wBn8oh?6@rE#_r$E~|ox%uZSs zzQ!$7;wH5iadMGZl|}qAZjj#ki0JIQ_&MFdPOX>RoKdc{HnWx-&uDrV{kav)XO+;E zIKU@wKa_p*PdqEqaKm$d5ReiT^SoTo{qIM4?HR?_d=!t0*F^oi@UuLBg#M`+k{yNV zM-MSp+`)~GP8z*h3EX!D$2Trgdwi9)eXWctb<(^$hY{U=X5XCU!YltNlDm)PPAeXM z`P|d@!=GH(&3NukzB{*_#<9cXf8k5W^Z=HsWz>0%6Q$fiX7CN1eWWoLoT4P-Ls}ZQ zb4Kd~pC3BNwr!ffGOm5wl-ajKi6bZ8q$A#iu>?<=uN=nZ7dI?^9K`Q_H(^(@ac*g( zXR?6nH7Dr~Q{utOA#PuPg>P>>!(EYyKPukmYCBBEYv;N9&R?lNIEmKL6f(DslKSBg z9-l7Y{L&oJhwE944&y=YQAVpjp|fQZRUM~sX>LVrbPm(LK}u?SP_xOy!l)8+{Xq`P z#yNGe9L=Lus2{85xMl{2&(w1IP%mEEKIFSOlV=twK;$V5#*5rjPC}E587YfrtjL?T z3R^mA9a(J*W4SGo{_$XHg}yddSy$4bJPq)66<0{xR#Un;6$R91?i`>D9w$aeK?Dm>_GYo zycj8Qqq)nH*0vNM6gCKxP}L{R!;bE`QUQZthH`x9 zs&J>V$&H!L5LVj)nH~(Jsm7Y}c26p+g{`O?k$=L2NF4`Zx~2q~=+it=%5ZrU%jy2o z9K7hMbfrVqp6C-Bh65RDbfUT3L2QT=05l*%auBQKNQ$Nfsj8Y(S0~ceo62lf0A0mi zbX2?2*5%7;YY2Pjn#K^PgqmV{{uv(j=QPV$&_N>}8wJDoE{ve|+d$P?d7 z+-Yw1U}zwOafKa20d$v04BC7tDfA*(*W_soVzh0^I&DOvsS#b%rSz7EF)n%REcc|T z!IhEjAkMc2F*^`MdxJe?4NlZ`gb`_~|7#w#97t2t;%QA9+cRlzPheJRv9r{R&Ki5V zdmWhSbC>mfnQ8No`mmyXGJru3ZAy>W6Rnm(psugjAxu?bqH?m0gX+_qR_j9hXbb8m z+Bl`!#!1x<&YtK%|41h$hq^FRlHVU|=G2)oPM)qu{csz(QN~Qwz0X|94whaRy$O&a#zfyC9NP~3Eez6JwYT6S^#5uQwx^}T6vKoxOtZSNO{l~^vY2gF^#qmG@WuKj9$fl_ zZ&x;Q6x%P|z*h~C$`BlDXK273lm$|(0kJO&($51VVA+Jqz2 zJe5yKa_7^7M7?aX2yI!xFXRfDhrc8J_(RfEFOsT0OmOB5p5<+X@D^*v*n@NK*x1$&PiNq(~G9kNd@5N$gw>yHsp-i%9mHntNb__tQj z8s1I9*mq^PZvDGVtrW(wExP9lpcaO^F@ejuCp z#tw=sTZuQQB`u>1Q>Qpw3fm>t=Sk6eME0TY1o&@~ePWI%*HPRnhKMd}#Hlt1+o4>% z+N#J4?IQAYIYmjrW?j><4J{;0@(^i%n>?lONKm^+)=6Q8!Ukh=M)U{o0f9?68aFAx)Snarc#k#YPh0jC1> zx7QP2KR|-iQItzF(MkO{SmY6wGeAb#B!x#7$vE+tSoKvhPF^Hf7?Ym*160)?W1#i` z9hqD0%vYS$l1uX|&Z<5{Pvt&_C!e4xb*%Wk?ujqZkXqC|_89v!VuNNY(Ry9@Xje*p zhw*=74eJeGKE1U~iOLYIho>0XbBE^5Yjmruu=4skE6@Hj4zIVd+~L6eJJ0ijkekQr zuW(`h?WZT;KkELBiBS#uhhnLS=pn7WAHVAt2@U(+;M#m}8=oB7$f08|Fx+sMnWhtTH)&HSpw(XW71{9<^pyXISIGh%KbF$9zL#^0 zTlnh6J4}y#$`6-b=I+(!1-M@3M*Atk-n+=+p1+WzIG)U|mci})^c;~*v}>B6kFVnM z$r8D{XPG~HkxIJ>3OtIbvCG3l@!2esTNoKFGZ%QB+=vV0L<$9uIgdyDHM!RCia&=> z{1QH~OZdlL!Xx}L-U$~74ryn|zmF=*W=aAkNq6m|sO1{rl|2NGcVo6VgmaS!h#sA&?P#&%rSMGmyB;@5hG z9FHDS0$M1@>Z8)WgtUS&;^w|2*Ls-}orR~LX(~4u#@DHtfW~P8WYf4`S;V$)m5|13 zWQU2&@NS_nbC_zUDgvULiM{eQ=^+;c6c@=do~6uSjA-*#d`iX%uIj^MW(3>0RlJ)8 zP|{b3cW);-xsUpwHlmzM2w%EGa^-DGG{k$PR?3vQ9{HZDlxm3&CNq>P-bA))#;^LDMHI$?wck5^ItgczJ7+jd=EA3)oB00Yx=7#XgiXEu$o!7~1K?W8&NQQ*8rjM;fYx7{G- zoj()s?qBiwaEXLnB{UssVeG&PBg%8sZ13Ur{=1xe;V(G9*UECc6Ax~``tO!v)?w>C+d-h+XV@%<^tC+hR@6))olMKUV3QY^ivGQRm z#*XW;dL-JNB*EF^=^ZFHz1h#3&s^lg7tYi9$q$@<;RdJQInUm=6ep70;Q0+VdG?v> z@_2(+Hmvi;hTA;<>8QE#93t-$KxybhknH|tx1%e&vD%MBHFr_ zIBInb6ZLCE?zlzv?mPJHy@=J`3q&2bFHCR^%U3UA|I!7#pIyfC&p6_enZ*9m5@~xE{NO&&M~hd;UD;uU)`P9vxm=z*7GTT4xqH z>$-%#>ou%Q?{duK5;kWp6SMsmY5Q*DxaWe<`%7ZempDAH@ZkcEFACT{cMi*wQhYjV zs2k02Jn{y|jIN?-dr>njFC(JH$M&@x;xkl8UyVOaiciVaqyF<4K-Ev39pOYA@;`HJ&0WU3) zWby#RGYe=~O`#fi6LZHf5xV?D=Td4sH$%Bvh zVEy4dW|BuO$>Z6;^H^D2L&y3SDn?f@SG$bw=4-U<{}Lz3qpivfyg$8*z0{H-j~-IX zPOmIrrE?h_^(D?aEO5r_5^6>lIca_!yOY-m-gAq}!w-nuElOhF6+-qs{4I|caS%Ja z6t#FnYEkb3>Uv^B)EbUvv$$zoz(wjtPwF&QX_3k!0yev5@!0>Z_|5#??{L4Zi^OAeUdt;KnL42RokI9>On z^{x(!i~3|5CQ=vdkHK+kwkqvr%i%YE{mReQ-6z<#Z67C;UZtbkf{M|Dv`yF%YFkQ- zNjhoP0pu9j6Wq|v;eWbH+;?F#KQ!g~t&bV$*vapf-{z|;iZ|z3e)Oe}n%A4=9ZMSFHV?u+wfCodS7n?;>V8U*W3vcf1ZiA=>&T?&bHe z%KDO=?GMR#=Uak4`W}}p-{80L5h*5rAS&@6P%>WTaQ+iQ)C3St$;LGNJH7{gB+OU} zH1`X13-1!F{rKr;ZX!PX0gunVB~W|`Iq)^Px&Ofli%aZ^zJ-_j9VyDo!bty!-?2Xv ze)bz2!){<)`z0>!Uy<VL#q?IEr%4{`T@gon=;glrWS^4@m@e*8V2pM68%`{I+6bkvw%*lV`LiK1`tII)Vo z>V5pR{sq^A;;Z!+c$7TGH0vIrrzD2&d`uc)f}Fg6!OY82tj^CwXZ{@} z6Uk%NL)-*(oCI_|b^ZabLqFqY@(`Dd`^dl=4q2xwGdc=U@8+4)0d8 z*6PCJ>+kW+wP*P1)&{|g4g7p%D-ABrRBCzC`MnA{|D8t7xeB`56Uh7Cnc=TaurOgn zgi;dSk@4s#pJ3~OciF7;HXAMkX!n)RqkEuq{uktUxs zh8z;fX=&r+--LdC7ev9gW<0w3249SQ!Z(**m7fJgZ$HD8t`kJRb%8aJicKnAc*ljYf*x;dNQ_-61ey#5=|4SGzy~F)SXZte~L4TNHX;y ztuUXUVQ}67J?M5-5%mgJN>cvnVVrz^%rIh^AQbf>NnClTL|#E(z9gBwK}3Vi84!Qvx9_ zegw5-5EShzpdU(}K|V!Bd6cG=;92TRNJkV|Y3bzZBv7PZK(=X;*dIZmNjRmhNrX5D z;h!H%P-!$t=Ds2Y)2T7cCnqqM$dXvX8>7f9N+QoRiW-ePk-F&;19{Cnj2hbnvK=Gw ziwGj1Go5fpcXFizR!IZOvCbl+A{)2H0FiR>6nG|4DfuZf$bR~z?o{!i%rb^Dr+5P0 zeF^H!A|Tk4Op^$T4GPJZoEGO6<5uEDL`yVz@o5xmB+Bn{NtDpjNmTNra8rhWUXOUwXL#}BU1@>{Idc@-E z<40mk7LguqA_FBidims=W>QdGh*Omh;Z1Smhow_?HjPT1ykAcx7yAn=A}MxDlsxzo zRh>#mLV(nw>>^BP?b)y zQ6ll?X(Tu#lN6XmR(3fqEVqUVO}_M7?yYCJ zfAw{~J+DNYwJBrncJw|{!Shdvlu;a6 zLtt_;*;Nf>_*GMGRY9d~3Yl(-B2A*)x`1x|dKwMtDGnEXpH)wiofM==nQYoB3f#+y zP0b~xyn@VdnbWq8YO6HzonuJzh#}u0iw4tL8bux#i=7pD{Ukc2Q!e&3=v7l-SwePt zC84=lWMkd@?98&!<6rC^jykAX$7! zOCh_sUYbGO(|g%xxTTQml1P_H8qJDBH*A_H5Rph#s5tmUw zY*`7Zv9jqM>!`5GqQoIyevYTiE{j_8YN|{d<#|0#*}Wt=6-aZepp72-pR#NpRktdLslksLHAYB8#ftmJkIjG8DmX&}p@h$5dNDy0#}xCPNrP)tp7 zJ|&i!WVqx>O=i++p2?bf8$q@OINDX>D!Fj9sl?5q1~1bFY^}>_keV3v?!?K08`in@0@98l%eHz!(q5#vJF+6&TefxH^L-}*X9Z+|2?DP(fsl18yv6q=@KTS{F zPS)q_s0~Y?Ga!Q+pFk>u{7E05M)R*7f?rRxbf+Ui2MrqxoP5$!C|afAK!C+a7byp^a|>qZp2fq(E^?5HBzG>`CYO z_uBaQ^&wjKT*6}GBDU|}M(4e|y!pljUi;uYZ@#z4+wUy#`o>GV`~D@~e`AghHRf@4 zzK`3RZNwZLAZ_mui3f!Vs#RmCSwwu`B}_hT z!|THZoIaex;?rp?H_hSo_9`&~zyWU!<8i1JKl2WP4)u_ z9qhsF!%1wU)7XD3K>OYlzHgnw>z#|(Z=A>O^G=ct`w2PJNz(pd()acgb)*$b)j~X+ z`tUZI#^!?oJUY{cs*D@x$WNS=`=TChE0|guFF@>y8!zt-1&}(nX5IAWeJ-S82xd zR6hQmW4Ne~;qbu-9^!+I#NBN342~Zz5&HTCqTXD@b)#$?^B%lUwvzCh53z?ja8xbD z+N_>%=M~I9l)QYfjMXPnCm$^m^U5lruU?V7T#<%1MBH(SyJiPbO1)3lPm-GQQLRQ_ zJ%@yl3z&S~g6GCLiQ)XyJcdebM9LgaA6~@q{ZXQ}D*hANh2N20Vh;|JaIl}i6U~yx zJRj~UGSdjH+aFEO6-E)$Mi}=4Yhw~>b#F}>FbFzk*!_6cgXd~*VY<$&X zT&?>EHNAwxJF|GdcOJ)$vr-GwxJW$w-aJpx+bcM~-zl}&MbPP1Vh;>R42HypE~XRmSMpc$2snAELLhlC=&Ke!lTM_eCatd*fw(Sl`6M3p;45I!)h<0i#cL zF!9KexjQ|#JVa20;#cQE^Yjq;zgDSu+h!(~GjJIuI$`BfgT3velp z;1{VF_3AQHo1Rd$zluzeaaH>YX*|)2`TK=9yxxz~YxgPt=m+jQRdBUAM0B&T1(R{? z6*mX8zlw$V8uL=f>1InJOIArXnZm(n9y7~TVO~p^STAF5dI2Y$b6A;8VU|8ce)>EG z#`VHzn(5H)q{2i1#=4ta>q4qC@)_=m<4Uz9H!7^TROQHAV=#4jag;~ZQff6sweAe% zitkaH^-*lrPp#3Abm>ki9STT|@@HTyj+J_Au2fjcJPs_@dDB}JPf2v1$hkgh6ys$~ znMoHF#@(X*2Pil0qsYF7RG)ALL}o2FI&-zcoEuektW--<8lovqN})2KOFHia)%sH+ zLkFJPP-ZeHY;BNw>8d$yv6NOvG1cS8dZh(7Ds8wRKFlV0V|jiWTWgffRgs$_hH;Rr>h zVz_AJ-9GgKEtaY6u!CmBZL>x04-7?dS@Njx;j-8;*APHUNdhHN6_nZzQl~vlwZRB^vVN;h zztqBz$np-#oQuWA07eHAn5(zra;+^Fs~uUW^I@PSk@A=VYMjMZtyy8zsRVxcQg#!4Q4tHs&I5NdO!79;DV7KfkK;?u7M{Z@;0PiwJ5ByT>c zF#+_C#W3G!%!MWcF4mcHxiWzHj7*ySgu&W%lV?6DwLCQ3>R&N^?HZ|vCMlJMHn8tJ1BV9a+S0|s)}Kgw@dxbQs^fgCH9y@J zWw!ndkFUPNRQ*f*{^H9lG;7k{s!!si6=w_luqiCYDmNFik^-DF+^HBiL06X^{R2DE zRo};M#ryHQw)`p;H}5^prd=mEbmTS0$IjB(zm27pK%(9H@IQTzV2z*fP=AEE&$q;V zwMyl;pVRZr+uXVQ8RIS6xVQeI0M|2oDZq8DQrsLf-9GoNI zP_=YEx!#G>M;_vJ_Dh^kO_C9_ zN`&PY$$O?rJJL^#N-Ytm8wgSBA@cYX$wybnld%O#Yvd}Qqu|Iz@($l3@x)C$Pf6GE z9U~%Qipc$vFy#^AR2m6CB~nwhh4AB}Bp*IUvC<{#_AZmJyg>ZPWfG5HCH2Tn5|3TP z!$ijCt`ej&O4|Mjl2wHH9IqzqOv}^TS;rooC++YWh02%6QxaPauZRunWUJgE^5hj9 z)Q5@ATqMw7h!pW5^=L2ADm8?kZWJGSi8?k-@{v`l_g|uR&kAv;R`5N0UhKR<-l3}` z$^5~=Q+NlB6TfGQv_ryPR2n2!buwPM_lXJln`N>OU8GRyg2dt+`A5!^fA|Ke$8X_( zY8hwSA!5?z2v;5>?Z7xmDy?E$HKD=+!&D_EM;A#yBtA$S@|BjK`jCJ4Dp}$~_^C_S z>j=ZjT_R9@h}6APq^fj_-PMGjX%ZXy#h+P;*D4*muSgs(5_al52`8_SapWH9l8fX+ zvqXd~;OH?);+`3am&~V9FKgFH9Q(uv$>Yg2DkTQZyUvS!=g2rFz8tzig34_ok1P-- zKKLX~KK(gGX^ObRl7~~x62~5*qz+P!tx<4jouY#m$x)Vg9F=-FA~q=BB0}b}7Z481 znZ-|SST^b`@iIQ@WS#iXB6bK1J~B(zA<3P@F<G$sTr4xr(anqmxG;arF3IQCW95q4EU>&Rins)D?1kAK)Hy6RY&E zFv-7;ao!`0^1l)wxr1HOIL^UcnA&Dy<(5ZV%q`sC6L$OIIcztT5WO#y&eP3|OYAzf z%yDk}U6$Ya9oaAbk79XY9%{>{I(-Bb?>6OVLNBfZe{!SGwj--_ABH1WSy{Fo=Vp5tp_T)zU>nO|>f z^VT=i9F`4dSxW73=^9GS1gS<-X468xbkHf$(T}|gnaob2wJ3;@jA(3)3(-B%g|*5M z*UpZ}rfDQcWM=HyR*+BJljRgiz8 zkOK8uYBSad@~$R3w~@klk+@c^gc_%d)=wwdubuLURT3?Rh|+E(N;W{+sa|qbTgf|B zONMH*$cldaZF_MGZX>5;kqkT8)@UPXDd6sG4Qks3`Tovxwea0l5kK1!>G zSnVbfPInM1a@obYfs}@eqwH4|)-Dn4Y87T7~Y*c!1Wed0rdY}5v-Med}iHWH&# zPlS3CsluAV%thkG^^;k&K#olZ5ysgBS*H=}StqP|nGA_vsPh*g+ z+C;p_>~Omw?A^qs8u?qtE)q>k39?Kf%&CyV@EMAH&k?RIeyi7zc(Q}6Q(ZE?UVLbf z7_<{-JBpokJ*gFnvkCjivTPvOG?iexEV6J4rcPN4h*$ z{G4t%inXw=jNS_*`-o)J%_Q71kzo4_vV%t{4Z2LYZa)zUIWF-_SM8?gL?ihUhbXZj z#7V~6HtZkM97|s(`zAJaZ7E@1_Jad$vs^~!>LBD*sWqKP3pA97^luma{BBL znrB92UyX89r-wL=etgv%IHFO(KI3){X*F>|vy0>EgPc{7X6K*HP>vmQc~*?1o6{K} zOy8uH9BHPxh7}a6$5Xq%g5e$a>D~Div!8s=rS1Ps#4EoL{$eL|_7*>1d7ZoG zH#0W4kGThXi2u$X%YT%*S#PE?q=)pVSTeFR=*$-13k1m8v}tS5r@Q|k>YAI`weKLi z_wM)=xIW#xOXT7KPVU{rM7=A$wWpXB>XsI7Mtr0)1*ykL3ENIemL>j)I#hM&(Nues zM{66I8~vECu0F>%3gEgez}2BHjO!}>AN+|1=?WTKLnu|6A!l0;A!qAJ65vTaJHVV{ z2Vb}~5vQ7m$C+{Lq+`D(TIp2KQ?FCK5?683rl4xe{&MA*qbVL?U* zo?!6)T{L!x4Vvfi2)vK2Y(P4NLVE43!74+uMxYN4YqBSdHPw{pfGG zgwF0eoZbEiwavHCQ@xC(!!GyAS$xc3{>KD>#hs0lsYHT)x=U}^OYmYP4HviS;H%2JD`7o-+@ za8%Ud2U1HP{#uI$+pnT6K4^dTHEJ7fVW;vCSC1!{df&su=?>aQ#HU?13EKP!{f{4D zcKjQhK6;Gvb6-;Y=|A%Eh9|OLzLV?MWA*>f5oEvP%*(&y$cu{K$@RjI^7s?a$;DUmuT(KIV8Hc)g5V!qby!zq+}Bs~u+vdht5Fulv#~ z6`jX)di@SB^P6~|y@H2Lr!L^HeoKn_D&E#>)aO1Vt>7+kk(Y5a?jYW^nc<#`wDb%y+}Ocf zwv12iVYK)*iNV(iIASd`xq+Y2MRLQgkz4zS@SNK?n)l;sUd>?58bh5k^t5y{ zT+z%_N-NVDE0l#_l`gzO@Y$=lYTd#^=L+#oYt%N1G!`E`+-7hv6y}sVCdIKzTYE2a zNfq3VYGgTkp4{@=*t!X;J9UwmleckFzeMtoo0RJNL}c8;$+#OYs~RSnFVWvQ zL9fDxvPNc7I+zsJTNr#*7{W3^GS)@r@Y26bqSpn=n!YA1?+)(vV>lbt(3m$%@6aMu zy&cR~HgGknoVl`jvU(q5XFE%h>IIQiw{X$8PMGAOuJ&6(^6%mwxQ4TS3#p-fEc9Qc zxuc)HmQLm*kE`k9)K=Wa*ZqP>!i#vFm3laHhba9^)FeG3rSwZelWt>g)PuKEGn1V+ z=@K7$TDur5ZeTvSi}|celmxF6d};{~S=;sOIw88NWCvWLuF-^jv$cn@%2p;)nwTpTUsG=osCSMqwW~76ZCtdk5M?iUc$&xCczG}2U{FUv+#vJ9 z>N;Ed7-{HWB(t8yv`Jc%u99FSKB!&9LvtM;ttCJY(OCaDxvRll>H zVQCV*%EL_TT4YWAzsNfJuh_g<%;bbV*X};c?=EfN+lz1U&AAVGeC0Wso*cvTA8jeP zmBmbC4i%n>6h(*95m3qMz!tG1_;WlP5o5+ul#uu6U120bI)4k8p6ycJ`ck ziR6e_(scqEIB$&m-*RyIa*6VVG{V0r!23_dd~sQo20wEO9qjr3{3d>0e~D|iU*gLf z8~9FuYrRK>fR~q8dhZW(sLo=e9YM`;DauphcMP-(w`}$GYKZMGGL6na+aPW8oN=Jq{xvNq1 zc@({7aSWO!Qez%SfvOoZdhVRd%_qduju3raVl17AH1?#--k+ABFcOPn2nzQk!oZd| zO&5v`gNQPBA;ZXlG94T8bxlPg+7X@RONNdnsb;1`>p79*>`%9gADLO9RK|yrpkqd? zhAlZJ{=}NPl3?aYim4rihL(8P7?4mLNrHivNEIVeb?nKv^rhb3laj;`GD~BLHZdbX z%a&|iZ&FM=i8FH|(cGQ_Lu-)|`b6i25$9kcbLx>~Y)z(t2i3OTG(?7wQ5;Q-y93GE z)+A{ZLQ5+b}v*0Cf>-GLJE zA;$DqAJR>1M9P@q?qfwlW)R7`8sdW~DO!#c+4hy{!jT@sh`~SRySgNYb_?SKEtBBk!j% zNDv*Y{pYZHpV%;nxKDs2B%7)i-qugHR2oE8>D3W+z7t*w>NYQYjz(`?(vy68j zLwv|EG{HOAfy4wK(sZ>5l6vqrwV_AiIOOa>a*^aR)thv69mZ{e$7^^Z_nUY0(%Gf#>ceOkcf1@a9fBPmM69e1X#US6F}NTmJR= z|HbGF|BcV{@Mpz82p5XS6^rFwk2^t2a)tBfws6vs(r#}@(-ff zznuK&Y|hQ-(bu3tZ^u#2p4ra!{hQb!;Pn)^cJ1EA_MO| zknnXgnG0L+`@1W)f4Ae_4K+dWU=p3HxphsAA4MYGzy6F|&+^@kXIbw%MDQz9OuTTL zRtb))r3-BuX%r|WVD?t+)34J+9hhe&q?@0!D(OwGC(^D5PyHF*c|jow|52{r)B4uG zqrKrT?0@}h4!-`+@|xnrYQ^vVD3^lLDjxqL*8|S(n_|djjv@Uas*FV6n>A2t+)97O zC89irsMi^x;&jW??G5>6!2-LLaY7hm8a8=d&&@g zJ(pyzR;o1GDATAWJaLGG!WrtTE|3~MK(s|UvA&&@WUWX+H&dqFPNj@5lgr1mlfdz- z_`7vcso6=@**fC9+Xye57S?!~jD!&qoEr$UsirjlJP9Jd3v^naj#tq zO{GRFh1yjFWsZ_kGDmgUDrph@vY|^!4eF;jWsxMKCdz+XzeFz2pdJFot`cn9MwMm< z73wvFg>?~8B0kh#COvkDMB7?o?dz$?yFj8_AU;@w&(&t4-KI2pGMBk58}I)FC$1OT%d@MqaGinm#04?UxhgbLaC z0~_A|hS&Fg#p}x7@baPG@rv@7ay{l1r5|`@_qQB(Sj9e~oP^2@DyK4;@2jEAtPzWM z=COMB66NZP)EpS2d*?OEK3e1U_8<7SXa6Uoul+mjZ!~hQ(}J&Wy}~z_pX1T$r_A(i z;lda1(s4_g#@7`j-_D>t(uH;}XGWZZ8TKh5HQt`qW)(V0jc6>fK|@t>!jG`7eXsrs zT%Ya#fSrdn3Dem~Nl+|x>Umt5j3(*ZWFo$*Tz12<lO*I4P_;JoH{(m{S9!RS8Wrccn*gr>u*ifY|KA5f%vGfnpMehnrxJrigRjg$5 zc$HnDwD~8BvcD(S?<$tA{dg?kqSio-&B1)P?tm+@7y83OE=2>@e2oWEQBD`ufLMG4#_ z)$SVR{yq4YU!$t!7mCupCDZF7rjnb0)^&+dw*aOz289o@ew^+Kp@YB2tn?8v2J2*- z-N4yn5a;|=a_hgQwD@-vh1|y3VGxJvRgxmcs5vt#F%TfvKPS0gCL;YIG2Oo>#N>*w z*_-%!&SH@;PGa?!)YSiplIRBn+fQMVHbq?VvPif-SzGdWM%2pL6?{E}k*xgLnLlHa@g<4+ z>*R|Mp3cKqRvh~jsXmA%3*+cr4 zPtY;Hg`NEDp!`8}o@zud+FL$n@W~Ep@5PbuO(iMUN<>LW!_b|jS9^_WX_85?eypCiWT5#N z zpEP)|ZbJQOq4Z9kTzmKeKZ->B;rxr-zxEQ}U4M})U8jhB?Jn0}{+~2_E*np)o9;uG zDc`h&_3ITxf6`CTN5fPqm9y^R%|MzxA!Y`6o^|Bdi6ZvtXK_$BFh8pW2asjJ51BqYLUV=!wR5+(8RS))MQ6zM@Z#Hm|~^s^V~=Sb997yMESv5C*Y z-ZmP`60xVTAJ3dw9FJ8Hf25lz-(|E_QZO&-B(|`LD52yDnl{8}JCbzT1z*1eY;#I+ zaf!z=rV!i8UfdM7d^YRA>_7!^QR|#Ko68x$BC;CBh_UdJ@m55O4awq*zhwZf#Z@@^ zrD85V*j07oP&!Izz!+vb^NI9b#l)oea%MG9GGOVMs zu(6BAwxkuS%0c|oM8Yc-<8CsHkM|79YViUD!nP7C#C99vMY_gnI}tB7_(r5-ol%Ih zV;oi~|9Va6qpIC`uoXEC#O($Z+2H%7{tdjF^ zw2#3iyB3QoX<+#?xSXoS`cyMvA*-mUred4gLZ0L@M$d^PEgPb>MV_fS;pY*JV_rF~ zZi$$O=U`dWi(}~ofi^vu?kOQQ{0gTv3OVChNM4hGmzDq1JSu!hKI=@Ni4Pt{)i?yD zVc`;ot>n?Fa)h9WaZGm>5ahOim1PG<4N{12nkLylRr09t!A|CJCQ`!{pY&p^;Ovux}fqD65`uO_8+f_GF&66$xvOi$)N8b`-F6 zZwp&@S94^u)XnB@c5EGB>-H{`b~JNzdkxB)n>nzxp99-V*}r*$U7t^K;PYCHm6LEj zZbePBEj97cL@3n|{qZthADqMg^C23vW+~dXNY}3Ks5`j8h26{i!(0Es%(nl=?yYvF zJMDOU>pi|&dx5W4x6o4Z2^YWI#`tY5YQFO&Y$6j)0Xw@h_c`|2FuvC3aCc9pxKdfv z#ZJ0=-WDL;!@gbS?A&$sH{jZPfP>o)ptt7@+6oQmDA~{CiZ5PMW7u3?#c%8$z8%A; zCDu~Y)4_a^He+L-a`o=>{B7wy{=WDE4{mSZo4Xsh(!Yy@w-&hm+FvREXax6@WweWw ztKHvCsB#Gn+H=%t-(=G00*`%qn9HtYu&|l7(ryA8zQV5k2RvFHQ4%LzCHpbil~0Ij zeuR7Lw*=PzOlaNr1T{azv*jCnoBx1!{THN+7t`OG#+SLxe4E_Bml@4G$nK@DW{9AM zUaSVEFkhO-y?KJNqy@^=x=4z@LRjc5k!F=t)h{y8Gs|>i7n4QJtY>y{KcSD_nsHJp zdPOo0;1RT~ zx;U>_;xLTG+Oqf{e_yyrnu&mIkjSKHVU~t9!oCJ*8kuKGz<#W>nbFdAZm0CIQZPnE zK_AJ*{a9Z-k8_KFQ;o0@*C9e|2FcG?oX1yBeozawW6O-xb}?Pl$UsFK>lxjwXAMh{ z4w0MRhr`Sw)&paNH%ybIxU;Nb2RXSi-oBSC>q@G7mgw#nW4540#<#GP*UIhm9)=|b zWfDg(*{l|eD^e$;q~$IUZ`46z^m#JF7D?AFqr7~A=HVG;i<%gbW;RjWAu;Gm1O-I3S&AY4@(TT_c2}G%DMb@9>({uR4_tz z?I6jeeR#|*<0N}Qaj*OAzzIT4MAj7uqqPuO>0C?o;4=L!1I!fun#Z;5E^ed`(OWi3 zq2$rMZwjlK83OCZ$VrzxiWE&vyiBU!6lq3fQj0UR_fI~}<4}1s(}ln0ai;XwJcic{ zV!XbFb@v##c`FQ@?jzA>o&b^A(FWzjRt~UGGt8BoM#cnvDl3F_@)P9wRoVk+*4p{$1bFdu*M>k7xP&8~;i7tN#}cZ?rSt?a0IH zuk+pd^L%~&LzZVYvik6Kx~5N2xMECDzabS;FMi3*#3^q7lM+p0c|4U(`gAuK&{n?# z-P2nIe0A8l=hUyjwR!I$ls;F%aQ9oxEN$cZ528IM{0S}n4xfhWgow29$^HhT#G80@ z7Vz-qCZ1e-ldrD5&i$pGd~@Svz7WRs?e*tb?@=Q0t$FUg{R0EaqV078=szYDaK{|Z zo0=#+Jx8HVH-p9uv+465KHS~Khuas}xOtjSKPkdUxr#iEVd}TEP^sQap=LLwA?Go59l;>u z9IDlG`1<#f<0N2Z-cG35G^M8FBp++!=;jd24iyr1b`tBY!Z5cqlJY@49_nql`Oc#2 zGl5ydWs*FHN%I`X*R~f+hkl|gXQ)!^#$|H?Hv7_X-&%viwg#+s))Mz&9T9sQiFTX9 z(sC5d_N&-NkC5UwAyRe_FY|6v%;xCT?8AObDo6K6;<%?0mn{ug?5ZR9W0~XgMxtzn zakHF6yKoKt9AP8@0;cw(1j+bN^C?xpEQipEn2-sl)xV8j?S56xP*2 zkoc?{JdH-vd4hsRNERO=%tSI;4pXf&P1B(^)V7ABr<{S?mOAXV*UME);>LQyl!ZyU z&tPgNK6G5eA##{R{|OSz1W3$#$TXd&S7QL1U8$T^ipOO~6)syFu-sKk#78y6Y?Bx` zjp1l9hF0|@4ALfu3zE3oju0R|L|M$zsXapUfih0+i@PShZQhBejnsfl55;ivy zX({otoE(7@dk80 z%j4i@L+q42vt`=|Tjly>=Qta8kFo8uE*zDH$=1EXi4R-Zwta@pTbB6jbJ=S<`}ur( zCAKD!r27Yu<>yUaSP~PdJ(TFylcTvv^x<|Y&NR_}aDcIWtF-KD<=&1J{^RBUVC0qm z3%A$WSrW$eYFT$yv>~}?=atSoc2L2@&}D63~k3#05-a(7oW;#GTI7h zAMs_h*NpLzkI^{!0lT*7uzkDEufX-`kv;6*y%Y6quP}X1g~`=zTs!B-#DH|K{>Lmf z{=(SEcVzwe5WBy$FnLFnE7w%Hd-F}sFMPyJ#rs@0UKHSZj`gmSB)oQq2k-pfv~2kT z_1B6R*?o`xQ}YDxZzn{8nWgPdkApq)mO+H7dk|>oOPp#f@4U6nhUfmBmp1%6$2a`U z2QT~?)7O4N>5ae1>wjm%hX3Tb4gbxv8~%ji2(t~({RLVPIB-WpF#D21NviNP-P23DavOL%WR}`zbb*(zdWe1>5g$&RA}q5~uzYLf9yge%t* zcd(Xd0kp*URct-F&@nH=B)lDGYms+>V?=2y}QVi+MlM2Qb^yCep| z3pfPyV`5%~ZfG;6Mg`)-0MSMQ2%43o=(N-3vr4dK59wz+N!-^!@WDDfj@1ycUu-n* zCop;j8!G`8-%1=jTk&=iN$Dg^R$Tx>vzcbcSrVn8#2ZL{l_U=m$FM{7lE)gNj@J{N zx`vHo8)s}wu?T9#%qX9DzfoDgR3vEwMW%z4dM*)WA~qaR#6WydZXj0j7`wZSr08XA zy}QvDc5NKef{%GMe&K^6L#xR;UP_5Y2VN!8l*1=Tm*-JR1;p;D5p^?3#+gA}Ph97% z=by;l`B%CAL-x|YvEdoH{`Z!oKMk-%eP_^7Smb!3g#U;dg6&;C&Y_XFEs_=eYC z_yz6P?sMdI#eWbL=PD{L#X%YJ{JC%CQSl+VN9a0Vr@CpHiHr)SQ;TUX&ZD+5kKv9o zkv!?NbmmgkoKH(pA-xr)Or)nU+?Yyzdkj6J`HXc{u$)-Lg~&?AGpgyyDPbz3fXV!P zhAVTZZOT10JGHr+CQHY10{>=gPslBgU=q;IT*bBcMR%UDjR5gRI)Nh@YHGhZZA z9v!urR1D`++LS{_X))vZ1)NJuWxOesimn(sCJUHvDQ7;hgz1!Krc&#eOQ~c&txP0J zDIImvxta^8YcHmySmrD(VJRh@*~(PvI%8-W%VeUvlyj*CEXUWdl=y#q7%ZryrM`?N zg%86;)RpGYQ&GlzdKL@0$@Fw5%j?Muj+U}oSi(wdxx}FE*YWYSOk`EkR#QQ9T`o04 zg;dpM(@`$*&B+rVQW$GXqr5MUuE|1{B~}-r%4Gc}#?ot9Ni1a{y@0N|B08&cXzVPc zQ0#0iDq*y!fR&U4=Brbv?Mk9`yomYEa+Z^`r3NIA>D6*oF_~ULcWJ3e4Vj}pljfd6 zS_%spka#boB(jtrPghqejYHXt50$D6CX@btfkiUTly=9vwD zmg^sQd&BRsef1AyZvHPm-SFd6pn66@4xawk{;%@<&z#=+2>0V(5OnHGJWi}oWVk@p zi8X>hd5p{3Ym^=zqE49m!2WSscenH9?rZSu|6o~&h|?S0TxfOT2T^1X1-PEvdX{^F z7vHZRpz>@e^)4X{e62yuAH0eG!HfJyfdu`E)^$07EA z#D;CVcCl0G6LuYV6IGR0Xl>A>uIU7|^~OXvyHf5KMVntF)3#w0RF9zbml;YPhf?;X zAOAeB%2Lr@F5G>STQ{HKu^4r)_<{hT;Fgob4Z5#Dx`YFSgGVNI%u1bR#En^qnZub0kgQib!XBvgB|5?d+Z&TUDs- zO_GTVxy5OCWQ3BIlTVUG5(Q#grje{~WktM&1*JM>)M=WMY^X;@Q54?(&Lo()k}rRk zrt3m}Y66~VK_r*Tc-J&i^g>B7c9yxFp4yS8tN3h|9dUXlWW|IM7ZW7%%8?vhFLJcq zN%xcIdC^3cq>&t&CF7&WG;|^DHy?7v{$hPcQuQo|_i`aKCze1PJ2DJBDA4vI(bA2~ z;uL(cBS}rmBhe(DOasNyVh(aSNerDR)O8?N-&Q1{B?SeE1h_hoWbF2Ie6qeX`Ps?1 ziw~*A1tdD8P@ow|s;nPwWlz4o9Ys1;PmlOY*EXaeIRd|^0Ah`0eH|BabZp2C4Iv~W zmiVF~()=WkIss4fm|14#^OMb#A)N>}w@YnGvCbneA9Em38gg9A{QyhoCqs(jMECNCY;4q2MYA*Y}XK~Vp8!kwxl+fFC9jCVzo}T($bF7QOL+8og z*vkC77X`TfZ`PjqC#>G?=VGfnzq|H4_k`R$5}dekZv&TZZl~Q#NP)2(HGevb>c2FR zeY=dIPEj2{B+&M?4l~Qjgs8;Q5SW6I+EI4w*~8Ag0$f{n?%?EEJ&qhUM&tMnk%!v! z5A0xi<^)Aijx@`rt@jS0!#0`h=5dbyy_2-xSyBD9A>S`3FrrCgU1s{!Uno`YBj#)pmyTYhZ0nB%?D#W|uY7~)2MgqxtuPUE87JK)+=a4->Sbbd z^dee^zC-uOL!6G@MeoRC(hof%Smk?;9e%>`6ZbiG>?=+j{RXvT59RS8$4-2Ys%bwd zK6B*k>Z54S5Q&F}#n}Ne4vmo^&r__<5o9z+(y;{!4qPSsz%9bm)^RXcBtQBQ0m_S{ z9#|q)abNtCg9Mx!CP`_C(p|$8>>VLiYlc|o3q&8EAy@Gw;{CUXJ$4Nzt#hPB+#^I| zMP$u+!j8-nbbNwvNqoZLUNZJ~lc6+Bm;i0M+a1DGXUSB2nR?$%QV!q5OXEDj?pH{1 zyg}stWs(j~5piq~Kh+_^j}4QxcaZYkBP8!1CdKI@!TPgOU`rJ2zeeW4TLhoEg0ty4 z^1>eybWq`gG=;-r?~zfFjid5>n6v|LoK300XRND4drm_mB?ka3`!H02S(RcA^v{@sor~2aY?^C+l~SsWeE$k#W*xe3<$?=|`7k{B_cmZV_?-e|twsmdDI};{rfygqdF;`N%Rw2iC|xc#+6cmvPj- zKz`J>1j*W&`xnXFJ0oKzh(9cOIMhv|a-YO?3@<&|V3LO@m1XjkR%MQhB&uA(RsAB# zfscsPkw;lSd(WK2Zc@e$Kh0x;Qa7_Ya?>k4dveeu0DMC&R6Qj~Eb4d&i3=ktZNwHa%b-s|-9!sr0B=5joB2?FLmRc-~ zzC-YlW|EF{N(@9wpBy4m>L6KaF;i+FN%9b_H!E{ok(`_-Q+b`#!#7AedXs4JBS`8m z?DPylssluv5StZsa9H-MYBhJU1f=S8&r`Z> zgs#u8QoV78d%J#wXJAbvsnuHp0$i>FT+cmaS_0=Ex`vP90*u(h0$VGrzvawe{d`w(Z}=38lAbuRcXf z%~l4QbSVu9r$G#D@<^pD%%6bs9q9jaFOA=;Q2RiQ2iLaJU-uceuf8BZKf}XY&v3i_ zDB*7|(7*3na!yo{Y@b2H_CmVPG~>Oa9lJNKq5jr+LZpZ~0~QIh? zcB;qCs+Lgm20ZL~sWL4i)v6V1n?ancI`B5>C(vL7Ka+M`&4(~I93W1l-RM9R6Qz!f zm%Gwe?JjotiX`%)r^=6_RzD(x;;1qhqfuv?S|i1q^qFMEc{4lg#X{{F&KGO3Q1t8g z@p5;T3Ou=(<;{w8nf|%}GUG!iGVY>LcZLSNVTx=^Ne=L5xZ9t(W*t_`)R`#pWTf1i z@k%=u%FI|TFk`9EncAkGVW~KXp{hWMc>FEI)jk*#?{Vqyvib(MerN1Sd`Br1r%1%oic`;ZK$VjCt zvt>4{=2=TF+?Xwmq9He)Y|9Gj^ryv!Nh-{mNpp{(rqq}DK3kS6RV7}wVuQcL-HDkB z3zmybSuC(&qAGy;+9*=ov!sSbXw&Vd!ML7$r*v{-Lzo)~lRT<(PHIVEyJG#xGO5Kv zPtN6d$@&3wGzF3o8$^v^jf`!j+DvgPoLov>!x; zWN9p6j%7Gm4`OQDjj8=Gj^^W7St+hw@@={pNfhAA8Ni`v48OvD0&*wu$z38S;}X%a z(m;bdi1Vo=Hl%`D_c1(PAI0XSudsUQ3Q?a8QTTZuBYUqhB294d<@Miy>pujz1_iiW z{vY5{$i+wNukwd;M;LbUCso~t{s+$Ze0iD5g<`UN^2oiHPRku1rk4$HQ#naPycznc zhuE=i7u)xJ`0H(LK0C0TEqnHH>d+_jR;!DaKgDF5H?8qal)DsC?^{W^Zw_&-GwA)} zIDUU~rT9l<9;|%E{gLhb?((yIrMRul?Ps{!u0rxh%dDOJo|0oVSf8??Pp5_IU6JHz zrqO2GPPS78ttm-dt~a5lb0fpOuQAa1K8BXYsOp5EDfHIH$Q5<{aFW%7@X`<9ph*zN zOuW$3^21o&A1wn*VOoxyG;|=R&78>&9eNYa$h>E1Ptv3>QJ3}v1IjWDDR1*2E=-3U zbA9qmok=(KCe~VHp_d7DsYZ0i%Z82CU_A0H1JP$?tOh*^S~8CwHJL^fH#(ABU`?8( z2KidzmFh-xQSWUWmNX^l&@bbrqSYCRIzwNa*dRW1#B0)?ZcIV8_|WS|gs%p9 z=0@b2x{_h;Es|DbaeyiHDF(8Z7Q^ux5(72*QqR(ptWIyNy1cF{HtJH+DpEP!lne_k z@xeiS5UFS5EHc)Jnp877#NT0s57Byb$7#@=a#H3vLTA!RYBMxQudpViNo1Oh_+Vxw zlGcM%YfnOLj3`a8q*-Dx5TnINv_3ttT686;(vzw}SK=|6)6~hxH6V8&f)IC2^36>} z{)w!%@Fv3Ag3M5J8j|(IZ|Sg68uZ1Up+{n{7@qNMn1?7oOv`W5*5@p=e@%pq#E%hd!U?^6V{&*d#Q!S{ik0Q=a;e#333Lhko zVOFN(MOo1JTOLQG4tk@t#dkG{!7;iMkJ6fYhTJS|@&`f*4$z{+T$Ms&ZSpLwh>@B| z@^qvw(L?ITLe^8vBR0pMm0D8dREySFZOStY$ZT;SD$jy!)6-H*29i$;Q53c!QO#JW zNu?{*nofyjhxpQyU{7~~3Ei0*G^ROYtL2EsS#QpmxS%3A)YElFQ_n;8l*surZgg5l zqkF;_HC=a3>ROZP;d9e5K|6yEj_i~}tkssHe<-wijc(DEw_iw+-qib(c9rP*Pm0B#FJ3x7N z2C*r2^dy!Mo#R01f|D>V8?jwY8kre7st4G*_aNK%9{TNbTnBctW&d_m4!*(SkU9fx zds&$XAAJ-RmH?ZD$ zoMd5Ct2=(6R;7kG$9R!j*$kcPC3<_AfLV#qR(sk*jJc6%Nq)cyrfRJjtuN%X(EbDa ze}{_F@6kN;gky*PPQam`a6I~FwjcfryAS^!l>>j^*#2MGcl0*xHQnQk^>opnl}txQIZYWI^p#IARy#p|b|?LD-AqKZ zvlLOuVpJ6~u{E**YH7`Cq$sbB-1=_%rl#o2OQt)ckmmGO+6#sltDk1HxL-DUJEM`k z%tkga6I0DZTqV=-)l9}!)0#zWNTofaipKO#2CAkQuNkK=y+Ys1y*Z5-_f2HmQZq)JAG+`xqJ-p)V(iu8d-u(%a}N9$~z0isAfT0l7}bB72yR zsDJ9iczh*ea*d|e(VEjpT6qf%%PVx2WYC+LLrZ!SEjfJ>1M#7JNWi~U))yZlnwXV! zClu?89ixdg^yV~?onKGI{4#A#6%1sh)0SRCV@4Ol)zgeukJ6LU#!y^8GvUol$CQf= z6YBExry4GW@2jEsXl*!j>25JvvO(5uAsS~lcCxL@nMd(7|BCyJFB6MtcBG} zEz~j}UCUHLJsnvsBosDKxOS1&`ceimGic4Irzx|Wk=oz#nA9qu)6aBxD|1n@ZrpD% zNT^~ktBIoAItoW-=MIsX17Tk`dN%PdQjJA=NoR3;MAnG_qwqgxnCXqOth zihr9OAEAEEl7eM7JjT-TY#Si8VFJ(o9HQC< z9Q$mzFl@`>z;Uz$xV9^fCp)C`nva97@R3SCqnM9mz#!2Y{?>uvj7dBkt)eU#}bi*Tg{2IMi zZ*byO#qBsA^3sO;yf3f6vEi{izbDrtK9<)LKDk56#x5)rx1!nGiP<~V_`lgen*JKb zCPV1k&x$^7!&12sP30yWE#`1G9mC6T7|Z>#_JJYvKIp*sgBq;lGJK;L{SPY8-d-aB z+JvrJKQ@{pSRAZFPv$Vy9>LjS3QuF9+b6oP-`kDxrXEZ_sKRukjDNEp%U9ZPc(D!R z=gYC&(}cZ9G^2y^`@_v>AMeD?b^$k&VLUVkvDn#+^$xM~{U%I5sK(;mDh%E#LFbc7 zwD;AcexwZxktJqFnz0ajG?bfhkuK+KG9qkr81vnYIPM?D@VyQ!-{K7-inWv)&=DW33?^_gpTNs#82cj~IPCAk@S{%5-><;z!#ecesK@N} zcIlSw*ly`W`)D&p7X26>s+Fq=J=I=Zt!JNp`rhqqKi0civDi9@$$K@JNgRycZNT{T z7A)Rq$6<3fdi$C%G#^0!SUu(n9}c(TDlu>oA3SwM^6zZKVc!S_?=_3x3L7eLc_CkH zD#Ort47GzbIBIlZaJNh|%So{uIz*w_QY>-+~X@8nWSBZhAw!()dY{)Yh9 zAF+D3nY9)het-Q1?ySGgg9}?2X?Tx6uWw1ozS_q@$?w;I!v`{|t!$=KaUNl^`L zErZmSmr~ZzLE3l<^=l^?nAj>z>kU@NK71+@75AK10N3TNQzX88nG0|KLihe|k}T3G zQSK(-(`EGEoyPsuQL6O@nGa0lPt6WIxMaZ8&@o{|W;lfxqUkY+vClY8j9Ai>PJ_1iWBBj^4hWh;{~QI`?%>)!IX0sz2Sq5xpr{fwu3I)GLphd zNDeJ0#iNuY>BN;~6Z8$8qoA&W!rXMS0^>y*&rlRUPfC!i=RHlVaXabG!{jGT6B$@a zNq7ZmuDL{uWG*foqrH2HvgT%rEAq(-h@!Qimxc@(8!|zL{|xb#Q)Fl_QlvLWwowf| zq4i|CCyF#JBrmm(#)es1dWR{jD!VSs_uchYbBe@(ub)F{q}% zy_(#RYQp?Whz_i#qG+6sfpZi$)KXHKLrP#2eZ|8fg=S^0ak719i8Y%fO>c=*{c({) zbyP)E5aXLjtZxa0nM1TR&r{#kLs4xZ*`ZNX3;Sp(TqHkqLTsHR$!>;3-8nK1=1DMY zq&l>YM872B{0hhrR#Pi%v3qDnd?+C=DS?8BEV`?fL~_j#7cxzT=L9*LO_T(Ukx(F4 zNGTP*c_e$}6C2b-Y56eSBh%zHR+3*Rc?e0Tr+$|F5uFuF6oxBeFix7w9PxTHWEm_;K3gdd zs3#*ZofN-Zasp~-D(z)pV2I-S3JQclrU&NIUb9T0)M949JlQ@|#7iv}nDtQTC^mZ( zOMHun^DiLNyM+3@7WyRz4UIKqSCo>FP)J?T1f3C+jMxc_HXEeZrJDwy65^d}FxQ>o ztkx=L^e52NoyJ0aQ9w{$)9)qBq=m-ZRs36*Fz;N(zHb!!&ht37t>ag}Olf~2z5SAzFT^2K$QZv2VWg|E1D`x@Duc?^sNFh6>L z`H9a^R}-mtP?^v6?EUQnsJlff?o{E--p?5<5vka*lZNiCR5n}V8>d9lfDJx5D%6#m zlT>FVi#pO>r_7^!ud=@U{?iYhKD@Sp$G0}H)^`%Gm#;AQ{$J@(SrUdJ8~fM@#rv1> z-9Lr(OT7f{YG*1ukslimusZz_m8DxK&oM_grkSJZw>XhHkCyjE_HA7taK{zQ_g>+X zty6ryeVpx|FY?*v7x`q@Dw}tnXYa;E;!I0fiwI}kKbF3bI4b=@xa1$lmC7QLGJ`1h z4W>0HPCz1r*6?8FlR~Iy52P&1i8}va(vsTh5EJNFS4%;Z(CO_$ zi+=#wQDGFtMu_}~psFf>;zoZOy*$WBsUy~+1NYtS`0we#PwWiZqWB(TGwJ1BGS-W3 zUoXl+gUO2vWhyL$?i@c#dxNPDu%R$6n-u>}oRymJ7WNjly^q9AGuUo#CMd0i!o*-2 zg4`$#4R6Ry|JpI`9&P<-2_fudVaw8#GW<)j+4G zGi?Fh0xY4FNE{ZUf@mo7rlifECT~|Vlgo**7Y4q+1!8S5y8mS?jcpYrQcXv1by9NpVdRvo38p5=xYI4TN*x64>L&Knal$r@U}sT9af!&| zKo_b)y=e*Xp*1W(BzYu5`H56lCP^OM>B>!GEi{#3=X5#*6O~a@J(UQkybw0 zvd*DR*V(dVjXj$$a_G~`Y!(~s_FX`0&m`{&v;1JkxG|H-8e2ae+Nop&NZrH)$v)GfvsQ=N z%6+JxQDV!k6Kvi68*pto@DaQAevIm#kLfN9Cc#mKnK1`iZzs_9RX8PgEU5fGlG$q} zT)bsTnZFOYZu;E5`5Ir|c#kLRFY!Qt>zkV!SQRbm@#+;uUi|}gN6r!IP)q%RR@x7B zn>nn+Jm{`KanDpVs$GRw<%)8qJ%2zGI9(v=&{OVps1N>|7Z$K<0v#p zkgk(LZbCVonZh2*(nt#mAk-vMgq1W@ct)W@ct) z$+BhHGD~L0X1I{fr6iNdOp?j>Ey;H>@9&TOIiR!Fs;8<})${0_y*Ck2<&?(EQ=;2M ztwsl>n&Xse_v7zaMPTCyi5`Vi>ZVeupG1sTh7`|o+VZQ2ElnlO*N;$-IEu1Qk!RMm zb~%(nvA;-gB$zbeo!?7bQY)o`p+-NQQt?A!d=<^9Wu%tp$lt;Ubo3{@beuTvAz=s2 zGIm%nOp>G5iF-sN(Urq8ZwBRtnG_i$5R+I&i(tsFDj_*Lj(A&7q7&*V4V@*|poJox zKJvu}#o7b-y3`QXI6<0iJ{5YIl4L$ylLEaC zS$|Zmlbt_9fpx#cQEZU$ zMS>win2twcE74Lsi?q|%FytB~5HCflBeH}HiDO!NG_f{b63-4we5c6M7as_-UyWm- zVDa*XMDV8`^X*N=+J|b}&CCQ`# zx14sOv)bhK>OC`)36{LgO)RA)zLN0zdQzovMA=9o&a0&~r<_dVC^Ae}?~$2Fv1tr} zCV8k>PoZkRjHS^WhUODk8lJ#KcL7~fVaOI!gxC$EE$T(fXbL;s^Vn%$!Q9}2e0~~# zPbpmGo|MNIh_4%o+cihPJ4ZdG~jfYELa%y-xcW&$@iznnJtp?RbrO#+5vDkcRn`Nt8h<6c;%nl4=OyrsM5Nsz%jFtLM;6K4 z{~f7^z9#kP02yg#NUQlH!3UO!KYoeKgVWdtUnaKX2eLZ;f#`^{_~|#{95hcs!V?Pi z&x-AL$vF5uF)A~-`*x8z`&YbFFH(5mib(Ex+{{nnQ}B%P-hU!EX_-jNUaYOV$u9h! zc+FE{`!&)J{6fazt3+!yQ$6@Qyj|~-aOfHdDrfLjnZzOYA$je8CNlp45k8YR>NOCX z`-m)yi=-YtCm4PtP2myAirwTDUzNZ8M7a1f;rIno4^CkpeVycrpGa={h1ifqymTAz zh*}~y;y&>b$E<@-<#RuX4O7JXNdf8qtHk#T3CcqM6;9yfc!r?DZz%5lD`9aLh3R!* z>pVnW?svp0pC?n{CTT}*O3cm?r87nK*q`yR5y^Sv3K>VQ5}+}IYu;mtkHj(iHt|lQ z($tzsD*Bp4!YhsU`AoI|D@xjkz9$qBcs*|d*zv7`I>nmO(OXl}7n8R~57kea* zY1fFc9l+YGos6RI$WlK={cef*-XBOfb`?*92GYj9#VhC@*?X2rQ$CHI&M*$yH^^=M zh1A@yNpZP|t7@MV@}KaJTp{}SFi|QOiI(vhidXPbc*^Hr{=$2o{}Z2m@+}{I{0pCb z_&2`#;BWlq)8AvT^=A~eJ?5RyZ}ZN^ANY;zyWf2E9q(;=$ZtM-%>MmLSgJ1LqIw(i z<5HjmL%Qtah+Ve`KX8(iZ5<3M%@L^_NrYlFcfY#L-(LMcjJ)~pc)iuKcIDJ>h3I^9 z=_T%+{ep?!O)TAim&V)MN&a4!+-G^RU(0Fm4W`ZCmQGPjS;-;H&e+jfb)1nwWsV=; z$;Pe1xVG(iUbwbwQDWaGhdC;RE5E#mXvIWM4LaidmkeBfo+0C+D|UaWBIB7qKdpR9 zy0nAhJ>maEJ{+Kfs>Y#wrS2q=OlIlWCAlrePAP#$Ke>mEfPAO}KRgVR?Bt zH>ToSUrdN=6p4OG1m~t=?rceLX*M+h8PaLI$To;2%_xm*{Qwe^_}KGrgXE!B#5f>CpLRW*tAes>0L?UW( z@T9R?L|_Qmf$0@ zD6Y7ONb_Ja<8yII3`5_?hKQze3O%C8HSrSLlh*v0ZY23okxyV={+bUWGja*2P9?mm zfEc$}lH>?4$`TBgxK(D5ADu~__$|jEY7IkJhWmN|w|q3a>cF+uzgM~tN#No^(grlt}nd6S)1OiFGs@uj5%y7`gj z7fpR!9%1SZq*u3)om)+!o-1)?;Ut=eQD_iIxN;sJzSYCJS1$0;Md67oHnE{LYWLRS=# z8L?6e%8s{Ezj>4#^DHt=lezN#BLDI7e=+^if8hIO6BoLyd2;zxo?Uo>Cl|ir#MA*6 z9&DxKp#sUjnvi#+fUdx5T0Enf^zdLfP*_)PGHtE;bk(cT)VLpA-5u=MtIFmbyZ_F( zwy1FE3ndO7eTT@XDAJUInOStkMhchpca@YpamVAA7QDXiU}gC$Nm(Tqy>{*-v;I2Oou^3{k&ZZh0q^N00>;ncKY0R=*1OAN1^4`?Ub2MNf9}LZ&)R{11VIudk}dlgqQ_yx&UK9oWHx{n2d{L zFg=m3CXqa8VdRa~P$aKmRsirvW|`f3D2 zq+qDZqqQ)J@|-vt&W%!6HA1Dx=Te&v%FU)JHJ`;Nwv^)GB+A;|iCzdHZO)JGjs*JR zqv*;@Ww0!hhKv{r#v3SGn5WdCnM$ixiY>>fHI|t9G?LVrPGgrZ(bEC=pZB9;ESjMV z!4MxyUrP~+)NwJy>WuPpc z{>Uf>>I-R+rc+v&Ow&bSR|RcUnb%NaH7YTf5q95AY*`IueHj$CyApiKlc>{vbhafh z7#&4_Q6?QyaH>)xsXp05WA79dmW@vTerj%gLu!*39X2C-6cD@uvdlY503C ziezsW*}7?*%%JUpifbFC)cx{`G3NwU2rxtMyJCbJfb9Xkj+ z)=0>PF8YPlhaQU}_*ejszq|o2{U;}0`FH%@Xy#0(CC{$C!FS6q^I-8)S}H%}^!@#` zTumhZaUmHuQt1v4p~u&kzF;@{g5q(p)n|Onin?MYdU_6{sPqM2ZQcL>3fD%FihFi! zM0wA9)a1vnU4(D6&zs0U*5UET0g|6q5ctgv>X+-eeanhCPZbhv5Ax{RTRge?8uyo9 z;I0&|@1$_8bRQ(`{Uz>v^aomYj1%i7x^;UQ#fKX)`DhfU5AG47aF-UVhn%qd0Uwcy zo@!@sJ37i{#m9Ull5eBRQ`EQph|{(|WAVX#VO~G*!du_)(!1AqW&LeldHqLTdg~D{ zzVm~0k3aIlt3R?`sBW6tzYzQ0UvMz^1uLTyR8(FdsJ0T{iBf`3)sj?RPH9FHSz*FX z!dsa0?V!e{4hx4WbljG3UA=4ayBBeM`!UY1J;PZ21Zho!L{}seexZlp+CuUwn#l|) zCL^YvDZe%*T-!vhRbUi6gTtqnaewOqw(s4>>$M-y`Er5S@;MSq(ug|KPDo3cjBg@8 zw34*g208-Une=QU&ZHd8pl&oo5?a5vgu}a+hY)c zLUw5@E$-!HMK#kK(!sEKJ(f;YIJwN@{@!I=-@bs|JNNK>?R#{0o+Pnuinx+wqGfzo zeF=r-E#w843x-De0y`L!jUH}XhGxhh7P~Lw`G)x5{cGZbAJKpBJi(c#NGZ=JYFb!J zzZ8**)oWkXkP%%+T}T_V-tFX=RiQ7<%+TZnp0A(5{_O`6kHy4N3SbtICcoT2Ip!?AoB8%rqF3FSz z*i3Lo1vwQB6h>6Z_h|(I#3a_SUH5Vn0Ro1nbJ65COaTe1L&dYdd)UQ7v_NB)}8eJl)-qnZ?69nuf6?8Jbv>xQg-}rK78#vUVHynUVrbey#BuY?Za<) zef^IjBQMDwT_#xJTf7fkC-=ZTiWQ#Wv+*7lA5P2b8LGEWkZxKbOtqZrAFja5|H;g& zA{Ey+v(RJ3lbh>>ZAqQDw3+_;&p31Sw~XG^A?pVRQWxXMv5Y0k$d8QGA9&km3DXUy zqg{i}dQFCg51^s_72Eb4Ve77a&kNUv{hzaW*GC-R^DZaqJn73Z!!_ob}Bk;HXO8A?9 z;lNw}#<~~&4==tb&RqAeys=J-`Rb)PUie?U_`<*O!EYX;ykiFEPx}dY`y?ix%wx54 znyTV&F|q4H)vpK3>`PcF^%8D*f=GvXY}A_wa2_Bq@Fb41S&X(06xI_sez1t! z+k+IQJj5<|8fE)7^ct>nOs^AHyD384PGYAc32fRXMeK}Vn8$PXIG*ozO9D(|^V>x{ z*G~woUm_xB1$B#7G)vE8U^|Yt&KS|Q3%HvM;HcF^eC%oLEk?1?Ucma}F1&v;gw4nE zc)T}_-|jI=tG~v`Le`HN$0BYKJLP^NtmZ|2&R{DE8sa^Qm**^w#-}jeFo5rSgE)RT zkMjqoag*nz`OmQM8AH*v6N`%LXsLB$<}oXB_cZ!?%|sY>lCL|8i@`Lun^t|$hwE=< zuv^10N}AUSzRBlM6BedheFc4^A^Z#`iLpP8vq3jb;)jHUC2UPcuvDAJ;kP|_y*G}{ zM>77c8G;mN$*6dMmSsD~)8?eWpTb#fh)7}A0oD`PX*3cUG>xm%Bo2lPn0?WW?{B)X z|9Aqo-%R2DNe?CE&oK4uK`o#a%hC&&E3ICOY>sFr@tMTY*S?3)u+umg&tkY^1fTc& zaQR>khYuH}kqna?bqANolN`5g!=QHc0(pG|8c!4Ju!y}*JFW&TBqW~0)_4pvr8ybf zi`V;;*sfuiB2sUG_@Y~AN*vS+7DZOh;Gs22l+7u;&4+Q&Y$PUf9!FtTcKQoge$p#` z91$Nb;PLKByf%(dT=g~j4m~J_4q=jU3F~8hYq=Qia6)piQKa-B{{AwD@ktD~3<`!G z@#T!f@+7YB^$Ht%hNIsYIu7kPRj#0~)`p2NqB!SqY&GghmKZu|44`|Y2dB^a@qfP_ z^G`a7(>Y7}rfypHJw^5PUwP?;e`nnrvUgtoRgS;N@lPTjf5mnEuas>3-+a052VQ&S zZ$bwCg%@7?cX|9z*=zrV#-VCrZSBaj_8`+Jhz7GjYRyXU+;|?7_f8PCrIqfZr|~;n zgu|vJZf&^B|9Ry<8GHTTrHM2%*JmX);tjsO@)F-&*u-MzcCIgf%*gd!lsq*gXStEF zv@7)IT%omSnYy&AG}cx#GHk@`unv9wyHV5pjIDbPN#WYdy3O0RvgyE1pw0=^ z^%ndJ#J_c(OCSD?>YXzLnzYh?Nh(+kgt`f7agJe*FW&4_lp_5_3Jp$Crz4tQe3zPd7F+)b+{bSd>^=M32G4n-eR_zh?8U9Em4vn#@_oi> zRTp`sEiBJ;kv!8LGF*jOpT8dVzuh^2?heKU0G16Fa5@)E; z?WaL&mR!SgWSdP=WLisA@;rflQW&xq@NHQZ`zJ{-nIczgh>7gLx4s4M{(dq_PEct) zNXzOsVY*9XnVzJ?u!)+`VG^Pja15TnbMzJwPSes+r-&6P5#!fIXtfmEu1*pgXUTUR zrBQ2yD(%x`nw+KBsEcx!RtnRWaP}C%v;R7N(I?5!pOT^@KJ*zPp|~H%hB{(Los82B)ZbTn8TzZgY}YX;xAG!lZ(`@vCjaufK<++G+Ca z`>4<#A=l^(IYx_;Pwmutbds5T7ALO}oX2kB7d%g@!7NeIz@mNniLL0xrMZEG_9+Si z$EeqmIBK0F+h~ab@oA}bJr!wZMRJYd)_4i;{H5o4V6&QwlO$z4&&B8g$;C90n%aGI zt4&g2{J6JDNdaxG;k8%xLLxRFOzK6yLMBK4F7Htf?Fs_9;HB6*lhS52_7dT zhG$8V7*x5PquXSH)1qz;y?UP)qzJ7O3AcK!t(VtHVOsYeQkecXUa$O5%6|J_Y>_?n zy1agM-9N7#FR%NZ5QRTrxceHx+Okj0Itj8Upv5blN~3Zt-oAwS`ezgzyh6>F-w>_1 zjOXSdu6_Is|Mkj$F#YPkV*g$3y!=c#o>F10+xBk~$GY zh*J(R83Q<_cjK8igI`KGl?{6IwH>FgXA7z-o7l8x$J%dB*KORog)KYxvu%q4iu*ob zpyvo>jUO^J>p)UyIVmo)MC%Bv&^v`k#}jn^G)4V=1^OQD<;Jp5y`qggzVJ5RTzY;( z*mFIK#QgR$^Sl3%#Lv5MRxY7RXk@cu7a_Z(tGxIWpLPF8$$S5UiLHMiUFibRx>JOk zjH9V?1@&V;p{XqFNaH-E8c(P`dY>H9td@!m`Y0YCd0iWOG`$4#QuPLr5;0n3Co z!tzcM?{ZrHc9FWnE7A5k>uBt`VbmdCmUD!;(*eAw%L2Va=2OHBIgYMh>P-lG>$(-P3b3;RGy)y^b}1cVS+~=QKa}a9U4Dit@sEPr6*`8 z-#}IIDaXa1T1W0;t13m_x*s2-IjnRpQKI)90q!w&FxhWLSC%(}bEY`D9HXM! zhu{%cl9r<7(UkgOFG>?kXe~a7fvO4{4*rG>d$zA$k82x;l=rj$;C6IWKBm6%sL(PM zTATdI@E#ykWt2>%B@&MHW7BaH^}kKfc*m5syAIsCw1*oVD%@Xrm9HCW(Q#rdYp7Nprfgp$zB{Uk__T}QFB)h%*2+1HYLOD=r2Bc26{p3a{eCFy zI>G)OgQy>D73U9=zq1k3BeU$;KFQ&&lW1)iLG!B#cJ7~N@2**nZSLcMMJL{ovjpxd zBXw6X89PewQK-QGNHs}2Qpj-3A<)U49Bng7RXn9Ag%D~OjE!{!sUpFA5BHO>MG}8o zKSBFD@ITl_!mbXAws(-Rr;s3%c)|jMN!K%?Ox2lE2ZJ3v_f$;4#3a9ged0`LU&J) zylISp13d)D`k7nWN!!*z`o0!Y_9m0$nna|NgKR7d!QdrDC6oZ6?DqC?q=Zl4cW{8j z&C?`o>l6Fi@m6RPTLgp1^t3&>M42ZLdx_gN zD;Nd|-P?)(p;lsKe7^V~Z%+lmnsJ1MLnj)d_`(YuEvZIczBSM%`;?d z6&Wuva6Q~h;NA(+wvUmyyOKDqa8mqMuc2iq7@Pz{Fp1jXI2wi!AKpoX?ue{^lB^A* z;=`^r46%|2A^Rkb3U#FHk0-+`UK*lE8(kxc)Z8VGL3kJk;p15(F`6Y}#~djeM27Bs z9>?JQZKO#I%65uB_oou-kwmzw%YWx$o?0lul8d%Bk)%dX;H&We&BfI@R&%jf{FuI{ zfFQGY!u`X^)OMsm!-)cQck)$($T^-u)V@MO5401pcR+Hl7QZ89B+Gs%*jCQ0?kSA+ zH?T|g)ApU+e5usR7Riy#hq~FdyOHpHUDWCS6E&B=0S>_3P}`y-1_nsyzpNvt^1P{u1+qr zIq{>�NKD<>8fg`1<;Ke!TDn4FV6u&)}#JTAdNp+lKF!LO+P9!c+!GM zT_5^VlSKv_vQ6n-b}4UMy*k7Wb}DRV&%rGy9(a$50X-(h_OpC0h>omEsy(vk^v$Hr z(}(E(Ar$|7n%YNJjNeyfdHHh|Mt;k)ORw?t^18K?tS<`Vihlnbr*`~~7Udy=43jB8 z)J*M>UP6y`iwszz*rb**-w3|WjAAG&na=zs+H&)x5DZ`_-O^a?GL9NoXw-W|o3cnH zy=BxjuA`@R3scpr=&Rpgzy4hm%;gX|t{EnsH~v0x8ba?iPS7lcE?4uEbnQ86j`z~x zJ4{<`Gm~XWEN2vQBdMN+yl%?V+9`~eB+(LCqAv16bCeXlG15e?l?m%>JbIB@6_G%8 z?R3@l(^H+y>HHj4(rQ@9?xa4im+bTzqC~djshy=#WlRc-NJqWNHO7#kwk#V&y1RN8 zr&1>wDa+whVH!7+%UMosW~88({M0@&JVhd?%~7r@g+pXYx{fr5Bd4iRxJ!GaF38I7*cfRr8r!sUOIKH$|M!e zt0!f5F;bDl#q2z8CDm|t6+>1hMJZDxh{P&Z7m2DlE4#N%&?WN ziV=D%(>ar$As8ySoYqRe*iay>E!An9T(y%Fs?Ui1t2Ru^>oe2|`)WG2${M<8&KRY$ zx|q4*6t1V1a4n^gY4JfpN+-FYGo-3dQK2f#ZMA^tjmy|mG|2cuv7<(Lln%FEy6Rf# zt4?Jxw}4CO)l3&j40Bt_NSh(Va5au+Xiyp@TWgePLy=8-7s*k*M49>tN=@3BEFGn* zvQT_iwibiM%x;_;rb=5}2hG{ZH@+Rv|NO4}EM%Yi2(m6_12dR!6kX))2JN{oT zo|5%T(>f@Ooh4E8c`jy499MHOU2?Hpal}Jo7tmC{g|gu_w6t!ZsdXCzQ960T zp!zl*-!GzRLEWckfAC_d`XXrL`WjhW;W>dec@GoB^Oq?(jn zDNHH~!O@En^$;tJ>n^NYJ<0lC)~*h5q1~0AmS5)fwHJ6Ga`Eo%7r1}p6Z+kx&KPIY z@z{!E|09>6A15han!x*)D%!qRW$w&T5>%6^@d-u$$Z@tD_)H3}Fs{|h+HBmtflWKU z;JCtX>1$GFZ15=KeYTu#Zlf(QiazNQ-A?I*^-rVx*J0|P>e2m3iIp4g@ZjuMJP?B( z{oivHg>gl%Ut;Fd?}cW!;;rdNhh_%_JDRcoypuw;$K>iK3Nm217LdSvdP)p*|9SPNTAYRi+ih|6qZ9tly4sklF(qVLnG1ipv z$B8vpA=+41)_0b|6+ohfC!x0H6qfkmX=F~MzB`e6*2Ec_hz;sQ8Ho)hYJ{04XudM)seY1h*n1YIk63M20^E#AD{;q5#zpA43R|-#*1+gF24i)?Oysc8CfZbu7&)R%^d*TMNK^ME zLEQ^KGh?zUyzsO&5t-~Eezg${;tw5JYt;wF;sY6q{YXB3$1ee7~F2=3q;%XfKI~TPj7XLRFWnO(#$wjZf=b}9k5mv+}i%l9Lr?lkIFp@&AAw}*O zRbE!4+31O{v?Yg}@iliORn{mLW;SV?j;WSAhs73Utr&DwLUBA8ij_hHHi!HuI}*r{ zaVq+IEm2bP!u+5kHajCQK5T`d;(jvxbm&Yop*eRal?6vRol``eX)^`-E5sZ+O|{Z2 z^&3QWXbMx^Ck*fP`+vVW#Q&7SHN;Z03%^`_aZQyyz9x0z!8$JA`;t~&13Ih%==n|; zlYfaJ_L>2mS)MdMjG+6jH8ZESiqi3AAjt!BRRuQh-p?016`p^qxM|NOwu^2&vim(| z25jkSIl}4bAR3bjXbnxL%^{37mq0>KkD>9`K5FjzQhP6!8&?mpSh<5cH^iW;>$oX} z>vGpI65qPS#VxCi}?lNJ117wy?QC5733d=Lp8czu&9;VOvBE$aosW!hvo$(^I z!UPMAu90hak#frwie(0}rp#Ez8_8T2D+Kvn!P{Yovieh$rk7s^L$aTC)n9B*-u#?o($=o()sWzUbURX`1^&(?|52&}kLaoVJ z>U2+2Y&YRy9>=$V>UZK=SJgJY=>6@h6UK9)$#8-1PSu8RbbeDGDJF*ES zj*>&!=CT0_rOe+fpKa2gktTeLZtokEo1UW9 za6)`>LE+g%HjrYhBvS^y@|E#w{*cgocVh)+J3~p`)9mIe!ykq_qY%J zk?`J!R83bfG-kksg^#&+V{6Gj+*xScrVN#_Csxq&bD?EHJ-+Od~yTaTc)_hY(SG-zl$D9%wNEy9(e03Yi8BWU!D z!MnYmgMT|k_BSSUK0C(p-Oo8Q`dhxf{2F(qir%=pj^&QyWWRr%YukUNbyuf!l}K9E zo2b~?f&F_OIK9zWNs&-~0*tFTTZh-3=M{m=OIxqJQ{% z%ru^$zWo7Kn|{Pn=?QLDzr)G!cerZ)3B8R!qW1Ak3_txEgY|#Ka{W(OynF-m7jL5R z=5=(oJwip{4r+&`-r33KcVvZ*Ek;d5mU8Cc-s5}PP%`M z$374reEAr?xBiO7`rl#s%55xP5-IW8b+k7=K=q*bKt^S7WMUnU7OU+MY?fv&rReprpmrt?V^%G{w5Am{- zvHD_z>Yq^k=qcJ?+{WyKA2E9KFJi;DYd+9_`#uNu-bH24WAqgsqPqJLrklRTT=5Am z5=Yhj-(qy=R}8lNi1H^lQ2+Eg>c9CK%eTJ8dEGOK!SAtr?I#R3{e*(b9ZX!Fa{R3a zm~EB$4SvE_N9Ne_9d?KR5v30vh%d$8@BSm|?}=@%-j`ew8-DwgL)#vrvi}iAwm+e@ zQDXk-w^%EGLxA0%an$}j&Z_^2;)i$9+HegW!C?I6pRs=P85S>I!)P^^esc?*y)wr! z@xzvd`X@JK?r$)7^RHN~|M9EvzVlz%EdF?P z-Os$Tdc&D@Kk}j+&u^UZjAL(ohxeg>VJC8lPfMr7CzIq7e~K=uF?QC3Br6Aoi|jBw zevGZ63O63u@Vs!XUJiA~ww)Z^`vFbW8Z@;ZrliV(0LKh`bW+w%VvbQS;#k6nNX3Wb zedA00LvyZNJIGwqW}aPoOIX+63s<`;S#RCs{w9AFRS*PaC!h zt(cfq<5t*1%;+L6xdW)06`*aDL`Cx`t#!4u)n?G28^Az_GlNYHL}qkgqf&r_LL=59 zz0I_0v52TAbxtsp4505`i?U`si8*a_clOd>kV#vFjE$5dHkLBKVtlj;aaF9xZf_Ho z$_*HMR1r6_h*#|h*3q3PX(r& z@qvkTH9pnDg!Rwklr_L{qZ|y)Q>pD7r?#Pr-l}x^GkocZaHlUmmpI!(+||plQIPQm zn=#d^!6va;;&1_%!U41#Dp1jmC%dedw&qq^tFq}U38z2Afx+@3GAjD9(kaC0Py_Y{ zn{ZL8#9lDuj$Fhie;g~fR*W< z`2SE1E>86XR}B)_GL3C?51RTVSlH##H84+ic?DfHX>_HFWQp~pX}BN9@H#25v2wNBWmOnPK86LS{I>WkU(D3AT_P^bXH|DoEJj3#9|;mjSQC}JT!}?@ik&| zpcxB|I?RG=Nm-3!*#Jhq4U&uTM5i{<)ZHulFjsOhj;<(2iDML1fvdS#Ah}p4aj3`P zNGTpJ!ftBk@M#>!GO->*jb!rm8|l;=CthoWFsE^1Rl14a)k@~39@KVpu|@XJR@r|W zc1^Q)^EA6Q&v0PFFfltOXi~k%kuPf4wXFxmjlCTGa)3P>r`WZz2M^0s^1S1is|n^} zUkDdd+bB?)!S*d_P_JGi=$(EBkDei3KZF$1C>B3hf|ve93fHe||KmE}=DGGiuDimv zRaN#wsp4h@QQEmhG4%2h8f!g`YWZoX2_{1nRS9%z`6v&MWs+=C(iI&n) zHXYc=#@z>=7q0C)cCu&p=hEHZWVpwU&dx6x>a!**q?h*2uj#4%j#I6-NglaI$)r%* z1ykyl%y_t{#ccLr?kvB}9Wmy%6t1OSWm4B);Mtr1le3%es{cK>J8>~A5dqvLh12-&gh?IBIjFD-GnY{c2lE0O_ISW z;ze>a1)V0L;2hxrb0q5zuiaF0(0Y(6$0BN-v#E8;pwKyo=)^V>Q%;bgJwTSu49NzI z#Mp{MeP_u|JwasJNn%aA@iS~-z^0!r%UqhAk}2_!1eRhJ6j(`4;TbZ8s^_W9iRVRsZoFG+mnoRvA z!p&B{EEs0MZ=UqLC4#-ji7@FS!=#5^t9F{i{zm%*T3yr0amyn%qo0IG`K-ny`I?L3 zgVRJf4b$s+g6y;fBH~UGXEH#TVLKgmgH$@^Qs*K?$T^8Jmn_0V>qyH#Lz3y%LsgDr5^3M_IHbSgHkI2P-+U!~=cFUsDEr~|QB$7O`h$tH+GGLYr^%;qS zY!0J2Vx5Ml4?aa^w)h}gaF`8}A%5(!>7~K8fJUb@D%|48^iCx(NeV>HA{m-96f2)4 zPvas%cGI+YNMlSnMOcAgvg{|!xQ$-x0l`ry_NP+mnnwj0 zoQzL#9HuR3o`k})1P4r#VAMypK{o@|-4uxr8l0tp*dz%n$ss$siUB38DUEQy5!qwQnhC&)107G^90GtOBkswqpc^bP3JL+ zCXZyFJw{#k2}YW?Dc88enCVme)fcf*y?~R}1O#|OeFPZO#tF*Gfgwev{b zI7G-xmvMOU5pM6zPc6iX@Fb(M;P7xCCpNy_1B zGWT}TuGr5N`)X<<{3%HBBrDwo3tE-)0k;bVkq52 zPk%-V*`i3m3pi*^E1z^NY#9Uc1f;QDLA9F zXP+c1V}Me7>G}qBgvUxkSdLPuHc0Jpd0(TS7}*3axeJ7*pQK2uRrGu_VPWGP(mu@TLl~>14iVed=8F%4Xwn#v{bTDB#=| zG+B8AWCpenYgmDA%p|FfQj7#c_3>21XH3S=QmQ6cG=}h#IQq9-B-pcsGW}W-#RebuCJF^ZZ`PdTVlM@b zf=%KZ6*ot=={V(*2URMQl&cL(W0vM9g()a)j6&UNvh}N^c-N4bGR#o)33Br%Nek{E z)?8FU>I8W)=cGu_i~q){*65;8ua8juDU79Y=;$w^p??Y;!xO^ZPok@L3Vq#4vV|

    W)GU~nEIgE_(i@+i)9q$tge_{a!~wW=r+X_&0gO|&rCp!f1= z+x&o_okPScwsGn=%lx00|C8l){~Oo$Y8f0gQ% zwUOQ?S8lc*@v@#vwl~R* z$&z@6RG8Y3;9yU>=z8DSAac)-65{Mbu|*(}VpnLmGZn?jv<^zKDoYSn=74*kHHpJ{ z1Us9OWn(Qi*imNUK$f`^P16HJ#>P=-=1-KBi?ACDvP$D==&dBOS^OL9Lxi0v!Tsq3 z#<-9u_N-zkGIyZJz>bQ#axxk#*UleHw(*eyV@5%07$wcQqz;sjo*Yh;jTr$2!NgZY z6KZ2bo|P>H7WPz#4{{=-sh%Aq#>$gi>i|M6ElCUWpr|61qDk4r1+gSL+Ta!LNP15W zo`NC8PR3c;QE6;LuB{^-^8-W$gbIcbBCK4Ab+e|pD3OY>8e(cwNcVTg*TszF-fa8= zZAi7TT*FWzxsxw(tm$eZu{e($6CaW+J&Cq5BPTbCnwBD>`twOh4k6ac6#v>N;Ike$BE{1gUk?k(#XQ1YEhv^e&Ji0D z>>Y@ZBl&bU;j34>HS;6i$XViKNL*z!t!)*gHWg6k9Ym( zOpc`&A!ZTM_+v0KN|!>EjJ;kY-s%yU8%3jO?oWulKh2FIfqTl)pK8NtFca^-Y+U<` z@fwIB|8y{oXNAZN8&WtP$3S|oFu6XGHBO5xogij=E7e=CVza3Q+YK!Yzw<;G7i)#f z;-dy8hRk>>h3mocE8M@bo7%<=tX$tsN1r;C7kx-QH$YzSSt^n*5#cgKL+S-$!osDP z=~J40fR0urG}O1VZQp*8y2qavuHPKq&S!fMp|N)(%^7aAT9~uc=ZV|3T6{*D$R2UP z;z9w*)9EbUJ4UasJx!*D{NenY{B&s@S5_};b9EgLZ>?jo$C#Mcm-+66|H-XSACqdG zM)|%Pnvaj+y|aR9?J+8~dzd!uUSBH|YjIBE^qO<7=&YSOwhW>`E zqZe^jzQ_IpD;zj{jr~&e_92V^CTubrrhHp=^_{7_nsi_z$7^eLkz_~ z#>4F-=GNncX5GTM@G@a3SMWYKMnK3-92`%fDH6-Qc^O~7SppS>QXiBKwr`r0JwqhN zuSgf3$6vP#zw~8XGnWa;yMdeP3A`*X6BKd-ebs(!iY^hDyg+~iGVW+UsfPwB+%Z6s z?P-ydHwe(5llhl%&cB6y^;Ib#OL!@rA|mM_#%d$zi)0Eb726C3Njca@h_Jq-LqoV3 zjuTRTA5WW;(&bMRl6_wa`!bPHV(;D=!XqAFYd(*b%`koqHwbbWCrqiA_#*>?VTiQd z!l+VisHJ4j8&9~~h5;Gi^{ z0ohO&2#H$}AIuUEdL6GU8C!N;m#}k$#dC1}WY- zNP^C^$jN*7na<5`H50gQIWbm3a;C^6LbuuU_;10)bJtF%h4e=A0)W>kR%n&*PXPaV**) z7|iC0k^J!&mg4Ji5&x{)xRqWdT-J3xDu0WAh=t}j`u^ghnq|DKgzYK{13fGZV)qb< zM@PuWyN|EkDZETi5|;S@mjcP9#H+X;oFO3WE>1R!=$H)R-XwN;PZFolL#)Ih;@A*j z3Iq7&+`u#V96l0;T<>Fz4sP7_MXS@=oNz0&v4-Q9A9dk=Sz{JUzsfN zrO8<~84AmdDW#?)kA|!w%A*Eo6`5OO+AZvGk-#IJL~m%KMdpju?j^~*g0c6X-z?+G zy8poRx6Lf|nDhOGSGa!b1#Vvbf>YC*ICJ$Kvi`vk-G2=w>P{6sp7qq2=hJAFL$Q4m z#W^`tl^M|8q(xJ`633Lb@YS}xY}xwv`zmhOCvtJ~1~m4)PhoTcQTv_gI%9(4uOhK- zOwm27OxTap4TrrVU{H*WIlYyXFv zpGbiA+tZ=hK=HnG+`nqV|F`wz>G?C_=)`H`G?G<=s0ebWHO2>Z?MRMlHDRRQj=B0M z(QcE}R*&K{G=OFAI4<2YILV_!-z4^3(>Qfa%6px}Ew$4)5XH4JdzSMoIa%V!Qh^^$ zZOQo6$6?=`jnTBw=#~aja=J)SOsCwx6OYhJ%x%ILY9HpzU@dcXjtrMua=Ofm%lVO% z_hjPO7>ip&CN?M9@u_PiwP=7~(-P^hO~j@Q;c5^slBSYwCPGW14LTYF+OS7k#YK)ZiojFoJ(!O49>Rs1URHHKXQ`Uu42L9#$2T*=L>zs zmIzV?67XnL>bGF6FCdcLX%yY!XLz?GUGh8BJHY$vAh;6!~*G zFOssBgf$Fa!j`R1)?m|IPgtE4SPNm3B40BThp{qCA~&I$Gjp>{)&+60!i7_1&*Rut z8;8HdF}OA!o3SG7dh79T=pi|%RffGj3e%+;EIX@6EbAmyGoMWJ#SX}q-IK0=w z%_cK`J@@>+igz!+$6VLPT)n!HriVKC{KW*X-=$NZoI{tFFh5^w8sfvq&yL~5v=2j_ zIwBP{(9+z)_I*d$v|0V{QgOF}6t3;)9C(GQlr#z@5$EKCu|GtUbGMLdXWysuo(VON zy!i3_MoRq3a1p)#hlMZs<-!|Wx%xg2mtWwC7`CRc9pB=j)$4x#}z01e)_=VzGK2;pXLbruEi#ECxYp8Q^||PXV)Bdz)|rr8%U zD!7cs{4?CrZW5IGfB=`vL^|B0!Tx(G!k4ky+K$!1M(L7mBHjnE^_WbbOGa&r@PM}~<> zI)`ce99qNIP#Roi3wH?$yG@YmC9heq@JWNDwpXipo~hgwKDC>!d46xM^y!n!(e zwC=?rcNV>r(-@w4h*Q>eqLLrTMq9zpZG{5+Z>Tj}!E$d8wtJgMQ0O3WUlS1u&7|#Z z!B?jThs-IA<4&SCbsO`V8$>4GBOvG|J|34ya(qUM^*y`}k7B&5QGDM`^4|8f@lgkA zNwts$)^Zp9tkdW<3L77~Pf+4*!ej2??S6?c=X*5RJtawP9{rsy1SqtVw7-SegH1%s zk)|Mp*{KivoLO{)O&Kmd#y0&nu}M#G@fH^5bc=GqkZX1k(_NhsNAaD^k+>R1g(lMX zx8h+S7;>gDj6aF~$$MCrtolIm)?XN&$7M1czNXpgHqHkIG21N-?Qn<0VRd{nN#c`m z)gJ8P$1zWwN2hBA1Hs^*@Q{$m+qifx6KnUFI)_IDtInXevk6b74#|TS;>CuFElt$y z?4-zZ5zEX44DuH^zVrw?VV%zTcQ6XRgopQS>a3nntaX{3V{ z+V(Abw_QSg_Y7`IlXxrl;;ghtrqUJi4lUyQ$$1>!XeaB-8hW-4P@rExv3V|wZ;tVg zul*Yx@BbT~uh(&>(TtzZzsxr`*75k#JKUQ2lv^twFm&6H&_4ta`7E1`gnZiFLm3Y6 zqb4Mq(!xYK+b!v8I!;r=QPkA7vvJ2BHf>RTUbw#8y>acA^NL5_qcl1h9{`I$biaid zdSB>5;-e0%&%lW5LxX$&c zlC^$G3fF&f|C1ka-IhY9;(4mKoW$?T6F9y;LD+#FMx;}o@opy9t%_97Zc@!g*zoBk z)~$cW`t?uv^3Cr#_KGCao2S{c{%2lT_Y*Iz`(^ETaoz9a@pr6S_cO1`;|uGaa_Fs} zh<)=1;$HYhD*SyMHawC7^DpdHSm6uhvwUWGk9`|gh&cW|&YM=S-Exz_ZTIk1{1L4k zl63Dr!C~F+(R<-%3|{^Y({=Z-()$N?>E7on<%{gFyN%;Eq3Fs_FgkDz^_`dS*>;zt zW8aXt>sy?@x{sZVv6jQ|#e3MUyMxuPpV2V+6Fc^Q$CqYL&^-PWj|2B{I&=?}J?F67 zb)UF>PqEzd1l!G0z+Sq)Hr`wg=XG~+eCG*PCVyt@8Wyx8M^zI zQQvii7)j8~L*JvZ=?3 zMq{T)mo4`gRr&?9J@+x)uxj@z_WKyD`QR>=pMHyhz;@Y@5^xuoi}e|X#0blP{tlHuzbGWPjBL`G|;L8IK*lF_&yB(5?$Dg3T z{|0s&Z&35)Gtv(Jgx?lvu7?Ue?aG*Kk(+dZ+KKD4>XZ;gNC6P-s`#w-z?okv7OGzqa4 zER;N?(C`Aruie1o!&_7-oM3SO6p31~1St7&Z}&2nHvg3ZlmCIoOI0j&m~j98Ydk(L zjO)tVj2C{$?MwTqcxFfNuig}0&f%0>KBLZI40|~-92|+evnIX0rqq=mq`mF1u)id+uNRly5Gs=icpgJdpzSboC_bK1DLupWuKp89nZYSa*IFo&j4TP@>u zbPe0lHta=HcNl$x36Yl_n79gM_n#nBxt(T3>6p5ecsi6Z(sqLo?<%~r2k@ymO@LVg zm6omay7Z9YTZ>Qm2q|sLB3CXGuhCAPP6IK7<%Zcb+Bv!9V zSXm8KVSOZcl#o(5M5)YMn{}Ra^Fi`8T1e9s)?i#uj#?!Rkzr;9AdGGfz&Sv1d4T6U2P?-@zlX3A8X2(YLp%BY$M*9L03FX8Wk5N)YNEnR7*#|aftADLHe94M_AJp_E#j{x;td-}G^is-yORFe%fv5`%S*{c0skM@=)hIr!Cu8-SCyy>#8U=%U9wBvu#6|QH zXHZ48TPr0lwIro?kWnyBZOM5`B2Sa8-bsmS8?i>Kv8W{8w3ODa%LIg%;+-k6t(ql9 zyMkQ%TH3rji4T=n<*(j8?;KSb=Sk5Q44N&Z2!;g1I~HqDTrQ$>x; z-{mt(p?w2c2?HcsR8nKw$m&g7!u7g{mpJrz4Nze%%+E#$h-MQeI&B#1G|SP4oyrIX z+9DUVI>|KbW-w|Ix6lDhqJ=re_F^16g;CTQtiu=Z2&g8&!ftnr%oRbo8EUFi|HI9?VEC=?)k(D3K_{34s@<+J2 z@+xyiR?wqJ>iH_!05*Wuc49%1vPCj&}TG_KyRYG*y6pI*lEqhG01 z{h29?S)rcwxE;TMo$?hvIe3?k_CMj{JvTVG^Jk3r{Ek5B!gf3V##h_^$mZ?8WB2A? z*eK68Z2y%5TYk^M%|D@Fd{#Ebbqe?0r(yRkQk0enG&)a~&6RUG66J*cFnGT_xx6b(vEnrQ!)fO=d_=y@ikF zBB@7LNL9K(pw^5p{F{ADu};@&tqJ zB8iHELDmU9c7lYod*~(26I5`UIQ!dzZCS=&Btd0`Xc?b*^coolmk2*LNm%#=oWm{< zdF&$TN0*6KJWZ7MW$d#q;8CzbjL&Tnm9JB^{}LIpMx@#`@xgVOQ}8Lx;^TM%&z$S{ zs-GoAFeEFU!{6u>0VQ`ZPZ58{Kag&FgEGO8fB2HDf0cye;sfzl-0F==^d|`@yoICb zX&Jjrn&Kq_RpyAwyN^!X3{koFr6As-VE+mgVnfpL72;H`k$FU7p|D7x+9ZL=D>(Ts zk#yv|V7o|!(j4ItS1?UmATVFz<$8-W`F!q?tE4Gil~}B-eYq1S(#A(^N;cjZd=jqX ztaVOU<`v5KoF!-Vl(OvWSZ1FkF7iGpD%ZvS%d-AuvHz;X@;Z^nC8i4Vq^nO6SRky< z@bsDwQWY-}pmBnT;s@x(OF_+iK!VXtiuPZj2H|ekh?n?QOQTOxUM58IItj|xRs5K2d7YGq+t_J!Q+yNin>J%GO6B|9;1g zt-r8k>z~-Q;je7k{1>)w`h|$?KT~<|PaOIDTQ+Q#{k7#Mz7VGQ`POHAx#36D_X*2Y zzAJ2B7_s^^btY#?`szG>pZ+60n+4~VHrkIY;eN0Rw}XXDAHG8M_E{45{D{jty`1T> z^Uipf}Ze<=^*usP5mw32VxQ+u7sq&{;N%}deo>tyTMD&mg;c8-lVMOwf=L;zFLPSs= zp-DyLn8neclR=$MHtF_hc=&~rR8UHyQwGJFc@*drk*`-wo^Gj(FBJw?K!$z>VUDT9 zWmc1H8%M2Ps@RZ2o>@AjDW#f4RI6nZZ=6U} zW)ZQlpzqs#7X1exYO*R}pWWMx}az_@Rhwy%O<3DOviZRH@|)wsa!g1V>f{ zsn&7S>!!;bIb>U=nE>aC^pI<+BXTe@Mv-~s!7*Lqd~@3Y80)-AoPL@{2nh#2~ie-+{wWChwNp_KO7NHbK?p5kWQ*M|~ie)DszceR%>lQD*bXWG!{k1#8uao2Dbyu-_Q{?K# zpZV>&OS~vDecj8ma-3k@i&sTj-bL$39idiXWXitD4oc;8N<1x=cH|pXk}dl$=*v2) zH=o1rps;0?DVjE3p=JLlnV&tz;q4*Lx7+i*)Q4vmU*+Dy5k{*vaQE656m{s3U2jam zOaMW7v8ano*&?b^0_J+7@BIHtw!gZdmh z@+D&(y3Dp8W_iq?!iXHALM7?5$_P#>rm(PwqVy18%$;+e3FCTR zxXwu7%3Qz1zr6Hc-2do%qEuq(RO+I6-+-`%ehOt{=G(e48g9konlI^Ve3v*6ccS%t zv9PVj+;|>Sy(xUPRxdC(Po++WB-4yg+&LUH&fuzc3Pb&~sF|Na+jI)@FZ%aD0c?N(C-s1?@1wzN+CTVi$tpks*KXt#@Fj*NT>9pG9#Yu+ypxP{ORzI zqdHt{h)$p(ES6EPXy%>c=<|>b884g8A)Hdv6k2rhXx5R!VJe9f62w5I*c9kXw{Il% zp{bNb$%crCWl+{%aEf8tIi8O2T+%#arD;g<)yrA4q1Y&nBv)^Gs>BD8fr25Frl4dh zB9o|yid(}l;~LGZOT5gVMs9dIsg{w{7-Y)$0@-w_Bw71VpPxc!dbF$`K)Zi}_&_j3 z#nT)b!-PjPCtc!1vdEnAdBod>N%KmjMLSN&M}O-%6!pzq_{***A!2JpGOfXaJ2*!0 zL^19e&w_n2r7^N6?82!uN|WMQAim5b#Ug;x)f;aVrqCfd)!`RQP1vdr5~!Cr4tuX+ z5G?M3N#dAfA1e(ghi0vEX@pXsrO74+hR|PKKu16T1KyEqK6svsv1_?_LUM6()dvyT zWO^sA-D9&>3Rjh37Ujkn6qyKK-(p(*a%k{NqA?^{WMnBrKC$$z=4EUFmb#;88=OVk zVgVhqY0M0#(KegH)ToatogpTzPUEB9i@wP;Ci1?a$te1U3z%zP!b9*zSt!$yuE1d3 zZ&}PeN}Zhs`I3|V3hAWmYot$Uh8V4IQ8w9(96Uq2(hwzkzrphLKF+my@{K67uUG5D zg-mgNa0>s5I_w5IajuA^VPq%Wz1yf7+K-avb`-bn zM@K=V;@V|xcJ5}w)`KW1e9Cx-5#x2onW?p=F+nEs_n;sriC_t6QCc|_X+aFO?W3pi zD;{2bjj8VSJiPUiFs^m~UAWTUT;gvp!K1IfC(|s8roHVn>|en8!$!itYNy_6m@{Fu zJWG$HFVBy*yj&VH%TcnbK|u=BVU?>G9{B-B0^nJAG+B@;rpr^a$!(a`E#?BV4nO%wuB|9PT6I zcp>R_(KM&W(Vi}wKh=rRYy$@J_2|pdVJyvn=|n5~ll^I_&L=*l1b>|tQjd>Pcx0Fy zr5X|qWRoQ)(UKNSZ<-fFS;kCc>oJ<8!(f&^L+Pdrq`Fd zB_la{YjX@{=`ta4oJ@42LkeS4OEn=*(nOB;kf}H*k6nc8W)bZfEPEi7;bf1sy!-E5 z%>KW*XicBwVsmW)$uVW5C=Q7n77XeQ#AzpzYVOXt`do&x9T?0ulCk=Xi!bL=%;eFB zrp!Ey)Mq&^`{=m(6O@#{M)T-*C@O!8y5c>Gm7X!A`6~`b?s4qsH)zP`)I=7l9DRc3 z;d`V7R54U2@yYh0KO>pN*aikX8c0$(iRF9qkK%2-H<!-Q>%+*I!*bNAb~>mw0;pB_3UWnfoiB^UH-Doahy1Rhv#`Yd*;>K}7Ut;#C{U z?5Pd>baMl93mZ{V*~r1I``EpC)APc$edi{2Z`**9Nl;)Nbot8j) zkuZj&Xv)$`>8ncPaGa@XsO@Z+`F?-vj=% zDR+^%sf(ba4cNWZL-bdd7`AHSQH(b&3A&6l2XK0z8q25#Y=chX7`%X=(-oX_g{5hh z;$zTNWxUap(fZZ(tr)5{WxPS3xh4%}>yI+la+v0> z!*pM?pnFz|LbD0e6%L#&^W|D=5NF#QnQpNV8|0|5W=iast~X(>R+IT!MWzKqw_s?U z(`MkJ9lfmvOjg@+y3~v1h9EAsyD{JP9K%SR4a4Gt;aVdm8+AC*q#|=2W>Ci04IX3g zx)Yr}`hwMx`7(DdR{68i;mbms4Py-^g2CqR7)%6{zKmBF3`ZDiJxoLQVft2V=$tTS zxXFx}awnF`1w(rfXIkx21udscICT7$9kE}X1&WVTY)lvqr*nK0jL%yg5Q z5Dq2gsuh@*+*vF!i?6UVe`QP3MeS!;qrhU42MbPhq`D{?xbA(E+%g`alSKzZ?3(@*Q;ORT^GrCZ5`iUeo<=2dY+y6ikd<- z!mgge=k5)>?sZ^y_bfGM>iGJ?XZ-8pD_o!Z4eBZz*t6ph8>LpQ{TyP~&MoZQ^f`+A z-=x1+mAb|QlvW$y5fnpkc0SHwzGP+u;qU56Uqc?9&C2wNgPvYFN?*ZF9-se2ikMW; zzZb5wHy8P*m;Z~WU;K_-vwWJ5^b!Bz1q|M5$Me-wgnx2|lTOY2Tn;E+jIgRb+)qf1j-}bKHvE@3BU&^>c*GW{p zOsd{_EG6h>8-_7|`#N^7e2vTNPjHpP?DbpN9Jzvu(kYDHuVN-l)Nj{q!EjO5zfIJU zi)0xtOb`I+|AK~!Ib00Xo`ViBPuVJBjk)vuSu=2i#!``d7ZoG<% z9ASr6NY=PQqSjd~1cT`pqgcFk8~azDt=Yed!*u;kY>r()NogK)pPQH;UBP#U;1U}m z4&5R~No+8@B0lO6fA?eg&Ly10hfWd;yY)}dTYnb|g&QdB7wKZRgsuJ!{C3{KO2+#g zyobmBv&8FN!fo#`R-1dUeE%d~uRX&0wWpZA^EH-&!|Auza6Y(%g8DozML(geeI4B$ z%h>O@j=eB<>&V+2be(74!6qy|oxuL}yV$(?H6AjD?VFD|{Mikx4_`%N>o^wK-(Y2M z2d^!X3!h&W3^#~BewlRrOIS%Bm~R-u?47IF$$010IKJ@&^VcP2hn7)0b{YehD_9)6 zBl7764xc;_yC34Ga*qVl`#A3$$KlHnDFo;LKe=eLnu}XjFg$*a<3^{kvwwi`8|QHT z=nBr;F5;(jhgieM_#8Qd_f8m35H{|tqa$J_<`TxMyt-CG~Z2~WCk)^_*hq~3dlqW1x zp$6CY&SLjLA7zRyG;A55$fAvW<9IsuRZy_6p3K7+u>7coGhJSMef3Sgx%~X5I;%fe zzjyszZZE&ZPZ!=LD^Hcc@mYc9S&e7jJ$E9<rix$Opstzv@yzhi0!zEnTJ)r1= zTMTbmB5ZFrF1t@+w{3}SJ8z0D-?B%7slH1RPVsBP_Fu$Z;U7@nAqltZdk*jZ9*te{ ze9sSTQTUd_d%mYfb&=Cb9aL*hQDk?58np?j{!>&ab(3V=E{WZPw@NwowxvYp4wKt| zlF+g`Jd*P;aLp#J@H+lsvWc8-l56pRg#EWj-~X5pDQ-T;hlsT3!9kdxm2n|aX#*rT z%@WtxfnRnp#$M_8C(RO>^nf(G>txv8CsgGYNqg?orusd}N9M@17{yhq3LC>h0>ave zE*&SUcbve2a;$^Xu?=h{zTgRgUe`#myF;e-J(70cB~3w!-~MT$O@{H-ZNy%;2q)(% zqVh+`8=NP&q#Bp_Yz$rVNhrQcXz(54Ojn55cb1f$H^|)g2!DmM1V}dxG3%7(AQ;Sx zh|U@!z2gLtHO=^B7Gmh0L0IM)f@1Fx>3V}~>-*9*Z;>W(h(01xT{>&5)c{T!Vy|HV zp|M@WR!)-IIY?lBDHZ|gxP)~Rlkdj_ ztKW>HNGWIgGQzXF$s3&~u(Ar5lr#+7lf?%Y2?)LVJQvL+7sUswxfrBy0bkW2BFwub z7t66Ut{^&Pn9QaVMAuE>n%#!JZxIo><3xlHi+mj*S7DZdW9_7L#3gd zE(~M5(3RmTJ4U-5shxGBwcVTEl33cSt4MInCQyn)l6EVZ$ErwE$s)=rih=erhROn% zDi?ZQ;KpFNgV29h8poVz8}X*UD1ff2Vk$yPh*Yg0MYEkmwOW!@WrOR5Gf-PWZ$%_+ z4X#X!#2hGfWU9`dwqXYvPx#U)vbD1+TYON3zkVK3dhMjCHIj3zlnAvL%Cqz6uSsQ8 zB*lE0CnH79BB$&a>=g`i9<()i(NmU4dqp)dmRZE;%KD166e-t|s*+BUPn<~CBC*?# znF_&>@4~RiwCNTP8YW!n>hoZvFp!?AGID)N@Y9jar_)A;at)a(`GlB+iM*^}uq=$R z)$zri^p`n^1a+W!RE`-xx=MZNsLG=@r5s<~LZWp$NKy3p5A^(>Q8vlUh747c`9vEh~xFMNz`bS!q+T*tdL@rL~=}u$ovAPg`uAo zA5IpC4OLFk`CTcKIJOK2iw$vf)HaaiCN^tV5oypuq-@qCwc@p#Y|PhHGF;%zNVNl} z3OwkkbYQUEk?Ki@wTlT1=lRoFQ%qh$F+Td`YjH?FUQe=m5q4G)G&Ynmn-?XqbY`}~ zo55m7CMAxYgR61$p`+4^uF6bW@~a6n%q2p`r>ZxReXNWq)i?^1MLyMMh&1$Ky4aiX zqCm!KyqW0np>D>Pww3^Ti&JQ?Y#`P?mmu9Hk|h_jBo|XvGl_AHX0WYPayfv}a%bj> zyyS4ounS`V9<9FcmIAgqo*;7oxv#l3?@<2*oOBL9+^mPgcB#*5;@sXLbXaI zwfiPX*mZ(9VZG&t&QS5iG^r*f(sWAb-g|=l&GjT~ox&^7kXNBm@75KyovZhzLobtlEmsu5FAp zZ{*u^Uou&~oqLyG<=TxGcyi+%o{k(Qb59GuTmNUid*?B}hrOs(Y@+K>Ke@UE6q^Q- zY~oC-Lli4PA+#s$6W#nd3oRBL7glrp@S+^&F+X%3rz7`>K6)7^q1M|E++f#{>+BO2 zv`3D;M=rDb&{dR#UAP@wpk4h63kNRJdhDFAgg#CvjBzgP4sJGMB*@=$3lDMR0DKZoI+sqN+H$%YTIb!#T4g2Rw+dqoEN(Y`9R|qngBW>RtDTfyD z(HkMtqnE1iaUxUBl4^RIVAU~6tOWv8M~Rg2iTh5IvR@d=kse`ma|HTbAa(ByX$NNr zQ|ckocY+e{Q$!@6CdY3<>}@1COxTO*NkR@@5)9|W-f0pJ4Pb25Pf*$oVh>JZq#^}~VS@Z-^DXX_%J3*k{BoSdJB~}v@Y`sF-?giowjp3r)g=^FzVGd^~*f}d0 z7DQT&NkJZ<)?Z?la*{N+3xp{MtBhEb4L?k{#5!K`BJseK*xQeZ<1iud*TgRphl3}H zI6O_5*9euay(A_{Y@=3)J1|V3&jO(i;eniUV$A7&VS!#w=>d7f_G8igxs6OoFG0D>mio^#hDFD^L)tLbIbe zRr*$Bn?{mikV)+3G75it9?xAZL?17qclQu&N86~}aT)j5>bTVEF7ohYo~-`n^TI3K z6_xg6`DOk%`61uTsBx;zo`tRq>Y9`3@2_F7B$46SNR}>HuzY0`bEiKN;asY~HMe!l7L>RO--FuTE3D4RNtKL}lmTmzRlOPBbx@xzyG>G1afkV&75j zoZrgixDw}Xzs>cVFYs*TE$;N}An21Ue)slY`SrIylb{_<{jp~1_P1jHRRTH2{UjQ9 z(dgL5mB<2miVbL~`-Gl~qv(4WqGP3viMa#b2EN1?CsLv3Mx=2tI(l&!8b)Gn5P+FM z5C#U}nCQpiq7_86nsi;)5UOoF$guDt($13#Be63r9iK3FBCNc~)9@z3!;7TaNTvt! zs80!{%-WYIYfmb>q^o(mNoV#X+rpE4b2m~g9LXPUBs4FH95W9Rq}YaA*b-JPoxQ7& zCUI=JuP?F2mZa6>k((M%yrr9r_aeu_om^ulQVP>Z9jX_Z-vk^bzFjM!wh@FXO`k{m7_`WJWO5 zC4^9HCU~8Fsp+aD(bbu>zhfvcb0f*dnd0dV0uv+17rPRS>tz}T6D*l_aZy9u>*CfC}JcrC#YC5^CN;?OJk6dgi=p~wrL zAnMx7MPfOSCzz6KJxQ~2CC0^#viV-Z0|Usk^:nFuF|hs1GmAcw|`Fe>c)i4nYI z9hGDRdXc<_!IM0RW4f6mx!qMnRAiB9=1#Jy2Qh}uM3qL--jPgKMLe~>A*4tg(u%Vv z&59ErILUayVChc2#4#x&p3KQsqAcWnYailPF(ijj(h$u=XBM>)q2x-QMu$gG*HlET zjl){Jvn@O*G?6%Zds8fN4Dgb;SVRiAsmAK#Dl zWGc1hnlU0`MvRfLreLm=Tuk$EC;k8CqTossdkRLH2+K+o`RGlYxeLBd4g}YvQP)>R zWpN5c;XVXg8IoVEq9P@YSQ}qL+`L3JxQjo7h&PJH&@c>RvoJh#{IE3j!_FWaOT$=f zbfc)$PhikaiTxf2jP-rdF^xvuG=tq1q39dike#rD{pA}uP`s1IR3qB0^J&mNM4sMB zf;LoBBoexEc@L?^!kTq**(Z&w@QVg=KRtu*D~+6PbmsAm*>4|+GMjmm?uM7RcHlTpz98 z&Z1R@Y+d_-6eV3In&08!#9|uKw~(E&oXWiIEL`?QL{=xgP zaoI;?%>`j!Lu4CtOCa`>F^anISIZC`zMV^7Z=3)f*;n?+|Ip)5JB;5Mp0QOn4QM$p;83o1!qRi{y}YVhWGr zV%3dZ zAT~b3#8#ds-BxVY@0R%oDAd_civ9q3U3c(F>>|=3m(-*VV&aEMD?Uu2Yd48vPjb|L zd`+7PuQ*GJ=Oik_p5HO(j*z`~5Jk>;!YhS|+2s=(Q%_j(LBc8oLqIzT5nV**9mmO{ z3zwYZBunR4n#g$ZeUA8`P%tF=3iIl}hQCW0QU1lmrb-+NB;k_=$nTNbWpOkYh2?A>~A+>?fdPk^;%g)W9}kijLuC+k;)gA(C=V zk!jv1^Y{E7M=gn??S66w@8aWMOO$*5+?^bJv&K>8NG^IvF6K_)7chdg`v6h1x#%!7 z7spu)1(J(tIs@dk+`=!tpD4i)k=}w!RuAzNqh$FslNZ%PUfe!>%u2~GI!>Kn$kq~u zYdnn7SeUJDKXEz-(2{)6uo}i(u5Bznw$z=yDd$lP^p28gaEe~r2Y729!A0{d4*G|% zHl4u2^eA@v$H@sRWiU_0fTEk)mml`?SYR~mk%!ZeU+UGbj3|sqG%6 zZ{#ppswnEp9ciiFL4DnNwDzd8Y|T!V{oh@FmaYAQ&sToNmMt%HcKlOrUwerYx4&TE zstr|-T*>~*kCwYZj9#+j;*~eJdHywSTzZKsH|BA9_BIu_=gsZ(^Z3$>TGF+!1<+7qPEnCR1D#ulL6sIy9hRMCsH$tkKVe@OY{DV zc?fk!{bi`7XJm4-rv|T^b0%o|Hz)9AJ{$gBijdmV(ZASZ0q|T^RYjX6nlYD zgX7d_pQ6n0G6lNBH0Mfkkg_7$p453AmI4+vd`g{^Eij0XE zm4bDQBApqUc1_O}kF>--Iy0N7N{Au9Cy#=T90oHID0g+Guqu;uWilZmE_VNtsJUz%#Mo?CkB{Cy|$SI-tV-sYW zjfwr!lxdw38)U)S%OcF`r8gm;Qt_FpFORxL75xE$WQTZD(p*4{bjYywQWDROljb{0 zv8Kol!O$!ivJ3~wNb93Fy^f0181lpi#qBu^CB{(f;VP^}MRIC9A^DjkTpA%Zca#kM z!zc}BsNXX~>&`K1f`{l$YM~}39%Z+R?CxCp3Q}osaU(Y`9#yf#LD*ubim6R#t$Po->t=SAkMUd~~CO*g`LE;lqkxk;IgCd_trDz|W z^Fib8849!ykP_QRo3fSK*cb}L{=81fMO6~j636_~R5EjgX{03*cebCzhH-Lqe$T}! z$;F!4T=eauBDsIn;#-EO5n0=!ag<7p zNy_(JB*N%hHrss5=MKNH)bdxB*?q%G%Wqj?FMr$qgq`J|2r~Q^3l`jB!TiU(sP-K% z&ijVv=KYzM)c(qzb?G97_Yh_5LzrPCW#(=a>qg@F*(jzjoFHz|VH%cCOOcg^y19m` z_ovDEbcozf?%@Ag3+LNs3)eg$Ij?c|=xPqPE#~ssPwBd=Nz_jm|1Mmsm$G5yduXkj&(TBcnYr~QT@Q8; z{$({fzm2o*pZnPJ-}OZQWWo63cX@E;3%)-4Jl~vM!1psR@WZKjJUu_3CzoI3`pKO_ zm4|t@n1O4I?N8~ z0L31ocxcE1_B|mbMYGqJ^}@71(Wy6**8%RjVxcbMd4cjG>}mMSgj#6vQBU%2H@jMN%k|up&l* zLZ?pVZ6$nVD=ABA@m!HaSb7PSZP^q|MU#9ymcssYDL@(Is{-gKPNXeAnzHB+io)V3 za;YU|aU+o{+K68!k4v(IwPsM#QATNBBx&c9$QVcw39KNY+?%4(a5~D;sLf0ub@qMC zQ%Hk4iHR8!?5*g4cj~5e28;PZ|FPq%rNGh{K=oJi&SyIG<#1An! zr0x3uFr-Nrc3vG%Y<@Y_t(oMWh#`3@hLU~-#dXOP3WlzdBwBN$D2WJ>BZ*?GS|XP= z&&45QQ9W5-HVaJlwpG;+kik$2KS_)$6EQ+TpF_iq^2r2@qh+QVR zD&wP}`;DhM{XLSTFW6>a$xjz^I)9Y=n@6rffGdE$fiq6FFnM|oVR+B;UWXZLEBtXakvD?jEmqShMznK5rpQS@wXU&`U z@qXod3|{yJjaR;7`|1bS)%*c-tAnnSP0x!#B9D zeL}R__xPy&jE&f1to96@`L}V1{gutCTf80A&yul6yk~a?ZI>Si*Z2cr>z`q#aTjOz z$9U*GA??*)2vGY4CmC;~_N`pw9!3VwFsk{6cYQ|qy#EUCMqFpD^H;bU{Y2FEudv+w z02eR8uX&Hi556N*?FZb%22*)7ReOZi7m^UQf8^7UW4vE@Tv73ETu!nYJ7vE zV6e4*ilNn4_^fjK~$gZe1hZbS0A2#grAIcn8WZ5hH7^(cl-{m(kHy*GsvR- zw|U#=3Y%TO#!LT4!nQuaTpEh2@6YJ$zDvwo-xDn3UBw1t@u#WUL$udF#IF7qJ``F1 zQQZkX%(=s2y9d}>2!`F_!wnB{aQp^ciBTU3_3^_!ukK@35EWF*4p)?6;eX9Ee&kv3~Cf1|K{`P1cy& zOMhYB!hiDI!vDp~vWDi(|8H4Ge`3$uerRqr+D^OSVJ2O#Q4(^5#HvYBy3UYOc#atJBjlN$L}7W1 zJhLfkb;jt(y-RY^VM3C|@f*HIptHywqj3&7-KX6B1j)q*NFF{;YUK=Z9v4uVPS4%S zR$+OBTJ14f!!J@$aDh;fI)MW>@rl?+fx!Ty))(k>5-F%SN>b-ZQtGD3h(1HA@lkTk zPLOUT6kmUWdi!J4SKT2ZXqce-b9k2=CC#{#9^>PTx?ZL@>IeySQzW;YCOhXmaVCew z_LF2<9iz}hFzAm9E4xp8>^Q-hQv`P36d&xP(P)TCZ;^vu!(=Ls&%J+=RdAjJ50NNh zf0o7UahN)-QQ8u(k)=9CNcac=!?*DB>!Q?Ph(mUlX?8k}D*Fg2J*R|OPLmRRicFJZ z7{8!=(0|A){)B1g~k7 zCdbJ(KOufRN{#k7O@ZerExGzThQ8}~Ck~Nk(9eF0v-CQjAwTUXiEYP8X^^pr=SZ22 zqv;9p!7<7W4pC=ynC41pP9Y-%Rh+`7cA8|9b~+4?FyVHUk^pN!l)tdqT%01MQ*tq1 z@R|MJTs%&`sbHAR#r*puCQcEYGC@SoZBk7~$g(~_Prw<{1I16t7fG?3AlYk%e9P0Q zj8BtdGf7+UG;L-x6q!Hdz1PpP;MJda<;B0F_QJn-e*S;)!o2_Db+td^^zxsC;r=Hd z$l7~h-v5#{CbCgY*4MmWD+=j1=%Z-y3|`OIbD`CnM>iI7ldNEc|OO+ zg~HvM&(z%yQ9W|Q_18=c|51SXpG#3Zb!GVc2RuIi4qskW?}mLatE@!=VXWND4<4mP(jkKh8n> z`B39)p|OAB_05miviCO2m;ME#SAJsioBu#+hdA2+a@@nn)U+lqBLi=L`Focu#XH=EZCH_+?1x{v4H-Eh zqV|zy4{_uy>0}VnUYf)hIgv9 zNVI68>_UjPa3tEwfDn6aQq6QI(lbY;X@_E$9nC4Za*h}Ig0FCwJE61xQwHIcVu?}} zPLf+V5$2x6o0}14r!ThXP^fQ8o~8||UAENt#*!W)(pAHQyj@O&8krCo?m&315AnGX zq=!Z0Z|6>|UBiv4#93unrwXMVk$GK1b%G0xn6)a`j+{x5(A;j7oWoa<}8TMqy zMG;{WOss`Hk=FWi7&1(CDblq-rR9Kfw>?dn1!AKcMLXTd+wD%Uf%qUl43FelERn=J zhmmaTN`{FEzK*8SOl(l`7)g9|olt1I3T8)YRk;M4S<|q|MQrdPM%RXtf^ck^A%If8QV{l<5)pm>Ez|o=ZeZlw8AhG@*h&9zC!KIS)%Y) z=1b91n?L(2mEX|YaE=JWK1y8=P#>AaP;?F@cIBj-4U=mT0*3v3I#Jt}j@+d_8KPE&hVfRtN=Kv4?FNK4fZq8JF)o$N0_l zG~5uwo(vH7q?go(tyEuYreVl|o7djp!MO!|by?)$CDEvf_@seouP62c{0on;_Op_GWQTEdIMC+n8KYWNtF&A zuRlzN)))oqM`hv9e&0)2MerC&MorYHPtmsRye#M`yj<%^$UaD--3aCCGnDQ)igNE5 zDY^$`p-fS@eVP)nBiUpOMeGqG&70-#3EH<_q+-`JVGf=6C$^9tae(~Y6I5)UA$yN> zU%mY#>5ZV;bBLnd!d`R^ksUfiltUNU+CwyNJ4gKv>Fk!nxCB;8;hH2}dywkwXQZ$S z`_Vom;}6IJAE#uebZ^Z`vfPB3_zjV&-$mVyXp2;7j99r| ztlIbRVaguzEqr}+^Vw>1cTI@+OG!Bu!b4G9ro0b?P z>PsBuwb?i-v?oyoO%v_hOP0<)ng91(OgBG(v#;c0j^v{5fV@6K`S#;;^JmC;*?UJw z)107CW0DfAjUkrFkhPQ;mVy=WE9)o)W(|2ZxB#%S%%X6=?9cC6?mVoN8{B2^Sy zvT@WcVzov&JGR!MzOj!@TL^h6@k14zx34!TW&V)+1ROQuO&IZ5&=Su|p=N}M0J zF_$pgB=QO~sZn|`6f4~%GL81cWKxyk#49s|y{JfC(MI|*N#a$9iCi~I%vwp>RsF@RKd3 zNlVJ6I5(Wm53KBPk zlj$u?r7VEPOb-r3M=&~jXN{CpqSInX$t)vSJ(Kj+jl{3(A$)T)k(+BtSyxNeib~Sf zXUbxTq%uq5s0d(xbhzX}BFz%V!qfzUa#bW76_B*Dj)b+nL~d%6Ysm8rwJ27|f?b5YpQDV{5W1o|MNiQs?5>hjLW|VcSwk z2vty65J!vT;=zCCVr|B3E(VgCkw@@W1$oOlP_F1Fd}Ei)-ARu4A#Pob_#mEC8)3eM z!AwLuaU{w?9z7+eqeWij3!7Gm-Ia2*6S}3H*fp|tR}7G{rBS5!akj4=W8KyXR%tY{ zTD_kw8z)%1eVomk_KED<$B5S%f&vd<5;KZPMgv9}Z5YKL$2Me!%FYxHPOYH7`vb;D zc5-!}y~sL8qBQHVdAF6MMZ@&0xC}{>FcjizJD!w*M#+t7vix!k0kF-EHqn)4?0R%lrP1Fh7@FOr>#kgUFx5) zV%;*13QLeN`1z@LrfNkneP~Y;5V2vLL)cp~K`b8YH&$C(U7TeYD zuzkl9w(b0iJ=<@hyX7uhw%*39^qA1>qh#$oOwH!w6sez(PJLKd$bLc%+sTbTLRv_# zbkt(99kR%D$syUXo_Ny{l69uZ+m=S_f>e#O(v`1Bmp>()`nX7t6Vz_LK>hmb(lIX)r8k5! z>kz4aH5A$uk?oj8hD)wsXc9@YpA_vG6uU3U++v5iusmUpwVTe7 zNa`TZs`%d+RDz*u?l*)tfps;SPP|hAdoBxJxz6sBV#gU;Hr$|g!y}aH_k}?pCNJs$DREVj zQ<>!07n12vLyAKi@fN*;L72#{t75}#VY^4=@h~OZPl*jTsoMBJu6KzfM`3R1VfKD) zh%Et?mSm;3;29K2dTA-HfpI8HBB-pQet5>ml)kmyb z`T?8Qzed-HCbfNw7&>f1igea&MIx#3>Exu=Sn)7Kj!|~MU0kw!PhhI z@aVkA#v8v&#mtY(`RfaR<^KE6@ZS_f%btEpr31TtI*P}Fs|0;G!?4{2rp;yuTzee% z4c}q4=_0Sa@L1&EfAX=~KX82UH*8=0Z`@z{m5s0dr_kB|l>hx%%AbFMpl!lGt>(@wb(Kz`j!<3r0Q>i1`TVo&9&c`V6 zIYOD!ermM(s5j^#)2@s{t4ivO+vM;4l$cKuYcJhDshQ&1A@XdRDc9|#N_&`M6OjtO zC#di~G`CM=yG}nPrd5WEWol!Rm>k7)v>uJ#Mpk5YAnfWBK4jm+9Hc?#KBlw!B(CMK1Xpya@XUQ=+io*C1F=jm^x^|Q9*Gr01o5a6^9P=)U zY+5NXY@^Dgh7!jLk_F$JFI;Eg{Qr=(^G}h8vyZZdWL+&(`x8#G-f}+q58j`>Tg3c7 z&AmSRslD2~zsciY+4AWloTPvSNf8efKOgkFg>wB7Y+gQ#^BdPF-guVIP1lLnE+S}i zB8Rq~r18BLk?e;__UYlvesAtyc$wR`)VO=;1ul<%#N$)%a&}}9hsQr>YIF~M`%-D| z_U6ctH=V7XbdEhpiN!t zdU|?IiT3rUwy2aa|6odr3J4DfWM5|j`-VSbVt5UA&V0;N{VJYJi(EXbCWY%&4vnoP z^V16c`rM!S>O)~n<{}T))YGg!irXiBxGlJb>2JxP494C(qcs@G=`;_=>mQy3TX& z{m6^&|C6_0{TYk*zr^glzv2G!w`_S$9Jug5ng871#PR=R!TkS?+8aNh_Q79xLv(uW z`#;e9&S{)K5>~hR2u>eJf&5^E498oTSslgA?iddG$8eLbZ6^{UNRqfv59%>~@JSb_~dM+6fX2 zo`S*4UNC$i7(VF4@RKe~KWoNSkIhy z^h;d3K99>cU*O>lHICk0OzAfX)I7GM_HiKHcRU!m;>)pXi@ACGWsV7q+r>%ZIep+R;#;$NttJQo@4qboU@m5rKW^E>bdrhzZ-qVDnA}YFF~; z%xb3lS90&{b3D4ZfE%J&j~(4Y&f;4B@%-Pp|JE}+R(aE*Ka65YE1oNIqzhG{G|Oeg z{~%|APm>qgOH@=XF)8C{g+F9v#FwlHdW2=*Q`T?#6|0xNLHpJJ!@_z0!#p|E)DV^h z&%gK!YOnm6H`V@|%D4XyBX9po($c47?)r)F5ARXB@&}T4{>a|-S7h;CL+6b|J{qgJpLxc>6J0 zAN-8I$ql@Ue#A%d6V7(uOR}HB-0~2r=JUczyJ?PYrq(7`7*`Xup7-$Bd<**}_c43p z3A%57hyI>>m_^?ts_yT2`22v*`n&AjGC^XA$b;;DnqnKM_bMgFq8f$Ad0Chj(Or8B zy^rsp|F+n_`YUwY&lBJAFI+;u!9w#H+gBXLFLs8!vcuFyHIr|ppwzNbq{t**rdQBj za|xrxH!*taAzGh&gRbR8f@=PNd-C_VSpUS%rDw5}#gJWphO)#K+M?!eG^1^xwUY_B-EVpmPh`)CYu={Rt1RAJJTM6U|*BfvPT1s1TMA(?E?|F*%kE zqHmQ>^L4_ zCn+jFMs=Jp+k`?2timY@Y9yohD>OGB#q#r;bGe}N;W^w5ZlhHEjfmtQaP<}+EVy4D z|4H^||63T>--ICim#nv6lf@U+e!+0vQ6lx5Nzva&oPHOr#+@{2*Ps%Hm}lB2 zvbvP^UG*Y|W9Bxy7~OW3;^j5OZ)?P7r7vIgdhzw?54a+X>&|sGzCS&mA1|o!^||>x zIKPlX_m*MsTRU2RJcizHQjEVnMAwZF4qttTYf{*zkG?7m`~z04Si@(FxBXtY7Ohz^ zcWm1H8dC>%a(e0$Zk%!9=z&p&$IjC=b(!v?7a19y=GbU9r^i=ve&S^*SnqOv`c-aS zk-~LpA=fUvz~lj8T%T3)w-RRe{^y2Y(F9~Ze620*fWfI6%?Gu=8 zY{y{NK}pPd&``)j3zHl4Om%kS0ej^n2E7YEQDvtbyccn8d znD-6yr3*xE9_GArs}#cqdV*_cvB~0$e=}$D#!*H~0=t*c;8I1oM=8Z2D%x|aXeer- zD7uDx?>tI_(&$kX(37NKG%=ckX~DE-$52{aOkrLdD$g>i?5n79siVNJfEGnLHTkvF zX0@a8$|2h;iYBFkGF1ufX&H>AgfN;OM0;i;9p&vPeM=~HtD(xdnj)F6Ed|@;b@! zl|t*4PHS2YovC>Y$BPe=Bk5O$Q<0NGRb3mIp(T{N%wnjZ#H*N!sH`~*4aFjDf<&Hr zWm6rK$-a~dx)UnsNlRroJ(BW*1Qaceq{r6HZOc~a*g}O{tt@60ZMhZV!ww3fI?3~> zmDps_mQzZFQtU`iVo=8SW~ES?x=rskog&hkW8g zlj+RNpe{>6OXlqOaEU`KjadcM=M9kK+DxNeJIyj);ayIv%(pLBY$@v|*RPs1@oQaD zDg!Aw3?wKej?oNehEbc7C~<5=8DB|>6!aQd6Qz<yh_Q%R*E8PDfG&xEJVhq zmC+YhMNeub2T~(x%84bTzCwyr3#HC=RN6Js>LRk&p_Hb~9x4k&b}E}F@+x4^vyB0< zv%xu^W~T}o#pgxdg#@|m=Z!ayN#lPe$G5VM?#bGDAX4y&tgSmZzWA7&FaE%LvYyly zK9bjEt<9J7=Fi@+^C7E0AHi{e3Yaw>S zX?i6ze<5;Ms~cDGcUjgDw?+Q_>FE1ByQs#4YiiuT^gP$Ez0KY8pYY?!*Ev3}f&Nep zVW(z@8kr$?e3XXcE==G5ge!9X@rhS4*}Z~QOSiLlnf~vEYw3zDtXQhfj%{zy*0F}> zmZkLd+moE4#4#v{sH{?)J(EdGEh9QokDh(Aw*&r+%jZ{dX#dK&-z`2kr^eGOFK~NA zorI;0{P_BJeD}^{{FZsstJOf|>MDYkODEQyq{3*B5vNk_Cx$Ro?np5KZlyMJQ(hc{T_MmRn!d|mh>@<4O)f;7pO)t^f` zkgJ_cx=AoTR?ftiW)be;jnYJ}CF6Yp!l{c3qb55P-_|rz+!LtUnLw^}2B~JkQq+qn z(a0p-AdGNRH$qcliB5k$0y!zfNmq&>=qn5JYRlhdf)5hWBqiDX9ws=dXO zsb`@yj3vR;2X9|*5{s3@nL3ec?n|<1xcDTQ>ToYg%i@VERG=`7B-SjRG}lZNIZ-aWDzQ%hs8sid@1S-BFo($Pqzka4ee-iP*(s1^u#JBN8 znfl`!C%Kp*F*a}|&peo9lW?-q3Mq+BC%vkG^n^rG%zUT`E1)E`ffU0S3M4N1Mm}U% z2I6Cufq`}-#ztcp=p8`Aq!(j-kJP92e7%npODK{)LzyS z3HhP0w0WF7`hrO14_UWT>eaGM|1Mm@ww8)q+_dps4(!*XuWLOM`$B{=4-p@igQ_5# zgybaha}-pSc+k+>1KWx&yuOJTNjq#011V?lXFFpgTI== z+b>|(eKfW_#bER7r-^q_-+3F;Z4cSL>jCQxui&}s9H+NGW@^I?iZ{ z`58C6Yea9qOw_hBIJlg{EB_%y-G9P2`zmo(2XMC@A-L;1Vy$NP|GP(k_H9D6F5;W4%nP7|wsj3|wB#AwWr zw&^$tX-|l+{UcF3uHmD32WQ>$xF=i}S$K``15dF}IfY`!lq}?{#8-**(wZW6&v_y= z<@GI+5RR9~8Tl9fy4Q%!*yBs}j583(_^yyy%`hR298nI@t2TLP^oiQjdW zaLv=C?VKiR&q;Cz{*JHreG+!u#!>qw4wjb*RNW-6<16f%t`O;Vf>iZGgw}qAU!+`X z*EEruXNli4P0Hruq!xWkWd4uDZoh%g-rI!jx{O=eZDML~5!>~MxPWOgc23}&d4s6p z>tg4W;6F#?-We2|PY~sI9Yz0t5TtRH5RJQp?7W6Yz;*mfMN*A?PjKSzpWwNBo+6_2 zE28wrP;Na(!tPV3wh0Ek8H$JgNvQSg-j{a>-*JNgbFrc52C9AE;8$<~#q2%F^e6G} zd`5`Z6!Ge(#fRb>!IH4`7>b5p2u^-RykPL!dyA007x7TuCavKf5iR$K_MIj|V*=ZX zdjzX45iQa-LL|MP&Q(Hp&)}PKm!ytAlD6%**mhd3c@DS8TLgwk{7?nF>|ZL(c&C=2mXbxiTG&uUHo@n$JhP}eudY`AN&rV?6V}99L3e< zDBc5K&yb=ndA0L6Io*H8KjaY!+i&BpeLYgf?P@ra$fX4tHLi%see*}3&1v3_~9WZBb}W=L(UEsYLlG+28Pye1#(ck;!ub{W>BUZ0i#EO-xe=l60tzFFL z>px}v*4JpP*+qBN4myh*s7$RSDkKGEVLtw`VJH+CG*`MY*}sP)y~}xYY7rO5U*YzZ z`JBJAfScEz=g#mRl2&%}{p&yR?Dg+)Stp%Ms&4s~8p4;4;5qLhNlTy6u6dM4G0i+X zmP1RiFD2>8c$pnUL+c<$=3}G_n@TW}1*&t9VB>L2_nyRl*J(_&r-f-vW2}3Ez2@Vr zcc>&StB>x;X6mi7C^m?fjuc0|St5hQLj;HA5-Ltl%o-+5aRf!y)ZAv11|)# z5-F&!kus-DYWEb8ZJaNiDw9kT>7Z?0gya;H>Jm?^q8?@1A<~N{hzOI87STy=!Z1-X zr)_5iNtv;zEd5Bg2`1MpjQYJ1WF^*+Tzi02$65lTg+^zdpinhQW^@aY!IdZr4w7z^ zi>I=bgxV4mb^&Bs2cxhGrD3+?yDCw29VXtpo=~4w5>+S2O`jq+t)FQBa#C}LNEhiA z?3+VGPXlRQA!Jzuk!BM@m3{<82C?Kej}jfgqLVCtDNhL=}4{IhWv_=}vh!hni z)|DkB2|LWP_9H_uC@sTi&=eo|=c8z!BuV65P++GpA87)4$H+(#rtDuyQPzGE>8~X%Bn*{BAZfNClo*FosU1a5X&Z7SWOdMd6?MndQlJ!G{g>)Xr{ow zvYh-h$pft{iu8(5nan;W5uIE_RCg!Io=HSSs>oCvBBAg&8S$M&22@d+b%1D_Tr5@j z#5EVAa1cgr6)b)TrCuk3Y`Zjax~7QpZxHq@I8;)MvX2vAFhWFNrNnd}C63hudMQcl zs1?~ABykiB5{Giba7y)}$*mh9M&gy|P*1Sra%4d(eocM&N7WD&*FjNIAIW<01Pydi znO;S{ei{|}B2k6W=a>j%HL1kZup2#DOFD*!MA=MXC2P=7|Clha*<0WoqATE{G>}nj zf)8UKFpRDL1Xh8gIQjLH8ed3NN<7njsZ0-N&}h_3wGa&7w{PL}#so@{iJdzqiPlOd zd{-3RI}TE{wwBaQ;^Q@i{IS}HuV%k8bxWk;r8jse67j;7m$`oNP0n9`m7z!KjM^~&E1*W$G+ShD^jwrzWnp62az z)vu?%dM_Sf`uG(1qL*xgcUiOm61LS7O>fI~#+ugi==2KqReZt?shH<)&*$FtdED&S zMBK+M{Pfzd{PfnJNjDX(yQPP^Ey7IR8^Zmic0w2TGwe9Z&7gX^VpVjM1=3Vfhx+nK zR<5|rvXztgtn0^Z+cA7r_hY{P6f2jUV9k;vtXOiKB}-4SeA!u+ubyJn)+#D%FEgxa zV=O$4eZk3e2Bk9MpG!?eALdzA=;yRy)^P^Yk_&{!UdHK*UcCJ-VC+7D?zR#N+V4@* zI!Se+NX*bcdO{Kz_Q|Czvl}~A4;u2Q)ps7-yct4-skv?H$Hm|Xt}$0IUR6PY;uy_C zmuQPmWp^m*Ku_7m?vBG)l%2*m|1v>lqnK^(!Buq&&8>~Ny7p5( z`Hb3}GWPq2&=(RA#3s;aP&RuHv+&13RZI*m*Tqe}(1m>$c2#vdr)$T4_ z^%|%?AQ-CJ=?e~~H#C;kuyks|3yBgR7!=6(f=&!NFJYH+j*zs=*sN&7Q<$2&^ARl9 zl#<_go$8+BbcTnsKQKx#q|g6={3VYX; z6P7$l#l&q2vlHbSz7of1hJ6)tA65k9inPk?Wk>TQ=H(KHqALX1PhqyMoA9KYm~U>z z+p3+K@h_<>5v4?wbYqm$&W_?94B9SYRd}A@m~&V!YsD+%0%mrj z7^q9(?7d5M+ZeqeA&dk@(-E3Vd$1J$yiQE=C6BV&&=U++g;ThcUc`25H7;Pd52`F!mZOIFC^`eS^yaYUHc zKC(itU=eZzqu6Qm;*X#!`K=pu6BA*Q{%Mhn9&)3*+Ku9vB-#xRQoHsh?$15O@s-P{ z)*hs8RS#)anWS4PXk0Zw{kj?yQn-}c>-l4qFW+8$flIURZ(aD9vF10pdHo$myFcSV z&uaSjyA!U;B(A1`*t{HR>Qy8c$a?H`p|4$(-Ox((cdcdB>eZ}}dNo(LmaSRD>NTIU zebw6>Kd8>Rt1mNlZ5>7Df{41ENbr+L;_f7(Je^2EzcoiMe#FI-tGIP$371ZN%C&3r zxPD2E?{3cH>A-HXRu1yxtH1K}#cv5%DS_WJM9K1*-*+Z?`5fkI7f>#~&mj}3(0ihZ zvJS`3(H(Y`5xQyZJuUjmFufe;8w(L-?8r z`|xW<=~%>h{{fEL)NwSVi>aVidP*jV%G*ao`w@H(OySoc)HQdE9M@iQ!j4k!f0zR4 z9Py^bgasB;qHI9nt)j%UjBe{%%7S}H&N)OtJ;U6 z-C|<_zV1>ayc#GFR*>aZPmO&&g?^1B6!j7!3qSD0Br!5Ru14r|%s$cs2C0pip~6`@ zrE#UmgL2}$8_9R7m+=jh3OlHBZzMIVi?D(Y0uLM{yt{*hsy5OU!@^|xDU3Q!ozFp( zru78bloIAsPqu3Vxh`@z*HQ1>h(g#_R8cp9&C;Ex_7hpzOiaZAltF#u1&q-kiCSkZ z*EduV>{UgkdxO{@7+mYAb7&whsEPREUV=+I@SB;$y}6Ny`e78211SB5DTq5km2*GI zre%28<&)&o^lu+DP+?z3nO7spc|C;Xw-Ycqj%#ll!HvD7rH!ES9Uv#>7!^MI$uY0P z(;}Bd&jzaO8mN?`-l~>h@ zh-$riR2D;R<*yYQbrL~vabQPm@4hDsc~hNu!hwpsO(Zdihc zQ=TY`dh)^w$n`Cv#k!m(w>FenYYTyeI^( z8dNeS&$)pdmwFnU8d1iy5-mk9sJ;i^W5Pg7dnw47qEhV7^&6);QsksZBjqkRWQodX z_8Oqip^HPF-R$!kB+|GYYmYfHoA0LEJTaZ(@0NP8T8y#XS3w^D39jDb!$yTuL- z>wI>ace2fR0(HZEn3~2D?UqWkbuw-{Yl(e(n#lPxILKOdetU%ErSbM|u%cYeaPtDkXj-*!g&P3dZnA}&e_Z$`7^ zYzetZEyO2BQd#OuPm`u7!8Pda-ptC`-&L>pzqf8)wVu^0m$Q4#E6j|p5luOdLwDY! z>amGXw;cTcAX4I&bh4kt(|&g+C+@z;ja&1%J^S9)_4)E#jYk*N_*$6PmHy4Req71J zx4!3xw|^!_uZDKfxee>e@L$?Y%8K8JT6cpE`$>-2P2#&I8;^}0SZ(O$gV|3s)SfU; z?EyxwK4$X^k1>4lKAT>d{Uqd(9QWk7FURb*O5gFm+PCO0_>OI_JYeyw(*%EXkubGM zng0yV>#h)N^CP=A++h9eJ?ovWux{50{B-Z(yy^l0iyjcX^brPoA7Jp_F_K=rMAU+- z=*~NZ?ZS(=sGY@h*KO&7*U?;kk_~Rt80j4q%6$*FwYRWZdYkw!9^#~N8_OlI-YHxyA7Zuf8X+HCBW2km zOttT_=F=%6Ubu~?n%McgG?{s)@t?)8{2G>;x6xfT!&=uflGMlXH@<`8*4sEQx^dnJX0X&fLxAB!Y}Q`I z|KsaqtbRn0=0h|-I7GxNw{TXQk@0e#jQ0~CIIb5)wCg6?E2mlGb(YUHhp{%jjp>$~ zxGug$oHWryeZlaVG`d%>lDzOb)-tc*^XJ8f=P>>B5+=s?FXZM(GA>o z-NRnu82iaxlzYC$aP4XA-#IODyo%YpSq!JepQmwIcm^lEhZwKEz&4E;mbe{d!=6Jp z7(T*$%{2l)yGzQ3$9U|%gYi34BtCyj3c?I~UpR%GjQ5$vu>B6E+peRr_9SaPX1A)l zO`_)4m~FU)-DfvQTmKA4|Az$a6*l<6ICd`{mTL@>^Z8k#-j@7$?ly1A8d{(>yVc+9 zdU`hZ>HP28{(Z$V`JeSiKg#nfs4aMm+B{ij3!b3%{3UtqB5U5gj_uN$_=p+`(=2Av zZ$BlX8sb*n6)AX|vh9=9tY|08R!OE=5*@1tXkJoF#*zwh)hqdHwL6c_Ea2Mhh1@v( zF~|FsaajsibK6!bTlB~-wMLbbMnH%i1!ckb2D(vDkWN#TJ6%oMba!q+YtI%IuUg9& ztC##V-+Vlfa+rGqW)3?NIzJv4Dr|e$$8=KetjQYB7 z*tPyCYc_p@(faR+mh;_g|A3eEFDRCON#3dl_-s3mr!4TYwm)GOegcz}D_E9(kNuvj z6zJWe*7_bv=I8KFctlv;kEo&_k+=4Su)^;N+wdKso1PN8@)p$ve>mHJ(|CrLI|G?J$412OK z;FNb8-)%=ovwMWf^gj6(mkG|ehHI@kTOpOC!qG0E%iP*wR)JR@$g zH~2W#U0+GJJW8_JT?%ZTk*9f)w1~@ibUYQBeuwNWcW9RJinULO6&uneDU0I%Ky1#p z7&sqAr|%K^9;b=byhOF-wlxta(7D)l>58 z|B9v4G#cgCL^9sMbJsNGW=~NX-XY#Y3TXL#0%{(j2zrcC{H78g2JM)&;W06?U`ty5 zidDo#cBY;}x915KdykT5_<$1guZXj}Oi0FcLOLW4DGyL>kT?j2)b&q@-!zNi4&}2mH2tO~Q)% z!XCdNui{r6ZO1UFxrlMB6zJV&s5JkYbp0E|`&}cn?h%24L+SOHvX%F!SRwY$&cE&v zDbgG(n*ND{*Ln7&pT)dN@NS=>MEenymd{AEyhyb2CgDwAkt8{(SaX*K!H~S+iNx~p z+*Ws$#s83eyN9OVF|50v5xD&f`MOuAuzo-35lN zzocT<9a8rEfc~1V*t6x2Y~J_-TQ+>lo^?O5e$y|k-SRz-^1swQKe2PgUAC?L8I5J% zqPgNHcCY%Dz0&C8tg9&V^W|)}1s4wO;BbaEg$BDw)sH4oCzF)rvFy|8Ct_DLNxS11 z**w9}h5^dAbfVap%ik*P`1;CxF5Xb%`k8l_>3WZ+=U%0+W(m!8nj}YSP!JzWLXZoJ zG;bmzLP?7E<@i_v&DH7*4sK%iu8mT(*0E^i(%*mb^U2z^e7a#JJJ-F=h5ak}=IT7I zUHXu&V{ybC7{%-S4fGC95pZaT_7h>;7OndIsrmePY9aS8zRk@mYCM!ecKfCpN2Yen z?S^|{Xk6Eb;!+c)B59)r4O zJv;XbEmrTufA=^^ds+$7Yr|mcAbWR>uv@d6U0N-yHLPLpo;sq`>+ufC$2BgE4DAqV zcKH)->58|vE9r@$gjpJ)$c@G=HVCDG-`u7KDW>M6XZm5ATpCGGkjQaMCy{+t zgxNU|W93SYzF;tRB-YB3?BWFCy&Ngfb(X(!*b&+wcvLaC35IktYf>$2 z3370j^W4bRb&?`)N3xeQsl{<5%ku&~9~6c@#JD<=P#J))!i3_y7~BJch_Q7*X<|i) zy(96KZo;@-NHcX1zgm%1o!7-YdVuXx0#9y8EGD?E9x?0@Q~aLl^lo@pNH65p)#_i zNL#Kaeu;6kC8jQ&Fh>W8gC{COiG$dgQ5lL$svgBfkpxDB3wCF+Oe~2IUqo699s^f0 zB#v zM>j3o4iLV22%on)C^wp5!ncP93C*-8)DrJoMSw#CpS^rjXzA>2YkoxY)u(KD@n@my z-?HW9?|4D&H+lUhp|7)acJ_EE$JcC`{~gi#7l{u)K)%^NI%j_?X4XftO$VjcJyaXE zQ6F;{m46r2W;JrOlW*CEDxh1~LIV}bQBoXxDKi=u;*FM+R;a?ZaW?HTXrg@92M+C|hj);b+)Yl%pfssj4BcY;EQT%$1VfErXf$aP2~kge&Zw}L z8tP2z#f}bgY~=OOZW1G#C`~y)ijCMj`};=IPSPX}`PLoenKe>u*F=?Z2`!NwpRmIVabt5WLk1gA`en(q`B| zmc$_6v4yPQW-?SglzR3EYwV}OWS`96Ns>zg1=i)LY)dG#t)#@Jf#R$wRF1WRLFP0Q zU)i>y20S$9|7vu5}lc`m=Eq+b!zI%h*p;lw8NUj0TfNxxV1@ zYsD*3)JV~=#8qrE?~xpl^TqbL@doX*8FWx-H2dCL4>^egl$c5GN*)x6zYCp)gx!xx zL2V=}=^$xw{iNDA3M1_he|Awj`@2W`W4ycIx=6*@9jw3Pd9^>XaBfY>G4Cthc z*-%nskDG4@2|_YFecVx{72@it!-3h`+T_{N(P_vI?GL1Iy(g?o7}uhuOIW^f1)r~c zkDXheV|wykZi)__y0?;=hf2bqwc!8#K2pA_Ci7VdMNfSh|5A<1x7GN5diFaR^XGQ3 zz9@3>%d7Kw)V`UxH!Aq`wIBKE{hvuRX&`e|FWJkduzFiG`wQm?Ue?E;R|ywmGAZ^c z#@lcNORXd96q>(DDEy|KLjQN&!)fQ21ns$uwdNx>Z~2DJ+a9xF`(unm%9yPaO1tF& zt|BR-!uv^6s38)-NO7sDc8c7Ba0n33ZtwWBpz7)_gZemIi!)1(471|7$6~#5igcx8$pQ)W-%ps%jLiQ`FgzneBy^<4I8ipu zw51P_ZjeTaQK3kv(zy*eRWg2d{xa=K@}>Aix%LridzdVdE_q^ua>GO7M6w0wHPM>6 zAB9yGg+{r;a7s{^mXK*yBG)RTL`QsJ*g~XD7a=}}NZNE=FnmMyy01vyagjjHE{db} zQQ}vLN)CxEpHQ;;Ybw^>Cw1pBd?b#wxl(-1 zGG&qHNgPY(@MoG9&tWJN3>8wq71C7VC5~Y`Z%`!CD1FmIQg)oe#h{tE`~g&+1#*6- zG>l?W%*BtUMO5h&2}>>|&!C=M!w#bT#OFIN%*8Qh?Nf=PNZq{yWcqYc7u`yxU??^g z|BD~z;%M^kIOX%cjQ%Ro0G2FS2V3$!cwjL2_e;k!ODz+R%v2%8JDUoG@HA}`QEHcGsnN;g4 zs5D6@+o2NU4Oh|J{*WD;zGM5=r)=ByC3`kMW9OEK1a7=V)7G!BSbG`mRd>-8-)`9~ zYjMkMw#!&E@W=_1#_a|OweBvc$Zok0A+fuY{Ea2Jxon)`+AlxPkAd!|Zb^WZK7@XhQ>nMI!ndMB}WHg00Ya2LlVr zwF61lkCO##M~GuM8s@QRSp}eL5rn5kI37Ad=$eSc(+j8fpeH>?*Dz7@1=HornXFz< zPt9fq8nx-D(WPa?iI8AzGL1aR)(=53D>JN3P(<#bU;clrb`K|tRxw$-gng}xnXX*M zslpW;tlPy!D>SL$)NZZAiR*D_TtcO>_W8j9vTXCWQ-Qa%QkaZd^i68Vpz_h@>NXK z?xn54oa#Q2VU9**8Ttu^P%=y;{KCyQ1vDr1M5mvBa6c}(JRutAf- zQd7G6!wHJCL}B1Q_l@*qvjAxl=H&YC=0MqO9QKIMR&%O+DW@uy$RUr#%Q#)Oh2ctV z8v5NxDi&#HU?mMf4u-EIWg8%Z4f=i-nqk}b+!i*ltF`|D=oxJ|Ahxc`5AFkZew;;=_@(TJwO0D}E2 z$k7d@bZ?v#n`qKZ?1ixz&{=26nKDC;7j6SH=3L{UA&cP!7y62jiaTzIU}~8s$9cJ-A-K00x>f6#?U+r zZ7Y8?tpm_E3q#8?kZ@gZ+AWhX)Az>6I38nz7+G(D?6Gr2$I1-{lOX)`Bc$2;3w~>Q zqUtEvFi6OAmvMgiG8wB*F|qwTjoR51=p-_zb%@R_0}OpMP2ZwA{!;D4y|XWgI-7kP zYAq8ZYq@@V9y5m)F+HKp$&=wUwe{0G+E1rEPIRBAyS0JIF$0e7-@^W(&(Ybtm^JJ6 zvTg77+4s0+KULhs@@4YEhEHjh;B_}{rK7=}>f!;CGY%1^7$YElkif=EggqXo`J4;; zPV4jC^m0!3YjFL-tK7S~kUKZjxH6+dl=TGXKL3T&&;J3N&zi{l=qP2&dU1MV5Feqc z?rPtW{n|ao)?PxPF(Op=6gd%HIB6cl$#5DM?MdkrN66GZFAHpfK$|n@8J@+|cnV$f zG3@mwurwM&&-4r#d(Lw&cbs$8N=_&;IhmfuNu`1bRVG74DjG{OY3?keqbrxrf*6K! z3mH(=(w$#HcTFLkrKudqOJz!_VkE1SeffEuO-tvZBA$Jv(XOdLIrBMvz zsTj_wp)0$R-kRAhqS6@1PvMA4!SU1rrWARM<)ky2pTLpa7!G8|&{3K}-*5vhRSERv zr^$F3n^i?`MI{}TN_vY@I3#1nG7A`2mT@Rc$z)yvhe{&oD)6DHDuj-GO4?hJ8j47t0a|!xv3mdW-+G7XEHmBiR?HgiX!RB51_F!g4&Ku+WPZoE1JcS z%W!rrU9)!7i2N^0Wk@hgs+DS&tD~rz%Am3_T;iB27|JD%)%28A(pi;7 zk6dFy;y6AR$8woh#bjUoZ@IsD(TnNBB&q_p=xl1LhDZzQ$60rf;N@oso_7sDwePWh=@hv-6Ev@Gqe3qhg>E1{ z>LPbPt7i1A^ITaz#NR5dcydk%&ei9*ab_|5DnI4=dP>znak z*G|yRb^_K_60*6R^28}TLTU*}?IS3>34gmH+;-;>tXE5dTL+0D?f6<1;E+_Lw}Kek7LtNHi14ZvNt=%EhGN22 zbP=(-hnQ6z#I9)|d}SHo+bi(ZDkCXihyWLPZd!oHu0kTr8c7c5B0i`Er@iTTZ_USh zbr*qaMr3?HF>9LfTUSiDS%pZMOfqM`i{xL6pG`5YyK)INs3Xa=gh)X3dJ2LQE zC-%wsF!4j|$}S?;*5SLYkPwk=9;QkPlllm77HMykkJs*EA}yLo3hX2{pb5v_X?Slg z#AkIcL9-ZE_7T0N3E%aFgj`q;`Y`MW!p$#Xfv*^CHQG)5xA}f zr;Wn|tRE5#of3~Kd^L-3vd>1(H48=C5uAOBa4^m$V0RILF3oeB%(_R^V4{~w@TNlX zLnk&{hX`M_pY$bza=j+pZJV&rOChUqSXf`>TpZoi^9eVRIQmN*gIjUd%9J?fNgO)} zn2X~+Vpg}{zqUlMmg8!mAT_vw4A(kbH)rC%x0WECCL%lsNeS#H&_v>}y-3El;=Oi1 zp=*YSTHQ|I`bxqKD)F%_lpHFiAZkDUYf1>&De=**#^1h~h>$**Nm!JQ$tfzmlaNfUoe%?Qs_rlLCdig6NbpOm|`^PM| zd&Vk|Ird zV{#1f(g^*MBZw2CQPXTfOSwL+)mzZp^C7ENu43`hf8W+-<*H9uv+7;eZ+nT_ZcS=B zH;V?{OHr6;Oy3YH0~2To2q3Ys8iQXZDf~)<@-OZA^4wBR4}8L{OE2)~tlHd8wNnSR zFx`HDYn%RuDYEZ@hdC zwRwM(;|Da}e8$$-{u8rT|Bm`=|KxeK|IGrmzoPcsUr<}{chp}0^W0_`b_@T+ofX&U zTGmE_BzubX0aDj@qFUWZtWKRIY#Ej&*_iFh$98uMS?}Vr!Zwsc|$}rtgN2=2lwc+O}j~FA?rw)6=JmMV3 z$h0{}f>9f3y6wbD;fh)`h)Vquu8Vt#)EviZRW)YY>IgL-rpSAm)|4}(_%-8Zm5+}} z3%PFBh%lC9H|Qp5(;%UX2k==tj=`!{JauGYZL7g*Q-v&!4zk^k)17_|g?}djPNmrE zmXPapiA2LGQgpjyYz+yE2BeTqV6?JBnCw2BcQ<0ar5y7evkT`4%`ulK3>_xQs~TJV zJW^ecl5BaJ2$LRCbOwl8)z_)g+>OX2yXn;6Y=*ji3wzgr5HdL5Qqr3h|oBF*C{)fsYra4*sB z71-@klH+$w8p1Jx&7>F#D+m(|L952Fki5{^-h{1bCzjf^vH+@a2pl6m`4qtglQ^fe zU}lwvjc-38Zl{SfnJprHgstxm;3N7fAJM z!NW!hnPEM79@k|2L81-2NZvLZ$9>XJC5|gw@z9oQ?5M?ixio_H^%93;v?wkS5!p$Y za|tec3#GxG5jie6j5|r)J52Z&J%p^DAjRqeHrqP!)o#aiO(CvJ(@{ANQ67JcjOar| z2905^-$JDKN&L-5@mpUfP3UbO;KdidX8ycC@xqJ$5_0gLbDPe*pe95? z?JsPd_akxd|CzVv-{GbCU$XGU`>4J2tE{*GgPPhO&{#V~s8I|F`VOQRL{nlNOsT0e z**bAjRO9j8ltAGZomB1^B2${y)aK)4FDfSYmz`oV!X?(`&Wt&*G_}@EO zuUWN(wab>We)EUqHRzGww42H@Q}TV|=m^T9)hn3;?onja^q~IR5t6@iCgE8CH!rMW ztY-F2w&%HaO^s()pXW}a5fQJC^W*dXi>I%ACrPLzcY}gPjXnZ5c95fWj70rj>Yes8 znK=$${I_xca)eDm+jo83ooo;-@fU!5&t zvs)~^^cOT2e#aF_te;hFJjg5KTyY5xbF26{y^4p`&Ggk2GhAN4L}>&2%6ph7Z{>V@ zBgaHK4t0q9s;*+B{1nw*lc>xOqL4+Bw`ZC})06nb9ip~jl=1RhMyk?9j&z8uXy;sW zD<|4S4)kV=d=R={JxNpCNs{b($g!H;#rO!N8YjusKfs~fvm7XH=1^@O{pF1em31*) z*UG83at`MF;@u~xR=D< z=UZDi-k#5Bk4i8UF;aPqs>tI+IS!+m-4;t@hHTw4(p~q{S~g98MJIFi$~a4m^C0QAhbfr-AVE|9HW;HK^CaCBT^y46N6Kp%EbHND zRV(M(YdG4QOHZ>xY;0wy@Ej!$2g$OWAj?$7@0lUO`Y55w!?f1+b4XZ`62GF|r%>vgBHCs@J=HS|S5$K(U&%yatNh){ z*`{WO2J`70&S0QQC6Z-~zW5pPO-ISFIZmF=ERI9?dG?cCG0s3~3kNF;>79#XHz#UZ zIn!Rrk-l8I8WbYCyBWwmPomo}$@UWz7|69W&Pcu=CO7&pT_Ux{s`9x|SjtpUGh@^gRZZmvA6$bl+?5KT6poTxn!T5$c0s%UO)BLYke za4<6AameTh~C;;L&xoD}wGy<|d`7DQ5k`&nF%pgL8Lh-@mQ@Q;){A=I#qax?9$sFi$`oJF0O%u=$R9w zZ;ZK<2`S-jf&OiMR=$e#leBZ=Y_X9%Ol_@L!!FF=)VdWi`QTi!s?Ak3ncAo`I2s=o;c} zqK}2OAu-~E@-R1o$^!6A@Dv$lMUIz}*iA_!e_Bi8XCfn4iagt-M8hl=?^Kb1dR7GK z8sTYVfOoJxt)9-r<$4ob7>vhWeKMWn$kk3I;gfQSW4**t4Z7GWqD?4yeC@C=tYS`ejc zioKl~k&$lXrTF4rABKD@uE63fTSG;WbQR5ZI>NMyCSjNT+S=6oaeche&Q`D zR4>mKtl14=Wi34?&({h2a{KUqcunNvbI<(`3l{v36fI#}vv*9Le*@!f7l|^H0%|`) zxcMY?E>m=v93pPX37p>FN2Ts0jY|jU-q1?RMkP~wMZJ7dOzFDIw8Y+_^074+@2GL% z`aJI5Sjgiu^LTRM4ep6-{OQPEA~SWdx;}~a!>_TvGltHS-Xl`83aO(O!Ik81BLG zhoh8!Wkl>Z0i1sDE@Q2WxOPtD;>87gD^zf7XgiS~9p}rH|HZAh?n$>vrf78qof?zG zilp;??mRKeq|2K)F(HW%vcnh;eGAO>f_U-OL(&0$;Z3z)(Rux6w!Qv09A5i|4ex$4 zM_Zqp|7UUX?Coa%i{}^qi+30P5yypB$ydKmi`gS))E`l!bq1y3A-XgsIFj&0qn2_Y_ zD1~`s>YpRl_yEzRm*zGojc&X{c-Ran!qT!zZ&Mj_ma3hXsoi~pY{MDSj3$WBzDRuY zmqM?n$utxxr#nty)@kwzE>JM=H9iTaP*{wS5_^`W;@gyI2=m*08gEJ@$Cy9(Y zN#?+}L<$4SGPopC_Aq`iB5&jxbwl6do_?APVVOz3$7!s4M85F}O84F-PwxVG21iM> zo}g65hk2hvDX*nS*AH|$NNm|9T06eNyW|o{J|{#Mgs}Ll!UE5dA$CQW_Y+ldp8WP(B-LCXBlv*4)=WXoZIo%($L@5>r`Q;vmd{}jpiAH1dZM>Z|^3D3{|$tP+*@`8|q1q**;;ex-h zaN$ov6t1#&WidY59{3pO;bU!0Z%QiJno_Vo8o=y@R)VQ&!T_-R&m*i?R>I!4Ik}&j-GLKdK%X;a?k-s zrxe2X9;IU4RdV-qqMLXakFU>A{K$p8XSSTV`aTCrHgNgU%iI=2zL(?B;0~gtaQ(FA ze|Yrn6C!p;(WKWv*@hyV-jIMl{|BN!{eeNf+uU?N%S7fO`U{&F%C0BC;w&~=*YP(H zsbV!D0TU`J1t7%w6nd6tus1w|l}HFPvl+DP&!TUCgmg>M`HB0f4j5wCaD;5*cCt;| zXfST2yXZ8bK~=;#=8@s^`~HoJ?1R)O#wbdiAlqvISD#M2+GSB#^^mW>j~t_Za*cbW z_%u_~c!{)>KC(?zC})3w9MDTl-T~^<$Ek@ufFkJ-LAEWpG|UheB6Az`k#8_SmQdsZ z-4=?|MQYSZ(K5+K=~hBYKnDrwBNS$dd`dk)N!n48%v&%okRq3LR1&qD46^}JEc&R~ z+kw)%kCK7w>VWv5pM0Zk zRHiM|bzCPUs*M~|!Qfp%Mo1g!St5bcj#H2{L9^dJf}JXHZaYJa*C@GSf8OklJmQ0V zy%x&zr^zlkgwj|=re`_Hfn6ji_EW4JqcnLxCCNvKmjz>9d6=Z6Nm(r2bGvnCneJ~&KoHQ6%09oAy>bds_OG7 zvSxE5iwy5dl7o6k$=XkuU?@%!Eb&uBSvHGAIYDapK`ELNzkkQEP}D*}{1_!IXGu59 zA)~RHY}6}i77luj=sb}#;az1px=qgxr@5K8zj3|kYk-k zs$T=~(E}*|or}dO6R14);o{$ociTB~%)007Pq6BzSif7Y(M)m26_jy3ROx4t?p;Tg z&p2tyV-%?lQyaIRoS1!tTNDyib(kE9NuHMAH4;CVG*WILJ{Mc|N{(x|k6>%lhrQW2 zX6DB+wK$5a$w89z`lxr95;ix8qxlIOjK^>`JchmT49+?tyMvE0l6Q>W!Y<0PE2;Ay zpm_Tg++KZ#+go2!vimd*!mfIk-=*Q>!}RZz!n~}2yj{~|DeqGI(3_u6&EB$k_O>>^ z7qA<5)i`(kd44>;jhF&WtpCu7&bN2*{q_L*zm5^|eIci>yuoiW=FqV>*r>CZZC`9< z=c0d0#bv8E^YKb`mTJFGW6v_$D?X#Q&zz8$behs0a3uCe>eB9Dckn6UkM}Ws&4T`$ zE4Xp(6ZV&H;K`ZUojcU{;i4Kx_w69+&Ewp8<+MTnW1nVDHGcbAKqnVA*BU62Of00`GG_^9wMY89d&0BNp7a3TFbC> zrbySDG@mGvbJI~qdJ>cGNlIrJ87*OG!tGF49iT|0VvLI}K`MXLlXWCUx{xdqAjwAT zHS&~e0?1S=$Vvz#F5aGuu1JzwgJr|`2&3CWX>O1dOiO};t;m?JMxl--$y%3W8*Ay* z?r02rNK4BgEmQ0WvnQ=Jl++eKR5g)gTN;uVXq*@t~Z0#V0 z*ozFu5K{9LC}aGHN_Qf)J3?#-5s9?$ai+bL7Kan>XG~D6BZ`?iG>JjdH1x=@vO!_$ zL6W5}kvUl;rH7FeVM}^Pq{JbRys}_2&9x~?_7IFN!mJHR94kgunMtCZ-qSc{n!8dU zaZHU)CPkS*W|$N4wLv7c1*4LDP{|y%rwN*p5XqT?gw-XY=`SNmq-?6SF)3C~Pve;G z9!_#zCaNfJqE&9BbcH|lWvQkdBQwT%$kUaDxZNUfn0mL2(=b%Xt+Z_El zXy%ELQLy|RgN_VK-4Md|ds1c}hu3Zoj13~NFbu#-HxgsRa4d8aa606K=YA^!4E?a! z9gP1c69j4f4%cUX#`%>=qL-!6sZ+?*o_;2_j?uQVi4L7^GS-a?wsVZ#_2rMJwfN?| z77s2x!=tOu%Xo=vS3l;-aYNY)Uf3R~#rxDTQFgt!pB=&PXclL$F5{;&pK;{)B6jI5 zXWNQRY+L#1uZ3&l%4KX?ti#r|s~IY_WvbAEp+O^}^1}&_Nu@Wd40TWh5e?Ni=Q&Vu z#F+G%y;2Q#bD>{fwCZoTCq?Ub7qvLmx0{65PVmL+|HZfOe@^(0P#O+3Q?{y%sLjP> z?`|SSG=INuA~)j_s0aw6AUsdHXbHP?=h+}qY5UHLc9Ty1Q^MuVien5NM zckJ8ofc-n~uw~DEwum$g-}wlCy?fYLoTta@G3f_ykskULNv;>Dsu%e)F+3 zYEq7uQ{UgrWKI?B)s>8kKgM#4$S;nX*JZLd-4h$`k+I`CiIM>7?30v@PLg@9 zp2V{yC?vR@jg3rYm(kzaKwniced<#3syfL^yGZ($TXO&VWN*ENa`Q2K-215-JVoAQ zJ&|`7FjSE@*34jDh2XEDx3QAL%0lwX8%b_BL(;x$q;J1Z+V;B?ZMuM$ZWnp&r>Pk3 zB<6MvsVB;0ttO`OYZ=Nfr?;by;le^1)D`43Ps@fEmb&FWNrItp(>20&2y@6kO5M;H z$ro!#xKM_2uAcsy2Dz_bXm6muyohd9DYlsrEhK>dXOAF~xm7!@ICf)C{_~0%{JMWXb`38wwCJ2w8pmu1M ztdq6GUagQg*3jD4B#J|Fv8n#)`{@>Pv7n+&irje;1$(yGkhJqQSzAt!;516r&{@<| z!n&_lqnxdvaiEI9qC9GvDw(e8;cRNZFd~V8Fz~EhGbHXiMVj^nDz|-udehHXZTl@d zcm0;V+ka&L!uQ*M&-NX^M|bmY@!0USFx1~+vGF0hw|y_Ke=hs*JGSilk)2z0!#9PH^Rj zJ6}tEICtk6ZeD!(=~I60OYu5)SJc||RkV&BB6~WH%8@kc1|un(i6mn(iBlIh@WuJn z%ua9Qz@as4TDgX`%U1kaxK^!M%8E5B*|6z0$mZMj6Q6rfD)i}ZMxa$P!5l@!EagL(|TyI5v@ledh%=6$_8Y&W^X>mPl|z861d z<;&mm^nm}TM{s<}3k%PF`{&Ud2G9J+!PmZI^(%LA|M+vvUwe%GyZ13)e}%--KVa+L zg>70jMs>sJc=r?Ma7N_F5&T8^h6!aha2ddKQ!D=OT*X7yv)1}n#-pdl!zB5Bi(||Y z_J$RrJ37YBfHvHH=S4!DB@iu5}yxw?u+w zeTSV-3wv_Q*;CbzzR!p-sxv5!X9*AtAufaH*mh&Ju?xS~Z-@=wVkJ0iwI1T2b(&DG z`&fsKu{)-KeLbTb^5`SN?Ucxv8RB-dkzmk|lde$ot*rzsK82U~)?REd*Ls4BmNbyP zSMf?Zi?(+W+5>&;h-}5h=a@*aQ$!jJ5vJ3Qcjy#5cT2ZiJ%f+dW106Irm~KU)=eBf zJVSWeJ#;;4*;CuVPE9AKJ`-e!+|MwXB1pS~Ag>YjnY7`sae&~LZsY$n2H#+#bsx)@ z=E+e15sT1%_M{Y`(>cO^pI)M!&xoWwhW~*s!mN5Ql{E}DHR1okB|M+v{}xNJ#aZh- zekQkYi9OD~fI{{U4Y4b@6)*3T!iG)}s@G4rUI(s%VbAtje3u`?TjJ;>^A|9g$??=z6AG3{Z_`iKkeD@6|&weZU@JQB} zC(7>|+#{wr7?RJy{$X|pHDMbmjm=_^fJ5CR96E-B?i>c|kKy_HY001S=st6d@U@Rf ze*Gbu5C6z!t$RGTaBPj%B^e9HLoFO}v#_te1(#HoX2x#Ck4(b+7p79j8CE3FdxOf$)_J#N?N0$c~=J`ThB4HYo69cqa4{ZNB6rY zrFakUu+5%FH=kqV(R19n_5ok^z9seJEyi1y(%xf5PMJA5RU!C9m{L~~i&KawhL!@V zo7d3Sw1Kv!Z5-Oal1=MYv3kQtzZR}_%RXht(uEIMe?(Jk2nl;)7(5b4D*c&wo4 zLI!c)l@jo~9IoBmOOy3Zx`V8_f9qW?-4@My?kyf(dX8T%h-U5DP1dW&`R%L!o8P|i z1;OilY0>SWV)-ZmYx2l5X{5k$grTq|zAumB&YTV7o!ZRwdk~$~gk{7W&Y@?C^*e_3 z!J`!Io+VP}FgwkLCAs_2F&w}^cZ|IzGwd}OCi+kxVP3t&hWC@GFErb*mf}NoM7h+E zfA|v766k=!Qrx@RMS7~pb{|3!eT8-m!L}EGaSt`6o2k@{dAl6sznL0v5Xcajbt;CcMlOPxr77{0!uhMTN$y6|CSJ9d| zhoYbduZT>%4|n1dpd#JA5q0oUqC$Geh%F|qvzz#u3G$uhsM^;nQW+ zqOR#UzCj8+I&1LNR1jxbjMDosDN$1-g_V(BQAbSMm`GQ_uz!exLoFoB{j>EODblYI zMskse*h1WLH3Saz5Nxg>+id`4^a-MZYRJegA)$YiY~>t92Pdf9-zIsmu!i_RyOPd= zlcZ#~;1!#N?^HK_ZVECT+fYj!BZAw=OeiL`x1Z$lNr~f(VCW)Ox0(0_3CR_4{@s!oznaT<^23wX9n$uH^!`)Qv}iMP(Yc@aUwSLiZW_Lxx9mp6;G)C=njM1@1c6Jo$Svp;M>4rJz%(c2BjjFiDL#dH*IBH z6rj$w6|7plm}Toe`?YYb-tabyS1n=v?$4;t4wEjDK=VvE+25p*d3TQFqY=b^7e(AR z8C<)zmTIpQ6uPNG_1@(49{G-`B^+t=HJG^8tG|T@uQAhnTI>@%BAt zgV62+LceuHh8)=Zn9VysXVdP7c<#D^o6{v+oi9+Z=^W+jPg1b?6!AJIi1xjP&z?y_ zlW$@gI7i;bDe^bpBwW^vPPxTFMK>X8krp1eNZkB@+znTV-E*F(eWy`xIYrF=`!keQh9+?m%AiyyieYS%ftwVxIL%kx>H2& zJx1u>S&}`^;uUt56p@drZD$D=+kyfvV4FQJKDdp-=LX?>ub>ehB<;LFnD!Y|TZMJ* zk?Zy!A=dC1VcAz?-XIklWSy;d2{ychcjXyOGlxiuy-b4sW0dP|lC|}su#mH4Y@a7{ z$4S9@lt{55F-sVs$p~c|j-lLghmf6@i733ufmA7^S(iw$yHD)qdm?o&i4V_<2Z)5eHlq-y~t{BMR2t5Sh2&hjZeC zd1Ce*$4^H#uJv*JGtNu=#0H6D;?_ID)-K~xdJJ2|VUi=R5O?q~nziDOEmw%#cLCLw z)1-;7{0_|#sxwWD`WoK4!-C-ynKD0Q?c3@Qb)l^ya&$H{T|A-5C;X&I_x(iRFP-(lysmd0irVgRHyntmN<* zmFvejuKOdQ>+f=4+atE``J8>*?ut~r%jRA8*eTzeiZnOfb)C(Ed8P3+*6Uqhlg@S4 zAG*dS*$3g#3m=~|=ECGk?w^03qg5Md@ChVpYa>=~h>}?`j{4)Xv@H3Yf)8dWUvh%b z7doFFIO!dElFRk>{5<~xm#<6VI`aXy4!_Hln=jM-#ZJ;cPbc$S1JR-Nco|Al37ID? zR2qOrP3M3f^$j|-)aYQke;sQ#EoJe#PtaPvdKDW)DlXozmXA%|B`3z0%yk8f&t#zZ zI)H+!MW{|167owbsSi?xaV;awF^u+*LcX}Vn2Yzcp1vdY@g*(3x~j#Q-W{l4zRX`< zfJYyGjoY$N`VVwdv%Z}0RV}zbbCfudB!~T!{M5LN+b7=OaGy5AP0k{l@-eeMimk;t zJWS7H=`euCu8C;JBbeBn#KZCgjOYI7FvmD<#5M ziY$jnwHTpRSVUb)8jA ze=SA1O{BV~&}g#oz}hM_7S+NYD@jalrZ!GktHUIP=8|`&?WpaW(Ac(8V>UpQZZ{3q zGUA0*2PtVVl{JhipXOqkPo?CdhN8lSTpXdoc$P-PUa_rRaO`Np|Y!{#J7)nmvL$hN6C?#tT5@O)1Z$FE|-Y08O6bN2s@h* z9Bf3{NFjH(Jcgg?aY9U_!B`x{(R3C&yA#;h9md*n9&@X6*jbEHu83l;X)ia1HptLn zIweB#tsIxPyYYPIJlX4~Xx=)_$hI-+mvl3}>4Y?~4uWmI$IhsqQytp;_TtN2y!I@Q z&#s~~Z!u?YETj5MBSLvF-_0+g z$S;XlqbzQp|Cq~#1?EmK8k~`!%7Ya+sqIFL{L5rvH z{>>AD-}#&#{aNnCB+(EVN{~T0jvE?T@%}iUym^7;Z=PYxtC#uotqDBeAH(E>OT78k zIhMUX&#KpsurtEhK#r@VPDgJ_yn-q62|(@ZPgr<5DaM_+zcozQhjaM9KZN^7(|EmimWVfm z0lqbh=O;PDx@40XD)ijdgIc#B^2PRI!4T{hN>+d*kKhawyCdEj!}oph^Lu9qk@@j& z%oFfo6~2cONRNr3!qb&DrvULmFr{Kcw2vRDaSa46Zo=qlgC4)NOUV#9>kAQI#KVcb5N#p~VkL@r=> zdlI+LvPkq+k`?4jo3kfX9zhfchJpnQLD6Ko_2B+yH_`7OC+3Y|{N9_!6@(dOk`bOL{_~glzGMY^qX`Ve-#40s*b!VmZpCTI4E9UAaa=rr=Z8lKdh;^T zuU`>d1B9&2p){ZnjbLte@sc=tP~q)KmS-?=(RGAv?ZE!yVH{QrV82A{`FINdw=WR+ z`gJ1TnZf51*;wx7SYA?Up!JU*I|IG!Ow z?w|06D2@;FiL}ikD=dsg$;EotAoBhh#}MBjvO>GWXRU;PaFVz;MhJLsQu1Ho_vSV6 z!Fhr|XvS|>I+}=h%KZ*e>1RlVj}w)iaZ)Uc30zcy&)fY3ygo}k+8))8L%=n%P1~+%nw6vXS z?KV7CpU2f~hD%qic|89-H}Af{qq7^iIB|$eH(ulPmDM!fx2N!S8okO;nu9#(_jP12 zF_P(#Ue2El;pE&N#zwcJcW67yR_$WVT3umWYgVy;+kSRz*~>=#S7}N2A#0a60~Z_# z{i8R5zpEkn^D2_=x1gDA;rx6AO8*@Ustu)rz9drbd44+nF;C9D@c$Gp#VZ&1#|u)p zmR}R90C_-$zZ<}aRSOlHyYXM$gwGp?ae3u38Sh`?uz_^OkXGWIN{O@V!a+KOm1hNZ zJ_&f(YcRJLMr4;uqFW<}+-k6QD#O<%pLpX!BBWEgx)hS&m`+|_GpU6Ylv}H4walc} zRzZ%V2Bm90g>D(t=V>S}NM|4^APOJ*oIi<&qM^|9GvLjpx1L9#{+N)IKu&LXSr7uHiyZ=FM% z;B2ud5=NInb$J1`%2b)3K}%E))$t1$Qm70IrDWluw{Gbu-3x`C6;R@+rc&0ax5yW^ zRV4TnsB$JNn?yg{Gg&UGMTavDWbkn?a4WKiOiBKM0Y&pknMK|z;c5nZOGlzNNbYwM^^ zQZXE)qDAmG#iolNrI>^!QskXRo?8~VF2d%Vawu|AP-Um4!K{FKt0HnevnVdhqcS(0 zLCM9ogSR}ZV6sL(_s>DC>vD75RP@Wn^ zacUg-aVeC?O0M`-2vaVk)LELXul(LIj#j&5&IdIS=%~QnF&7tyQk?ATaF*d}FRV#o z?&y_GQe_v3Jw1dDw&Oq2gx8oTr0HDzC&~zERT7x3Av(K>l+sZW^^Xwz(hTme9Kl_R zdgZ6_s-L@+ux3e}(TayvF%E zuX0m#>qB8&kEC#&9N12l6sEt4RJ^nJ3Av7q)Ng5~Zr3Q02kI#@YDH~W&Qw4@7ks*i z)JrDNypSN{GE7Yx(6KE>&oK!r*|>TVv@A)yaNBzJ*_5DfQ-Fz8E?)ZixEK~=V6Gy` zIGF)W6_qKnK@OzQtS=kbCQWE;25OH?B3&Y>&s33?kbufON@PGPmAVTD&Sg{PkxQ0+ zvPilFkyZ-QZSzrEr%`ShC-YONFfAlWY>SU>B{Q#|D4Q&y=+d=ybEweOh`cJLK$5Az zG@4Rt*|@UNls3sC8zV(xM2XZ8S!tF>q7k|B~DV<|RCpi)1BszZ|0)@t%RRAgJn zlP~kKq(Efa$mX|7q{=Lw8nH3ow1{wmvDHHo2K>I^%Ro#r|lkJYv#XNl`VBVG&8Wp%gsbEUNUgsc^|9+bIo=*pO?R zAwDP&don1sj29my35I+!%%oBH)sRy*M!bCtrN)U=>IsGgKicM?aaW*xiXlZXYGl?y(Q7#4Zv$h-vdt&>ESWusm=1kWl~ zq>;qSG>HPsTq3PCWGK5yPO2uuJc$ycWJ>j==ou*`jx}V;hE&)jQf!qa{;fqNa}@Te zl$a&bs-H=|aVkNv3ZfcoB&X#5hVc}Lec9%zC_DpN+p(`iXTndwAA_`lj_)#N1O%kapel!+5 z<|Tw#6_A-Ln?9xxwR!Z@IR2W83mE>Hi`EGu4Ku|DO34FZR+f?CgLsk58sa7QX-t9;C)5n346 zU};)`wP`W-(i|N`Hakg^@eQuTHnae%XxU%kg}4Va;v7_mmwy8hURfmDr;#D~rF0&m z-R%^02F>Ie$bQxzA@sw3$`@Z{aP<|&whhp_t&z?xwN#7hFn61!>bI7Baq)TXU)AEy zxpfScuHf9QH|cq}9>w>bsIFu)8Xm)#cM`+?i3}?X$xIDnpwEWE?t_dBN#Q!Ml2seG zvue$OU(cLhx^V@cYz-K%>|0)kIYdw8f#)-ilWWIcZKR*vw-unrwkECO7IYIH}A)2 z$I1O?0vp zdHay~H)ZX&zaetnPk8Kjh^yTVLPCDRTjyI6H$0GTc!gY@yTrSHg_otQvFNeL+OOpP z4{`qN4uK!u7peOdzMuVw-`;OAJ#>-CM8RY54YAw4B402RZNEvX9-R<`KCYFOq6_nV9JB zaCZD1HgaA1JC8|`SO&i@x%bf%kBYE+JP>Eyo=HKDC?G8cSpX2NLBSG5VOD^7|QgTsk zyb#B)anSh^7qK}+?&q-hCSIS24?ens_Xm&h+VC|_+7Gd|yGml{5AymAiS1oN_uV7Z z_#R2NkBQxXmxz^LlC<;(k=B32dCgx4{`5y;KKhCYlmB4HXAfES@mDO7efj<;f8za5 z|H4PImlnVOSJr&;FRc3X@4Wl&FT5*rKltP;*^9sDz4!mYhwuE1{`xQQ*S=4L&N+e( z9;e{oeX4g~K(TLtw0+IQEvuw)=L}<8Ptv}sh2DKbG_R|n@#7nqxl7^t(Tp!IJ;&XJ zkNsR)!O^-+T)Dc6>W6v+|2~DNb2ZZF;;1~BMw&$ip2B$J;{&Ow(4)O!FZH!s(9v1V z@^u?oymaTU|5aSFeiMt=EoaZ37dhO1kfDYh^z`f^JFAp%pGlJaMoDvP$5nj{o4eP^ zdMNVbj-D9tE;C(=xPSJer|;vse_M-VgImdX{ThFG<$rTdHm~mMA|2Krr*eBcE{n6# z?5`Epl0~;o1xHN>NYpJP(mn}4qXOP}{S;bS{~`lk(E3lbp8Xc%Hy*L)_5aCBTK~Z_ zTK@yBmt{QjZ*tAQqV?imF@N?k-@N}H^uBtL#APQ?ZMlWlXO~G|DL-qUX5Y>p%$AL^ z>*FCd?(D@R_$YDJ-(i+~je`y+Ss@bkp!*r3qHYr7a8$bSC{eqrh~7Vd)BeL8*xZlK zwtlv+XlLDqW)AvH|WH!Cei(SttW6!I8R8$*BC}!VVBN0OLq0+8GDCFpK~PJ&5)|!Nz~4I!gLQ~ zw0#)eo&D@t*TdH3U2N9w$0F=F@wGo-l5mCnR!3PT7>xZc5+8h>Ow(h;Z>%G8b3Lhh z2ME-i!)(hi`Wt%KyS$V28@e#`pCh8^2{u``(Dy#ax~;uzvy#{1?-J#7LRjBnV)oX^ z=)&vZ6bCj9pu3}=9V@%oxU!S|j+1z%UctBcbBw~TuzlY!%e05^kH1HR#~D)Xrb#-~ zDt>GvM0ZN?51}U*cdhAR%d#%E=?`HYd6HPcU@U&yXFSakvB4(v8Zm*FNU@m_9KD3> zESJJ~i~!e*=@hmY_AOKB+n*pZ_A-9nLqxcD z;C--w@B<6S;f!Ouegd1-GZ-(OWy`07?2{tqth|g>-$Xo4-e6F5Z76H@y*`x9@l(eOBnwvFQ#dV>^? zb7Wi2lB+8WQCLU1-ZXA|r?J>FivB8z<YO7zw@H(x7TD}eelfx;=O18 zLw@(K^7_B=y!>wA;4co6}dfQ1G=aopA^`KsOjEL>|hvUc58v^T#& zTcsJz4dyh|=usHZCcuRmNOw$F)r)=QMfU!E8ugE!w0yab2Nyo$*w}KeUwus&+H+42 z5(b(NpS6Q++;}$rU6x)lh8SEb^_HSnp0sa&Hhsqi3(0b5l8!Wh!fuebpiZhAZ<1Id7<`6EaW1Ab zC6l=dHB)6tOeh?gD{!R0G>FdT5>jJ}NO7&E)S^=)%P^6??NpauAgTNusR>6&v#TK^ zG>w_cJccR~m@4;YrqG^&5>Fay6y)dB674Kf$f`{+j1cS5gC>0nRqYkha?X-!+d_hO z7Q;mv#wyboEeqmEi3_8}&NP+BQd`|Xn48F5yJl)khDdW9AStYeil&<+6$=K}UXon% zsmsb{wnE8RaWqH8eZyqsj`W{S*4$g-X$FsPNXhO>lMoFzU+HoIj# z>2aBiHfGaN6UEVTFHYy$FjVM6Wqkq3$|hnL9=c&TOqJ1$Gznq<>Jbv_=84OeI9PR& z;G4-3mn|`l;krwGrZ0OQBhxNV}sXx{RO+>!Pw!SYOdqqMXOY zFC}yAYDUyr% z3F#cE(lA;Q&yg}e$pZ&kD+6iltR^A3idbi9h&BuVX>1|Yy^*}4X;Lc2iOV0s+g)U| za}UiPb5uA~O93sX(6)nev*Q%*y2XcYT$eqx@PLekGr0c!DH(WG#w$|9-gy4+{N|NE z@XV{9qxHr;wBD4x_}Y(Xz5as`ga;hhGe($WrNk*u{3y9(-AubhAJNhb>|Q*A*Q+Bm z?>Wl&&f~N!o}%I7DT?>>Ve`oa9EGW#Kd;BPm!IK^6s~*c-ejU{3D<7C#qpX&^fVjd zm1j+sA`QQ^Y*La6&@AL^TqJW-UNqHgW1xQ*+qW-c$*LVJU%CI+!nJJOr>tB5CfZwH zq@%-xvaa>i^zSCv$%%?kC6%FS>isfFZtGAIKK<{5-)|n1yy7(7#`LFh|YqqiFW_l5?PqoP+J88;sy$GEG*}SET6PMYBt!nf4_zJWf;RJVbH)aq_b7 z5pH@BCySHPou#15e5Eji+ym|892y|#&=i?b4@ftCD6;H^*l<-g*gO@Uhp7*nMV)Np zv2ae)c|1*yQmA(r&AuMF_pcb#hlcPI#-)lA38sIaV!@!=T6Ke1 z`zv@G&y#9!m}2b_vb6`qkG*6c8kXERN<`o-DbC-b-hQ8gU6ND!7pV1|q0Mg?W%@a? z{6wDXF67Z+DzwMQ-ZM+hj!Bw#PRLp_I60moD)CEU_vge17fI5+jC$cPN1s8mQ^kg4 zk=Ody@YJ8B^w2n2yQeAHIY*PsFWo21%y5Q?Op(Oq7b%fEE8Teog`=z$G)891G!Xr=xbAu4q(P?X_DHUDqLe&P>h_DYoFp;omNe*xXm;Kd-`pfYaketV?xVf;KAU%a%a-lmuygw#*s=uzl$=$*T`jwZ55tVdvdzk5Kc8?6Kv|?0;(t!;S5noYLj-rRO>KKnmBDSGjrN zBhE_UnxEXl*%=#(J3LSo#^TeGf_kQwvRVzTGez`FdNMa{%3$wajCHrOX2VKWEZg=^ z#|^Mihkx&>fUQO=vddp&4W~wPN*48G9Y#O(pp?0TG-yJ8hfA%54pUlzdR!@t6 z58+nHc>CHA6=+YmR9S0<0#CIY&T4G*n?O4Ul8tPs-Dg8@Za&fOj$|A%CBnoVXV*ZKB}sG-XowOfpf>R# z#>@wGdnw7D?owbpWb?R^VPHeDp&2zjH6*3RqtbCEMStN)4>w^5$mu$LS-31h^Ys; zO}WH|Igu(pSny-Ip#=$s#uP8aH#beo;%Hz@yr&~IGo6Gxxsk2!F3rv#ALk%pONq3M=8};YOSX|Wk(RzxHcGyD zI?4TQ#D1%%af~%Jp>nXEgrrzBVrP<}EAAFnWE5o5Q(aDJxf->H8_`C3l$WHV%uFCc zH&FcVBeAd{$;29O6GwD)9WgNSLSNTehKCH#r$cXH=*cjWVd{yl_)^c@79Eoi_L(N2 zYaD{T?5RM501~bJh_zBsrPEI7+EIL7yN&tlH?V)HgMOX!jBR~D=jwTKKkTCAP!Fjq zkK!r~W%^<$_pZLo>4#d}xc&^^TzrNHC*P;5&X7`t0ize(DQ)wl{gTMhAEbDF6Gips z-i%)kM;&fWP4;dKcUrJ);}Vu`e(%@9wP^in7O&pQ?ybwIE48Ad*_iekH->U+=?Inp z`zKQ6n?%vr5GKDDihd`GvWI?rKEITkeVci3@ugo2*KI9M^d3U-=4t-=#(#0?-G?}? z2&a1YJb5eb;qsEOkmtU~{<&{RUUi;{_&MeptI5g8BTTKrx9$w49T#wF@5Huk1lz82 zBvu?Jr0oO-JrnphPvO=uM{vs>Vq-4j?l>t)F-B+F6vqQ*X}6mo)3t{x+fIfoS{SLA zB_pAQlz>uUSfj+`OroqfOj}A1*(Ecw*^Ut9+d)dlBuW02l-L}m%x0Ks>rTq8YN+iv zN_>uVPTzVI8KY#%NUJ?eb8?r+r!nHoPK)%D`Sqiu#ut%q-$$AC2&Hyi)R@;(mLm+P zWs*$)I+7DQNl{M{QzczCbAS@X2vLpa$@CnOu0Mz}uMv%7GnJO(l-TuBZP`q2Xe-4d z^CX8ikQLlWmU@ba!U>8C#%W9w$yjre#Q0gVd^^dj8zM`jL50Km%rk#9;T1oiR z#AUXj@~J0HIZCv8m|XEeRYo_;e6gqSB*`w)j4DP+jwqzWc8Cg*)Ma*^lv&nMS~*2Z z^$058I?|JRNK%iJTyvNrWw)#`gtF``G2T5WbA-KQSCQ+`PL<`DVCbRRLZnQ57rBGS zNeiwgGrWa#i9u}fD4N1S>N5JtD>+Ggl(^ij*?K+ zCoHRu4DnlP<}?b)jfzackTpbR>1iUoyQK(99;DThCvmJ448?+>QY2`Bq90}VtlYnr zjObQUat;$$K1N<{A9d*iXe19}gGB<1e>BC-WVuT0t$&SUon;G!zD-mNpCKW-k@TPj zGSxFADksS;ouDDThoXXoGrwku6zQ1Lc$g%=3dz4Q%0*6Ah%79$t)a5#6mhCf)Dp)O zRS#hW%_ytJDU+PcRSU~29w#iY2vx%n)!8z~u9{lgN*Zj7D08SM$zcp%yVH0&T#}-B z5_i|5(#VBPy3YQ;!{yiE;xdD$OD`V6jGg6rZ)eF>yGGRF&z_6~`f@}vemF$<3)gXc z{s~s^pQiZ0QL2^=(YN{<-K*yq+$D~0O| zVO>g>&1BixF?!FMqQ@yz-3X=piGtLx!|3^92NQ>P6TKsj{;VkUcbV|f_7%LN{rsY#dOp1*za?_7HS1|j>Bs1^xSyLaJ1uo{sv-K6h2PLJmZ z5BpvC^4eBmJN|eWW%JobRs80oLEd_|k+(h?;-xnR(f#N+TbCZ;%{PYl==EXVeyfLl zA5WmOYKnJ0%;&(0WO^D;(-PAtiJniErRM2#^&0f+C`y~f(XR_VpMDG~Phea;jAvp$ zZf}MXZ#RgJb0?d(r4iFLPk!Mr85Y^7E%PZdE~CVtp5Vw%Y+{Gdb7;o2>^x?9y}0C$ z;JPB7@Xh7e#vEbO>J(g6-Bh+8A=|EuT$6J0O)9B9R7bpf3-(FF*toWFDC-2;)uWh8 zf$-NW#__{coU+bwU`-z07UeVyTtF32M~-nRD)S1;3~ES~WN}U%!alH<{Q<-1)*j(d z^&mk(9XPxZg=gp-+9oa7u2)djeukpVUQ{L}sAYbUu`~pe27Kdsv56T%*P#u=nltRJ z>cv+fYkm@ikA4#dVTUnTl#Eh2LPgyq3ab({rsd?CN+A~?gax)^l{A8xQ!56Vd34GL zF|QiIS|^*Jj}?T*oo3tGEL{C+Xz86N$Gr-T_;106a{XEootv>w9mdYL8=c5W^r|M& zuNlO_uMU^jqeuxl&Yu0{7#>to(|el2xMpF(h2)x*P^n)|o=L4>=)y6g7af;YjA~Ax zQ`w7Sc0bndhm&H|gQ?p9d)6kCT_uvgXauFq%`sb;TSbv!JwaieSS1W&?9hTq(K!tB zhjGq3jMK(cqL=656?=m9>(X&d>Y}Xo97@L$3XB(QD5XlThIqGD?32f^ck9L={sg)e z<6_4Uc8+Cuz8ObC)I2-31V%JKc?j; zFfAOxCr0w=jZo6;N7!%I&Xye+q;{T>f;fuOq?jD>VS#ZKc}5NRM|Wc#H;leRtIR)- zh3YWjN%NR2tHOKlC{BLIShubSle7s6a*m@k%%{>&O_jb{)@UN+&=e~_>g9uXCiv*R z89sb_SjNDAJ3f%{(c8Ve|IPq!zca>%Z#H7FJ6oh^I8%-0+&F2+`IHu__e`MDK27w& z5yBT0(YO5=6I*Z4xviD1wM`7}8K7?21^l-TFhA$R@6Y^(``5Mj{+t%yo_mHzXAjWr z8b+J9Gwt_maQ>l}^c&KwI-809DUPbItQfztMI^S1C_Zzn_w8Z*`gN>Zz2TpKFPZHsLiZs__I7xR8 z^Ql0S(@3kx$xG$oOlv~8QkcQ{Ts0m2%_KFK5j59JH|;D#-jG>MU#Ar+>~Ra z2DFkCTSI4dF~{pu=o5*0I6ItUnTZsM^oZ)NAY`Z=#~VipY3U}lZiJjbVQk^kIby3zj zMy7OhbxZ~Q8M*(QAH#Th5>pBl>b5c>yQ>KvYsKZtVL}B%X4R-Ls2&t?N6A&3mhan0 z4=Mipvzn%#iF~Lw;hF zbkj>sp=~E;S(eVR#TEx z#Xx2jBUzD>3vrxCNMSCgfTDpWV%lo(JJE;habf@M62shaG@iZWC(l!qa)MNeV^%^V z-5EvV!zlW*V;IdyVkT2bZgVLSJynDbx8QnhnvmuZ66%i~O` zVw*UtRB&2sxRsa2shlE8;u`Rldzp$#F?T+Jll>`NZBPEU!^Q5D6y=jxxKCl~dIlT2 zvy$r(Om^+%>aitUyZjPI3YH41+$;MzoHzpwk;`(a`gEGXRSSiyhWTDxR0*#n@m|TC6x}3vxo49df;X~HH{*CKI-vP2-Imw@2`CnXo{~8fG zDO7IgqHbRw=^NY89FqASIgBaXI8nET{-UMi`6ZC%(#4WDPP6btkvFye!0TFnW#N#1 z%UA!-zE}Roum25sU4}@_126xL18*%H^#2$1U-$>wul&N+=kAmG*<(UqzfJPTk4Rc~ zizv_U*r#`mjh@$79`}%)W?$oB`VTDjeTU<^Ka;)kNBlN@$JV!wWBc|E0zY_+$@6E0 z{$9gV>oR6rZ*s`)9xE))u_EhB_Bwuno6RrS@AzKy_V37E_BUcTe}mztm$Cow5>8ro zu+_SY%`>+N(z=H0XScAh`<#si7g?M7g>=i$ak2hA4txKA#jYR7TJ#U1cKpPawYNC% zt|ZO#_nyu-d+rYIS~swMpSg*v)>VwPuW`WUF3W8$vL)^dY)rqx$@-5t?EDUg4S%3; z`Co9}`Zb??a0L6euRO(IB{o<-cMC7A>liP;h=J1s*65#OP1+Y|J3JO2{7k^MpD@|| zBT1|NLjJyA*!0Ocv_CqDz1Y5h!Swk%;=>zQym=85`}=Iwzr@O@`)u)kgq_V#1Z)2R z>)qerzxJ<`?Dz%KHTPKm{tULS-@s1pYxT?xnSTYp=dKXs_%qsO*IDOvlMV5YF}3=J zK;u8+w*6adHvftI^}i4%F@8X28pazg3v0g5D&zC4PXC(q z77wtp`U_rr#CO|&N9L+OQnc$2Y=8G0R_|WK>)CraKXVJK=dR-;d0-*0nb|*L^TDgE zj{TCIu8(oF`7Ob_f5u|ZcZ99^E5*BiL1)Jaj)6dys ze1nz#cUT?ygx!*hUdI2xcF(ssuKyEd8-FHr{kLp+`zWrjOZ>!#7Bb&f;^@8*$DLO= zD7m=Y;sTo!pI~GDK$!fuxa_%w-Hs+VQRx&`qmw$VeKH@`-aghJB7c|ICB@hcyjf5&fi&h zAJ=nF>&5+RpVI2!LA`AfjrUD4_@bP+(+#92=974(lHO~EoIA3YjBN@UgZwbqy^W7I zZ{e+7ul-uMmabjOs@2QbxAAp`T6O8@+)ioBPSP|HxcOU?sY%5pz=eqXAVLak=F8X>{rk5=a>GIyNkaj=8%Sl9phB4?> zQgjDNwm6HqK{r`8LzHKpCO=@7)a@NaFD)T(O*vlc8i@O(g%bNqWF+0g(y9kD%llB7Kth9AdW z*jdQNI?_#!;BR(<^7%BuqBat7sP!V{W=*TOWi49ij zi#Qn65~I^enbB!%^!iD(mM))olK8l3qK&$V5g&%HEW>?6JrSR^kag$?Me1*`GVR1T z{xpsv+x>RdQEYgW0K;kgW!=i)DKZm}kl-;!*s=;DR+iu;7($mflf0szCe_cl3R5`b zei)ndl4O5(UiiGef!q(OjCqD3BTZxazmB^1wawVE@8A^Y||em&-@$~3vsmXr6pmWjPN6*?&&3Qk>t?oGCVdm5cydv8mlv8XFSH- zqyy8mb2vD55WJ^}GQ*R&8Hml6z0^d`k&-Y=yyGz8OUnoo44w8P zlVKLEnBe2(!z^Dq#_A>G|Ls^MWAVyfK3dbm$1A5<@!2>*76o+1V#T?QEK*um;m+l6GU z`?YW_->`^PtCq2U%~A%+eW@uC?K$B@&&foZP8_1?q8qvM_VnCb%-obAGnFw^CAsj$ z#W%QhLyO1fp6B8JDO`OA$$Ir1e|Zruy!`~fZP}D>I7aS=bHps`!{+%1QjAV8kdwpp zX+Mq(+tOB*Mr%nq&eoG?8{flJ_a;XA7ceqBgF^2j5qi>PbsllZ^e!fbS5WM^N}}-t z4(ZPmYjl#}pp(Q#oTotN80Fe?DD-6$I!%$Ac9~d9h77}FWEr2KYTs#c9L|V*l>`VKAf)aTnTOh`J}^av*>O^Q zhjD7?#kFPO9H`^um>#E0ewQs9D%Nz8{Jj&hF^-VvHA{qJCqd1Z2yyABeD655#-~ZO z87H8A7VBz}Y?{-Qx?QDg_Y|cECP*-uM5QzN^eqw@KF5i+>>#Q1H2!gi$=y3Zt^P?g z=5s{m9l^M~jijnml!acUQ2QuV+7qN19VS(Ol7f8`WV@Xp#(scAnHyGeisVBblLS2IXl;W6^XUyXZbDbg7yUVNb0e;AFy6lp%k1VcMXwdV;B7!p7BQ)6)K z>3i$KN~drr?;y772&#~?R2(=?p^g+`lUXtj%?gHTGQH-Bb{HWx`xpT^M@c!@Ns+-U z^+rdCO`5=_s*~vY8PZZuQ-0{Au=~R(3=WfIBt8%fDu<)QyN(g>+fQ`eMbeFi$v-ql zi~dovd`EF<7{I??yDC|aG6q_v(!lJG7Ke;#eWNNR5~9g)^Ui$@DakxuL>cMB5Qht zJnLza{3lST&){j@Lt^FyGF{J+dGIVHI!9=hz0hEI1hwF@I&cO(gR2}exq_+wRV)r( z!TkR+^o`D-Z9dBZ<0}~JT%jPUgtKM2+^PxWZif-4m0lE?HDLRSu*vs6C+^c`Mz@tS zv!jKP?c-Fh>ZWgh9|g;&u-jS1$PshCz4js(uRq5Zmp|q6qc8H{+ROA0?Pl_@KFLCq z)HUJwr&tl(nT5VGg5W*{nr=PD2lmq6yaK&#I;>i|lNIZh|FdwdUBRZ6%hGq^a^{eE@9w!5i85%D2x_1<0b6%Zld1*h_KLc+#-5VTAZf- z;0e^0lF$y5WI0Zduiry;;u(BmCPj~r({SiGjR$5a_m`rfZX={>ifF$f)WTru4qiiH za*lM{6A~1eyYS!*iyAb+t+Ht(X{@?v)RSbBgs8Wk!7FdcZmO{ah zAm1y^2PhCWp)_kD+rAw|{u$|*-Bb$8XgYYDYAGJE*)up4bd#1eio#h~sqP6Gr%ACs zPNw-J#lphWGGA#?L4kS{&#*Ssf}us^cAa2Q#~#H(-A+or6gXjz`NG6%51vM0c9Jy9 zX-ZAU<+XNHf*~iili;jgqHTMrH<+Vszc3-sS)6hfHk=fSz+Tz3Q#5OzqrgyXu$rO3 zY*>m*2f5~TM=;E{t=mfUhJPI)pCvk zlW{2yon#A!{PZD$lVo#R4Tv9QQ|_Om!dIkOb~~}vQ$+c7i)}NsXiF0_oPX+r0&^)+ zrfq_umRz4^Qq&W~+xE-+qqIw-tGAfMe<6-lqXfqdkm)!r7*3-$K1YJ>NohV}qxAsk zHub1&N>P-I;_cNWHXWu;S1?FnOHZ4|A-9uQ^%&{G{>t=@P_DP&!{ek|Oi^e$gvO#> zVp=9_VuZL*VXOutG)wVmmu6QLD7lm(IZ`Nj>orQA$uYrp2DQma@xcrwPvf`{hgu3k zT1ifmh9pI^R&u34e_Ev0QSxG>vAGYSRGdXA>^04DfKu5z^@k*{g&7vupTtx94h|yu zZB3^zH$9E1=_MH#|Jz|E#m(Au4qM|B!fMZu;2z6ZlrPi1X|#pL((YMC%!(;oUVebX z+jpouc#5`-74&auq;*vXJ^PN4^H~-7ORuo&vqDt07|(Xyqi zS-XjiD;KeS&x>TFYbdu+aDLpFkiYm7^hGm6vjN0@S&HlbNZ|6N4NSN%oa7nB*}HG? z!@0M(bK%YZ_itQ1dQ!M9^5+--lUtuXB*h|=Qjr~P!gPYx_Tu~8SwclW7rpcYlj}N( z+vAGYA!j^IwxF-=gULP>COW=Y9`a|0o-gSKgNQK>XQPF`u&yBNwe3hUFeSiAe%1~q zRyzp4#1JC0eM!{cPvu@cVQB^=n46NOXCmy$ic~ibd_1jD8d{(^7ce$ zs*^}FFd|&8%RJ;xjFBrL=1xSLJCdw#OSYjS3QITAT-`}DGoko^3o)iaXb$=lZ(xf? z6-U0EJt0O$Wa&D~JspTKv6OCYPKuF*upjx^!iPAYI6|#0r3=~#Tl6PWKZvY@P9(+z zP!$?TxSk%#hR&qwx)EXOLa5w7-qbg= z7M27Vn+qmik$fIRn2DW&L!oPiO5cVQb2nj+!9-e`2`h9E9|n-U@Lr)qVq;1u<-Xp8 zhz&8uUc?%D3kFAGO{~ZgpQ{d8l5XronrA2>cGeV#O&M~Z6vH6Y2i%DAb)zOZnpi!3 z;*1@{W_KcuT_g^&hM6NNdcR^&*?Wr=a}a-+lXuWV)(;j8zC>8sP^L+iSep`RY%M{Yl6YA^CQKNsWLBH z?x!@6?`_?Qmwe7NGNtH{H3?=;!tOj#EcioWq|~+*zgP=)OR>)wkYD_FmC6FXM!;^6Mpbp1!N)541a&&zqq^fE>Zg<88RCe%2-B*ZVoN3ebiZB zWXkRdkAg07qOgy#>Q36q&SGQz75n%7jK0AG^mRUGx5*DA9DE|l@C|zoeud-y?{GZu zInf7i;qCa9NW}+)3Bzh=xxv8T7#H)Z`6j)Na|QJbR5vhP)yhyw1I=9`)jZ0m+;W_P zo#)6naDx1ut@OFHaziu4Rbexyi(0u>sN%HH;rWs>uH`gvKdYa!iU|g5PmvNW3~JjX zA594dp1OihE>k9>=P3nW-w0pDVeV)5cj@yQfN|p zdz5OykRgm9XP@BOU&$F^0JmiR*`i@i7ngFfLc?@*0msV9xs=n$mE2*53Z^NkKTWJb z54D@;QSCiTwy?f}owZDc_Hj#?(51YEHEOt0q+-4-pEE@j+*CGkPch7K!O-1!hFG^w z%C{V)XvabvPm#B?gHF39ZsbgJO*P8N;!Z9U=Sdv%I9gG}iIOTV<#sTiGe$@KJTV#L zsCOQwa_gC=an$S?rdqd(3t5wLuMvsi0Jn1U#RqCmmKAYZRl`Mf2NyIG%xPxG7fBm; zs8=wYCVQW-8|@j2c2{#GW{6v=aV`{$itj7_pE#Z^tmIa9vtStIi1ILPjq~_B3xnKy zQgZ05*U4Haj&qQud=&j{U+Li>S%QuquQ>ADwlb(wp^rm z(U-KX{F27yql_8eAmY7h^4HzM)p4A@ul0Cx?AykU&AZUu zzKHTfVI#(&9G?xw|A!iEz86jZLj%^oOpy0Qw$Qxo)JMb+86G`eVMi@Eo5@ zCH>;M7H7M3P`z@JfBDUS@MzgR^6fQrZRurj&j?9-qDhwS7i(%rsgnnNHoo}n)5T2~ zm#KCYFTAvHkpG|2dS>Ba7z+=^_z8oTe&pb9elOqufw#2&2fxw!SGK?OcUHak^V8RM zXkB2UsEwfkBkC$QFj%;Sf#R+5bsK~E+vqCW$wZq0^_BLtq(?KH6wh#SFg@9p)R%3i zrE(?X1*@1YSwnyMa)wJ+Fj=sI>HOu46)cktyMm6c^-}x}QKtxEFe!nNM6tsH(7_JuOz|$1#)=NSD%- z`tmKb35LT3tC2Aje6W~-(xue4te|>o4<%)Gbfv~IlDL4uk507_ zwH2G_tXRop{%Q`F{TheS67fO7!WzrOwqR98_6vs943@59 zHh-;V3>ojUr@wlKG5%(G142w!oisdne+gCK!U&ZSurAHsJm+i2M=r&b?CtM zT}HniYxC*am8@I444nRJFNG&ZLnavy=hl zChlK-k1x)@!EetzBVV<6B8=;F_kPr`p5k9bD&G9?7GXQ11<)w9%bW22umt74<0AFO zXz@J7oXbt(4qPHi_c0y@Cwcp=$2{}QUwH22zw-R^e@E+uzhm>tU$A@que|!=pLp?^ zzw+#}|H|Ii{>F|E{=rMn{28Yg?s4kCO$P1TP+My#vB*VflS`_Th8pR#;aQa=R(F$F z)k{`D9tCE(R9F>I5mG`yVLkCt8Z<^KicQKz{uYyIUP6(1rF5_oa_rS4`zr}d&PLPS zOJanEJgZzPt%@jh%cnG_j&wyiY33P}8Ns4yr&VO2`1tet08MuoB9FfJnBD~|x5B-HJ##4F2C%g@DT zvW9gYm2nklitCB>$)dmstvOXZqM3QUX0b;}{bC!M&WQZi~=$q33N-&`)hF^impsXlN=u2O zQ!WJ=GDlTLid6-YEh2(l^2=Y!LwYC;jc0IX98p5GyQw#$y-z{ zlJPX|E<<#FqtD&T&+|kI*P~Ob=xO?xvkzXN_VGc&ehDM#n{-N4MKt<*(-9a>Uq}{F z!H)FxTGCv8fR^S>=ov0y<%X?%y7ZqQq3!fv+E?p_%NTo{T0tY`|mvW+`sU` zv;QGQ>_2gT@vkI(_-`zJUl`W2e|UP7jo~Z5p!fFwq_?L9}avAINI*ymUUT*A`v7`wNPVPpFci}l}Q zxAJGaKKUbdul<122Yz~kGbrZ84H!)m$p8cC=F|}FvuhIkDLat%qbsD|B;~1D< z!FJ~txURZK*r$&Pdi@E3FaHkP*MG;pSMTDs@k{jHxsC3sljxeA#>@5wVNv%n^E;23 z!6bV`_SqYJjpgd!;=J^axWD&%>|Xs5_tk&FcuzAY{RaAL&!W9`4s+WJ z#P~kOA?OMg?x#4ga|~1Kn^=Q*%; z1_R5p_&eMtAmTP=zUMGGbeR46^Vl8y8k;pg;G0Vb~VKKui=ul|7R;y+@&>9-u* za1)cQ*D+YLa8%I|tZXlm5d1mjK4&p^KEl53BjSr&*lw0MEdMRRAOC`{u=T)~|A^%~ zUtqNS4yM~LwP>Vj)tzsFg-Ydj^Sw>w7%S2jekS-s z!SI_O@PG9W#BBW|Hf!%;v0d=WN*ABw$?0{pHm%~=oh5YM3?Sw^6{&ZVDNNQ-AMQwJfICNHV^C#yF*V~r zcl{xTg{kh``#ww8EoH^JW&bQ(>o>7<)lv>@d5Po0E4g&>4Njc%<@m8j9Gm+iCujbX zQ&ay+{k8uh>E1jS@4U>ln=f+l(mPT;KN6|<5_c|ZNioynNdEy+Upd1cUxZ5^{fN`* z0-6?{9<%;19-kh^^EZcyS*v2eA%mkfIV2dy5N02Rw{8XRy?RZYwa^I`-u$+3X3)Rl z`@-+Y{NVR2e|zCgaYA38{TFOr`U@s+2rJY2Hx4}WBM+n)eU*_RG9!i~wMm>Wj^Umv ziW7yQ)Kq!WUF6Adz8l^0eQ$phV>2n#O@+|Z?jsT-j_%$b>6$~7c%P!)<0J)EL#VtO z$q}|zSuf13(vO}}XZlNAMK*>oc{qiJsZg4SgJ>)Zq@$;Sro29?{KjcNz`w6No4ojEs zBH1IC(mXXSwV`wrTZ?pc7JCC3A5WrfDw3v&5E{xwE_IZPoEo4caFSY?-)K8asbxRu z&ZXp}=7>~_r?u3cks=2=>U|g*ie+FnLHsBf>bz)f$fB#GpW>)7N77)19i`pn1T_o)jkD_@ z$zRw}Q8o=V0kjlai6nFtdxM#nNTGQuoVLSZGT)EZjw)JHcz!BFdb6peK^>d;zp zbIT=;>C~6GOFlSJ)#OHxV3?dtrffDC^^hOAZHXf3`>2T@k@=@+aXm?e`5<|Y4df@3 zQeB=!OI08}1$Ok6TGHF?%KS(WofCd^3L%95azwp+3f8k9jRByiaC!*f@iJWCWu;a6T;mz0o z#`|yo37_|V#D4MLc=g@iv*&})soHailX@c@HtVI+yq)GfC7dv5<7&nUl03>u5^1Fr z`ko-vz0j+kwxABmayyBO$i>@ENs{*<(QDfA|E!&`CB1|$9l&vQH`WJR$&Q*P(YTU) zvvQI}PAYussq=27B&(fFRUPhjsYLj;i=X=N|Fn(J&jw_TF}zofqr0wwtkmO#S(K1% zp+X_@GsC%tI=2QY6Whrv?ZnqH86U4IktxH%beaiT)J5cyK7v;CW3;k?xPS=~ox4yN z7mLiTB2i>uxko*X5kk+4y9f(X;wf?`!E>0X<*h_~+$p1n0Ks9lt{q#8PSRu}rHG7N zki$tPWvCV~h`cCPb;zcyz|$sO7+5zkJ38@NQcuv*E<%?K;jwZAqs=X-5{?tT@EJ;z zJTm2LhDRNZZcS7sx06%UhOb=`0p9f_8xP^VxDNlN;>X1U_^%j6Z+SCmkw*!)ZXm-r zPb5qU@%EKexHr%c-AQ3_H$h$*_&XJn;WSL}k`@9MbrQa$pWtN!Sgw-w?fXgd8zN0G z6fXEcFceE1TO^LTIc+2)6yxipCN5}@$c=KnU^UD+jUI-$8cl9I+xjvrY0z zF)2miEf_qSDM@Q1Tirl_Q>OT!jWnG>f<9`-V|h1`f+14u*uA=v)Pxz5ESgA{JW|Ly zNlsPNN*wFsI>;;QBETg@q@>7A`w;>bHxama;SJ6G1T7yBw$n_Q=O{_;ed2>MN=1Sz z7XBpRTuV!EE9L4A(t`4du*f6ZWt^~8-FV6TP|1U^rTxMnJ8;r#mt349UgW&Sq>^;w z2I76%C<^Q%JFAb}g|~?qYRF6Jrz&s+rA`k;GRky1Q0vzbVA8>o_aE}Xhd=Y~dw-U_ z^bg*WvGDc(JO0mWuf6#<-WGE3{?pgK7u7My$&^XDO%D^d_v+K*b#hidz~?s?o~o#f zW#hE1A7pgvIFnnZXtR~IHRJM?=ed9F86KZm!pY&~oW1!f#a}z( z{!1~DC#BJDou*>VC?VQcFh6vHoSafB%MQ@nU?R?@@vV4t>kgTo$d>_}QMXcEH z3A?wx#LVbk1_#%1>3E!Mjs~jCJ7~1;rPir}^u~+0e1C%Od!`h9vrSmnN1SS1%)Rr^ zbLWZ{-^w`Ax1W?Z&-2@N;qmL=;ITT4jzbgVZ>T0{Z5!@dGx$7v3(Z^CIko2uCAJl0 zdCH~^OcBY@jGg%iF6Nck+ScJ<+$fu^nkMH~f}FZ=G;PA%yqrvf8j{_HF_LSNEQ+ae zE?~%}jAN!X)EnneVJw}=M9G|DkSuSFFuHUSoRx%oDM>DCrKWj|YDFz7&lI9P;;FA0 zrogw5JgW?p_BrI*<`M3cj;67Xth7op%yP&yFCyF}hp?h1lG=tTm!hMJRO96lL0)ka z1!;{at#T=}EFjNDL!o&#If=Ct)eewul}?gP2C+^`l#vw_759+WK1_Cc5wQ;8L}liY zSKEWyR!O0intYpVu_2T2Kn3;PlcYK;P@ATcX{RE|OV~qh2gMx|Wai3-@`xiiC`r~E zAk!z0TuUX{j!IMxS@^pplG`O4Ca#!ti*!_$*~B}l2p1nDbxT(-XeKW}?&A_63DS-_ zt`e2pJ5%PX?H8_1C%K>nO=$;-Hfdy9Wsz)~LwtHYRfWP*>$}NJ%OS-&l+5gM@k0+; zf8Ox+xhcuF zmitQ#@@(=6b<3oxdyMoz4LQbY6jlYqIpZDdE*`&`m;Eh@<-;BZmtLWB(RqfqT|@PH2k9SPz}04oz6Xxny7esAZfo(){JT6k z@djt_|Axbt4$*fnhOBexOt#IISN(JfmNn*bSjhz%On5gQ`$ z?OAxzcq|PIf8@8#B}WQixK9#gJD07V@)hARMn3B=be45HLDM<|_)ybZU zO4$S1d4&3elPJE*a*dVzNhHWQ8b{d&cJ^U7+eBeuor;-lwhYC8GqSLRDt6 zfk-C#pg-LXmdq=*ajt76=h{puadwg7Dh$-1nXJv#lq{X3Z_OPBwWnxaCCqQ}2#Ft_ zM0;%oEz`z4x%E7k?>x(wXW!t)(6cTevMe}G5Nufa; z-stZ~>i85}{ya(WpS(%`(Ue=4H*ukJ1J^Eoz}?Hw@LMTdC;JZ&^71^FpZ{0BeB%qe zS9{WOs1Nm`Q`mo2O~Cz-3{C}-NZ`w zDwPRe5a2k4jboj3r{j34r>KlLf#>F8VKbB1`;4(euZggRM|j5GAa>O);#Yk`-s0~_ zT6Kpg|MP@J9Kpe{6<_r+yfsHj%{oo&z6JtKL=MHBWbeLe0yEE%qPR!;y4(0~evD$- zLqay(!P5N-;c*wS^BKlHa*(isd3<)f9mk3L`j91_c#=gx2mY>EWXO5Vp6L_sFB{S$Khdle)zwoH{sz<^ouM;D7 z$E|&UX31S*#6OW?R|p9`g{4yyA^FD%$UZ`p`V7`OH8{Et;2m{@UE0;SR9?d=@3u&- zn?$dBfO6S=(pKCc)cF$O(dTe+?ZqW+4A;_m0(0kywd%rWPX)^OQykK+!aQbwOr@z;=8q=J6B^kx{caZ7L=@`4sydI@s>gMMUdk z;%qOGu;LD>E5D^+;j^wAuM-z3aR{2l+M$h*!gIg+KzRnQtres?j$<1($rim@G7Ikr z6S_|9+DpW)ze�J0!2XNrdMO!o#lOXg`5R);#Wo#|h0ng_l_iKDtf#WzMrvw+3^? zNumqy5x?WS`0qZcE50Uj?PEesE)br01~%6@tT0W9-&OwBiWC$~h9VPZOlwNU&)?i22G8^>WF%KJP!L6{#*&75Z_ba_&=Q$LbyznFn^uxHb&~nfC0dvLLfNt-wC_Dk z#p*ta)?dWmpr2!B?D_ucGhDp!3=b~9&V!@R^TqsP<_h;SnzNhoBaYam+L1dXh4!kF z;5(5N&Brr#)RShlA)Q4A80~gug%qxp8~%AZ#L{(ZSh;pPJJ-L*RG%#aUAq|Vx1}H^ zk@CO&M%`(_e5e2U$_%#!w#7d4OF`03~xj<#&!(zVy0GOpiV(&E^_egdU%oqyq9 z`R={%r7D+DzP5(eT|?NsU5o#sn|N%0NP$l`7fPe3&Uc_jt)xY%#L=x4Bgdl{yNrH!C}i&__)nsAd=O>u7y0aK{8yXF>~m}&#?!e$acabR8OCo7$1^NfvTD0 z;0y*j8#p?aP4A35qsLsCJnY5Tm>2E6ZcL9xa=I~sQ?*fa&Sp?IoJ*yvnnH&Pn#}9P z?mVKB!x@;$WO`h>%^80hk9jjc5hP5^MVL?!CwrrqX%UGrmq^lm4T{)o(tL8CK1Z;{ zCYKn$7~0wj=$}cTUFHwY_|kJKjFw? z;(U|Hs8BFAmBsL^Kf_1;=sOZb%S-@cEneK}h~;u^Bq!^l={}Z0b!QHxB4_fQHPkP3 zd=G`N%xH$E@|h5O+s^sXbj**r$xup1-07W&;9N^Ir|Y9=m`o(=avsG2O7dJa)Ck+C zkahfm#NMGorY52pk-3xO0rZ{}`QPutz zCn@j$N84Y9Rkerj{wQ{Lp_CvXC?EoM7b>lYprCZ4f`OvVj<<7-2T?Y_}E`FnuF#0dq;s+d%$dKe9?@b3o$Y zC zeaJrTM{2G!84^n+2Li+fUK~uF%f7NT>|VK=jgHZ5vD?n>$q6i-0$G&kNz8>>>4} z9~q_I>^~Gl+L=I7_t}z_KaJ9Z?i|}L{w)=Gx^Eq;rY1`a946lSFdLnusCb{o!>51+ zE6Q>6I)QWGF`VWcWyb%dO`UsyDT|~i%soiR+~efTKg87qySNw{L4mN+)PPu)4LVGq zVL4MfXAy3c#X5}~_8AtDIeaH^LJr~w?`Bh& z-Pq$XoP}0{$$Bt?HP6G?UExX6)i5?cu_vi^ki?u7GYvgRUSY?`{sZW#r-pWKmH&Xt zK=Jnx8VoROL9(!|)MPWZ$Jr1ycRh1$m$6KeV7_e_<5q29@|&ZCy_`(??a@3a*5LBd zmR!EB^b5G23vivx8HQ`iVoFS`-oMT7&hRgN#qjVOZV~EZ65Td}SUEA^9wD zI7O&5+o^|98JWT~*9u(vRxm>J`mBbPOl(jfGO`NiMTfBoNya&&lu=Q+%nT8x;F5vo z)PpRrEoSwY6U-fW1Z(f}IE<)ZV*69fXi|!gQUT6=&M;^B4Xj*Jn4NWov8(d24=rS} z*Fo%Ea|yC2A!KSXy+`lGz)32(WhGO)pToBCDcqILFtTkvUURNt=a!4}hJ2>R3hM|v zj$Pn!tUYq@usO|Qn^HzkI*7`oG^V?iGhP20!<)(6jby!z^BHS-49AdSte2$Xkb0U) zOAa$D=oHhOv+$T&z+&4nLQM`bt$#KX{4V1(pps#2PU6^9SXsjp^zV~{YuH65_@?5S zCHGcocc*6x`&&C0^vp@87&1!#}nO@B# zQu#PxOD{9YV-GGVWlWAb!X#ll7QP3VF*}1<(~q;ju>j+->FCZp$n@zKvC%ri#3rY3 zRXWG;)_J(hy@1u6BiL>&U`kvu_KOb*C>+7rIfJDZCs;N4G(p4i2^d?zEMe+ShF2Lc ze)MW6%u?wP0n;m)HLqCuq~er$h6yY7GdcV?GoAL~Wq*W4mPLe&JBY34S*ChbGP2!4 zTr|p=s9eT4)0^}gS%Jay`*avlg;JYik~@{s6hBUL`~T7uKdezM?IP;UPqRd9xYuSr zM;D12OYOmtI2{tEyAs$dhncNTvRW^f%mIfuY?RNQUI}Cl%x0@m0&6u7<2NjY3q@0T zakdfHZYpv8T4U~966RGhnhj2~NeFNuy?PctkCF(uw}IeWVK{#fLh!(pqi2nYu=6K2 zz?+GKM+@WXhPFYQe}PNGKvR%Roq>jJ$=NlYT?qq7-tL5#V+66wPLs6cG+P%GFfXYb z|GENJJ@#g6T4Ei-eHsRZN?eA zMo0Y(+C85zyVo<386VN=`HImR?-?xbhw9(NagtDTr?>d3+``xB9^oTJQhHx!z?O@Q zPpf9cwmX=wyv@dhk0M_lGA8Uclj7@f4S0mV-WB|W+PmsKXO6~OW{ON*6ZMG&ZkO>9 zV463#8uN|!@$k4o+6<8~9?x;M7dhtth`Dng6EyHD%T$HtYdplO_ha08ibQaGP58>^ zEb1$PIbDD)@&WU_?h$KK!yccHEU~S_!}Xp3!*c?xtC=tI&aan@C;OSNQiuDX2khMV z0slc|EFM?N>?QZ`SoBaBXB8QKpNN|J1kWkexG#B*zegSZIu&^8JY-g%rv&tT%yhkb zL`Q!mz`hc{;UW>|-o-xhA->MHNpXM0TIc61o_L3F^GB@qddghm>-cHkV`iTx`1g9s zERFjtn){9w3m!4cM3}bME!-B14gBvAZ(q%3zh}$}d4OBkQ`|zI;y+fTX0JOq>pjA| zcRk)}4{^14%GS*vm^t7yp&~miBNaZV!F$$qwuQXM#lIG}WzR*9)Zyn@%^cZ-m-Zvv zb>HK!{uaA_;?wOPneA|f&{^foSbUe6;dMk=-D02DJJu?GYts5Io{MF^Kv{R6oA_$I zkTtxM<1422y2qjwZ}AJdf&Y{ed=^(QGP)Yuxi^XMe!z0yr!wXfyp}w}W!XdgMqg!t zNX#&eC*q5D*y%nYV8%nD*2x|%idgL`u@!P3*N__4+1+GUz$<14)`$-!F2kPTZ+Dv@ zZLyQib9}Tv5hO9}Jm?;qwtZl>O(6^2FJKo@#n|<=xVTk{4c_7DUCS)7!R#fE@Cv@i zyx!$3?@>#z*uhh`4rhtWRcpU7Z&np63=b3GTZQ9_Ivjm(u}$_6=6##FA@}f|c@1yd zo04BwS*lUXVvQQ+sNcs~_ZepDwPIT0+Za@8XChtDN$!YXFAJHxCNm2Bvn$8Ljl95zfPx9?H* z=^P@tZxX9j4&gR9l~bpu@#JD7%5N!gOC)2hU`6$LEuvim1audXQ*Dd$>oqL66oQA} zTGrPFlKHSV1x0-bGF(Gim@nf7>!NAc9reEd0as7Mo@nTI$IPH5hxQE@Nu^Kj5j*y! zWpLq4HMtoVIeq#p+5598E!)M3(!La(8BI-zDd!IU`}6yXKR{Ig*U{Wzg1{x5YW5qq z+utX^L@4;sJ#3Mx?$>889?gsKZ}yl}gKDnW$Fge1bQZWQA#CPCEGKToa$FqKCkHao zHU#@IQEV8yh8gdjPdiCYUR#Ka~~GiFT&1p9t(#Dv(kDA8)u2Eb?{|V&=NxI zJy>Dy%W^AEk$!$eO!Fkj)dOE|Z(CYOcV76HM5^3qo(rNx| zu$aTLXj?C4`8C!8r+N6h2eNWm6x*hYw6YCkwVYq<;LS>>KoZA#5jRfeoZ?5M ztrttDx)Hu{jmXUqmQ8Ub%E^a$&LW3=qu4i7@w4jlL=FYZ*mFgSD1Nb6)-Z7{OYH)P zu=QuXg*V}I7O^r~Dv-S!%clnrG&6um_b{@&*Z%4sYa2}D)VV}W4`K6^5Mn0JW0|EN zVbcOwXXVQh#oo5X5a{Z|a>oEdoC5{mLRhzC1KZ^O#3>6{F>S5@!UB;q^NF_zVdX?W zVWNRjaC})|=gq38NotFZ^C8-;NkAeoREcVn7>^7;>*%`i-=hr&0_JzI(wx`q*L>%qq0MQoeDf;lt1 zh_nx4tN3oIt*7{L9vfvnOZ|cfj|*q6pF1&8(YUz#;S)HI4PjBNbo6JDM-cH_w*9if zD)Cd|1Yg#hi~l9QR>?RE6hDTtV+;On9;_0ZFPY_ohqoVFLZjGYr`Wq6+c(59-^HI` z2QLyVe2Jdq#a5fSY!DkQof3-k_`j;QI-U43Q{TOJV!ru6)q;E4~XR<2(3rxkq zlbI7VM5@+g{Je&-Gu@8FG<|ku45H%PAa-xp+MF#U+k_h+QO49r^mO89s zne|%Mx+O}&Ca}_G2WuRX*($)8Iw4LHT=7Grn+UaC&sOVLV#jZ0`{XUeTdfrqvtAg{ zCQ1DD#4Ok+ff>tg^Ib$w+)a$)2QX~6v%xx!lrdY0nGj8wOAJv{HW6>G_!pB{Np9J% z)jHxV*0S19`pwwIMvFuN0_kVBlgMd1*leBn>mRfu?cxcy+eV^{8*iRK!h}TD+9wd9 z_$Jn71Iyjybx;PICT^ECCdoc_NiZi9E#oAQO<}A!oB(_i7NzC}|GG`JCo#kk~mBsdJ30b*^@SyF4P1#7CWjuREC9rg@Yur-U=$1|5xIH9{NtHQwNn$4nNG6IuHnZAuJ8L7d1Z-Bbdy@EJ{1!>L zM51hB*=Q?%nzf$D#oJjjV>PME4vF8kNpVOLkV__Md?GQE62t~^@;-?;bFt;bL|Lmy z6x(RxY}SZB1)#$A2#~E~-;x8Yn-N2-%)iAtiJj)LY@WEDxbSS2_{Oni!dem~UN>59 zVa4=#iIW%sjt#8$7vIg;Nch5CtPIH_(t3vgc#;6O6keOP_%D_?n*WaqNOgv-9RD)Pc6M&^iS^)#{fnmiUx+sqE}W6Y$j zV)Lz1JU5a&d4mA_2BPPrvu*M=*4yl4t(77ccM;`~C~>w?z&DaLt5Sso$bFtW*d(#M z*)om|lf*8PM@y#cWtL5<}R^gKFOz4X=(o-%|V*uWO;3sF7NjdYQ39n zvjZuL@?m$7zcBX2l5=}-?Uc>5w&$^Idz{q+juE4CkW7PO(v7p(tD8w-uVd`$bcwlk zCpmu0ojc_XDZeeib-59B7aDTCyeqpGIuhgL!TzEtm>&ycX3j?D`=l~IbrrG4?KyFJ zFe?PSb2oV~e#k(y3`fyTUmGQjURr1w=%A~sgPCy$Hm;k*&ZvHzKi`GqJI173nn?at zOJc9j;^4*M9IsR-E5@9Z;0Zh^?Lpbiw!diKjdEGgH6=>3%$U{kGG`k9MP28oOi*1y zoM}EAbOn6O!il!bW4Xn7kvaubuG~UKya%zHt=P6=0loD$q1@vHnreq>r+O6ij>quo zmPb^dLWcA@MQ7#Xbnc$Z81-Vt>zt!Q*8}wHn2)aVA=GrlF+;Q1Jp4HBofC2CpNY4B z84kh4_`09L#rPlrUGwqjmWhXI8ZPR)nAKw^UMeYgbWam`naM2GRAvr5h?{yUb2{hX z*6ASL9kcN^k!w@(aqXUkkMe#zlr!+}whx~!yQP0BE-Jh5P*2CBTRJmMbMeyL#eC&G zOjF*=3^f@?BZVNBLS}2EF{fLW+_x7u)xEeVr{X2|_$j9|r+X%WT{3Xe&tazFUIJ8; zag=pU?Rh`~WRJ+olT0;{*F6p~w{sSYI;G*=H5mu>WSlfp@#wJ^?;iWG@1@v->|J%c ztZ|QwA#3ltm%yPp%$}5so9tmumn`OW${;}In<0Is^~`3rV*Vbv%geEZn;8$3=f1;WnpPAT}}A%g0Z~oT-w`c%MRS#^=dCG6|AZLx>g z(Wz?|zFm*tE^{rNTE-%k!^~1Ez)d-ad7bw#Zi4LDqY!7+9RzktBBX03u06BxGR($R zEeU5C$EC+^riug!O{iu3gfy|izk8V9F+;}Az+QD9jy-d5SC;e22XOC}gTL${s7Erh z)l--*cD9za&R=;8*V!_6mqSd^Jj~J#Da`K_hkf8NrVZXL>q-)zrV!j&eBLJ?|DLH5 z3n@5vOU1SQF5G6GX71WbW_I6;t#&@%;^P(VwlU65_Brbij>;Mqr1 zhRR-?dr04|+pr(Bi=aIZur%F;Pmffb#dk~FZ^ua|iRqzdu~FScXy^R|$o?F(cHk{` z4DObKO|LYjtE98E%^rM*9KzYU6wj{5WDmI#3&~9H8N;-Y0&M#v;$fPHzuJDW#U8Pn zfRDtVoo)dZCTIWs5e(%Mbn14BF5QkxJNkcYUArBjhxkpo`zd)X{_T-SwD&^FwmWcb z%Tx|-Sk7*Tcy>+9VUtB3Yiy3QsCP662jo&j^e~g z14a++i?&`LG!69s1umU_+5%jv3^i*({F-_A4W3SJwjCQE%qQZq1Z<%M)%`QCu4J-t+DX1_;eJ9GNj8zL`w5$Wgvga=@psuPvNuO0-VxFlTw{Y{K5L}N zB+Wcal=TV1X6LhQc@dk&Ww3DGDWa!m3nNIzE9*RAd&*htl_io>=C@8EWcd*`&&y?j zgRo<-JXW|KX5O?ER!1KfA7l{jTF6@WBdoVd!DnMW%W^ANKJTDN+kLFG+Ar*;kd)9% zM2RmI4=3C?yOdz3EH<3DfyaUrmf2^s!>xeTvWFr{{xj3XkNXLkew?k5 zm8^2u&s>KCto9eV;&hO?X;+vZbL!WBDJ+{?M4WBPqNJ^htx3%1a8YEGW!ZqK3S}E$P$^K z&6e3G*)jhrkrufUFZpbreT8w0*n9G^~P;9lmPxXP+9i4TYE ztemowi0S)DT~Z+|`jG7D7_r`GNFKJIXektt3rko#F^{m2B4UL_t#V4j_vl5!Ll3ds zDT6KkCnOdR5a(7z)QmHPIFvGT@@b}67hz#@k+D{nu(mmmt+jyV|DoAhmtkXdm`N5l zm}GUG^VZwgFfO+n2ES($5LSJ7N zg?ce_g)O0*MiaSKg^YbY89SseI(qtO_SHkFm&nBaWBOt;M4xekx)JTMfUU!JQo4U3 z(Rck=RlSjr!-hoEPi1NKBFxw-n%Nz#{>#%ll05Y*EJ9 z=3jZ;{s9Xo#*r~0kF>$XEHS!Fg#LEI$BrXriVY_`yh#ckPTI1*#4Q_&<&-syH?L&e z$ZHHUzshW8D0pD$Baou$q`;1cTg7XNSbeJ8BDuo8`VZpLe{31#S+kO?d$Ww$ZyhyM( zVPn{BRy&*}+TkX1Y;Q1U@&!V@N(f$2g3tJUB!^zd$Myg|p2t|Ys+@&!SMgd`gujdx zWP461{T-sFm5}6mi#e0d5n@+Cs7)Cgm)^k9`Y220RId$l@HE7T+aolCU%1lZ1ty!&l^^U;1s_ zN**z9v)FO<1?J8vXOYcWVuC7&o^@UT{sN0F<=!bpY|VK|fd3KZNTuHsdV|^Knao{y z9`{wU?<4`W$TNh7UdGF^jLnM`AD)*GZ%$l(IJ927z`Lm@mHcU3ir>>5rIgk%M3GS%T)D z#?fj!Q_Jo$_3RyH$Cu%>Mq;l}mOI~IuHqNWN1tb{b2*!QuCi!Y zHbGk@MlA)b-E#4K`~~l|S7eUEEL?dV?}?{~^RHoZU_C)o?l5IkITILLex?8b|MW>j zK~zUoGHU2e#*D6!*LRsTqWb^ZCXcwmm{E6_F!~{3!zBJf=KlMrq3}U$4s~Fq?POMt zwIg_>2ad);gz9hL*r-Ad^tnu?{v8rDZ;{vHCIwAC;y<~Fj2mv;Ki`mRLUe9k*5JxP zLoVD>X2&&SHk7-w@7fM>(|1#qTf(W70~|kmgcX~EiQP7peaU9*N$Abw;U*aL>(9V3 z|NR8NdS4y%w9Ocy*NxOw{)CK}P1+$BJl-x~?DrTvzKz7@H%I0@^P~Q(E~~7a1nGmR zJKvG3!nV%eQ2+JI9ZxR_n(pmSV9WE=cKVBV%C{v@Hv%; z3p-bqHwkpqea4w-h+i{0%QAc7a6kyzY(!4 zGFwN);27qPi6wFERXlt%v7D8NO+YHM*Pg&Du#hQ(_hCCIiRI%C;%KsqzNU!`A1dRy zXEWC`MfR|sz`+~YwDd9o3r;cFH4Q7@eK-gk^IKUg0iKS%Ndj{x=ingHW>mkOj2e={ zq;W~OnF}lIw*kK)8whth$m;NOObruRx9b!$V~?=p{9OX)9KmKr8f)w_Sv}(rGiDvY z$@37ir-=Nr5C+yiiWLJ^5o#XKo|OVVv$L=g@Nfvo6#y#1&*P-nUL@j>gkKLGv>cd( zmEI1f%33B(io<{SdLjpGBw*+!VxlVXnpeQ2*%AlBKAn~yB5+9&GX|&O*nb=AM;>67 z#E*?$GOk7F`7*o5tZXIFUdLVOYj7tR5JT&*(UkS6*ZG`~yr_pNo0O9;UC!Cm{F`Q>D+S z5eY0Y7kds8ADG18ZWxQ#&;)`8Zxt02%L22_YzaBfGATe)mKI|wu{&x+GQ*6vGIdxY zmV>roZkE9K0ecueAQiLzshF5%(%&qL0j6mTHce&F|FNYoXkZKm1LNfWea!8bz@7!` zDB2Xv$)(;TN5*hs$tkv56cW()80MWa*fi<{vAwpluWvqkdY6)Dc%8kiE^@f#8+?Ra zX4kq=d%gh`6%Dw4zALBp=u%LjN5m6*T;FbHZfOcZJ}Zc_k6@|CHWsWFMX`Pbsd3Ki z-#(K4DSc&Mz0ub1L$_Z4{T-LO-avZk4rHWB2e$gVvvy=4N00fl;LUtoUPTf3Y7vfa zHZbRPG$-#2XXPAg;vF4%U)q89=LA77wfyx99*>1_T@m04XnBFkX8-WGRTZ9QE7)PY zolLVtf{Y}|o0sFIT0`E*axM=lA-s<`dhjir2VA6Gi@P*b`a}yQ#X|x=$nhoS&F|sZ z;U(Q0Dt=DsleCX?l4JW{4?6om4|&~1&MAJ%Os&~x+PC;X8>Oe{w|t91lLz!yx{HP3 zE9NMFAhy8=;@f}2wdqF&G)0ebs`hr#sp3+^Z4x@(kXi6Wm#!t{|@JiP56g9bL zY?r5a_j${vCa;NW|B-<9?=Woe43(yDXw~F7?V7!yiBb)%WxahGy}_i>E3_NFlC{14 zwZ1+L-(lPIDUm&%uuCY;okmZk?-My+ zk9O;N+?XN z59!eF0X=%wVrB4{@HTa9Z2bn;mhTzc@Pn+kUe@@Qu8kG`enO`vFJ%q)(QPXJ5Sz7c zQvd57E!n4W*OxN)d*a2%i(7tVLgP<#mpyb->_PgqZTLj)eJFOTr>BfDUhJ#c@P*js zDXL-`wk5G~L=%VxhZKX%(H-Cz9^M`25 znwzwGBKJH&x8Y-kw|c?qMlaae`UPRFUNT+QZ`kl9O0xDQ;;&{B2kH_tiuE>;YbFgo zNi2MnJ&0fA+(_}SulPH@%^Q}rdyPq(cj(FfmBjaLCH^`!ekZx{65Ymc=_TVT{HfYd zkuUGXzVBuHk2L<3JBp{RD1M&o|J1(7J#Qrb-%6h^STuggV!b!SkABLQff)o%h$YRj zn)QZtOl^4$tBx1(>XuHDi>!*m1vHi5oW>Pn=i5M{OfTJ@#}nq z6zeqdt#fddYC792Ranw~+PAw;(?-A1vcVe~H2FrehCi6l{uATc{gmMOiBbb;&HtpO zoNuD^OzYV|18otRGf6%?rpY)Re)NS(b<4HP5unukfh9%uT z${xNE+wC_72(T$jVCu;pddWR%jm3sa?`R`yHfZr129hkjnti3E*r$oCp_kavtJ6Dj zTl^rWP10JmIg`I)9Iey2$zv8DLGTchW! zmTSk_ea5l%M>M2Bbrah)l`)j1pTZ`+Bp!RqUev{AJr(|IB{3rL)vxgv2Fadwclbi4 z0O#^9vPLNunqsr2Vu$7p{z9n~!~_LgH0Z+1d6ivmE!3^1%AnXW$CPb?a;oE(+tSEN{!syXGva zTg8T}@oZTa$WAW@(maFNICnWq7yF7toW-6*Q<8QVFk+|?T7C3U@BQEZDr)x8Lr-6m zLH)Xuw#$xlr#i7Jb^uzAdl?#b0wa+lQ{D0zq>@D7>fKbHRO3q7Ad0THq2!pz#qxGk z$f9Z$k8aK~m1=s0(@OBLjZ`?Hs~e5;SS=edZ*!R0jmwy-R7#|NHAzFyF;Qb5My<}# zv*BeLOT}s=NzhCT*09Al8c1S~kN_XnL6Sop)3nh)0$#t`YhKNe8K7{hGhTy7?QD+P&jI>(}J;_#H>7umhWXkwE=}j!plf zedE8;kiaw&Fzem)t*{LNE(xYa0&Kk{D5pwrMs|6@eqkF~UB434@g15HNZnfgPIKws zv4vtiKgH=kFcsi6mLTpX>rjyZRBiGP`jT{u1T+g;y(hcf2R3w*B$WQ`B;cA!Q1%c| z?J6MKRDefU#xN5w>eyJ=WAi^`T*ZEW!%C7StNmN{w|~!KY5j#o=rs9?R%C6JrRevBJ@VV*GG{8k^x=paDY;*%tr0HuIrLzz!Y3QBun zFS@cH1?!6tKy+*Ui4NjNB>@U;S*yP!e4f~#u;n{^+kO$I_eI$8pEQyAyGy}QmU+|! z7~2S=(Gd32LzrNzc9PJNa9Uz#1Mx#bXR(9qAwu?GAU5nEVBcN#&_%}R*!U0G%WtR( zgVmI^mBJ(tE{=0zIPhl}%nb%gpR~YlrHeVPkF)}~^tCQHcRl`38G{qKTYqgf& zX(NoqpwW8)uJ<%=Be5nvX()D45hlE@N6 zzEs6Nn&M+6MGzM585>RL%>gfQAuJ%aTLEckTL)FrkF#~8VKkrHG3xQxx~X)0j`hCY;%=RM~uH{Uhwu_X9(|$d3 z{@RtM+$rfx&Q?th*LG#wJzG}aSfJk~FZ z*?4Ob2hPu;_CjB-W%c0pr6#|AqD%o?W!WY;3wY4HH>A z`8eU`LMc7=bARbR_AbvPz_Acd^E0$-cTXJn2Ms0B8%Pi~5@(E-$~L6kw_m{3_*Y^I z&`4!!p#U;*spwejyLNwBF)8!GI{L;^-ts$6RULNj4d!y0-oeWnm?qBDwsSRdZpa!ah`GKYB8!x+IX20AL4^OjZH{(d}Q8E#SAI^JjD#KNWVR zsMZ3Evi|mRZ$q)0qFNivy827fn>G~2)$sRUJ{c_Q36VrO+~zIEh53zd`hmv6vJ`%3 zB8j13eH}!WskD4aOOY~51*qNH$s8i-8p}FWnmr*vB-#N1|KkGQPF*EQnv29yFc~Qv zU0eJ~Gm)_!Ittqq7Gc!%v&bEhu&w{3wScIajG-&ZAJg#-hlQ=ImiyEjKcb-&ns%*! z7r8A(LW)KQv8R?48;u5^g^j)!F!_xZVvp96tSXYk5hATJI@Ys881%4~bplqe1lVMs zV#i(*M=b@2^kiS11?){5f5k{xTw7r*4dh&7Va|F|T-HhaQsAD>^ew*Ax>f-U-l5yN%q*V77Z!5jb+|u zVs9k@UFF8Ypagi7S_%k?eR~RkDG9q)Zu6ayvW6Hb9t8qiJCr|2;d(5E@e2*bPpz8# zC3E~CAp8SE>EB;svWoz_y7;H9u%ncR5C52xBU`@m(tt5w<%kkHFS!)Bi)=b{F zlpJdy>un(MF;eWaV$c&(?TR_#k-@&L85G9m5o?=7#DqN7TNM&FCWTZ{PY3n#*`t+B z=HO#wb~(uIrgw3jmd)P#R+Qgr$ki+DsXo(>j2LxFZgwK=?m*T(btI;AGyCVw;gHvC z@|?UmwsZ}_fz#Q(c>>wHblI1t#i$XZ>1kwwW?zGUfvZ<)N_B=nWPT}tETo%B(Df;z+fLCdDf2l-Q zI3=|8z656A@RMxPJI6ND!%WjwJZWMzv2(0AzIqI|*ISUh(vDRjzC<|3(z)AZah^C) zDp}(u5>O4EV&48CBicWg1bHQt|C6*Yzo>0Pk&=z2br7&=E8wOi0M%P6+7PMY3W?EK zK&)NkkBpMUwQTa7DCM_gw0lEg=bubz@>G)g6)jo`C`ca_sVW_Xmg`FptF-ptiw&@R-ypTK9OIm+6v=pP|FYrauor{t|FOrCFmQq_$mxYq@x6%LRu*cqf`>6 z(^LR_h>Q^}OetFuE~VpdXg7K%ASH<-)x4cZq0R!5&4pF9mi244P_T^$=(T($;I9DH zpXfLE%HlR6QDpuj0<_Lz`zDeYO@zrbY4n!>og|0Mq1xuN*yg>+so$8^zE0TRXGy+4 zg>gK`OV)arB{abw`rM<{8Ij3OgZQA@z7h#=70LmBCkh%ACe9h`kAF&l+>n8hY*zN}{1n`U`!CNZqu8_^bxOxgxG?s$VLGhSlk!ejt z!uFA3xrqz7I-5{Hc%N%0WBDr9dXvBfy)muP;D(>`-Jw{RQ>()&_Y z=s@f|ClZ1q37-_mLQPNh2}wEHubS+B$4JpiqE7uj$3zKvOv)y|dK_nKl&HMWo_mFQ zT*=j-`jR?Hg;uP*??Tjx{ltwt%9_DxL<}!v{`BMI9@xQ|99K$&ah*QYi4lWGq1MkB zjlO380#{Fc4f-1PVxUobQg)1BSIR&VlSZ?CVKAF~=aA+TLaI*?p6R<7^&y9)Pwd%U zHJ*nhJt)st<&FTC;#{M0#Az}1^G*+#**}~;V{?eskHt}S6CPS&EV7pXeymxuFDz;xn#+!hlR0d_-U9e|HJsLEQDp+@Ml%`bTF2Zbj%DJ{3KcgY?V1xvy;u+m0A}4Gl!Pd$3^tPW!?e-n7R_|!6 zbWdc~2Vq=Np=HgDrSi6srYX{>qXfh7UlQbv1k!6^cdwWuW1kQfu~%5yQUSm2!nkxL zFnWkQ)0E(7Bl4=X+^;D~(Oaszxtuo?z*G{D?b@iGnR0DgyD#jPAY3TPsG<14S?tkK z*3hMuNCS}&O$6K(V9;Ck-(SX7Ybt>#611T(mqAjYS9N+Pf%H|t>!-+*XCgx+IVE5f zUm*09U{!n>pd|b3B+^y!9zm2epev-`1 z#pb#)Mw7-5Fc1JYmA$kQAXYr`xS^~=PyDh@)_$br@5~fXHV_+jlXHqMic|!Q+l!xi z325|h^6r;?Rb@P7nWMRYv7#XMReH?kZl8bcAwr5pfANoof(^-<8%k4r0i`BKHHno5 zQuwuG+}=_kn+j{zQT*0|NYzaubq}>xfcIBn#V;|G0@qlIOLLL?oki}p64tEU@`uFb zXCVR~1OyeIaeWg7Au2$|ZlTB@x&PNQ=cTwSi8O4gu)P3g3jw`G;?EWW;7z4}Ls1-K zgh4JJaEN`D(az+`heGakE`y#FZ zfoxtkn%D?s_9gdZpqUBX`}RV;&wr0@)->#au2CoY_i4$Y3>(fAOr_*#D2KNkWRG7A z$+M$K@dzb!M=q96i&^p5hlFZZo}JR-+TosD6TrG9a`6{%Wg9cA^=Xca^m*Fl8cU|F zXN$pl(njRst$UOiEw3}j^d8xMH#r$pgzbp!*iIHhlUr!(NXN($fpP{`UVKcank%Ql0Zp2fe4C zZ9T(AK4!4N1Chcn2^x8m*^bpXZT}OU?Lzazp3yDpC7pu>1h;>reVWJ%zXupP-euz4 zcXV3%m=2i&j1eyx7+i;q&trnD9x!9l6FTc$L)qXiod(v>X3$;Q4!A>$iM6yGT|vje zwHWF?q=&&HI*hMIc~CWmJ+I?C@DUreA2Q$I3GD|~(q{B^IvCZ`W_!e!3R?)TZWd@JAiRYj@%}v2s zy7hTTSJPUi>eMqv?AdByHSI<`q|=a_7?@TOWFk^o`yDn$0tkH-Ec%{Q`=@jlhNSpL zuXA(7H;o^E0g0R2J-ln7R zby|(SM<>H)v>yBnt)8{0Xx7tqP(3Y%KN8#AX3Ur;M5zk{*L%fuRgr0$_h{GuHvK2Q zrN8(|xnBj{3~OmI;Xd7U#mBv#)6S%xu0vkXO=3b_`xCR3->^>S4U>#tqSpHvD*E+w z8~&1ReV?FdBzw_$gq~d2G8ATS{Fbf;FHjvIt#>W@gYV%n_yvo!pWgg=ZQNfB7ACV{v>r?<+cTo|B!s3^-|4fb)l9YCz7$pVNq=6JC zu~CyIA80Lwt(5?#p2)_&k~2*Ow3S8uw2^TX&yrV+r}zS=fm~Dkrh}4#i79|e7*=OF z-$u?Wo;9zeU}a52$q3jD5~UM3;1+44$~bIzn(bC6$XR@tsIeKp{*iu4v%C0qJ4Cu! zIs5f)6W!@JacWsY5{{DJ{5y^VQaM;UoT{5j+$(Lt(-U2&IIK?f`JN!^Kt+W}#mf!;lZqxH z70W~_{y}}`IvkBdS*sIIWY@zubv}th!#jBOE~X$diK}ZBe+!e1*VGyUr`(`(=ljC8 z-U;eImFn>R*XIQ$oxY;i<);AGcbfhB9Oo|qFfp!Fu?AB4+6cw((d#d|jd@G=elIcT zaSzSj4`?Szsw%Fm4?>c%(kFj>S$+)GDFg_wO$a-PtaXfi@N7kjJG|)H0TV2BQG%G1*rcCy2TRYSDvDheFe?$ z|IivapMH~3tIM%FDh#f;hE8&B#HIJ>9l3>mRxRVgt}%J>9o*BtFgoM`W^uwQ(q1y` z^bd?5fcYITj(mpq!gq}IddjqY-|>n4NszsO?zHzT7*@-)@pl-r`y-R({(+G%8GP&; zeeG+pTK$gx3u@3YzkyrCd(2lo#pKpchCP8H33UuP@qx)fHTb$eV)BaTOgJn>Vbe>D zSBi{rdV*U=>Ci9%AZ!kAYq_jPNbT(fKN47FRL&;vaN7 zC?J*np04xnp}S3hVa|QVtoVrbyeEtcel51YkKQg}ULjTJ19`gq47iJslFqz_ZMLX zZ!t4^#+cEc7&z@SeT-f+ae}CXQJ)#A^@ia?Uompvd&W)p!qAZ~7+_Ysr-s>5 zC>QHLl>+n$-VvS=&mn?xzBx+hg3^(yHCgAk`t3;tTA=8 z9Vf5HKA^+6yK+v7*VyZ{F?~QM<41HGD&TEVgUS@iBMTWz=M^TcpWthIiwu{;6i*Ol z<*|zWk?TmX$zWQm5}ey!XWPhAY&Xg!OTUx^)uUt%J4IgCVzNbs57XE}M$vF8ZZ@R) zax)&BYs;~d&A5J}38iTUq#iXV@t`xCm&FsZJrmcmGq`7-WO-f;87G`6&hg<`<|u{_ z(L~*-E9$*<|ND%~pclRS=rhouGb!7~k(6L2z%`MDj>Li>Ga$vB)Zo%?6q58(K`Dei4q%jxn{( zZq}RTlQt+9^Y$AV-}MXz?TUr!J{F|^BJ{R~#zKo53ytmB<2jS(vT|J!Tbqoqw8oTEJj^enXy#L0S52sX(kNF>J5exAO?mHv9$ieir!zD zHQ_7Wraz~vtw=2ik~UWLbRY7Xwzh9+X7^q|@&)||2*o#fL)*#kQ6Kmk6~iYCu=+@l z*=KwPe?imcJ*{nDp(6b{46jGU>=_*_pV8Psq?Um1*xv6MqAyZ*_$yjZ74RJSl%B(% zVs81Cd1h~MHhPP(tgEl?Q#AX_{DU9SYoG+9^=(X@?lDaDIu`1Wn56uO(JciCRqqqn z;VFkjhQ%wtWtILr^!f`E69%H+=N_uVtLfkKKGw1>l~IzkYHyjV|0hF+eL>&;DPwIO z({Dfxlg7UxSdw*vG&}v<44W!|Ip!(G!Ya+Q>(CLlrmrJDv3-vHkUD&4iNp(f&iMFu zjPZHHxPbTA``%}+O)VBqHyD%lf&TgL8FWIDe(p_dlRnXR{(VO6e2waA0j?!-46SBJ z+B*yaZ!_xjH;mRlXPV<99Hu?QV&f-9@A-_nPYpxXzGn2Ydirg7g7J!KRJXrmL|{Dz z0+ziFy+-ql%yIY~!vq}dobKZ=>k&?K>M;os7L@jek-Ohvw%{ga8E-N4EXOKQ#`dUX zj@wgg7CdLzylRZ?Z_rPGz)ho;Xw!OJgozIxBI})4$8fiLdJnEN_6+HWOaFmYlCapH~frgi#Ie9X`uKz zSgYeZ8nt}+OMf?L_6Vgebu{RDp9X#EXe^beagPd`cD}$Momzqo9^ewX1rYtS>hP3LhHXb!nbn@Lru z^t+3ZB;WvphiLYbDmJ=?fxU0jPq&=u<6f|^fw0A)Z|G=uo!$=jq<;k+tSZnjy-C-} z)$+O;y*{@Ypnnr1<61h6yo;{L5DkNCm`A!$u@jQt;p|}j2iYxs=ZWkr{|28io9v~SC$HB&6Rbi4!lFxNq12d$=7bo zbpg*?^m7y2NM#+VT`kr83pyj8U@)x~`-vi@%r4+y`35($4+I*&VN`$FzpyNmDYf*r zxs8rV70Q!En)Q3d00DN>;dkgiNq~3aHCoTUC3dbw%j+4FCp{;!*IO*qYB6yVM&Wvs zZgWNMj<`s_S#>CntD&3yRhmq%K})KCyRld4VSR%>qh!3Wd+5)4jF&L!S!Pd|?EMve zk>1KCWlXS@_aa3Hiqz5_c#*c&_t7>I>1HfU#QQoLOCHkCsgiM19^*9VH8Y1kXZ9!o zI-ynuZub~I?Jj1+uVUnWTWnLt2-#DgvA38tsE(myYUu5Li$T-wV=(Y4R$A4Bo4&+p zcpb*(x1`-?X$nDGcRn}=A>tf#+RAHMi8HWOas*zOtg z27JUxis$gbuh0`|-b<&N-fGuz=`D6v7a1wB)K~2u2A!lZb-cxZ*3}GddmBH^=d4hB z#`^B{>`{|~*x@0QOsbiw{hTpUq&z!4qfhgv=(Tu3+ZHvbwifx{?kP=M++l#m3wlXD zD7Ah>i>6hK>+y(LhM(yo@0)daO{1m{(N~dN(s_=t<}+O7euu6v7^-$3{~m8y-{4Os zGrnS|L3C@YwaZ_z3O3pe6XnD#o-(caCC}K?3k}xoX@bNM1 zu!&>)jri>=a>(>u;bZa~ai%EZttg z$c*<)^t;Qbx%V;I_kj^Ir{TI9x}SMRzcgV^vWFhmzcM_u3a6ECFxmbX?V3LrFZY=w zR@0~O1ExzKFkWPx@rDNseI$MoY1QxXKlI!tU@mj%icB4UQ)JfW+f3W}o*sp7P?o)p z+%NJv_o+ys$JmdRA~B#0tBZ1<^y%>#I;2-)di_tdh5Mqe;! zs`$@~XAErei5Z z*r~mP>w4EXC38d!Dy8Cx;t8lqJUOrQ3nyyMDN$c)#FEfitg!axc%?mKe@h_v_Exr@ z3}f@{#q7Il$+6Rh1e^Mh9uSI+=`i$uJ%+0%N?N))X!hwZD5l16lcwZuwIOZmIQC_a zXVnT976tkfzB-mg?q0+%U4i`?3wCD=At|dVRad%jCTpZ<)~4LKq{Q88N)+ukVRp;2 zoNM)s3+nfoZWPMi5eHe-H-nJg!J>ti57jBAjtQHJx`?^lZ+_ zNh>RH-zn93$7O~;`^vyA=P=!Q1-*;UQ8wGjh~x@Pp9#pl|BOLe8G0r6(A|HH-tWGl zUo8?L`Ye-UuQMjQf}vMlFgCLqgRKQNR_0Q^`<#JWPhpc(&XDX9v>rdi?BzT9gUA9-+wSB|1KJ5ZeyH$jWM%N(cN|* z4%fb6{N^{h{P;ne(`V@Y{3Y61cNlr)8@>14#3`=^>AQWYaFy+bYTASUPUqOMNYL|%~nZPz{e^%Lev0(UOLIeC$j|V`!j*nx5*vz@@G`01X`thL|c*kTI6i`^>1kV#2n932Z&OfcUIM z>^cxm&Ysnz+FoFpYCP9NgDBYS&%WqT*3NO^z>Y=4M!KwjxM1S%UJV?p$=3t&bMTe%a_n0etPtBn8m!eCVU3X*t$=R%u3K4O}hm9E?Ee(BDeRQll5PkPiW#?Ld%yCaAhvray>b+ z*O&ByAQqkWBDQt`n=begTI@pdS=slonPe5tBE2+#4VkmqTe6U~xpSC*D1@b#mk@qE zlqDzJ$vNx7x=bhHYrNQ8?M}eaS!}xD$l;RFpEW1e zvE=Ik--{e77!ff)2gIRLYjo=Dz=9W0H z?S?07^Sp^Jk0jx&7g?pVSe9?c-s=IZy6VE*H?C|hpH0lp8Kf7vlPvQ`-}RLHU0Gh` z!q!S#;;QY~QsG3(RUa~nIW6%L zw`wx^dtFJA^LeSxyB*Eom$+Iy(!S;onh?}%9Ym>t!&oV}?;Wo3h3tm|oM zTPiM&B6-FP5{6r{@0JaokB_seWE1mcy<2WA6l_Rusu-=J&2w#kF!S?;wA|Zc5pvo>sPVxPz+&N^SE}^l9+{)$c`Ax zd09_-A8!<{%)(1GGh-8o#?gIlMxxpb^2 zr5AOmJ*UIX{BB$<>p@kC8dYbya{hWxE?idOUXi@c?ZCB?_B=S%f$Ed(C@pNny(@C= zTthD0R6KpLCB+xoNNdfdGflX7tudD_b>aN!*4#VWl8aZGbL2{6uAghe`7`Y)z1p7l z`Q5p9TA9<8oj7;B0~gP?=cbH(;an%qRw{GuLVL5UE) zl(nP$l#G48Eyu64<5Fcu?w7RYZfO^;oo-3N)pi`a){Yu+=;Q3RJSpi!iHvvtMn^7Q z>d48`_Eetg%A-P6D$c1=e7*~3&v)Y5`F66;cCyA6TrBTQ`GvMzIo_7LvW~LT&A3w0 zp2Axl$iLKq3uilUtE354=bKY`wKeBU+KRnYxm=<`$%!5mpH<_?UAaf@t2@?3_R)=# zl`5RM-i4Bj9XN5Z4MmmhxL@9uTNN$IxueA4+YP9?-h}evhE!CvlKpp=IeK#CjGEY1 zl?!LOOUx*ff3q`3Dmrngqz%B6~-DwH1XN@bx6#kpOmDbV2NnJ!d_jVg;eaZBRz>i$mLIoO`s1MPX3+nPIh z9l0*W{cu)0N=_;ZfOn$!lrmKkixr2Oa_>+(Y7cefS!q{p9&N?-W39L)v2s1X6?YG{ zkaObG!YRSwDCE??@(ouh5JnWs#_f$khH?#+=S zovA2nLrRu9hmZ85G<~>`g3cT}+?2a#+EB7#9MR4(q*-kueMBNFRL?TI(OI0k?q{!A z0sGDJiPcNy$gn)hx*VpY)q6Ir5*B!89F;c&xGEY@bGZ#qFRD@{`$tjenO z^H?!_0T+^1|96gNupf3(STc5{sk_@ zpF_+O;JU8#gR9z4iFMB*%OsVIVLMr>na=EHsYGZbab(gaZp@$0jc7~GZXZQS^cc$5 zPoyepG_{dqc(8E{Rq-RavEQ5%xx-1iGL{_|Mw5JTEU^_6$T%~a9Vr9Zc4!EDa)wDI zo5bOwk?ctxLD_++?BCs$lH8$OInrB#ur)c`O*yr98kxJtu=n6N4i!vg&HAzArr2>T zej>+GCX;ihzf|AuuBsrtX3H*{@(Uk;rZOh$=5`;v^vTx?1~Y9FHanXvboCA&|U zkh$N0ivmdJj%txpWXS0PJ>na1X4vb}M<_I#&#4U%+Hfx1hD#C`7h*Wz1yhH@6CJ2M z+EIL^%JCC=a@~lmZN?mm8A!nfQ%YpM!=e2-8ezuC=pp298bd+yV2Uy(kQ+OgvwKW9 zw$Y5EQNuaCW*Vo~PbV`}_Pc31`O7RgyLK8U=38@m{ZukG_vLQx2(HEHab>$IM-vT& zmB}8G%{aVk6h~K&=JcM4q$Um_d-qr}wv6XstQBSX4jj%N$-zB3lpNQiu&|%_zdxBJ zX2S3Xv*nQ3=j0%w_m3yPcoHWM+me|woPAmLq~uK^J$oz%)6GbXv?M)hI!D(`C3V3t z4zHNXnW(AU+2Kve7CUl0#}YSgE$%Igacg{@O(RZ`YLrAy-#l`MmTUH8&e~GDBjtUXJ?ypV$T@T(~To$BzETvv5&f_Z$xh%kS`_jL_RkXi99<53#>-2*|!=5l~NHEbZ z1-MSwi?7LfoEzUItix$e&50H2I+-)!)|{DZL-}G4ZZ7oZ!9p)yhWSuC*MX{~4iqj^ zu)A>_TP{>Hz>cbwKAc?bCJuJs)B-2c!W}ub+FJr#&aIiuiHND>EwksyiqV`{;Y|86 zTav@alfBH9+?65=);X|ail|t9UCX%(*pY)|8IS^(^ z*0NdTL`>$?YIo8iMsZ}7j1@Va6Jb{DT`$yr;ZzD_-110o4lft^CF5>gGltAya}I^r zkQr;o_I1zq!lkFLF8=Q#%b(b?L?OJ-?iF?wAE97&7F}j zgV+_*IT$g6%(XK)5bDO2kXf9b>&%%@cTTSIqI9t{2bb7!asE_JF0$h6d`pU!T5xKG z14l!gI2tgUqVOP&M|yE`*;GoFSaT@CmFvNtoRz-YR#}p_(w5?da$IW7sdcmDUU$yO zJja8?F3X)LU0}!M<u^` zQM5$*3t$~vEbCk6&-ukOxE4Ht^UGw9GG=DzWR5Pk;b7P_jxF*OsT9JoW$xrJpT@^!XI2K1F22qRccEmF6MI&SBx(6@4z8A>wZe%L3mwFNKAccnU?!TqsyDjmr@pTncsJ z&Qeb*mIaXO=giqKvE@P=PAnZOcC+AU*krEAx!VhasF*j0V?hqGeh2cGOqV?J6L1gY zM7T5AOT_<6#*nwlihUCM`KxS2?pbkpjXPJCICC}BgHs|s3zsaQRN}uhEQkv$<@K^K z3gw+wPS$jFzAaamOp&~F;nu1EBCXe9DZn+e;{z6tEMc#0 z0XxT)G2iq!o2QnLq*cg)u5X#=m_?x!rn8iyy6Go#F|oSEZ7<&0x(0f6=L(slC{UyH{FKU)+F4 z!n*Pf_h)2}vm}iDn>9PX(y#Oq6V5$hX5L%uX1v8xE$tVIKPuOx=6Xk=jM{uSZNjrl z214Vtcy>XXXJzUQ+T za_!rh9(*h6$jeKec_UQ#y~wbditbcZ%6M1QsSznybES>EZY}49s-Nw_ducC3E_^NN z%*#_6!XmY(zA9suD)U)b$UTvO53cs)LvdGbi0rx}GO?z-D^I20!wYJ>JgY(dS#{o) zs_;q}%fk!Zd2qQ4&(A6I@>~xdUFgCSIrmCr*Tc)|T(8pL(fM8?N4xy`3G(N%-|EVq zR9{o$#YJVRMHb$@sVp*F_A2t|W`#00@2K(UtTHdkRJdEN&IQ?1>8>Q zcvGUq>(XviS9FoRs#14OP1c~wU9rdgOWk;1CQ|iWPrerP*6y4ZyWBF$c$?<_v$}T8BJc6 zX;FKr7dNix2#eR|j;yPqLWPHybhvR&kNX#OW&h%Du}jq@HLthu}RN$hr}7j5g-#?1ID`eewWDWNfvZO+jCu0AHa_;8MuH3#MGU>9cL#{u*piZ5zfQRSB z7MHt=ecJL;BQ5r-h7ewHxCaY%x*D5yBAxx-q}LNql&*9kv6a3PRE%)cLztW$>H3D3-?Md>VE`i@%q+z%k=E)EuBKD(d*g3dfX+kwaDyGj6x1idJr zDCcX>cM=D8=b`|}?MgLnilZ+`0Ns-yel1{fPlDiu1nT|L9y~75d3{okk0&J{PWI$Q;b2+kAU+;Z;loK;%Nb+d7ReqiNpeddemSbn%ThgV z2^hXQrOBtms*>~?+!jZFD(Jz_!+N|tVal`O{yaFP$BR?ie3E%z%bfLR)u<~~mvJKY`+!i1? ze7iFT9(ShfRvRu~YfZt;4isM(`=9MDg{v!9u1V5LGF4Pc-y3bI5iopF*o`Za#7A$b zQc|fw=`{_`RH$?1qR2Bz*y5{doWH8U)hpe(B*1+7RyRsy%oVwkSg1eo$dRdLrl{%ah5W8@_EywP*lW}@*`HCWm+HW z*_ILk(?YrD9H`ye-h>@kvdIA5ET~&=kfX1^0{qXQ8^B>%{}P_C);fqF9G|0rTfY;_Ctd z)go2CillshT!pX4)p&EH2hWPsDPSSv6{}0UXmY<$K)6^-{H7{# z-A+Kc8;?(@%6;lol?tc}xK)=(yq{9$x5E;@vWCavlSi`07qXVuvWI$E-^0@Gye!n_ z`59eVuZ$(YeNS@eiNw*nVu>q>|IY=Af+0Y9t}S)Hz`LserWTJ+cjuYp;M3Dmh%V`J zUUKzbnW~Tkg>7_1UCEx$cH_ed$%kS?YIEnY**22d8Zm4davZ-w5jfd}vD7)46vNZR z^mv2g@@vH3x8UM!CCbZNa#wtIc6VE@SGFVh?l3~1I_oE^N5{iOYA};p}UKDHZ`6T{_{ER;mIA>U`|`j;7agfE(8gSpu$A=vr&g6Q9 zQ|Pmvjdl^N8@85It1WDFTuGkwV(RVIQZsW4x2K-ph${b|Jzggu_k&B+hdu#d#)GQ-V2T7eeKDZrZQn)s$G?PL8A6K2}-+Po{05 z%x(#VmP>gsWfOZHB3QaSl*Ii>L~ICW$(E(eI1rA{y<~!(Br@&A7Ch=Ui&K9PAijjWnajoCL%$FMpsoR2IWh6FL;dnof#Z%^)ULTMB>qMMiZO5~E3)Z>* z1l7dh_Ix|j-o(kcoAIn)kJqcscwCIYwKf*F$1(WTufgWcdYqo_z)kvF-&%t8F@I*h z*n$7U?O49wjKiB%%znEPrx&Z3cx@5uZlp3hA)Mf?YuOyQjId4Xh?+f*4N;M7ijH7c z!glt=$FenQIqRc>Nlx0#%1x2Xj|nGvcPtsv8;DuAoW#v5$=JGy_3M@qxnT)gVj@XO z+(_)2NOo*l&CYGBNr_+0?zrWwjPPbt+DhVgtRZIS2I6o@R_<6ySj-aEC#@kl zVKeJjN3nk6QZ~uCEpcmzja@^cjFY%KiqLf~#K$crW$S9v;B(Unu$@neZ7?~rBT2DY%yH*v zZq7@ja&{cKjzOH9EHUiAnX{H#xjADKHO^5Ivx!`GjpvM&KlL`tsGqW)n(2w$o0&lE zjMZ|CB3lT|nK>fQZRfIi@DkE{uOo598rF^7L2TbWRI6U*bi-Fnu{lo4gXxrBSCXRF zl-uW|ARTN&<(1}asx!y=qbF;xtmEK9m9yp1ZKM&tj(YLHgNmVc3d!M0UI@nadyfBofrdCCyhw7AME)!h zZT#4+vYvhaWG$2N@}oX|W&+JG}GGCIV@A(z?Szl2P~i|puEj+6F2h78`tDE*Br z>XX6fe!G}xn9hEaO013dV?XLB;UiArsJVlv;in0+EoGX+RYtfzpy$Xkh7P^W5SvG+ zOuB;S_;T{?UJ+|w&*E|Si8O!0tm#kiH?Lx^^(VsZ>M3mIobi!p+j`c^_?sux zk}UURPJ2bGQVztiOFN1A>6K#M=W(BzL# zwEX=et$+JS%b)W4hqSMsq|X;=pQYbt+JFB-n;&0jC&yMF-qG^gC%VWO-M+l1-H-Qj z&j)$`fli;~+IML(o}#t*{nM}3^7qfQl<}K=d@c8WqSdc+pJ=U^_veRS$5!8@-w$~$ zYiRZJJn5-r(5V~7t+7{BRyU}q3es^==$mdJ)XUz^UDWxe*KkBFF(@x#dEs9evZoXr*gfX zjxXi?D;Znv?fl{sDlgum_2MHsb)Qjr^`1^|Kcf8d8>-L0{OZ&B!y`Jpe^2{&U+DDV zJzd_urSqGYw0$S%-oB*En>TcR{+o<-kFIZT&`HMVEUn|)$8>!2T;_XCm-^Rqm$h_% z`AK~Ck&ZHV`&Tcd&l|K~e5G&ANAwErV6dYc^W<8l?Rkvto<|H_SjncDFNvG_o}fuJ z*p0uz$l=$qwtvmwQTHV`-Vw0sD^XsrnCh2_W$ZqBvd2?WRCi6$GC0KGiVL&<; zdS0eP=?NawPO|60Os?NhJRPC|HKqFOkJI3au=lvTPRxHXi>R6al9qA$qGk3~<>^7grspcZFRWsRHF;OIxF2yOL#fzJZ)>q<*Fs`%v%vjK^l0!rO z=3Z-O%~clp$$eheShC^? zn}Z*+%kMs0gKF`ccad>n$C({^h-EX6;@NJn#jl1K-iv@d>l!@7N~3 zW9F{U4Bz>kf$85d-TepslD=cM=PUiX!aW@{VDCA|JvXGU)o>)TT}E?9OZlidEMhZsJ(;M-~YF3|6Tugj-M#;_5abn z{U6T#|JwfdzF(yMq{;8l?(4sEs>@M*-gV6V?qO?s6BEyebR2jYwWoj5ly@}v`*&IQ z51Rk=iG~7tN`L?JYrPHr{F_F9{4THmlzaZ7fx<3-{I?IqUjLST{QU zVo>mfVOg&*O0UOp@dJW_pD{h+3DYD$R~u#$U?kw8RYbhnF4B4*Bu*`k)uUIiTQ`NZ z7Q0zFb`1&rQaGYnL}{yMxY{0J=cCzNy`sd6OHHUcYrxgCK|CtyO#Y4jA|pq$`LZ90 zAqz=y_aV>4fqjdj*|jB+lgCFDch^X^Hc4(ez^&^1yPS@ z55rIW4jGPrQPt`(@x4yq-{mBO2B$G{+&r92B5}7~&MK|doHDP&(mjEodHDo-ohLN< zA(jiS;Td%Y@4&N!&#PpP-#PqNmSYyY2d~YA__$}_omk1Z(8H{qe}(Zr8H@?dXF%v4 zh9+b(E+&OBvB~t_xB=r`JLtP%6T?>}FeK^(YKzLSTXUCH0WU-jejvd40U?WC;k^7l zOXgRxUMf)7;ogYm%EtDJ##$2GI7>ZEZyoED{mn9~1Slf;w6YWUY5H2U*TX_C0|T9Lp?|NMJw zC<&#wM@d=(X^sB;xBc5s@%k?s|1Jso|EJdU=RdUj_K&bZ0TxMYt-|SmU%sc8uqgeP zKQVjtJ4TQHqW|;17%0a95C5X~iy!o^|B2qqKQVmslYx(Z$n}5d`v{Dr89xED$A4q^ z{1c`xzF~MD^gjQN%KIPmeDpWE!pQW6QJFpb8>3fpt^RlPpZ=iF^KbNhA;qocEzR?< z(f;^NS|7Ph`=hsMmsgE?-W|GR-==GR4Q&tA(q39;xz_Qp91qL>ZV5xoJ&kes9aIV~ zGP3$9+9^jFlyC@x_4}E)}1iMAY8MXcl{nwN-cGG#rEiGrtyptG3p2Kq0 zIeb>$!f|yu6E>W~%Ukkr)=JV14zYdc0k-waW#7mH`0M+VH|PjUOk!C#U=zEH(@E)b zoaA-SvU}@TQ69}Iza+K@LE3L~NSI$b zfxfOBIczU-c_{n$nlXB~rhwK!k&34Oea5BRmtHyp7_4{#YU*$@cJ*X`$_N%Yxe({G zl0EJbBnJfJoSnt^cV}7iAcT!~0=RT}D7i73JQc=ObH3THpWwfgI+(@nDk$vpnd_Rh zEE#rys4>Ej#w9SqBA6*twlZ#L0DH$}aCAa7HggU!HsKDMqSyp6iBq}~_nF+TT( zzDFN2wB`qf52RX1koA822ScjoEGxKN!ncx{v;1#GOAe zm415lk{oi*@WJ2c)c=i^1o^=G|6n3xY06l-PyS(e4Gg_4W7LDGj5*{1XiJhP<}-fq z7X~l>VBFqXLhLJ<)T$2WhVQT$UGeLG0~-pPX!HFyx_tVB_Fsfm266mXR5 zP2{zrHUFV#!bpA-fcc*$@0;K;5o@N3@|8w83zW>kb|D99Z*Yd|dbo%_KFg^iV zVM-cL1W+bcV5wY-r^{G-D>oR&f9;H{n zQARAfh}NuwOmMrz5T{ZWEv(0O@-621-N9wj4SYpr89Nl^8m;2d+2)< zqqpcDedd*;=DeRtD{s&zavu{D&oW}gbq4G{L#K!wbmm>An|CbMyG}9Kw~W3^&e6ql z2V++kqUxB8`HC}WSnguL^mJ5BVi+(f3+?{9898P@rrNt1Kl%tdCaD-q&Zg(^J@gr! zfx+MuAqji%v`WNc&;o31*U)dm93})tqia8xu?wS7pE-xY%h#al?99X^p=dc+Fm~wz zw5QI%!fh3!1}tEUbtV=j(fHdXvTAG+(NnWA8X1Pe;yC(Ev|!u#Be)IT!4k`3c$*{; z;Ifq!hB^4#>?6=Tp5#FXS==+8NY(42*v^o0&xadV8}PVPiK~}%NnO{869Qb@Z+f$> zdOeE|tzc-6INbZ+!oKZcd?y{kE6|J5 zpH>`99m$?VT@LLV%UVxg_V}(Q*<}seJXYhCegKD8x$L<4bRb7 zev@8nZqg(21{!%^Fsc2AUeZ)0*?TWn^>}BR14x8dpQFZ8tDke-rI(w;8gcRv26z1J*xcaCAMr zH{WIS>gr#8`fj_4;mX4pY&eeYl2Z&>b(fA)&!b~m#u%3eM2>uqfr(VTp{JQJu7W-; zml-X=?>6>1PL5CMKK2-vHkFL9zlxS+J`)}9($A)d_LEPbG2e@CM^D=;wEj=> zG!|LWL}XQCY5yY=8~y$djYRG=`uUdtnVeI|J4O5NwL(_55gFJpzl2r~-_u^+Hx~xi zO2%yV?QhzhsYUNKv{%ScML&@-znYBQROV@@kXjQStu&)`Y$NuX`2m z|L6EWO>ymi#`vFW|IXP!TGwko>8VkPhtf-yD}7|Tw9tm1@a}$vNT~wL``#t4cRf+P zPccS40nc7X8Lpd4*Iohuy$*;pIY7|JD#ms`fm`bemUMj1e4R4Z8r)#DW(5PJPt2HS z#Mr-Px!YaxykD?*ZY}edzhFV|bv7@3#A@$5%v_p-^Wr=L0?V*jxRdbMYb=^w!_sx- zSWJzbELFf-#NDo6F`VEgj+aQ?1gE!DuE0huaH#0;x0^4En^zF5f zfg?6c{sv<@B$7V8gBfoe$yn`$OdGlZ6RkN68M+*;exCFl6Np{Z9$a?hGkNPiY`0}# zzGVl~w{6ERCK~scce%yW)wP zUqNt4F)No=u+*=RImDuu@lxNxmCWfiTtDN^xr>M+(ezeaugw^UDlOyn|g_KZS2BhS#!V;e59#c26# zV`O3pW{Jg&Jo6mgO(odmKfySv1nZoa7;d^vuNBu&kGzG-${I9Q-=k;veflkX!N9QB zXfLWqW9f4YLY~ks@Buneujsw?73!;>qPFHCsw?lI8d)o2RG}Sy8|`IxP?KYqwZb+M z%Q4LFJBIzHLzwN}hxyhcj8+6hK@mf@9c5m|EBcJdVU*TBVhu{E z==6xWDw(*eCK9TBlp#7>2{S%IUeD{K=oK?WErZ!@j$zlM7-OS+BFxGe-y@fvI+^t9 zk&d%UAr=~!#b1T=>3o2>rq{8SgtF;*jOl7+4AIU&O>HBdgRf!VuKNE)++Rmmk*)39 zC^XVS3r*9wTL`WRaW|3>PY4MRG`L$x2uY9x;_mM5?(Rwif;&w&wEOP9&J4ZxIq&xy z-#O!qGsb)NAJ3Szs%FWmn)80Hd#$QjI4^pE?+4G{y-{iZr{$Q=iosaJ8>8H6ta6Ll znpccrag8YJu_&lSzodW-4}<_esbSImGS<9pWrYaIZ(EW0Uc`6e^Ea(Xf7`{zM-3>v z=s^B?E3@j;SlU$}tdS7t*S)CUYel}g5QCCpEc1)mmS2Ktk=R#B3HoB6Te8G-UKz$> zpQeRX|8K*rXrx_;bwqtrF>RDzF4n2|ztk})ti&jPw`k%;BNSQ~htr?P|Hru$Ge z+KjHH32OSAv9#Wfh50tNnpk6|zZDC8E4CW##7J*Dh6X#()3;`;=`IY_&Dg8I3v)RW z_L>NxQr*T*<9!%vm~iIcX*A`O*c(X(U9^!oix>YRTniy?O*kQU)JW@5@g4IlBaZ4~u! z;-pOKCq?0J3fH|wRXP_frCZ3Ye!xt*r>s74pCy-t&^X=}_&mUxv;9a2(U|XY3yF)} zEIEIRmFGHGda;+~4qYs@8({T0vCOHLWp=%+I^E0Si?^8Tbc-by`&oayPat+XOYOT^ zA(l%xh~<~Mk#rD5V<$?norR8lLfmdMPt=!a{fQZ`f98`v|7LF4bCgUQP?jyjLZJ^M zwYx~kwy{vE40Dx1tW^imP!-$PXhl(>QDiOA5jQkoso2dvmAg1^dxqw&GHldSk=`AL zl5r}VcE__o%LzS0AvB5^7_QF4?282A=9F{q%WlFqgyXctkyzDa_HQ_cznmwjlG$8c znT~4KdhA1Yth^ z3j0@uqAGC>^>q$xmAAt|It4o+bf-Rk&QASu(j5XB4BN(&0CNUob@|p`nTJ72^u!zT zG{lsb!TJnGsgl{GK~lFCVND7o^y?5kphLuJ|pY(R9ME{V7F z@vq!OaJ?Y)c1=?IjL50er7}&C;W%|(hKd9iq{g!_Rqn^AaxX%i2ccR#4AbUOxQGZH z9{-Pc61kbjBN6dWjMN>8k!AlWM`C2X|HK2at%qV;55sl18=}pF2m`+H*Wit>5^X73 z^h6ue8)?BnlmRuV8dN81(w1hzE$@>=uJfg6X(piy;;~$Ji5Rtb_9^dU^Nt;uOB=Fv z*IAsk?767vipRVwQ+Ao}AZtMqG3&AkmrNyNQwGPD?j}b#E64S2+>mvk zXi*Sl3(D}D{sNmx(ewpaGSoVrzV1;B3a#jEna4og6mcy!lIE#IN%3*691h1fErz)2 zYyw-OiE9lZyKWbLuJWWtD4@P+0ZSzoGFNieKac#(S+)`h2?>;ze@39MK0#5^B*Yrw zbU2LNDqdVr3gEnKBywjWG5)#)|Dl82ystw~^IY0fq<)DB8E zLfg#O>|Gp$n{p9ZR<5}3KZm{hIqZDTa>?fa*SvP&=DCk^!L}R@ImYqO)12`?&S}5H zoC-L^`LH8g@IQ!Cz(Gz1AK*;TVa^2{5!1&w6ncc?froJNJ~(BOD1i$_dd({X=iyp5emYYF|{lT2Q;thtJxF*a=H}X-W&%zG&pwv{KBb zrxChoi13B2crES5Y<>|(X62$gKZ~nhv=XwQi}cA)$e#TO{fQAcf0l~*^hoy2s$>3K zKeQG_5jClSxMejQSX#lpFRDpf)WoyNgE*{qB1-uN!AsmZu4l&$nM*`UCa`DaZp#SXUngeS**uT+_H5;!Wx8@R-%UrQpd>NZXS2&;? zfb?=ll%(y26&L4nZ2%{PaGjt2jEz#^MD094yTf{-V`T{))S$3y1-0FCsOkHX;l@vR zS@Qu;N1lRc{Ab9K$0IL4X?l<0miM^ZI`VlGPwGc8Bv8Lk)EN}>hs3<$*7tZY5@Ox^ zb)s(b2lRG*DB8m~`n$&Sta>cpRlLvB+6i=ZP9&poHc_>bq}|#mL~lLG!<$GNk|KFf zij1L6L{%;$twVvNK_$`$<%p?TN>LIRf%<0C|1vvx0+ zbPo}{?mW6y7g?*P#dd3B)HSs*x7>!d?q*Ca%+S`;!Pv}*&H6eR80xb{%(pN$!B}?- z7KUaR>Kn7!zzAK7t%BB!(H;4{%D@5xQ!BK^G6QovQPWlt#AS-2+FH!^*|1(qn;XWCf)Wp~*W4C;8D(l$W#B(QocJ}x z1T2o>vgTDHmc?;K!-t~^=SW+hNX3*YawdI`)r3&GLboy`tl~iXDDJm^KxgYG479Gq zLC>5Zam=-)>$w>2z^N#A?ET%?oqw2{4JxFTsBm1znAi)a(3g^7#ftgwhM6BVf6+o_ ztX|2YC5upA{0T`(#|VnsKyki4e%{$c1b2}i+DU?6A5PiToEh-Nz3B|j-P-gv&8E?7 zAzfV~qY#YdnP|`>zj2-VxRIA1!0pfLxgvF*WQ{1ot?dZha|}P5WBA(~6>;SM8Gi5L z=>JXppUeNxdjDDWf7bb*)Bn`<+Iy6wJx9oOvg1;05KftQa5H;M{l{;}op>LgFPgYH ztrN2^Q!$y9#I<#TjwHJAUvV47`MK<$nZ@Sm>74((6(1pJiIPtUlpI1|G7Hz4jUs#A z!fVVrA@wEn|FeEnKZVmUcg)CCPC{8 zIIejZEj0y!{0GsJ+Ry&YA(*U>LUpA-%Y^u^SnG%4ym0nzEMehH@p)w^rW8*@WG2=1JII3X7ESc)E$i;kn#u{fv&T zF|>=MbH8B}j~YfZB+7>)(?X=~wvM5@Ycy@00`EIS9dUg}exn!`G1MaFwT|MJXov%X zwBLzX<7lyb9CupAyc^|mxLpXE*v><--Yv1MhMo^e>sl^bx)C$!HwiJuM`5emY|2y#0<%j)hAD)5V05`c9D0ah`7B`tS{>I zZxUj)j+EB5Ld;}@@U0`{!8+196=+IPqccvA>U3?|leGj5Zl)`36}Q86@s)BRVPhuo zbFZ>X=@2&r3Gde5kEWRr1`T7j8y@7^<|{aCbi!-lbyDSGII4UCPbn|*S4FW;*Mr=t zg$yigA$>t8DH}34tmMp9MOQNCWRb8T9|v*WPKzY9bE7F&)x1$tu)tpHqOgZPIBR=z zc=>Jur5)I$Y>VDrTXaLY#zvs%zY<@X6PFTViO{!XCS5xE&g4a3z{>2S65TV;N3n(_GN0Z z9aTYK7Tb2BsHcLtwGOgcCW6$C;<)4hXLZjarEP?{)gIDj2avorStRi+lGc{6U-Bp^ z(rKJgyeTZP8v#qB>0I1P$(UDcnEE&Eu@xA;R|WLuZu~OP0=M&Z4=DS}eJg=_}{4MA$`D z$+?7u850>POL)LK&feUP(f&=iUo~ULzIE*K-ioEKH1VnH@yXc0K;>M96F#R?G}4jA z{ZusGmiTp?{h88SWN6^{= zqJ(%wuCHaUauz<*^NE^UL)fH3%+%evu_>KL6S^r|RfzWFD9k>p6`84tyVD-vAn8t` zv^OzJV>l+Vw&#W$++3fHfs74Xtd)?_UWZvBAawvPqbjT6H7A%m^s=;<6wYwuX9Mcv+x_k~D)KyA+iF@Gu@)icOyTY=XD zW!xVa5ZSLwk`S-Bet9AXg*_coA+}G2^d3Qx?OLSO$&oCmEM{l}H=l^M+NH^0hyf3M ztZ9kdMnjZ2b3k&}N&kfSQ`Z!dY6OrL|d<)@O^qxEY@k zar(a!7tJmbu-5~BCwHu~V$dzU!`^+5sTuz@Kac$vw7{zWD}~^&tAJqEKL0Ix!8x%zF>|W%3#mY1`KXQvEhoa5n(|{owUOy zD1}w~E@R*p#nJ=k*&Gmt!f6L~`h*~3XNOfxEURpfV(S};g6&CkJ$;Zp=ZwC83@hy& zFca%*oiD-G@i{wBe2vER40e7QiOJ?9l%Cv2@kJ{;?`L88JP^INt{A*^!uXpj7`+w5 zcF&b9U5?m3^=JPRcPv|l4evfdz`z*-`nM8t%ZR8RL!!D32<OgKq*+{oWrfv0clTLG16i#))D(E~E--k3GfZsN>jYU*KGa8;2hS zaQI0edmi|(^F;{9Z%45?@HkqHaY()CVAZ!nta*KlwQrhP@og)T-*qDKwj0TBdRg<$ zZ8p6cW@cd`>%VGa<=ZBf{M?ChLkAY~y|^$ThT{`s**7_vZC@0!S0YBxZ5$T*Lu^%R z;(&ZUOXM$Mv%7-D20^Sl5XDR_cjWe^pk|SQifJb5%JCdM+`~d+@tv%4*tfZu&D+vB zXWoJ1-T{nF1aa(ZM#?w}J?(59=XG#(MkgmGl;N_v9K*>W*h=>?^UE|$r3fY#A$BC(cRW)Xj@}yb^wo!XE|$h8Z}XGo6UAgmdBB^DUa~^Im9j# z-&^VgDI24?Zsf~N;~T_ph@x|8Ej1IKv-J}pTv7XlaDC2$e~;VOE8=nE1R9OhgatRl z|B)stFY~!n9g6SqqXc$bCi0d!1=Z@0yZhF5>|2XA{MV+io_BL zWY$mN=7qx?kd~!1*97l=ky!@L;#Z-@mAm%14cgLOr@%EeQ-b%J@~U|{eRU&)>b*z% zh?NlJRh_hfv!Ar{?fAcWJoyne>rWGY!k;Xs6J$E=6S4ol5c|luyq`MPBjm^Iu?Frjd9%nc$lmu<(v`o&wlzG|CQaGRBJ=$ zWFv-$sCD;gj`QJuhGc#rPvQq`N8Li_ zqjKh+YT)DF|KffAWb(g8ZZ`df(Y)o8-+pBF+n@RTr=R)ayC0eQ_6Mf?_yeE)@|sV7 zy3gF7hgk7lAM4%@vG#R8t6p}q?sXr_zv*Y*4|kdJ%OgJj^(E6^|BJ~l|KfumVf4st z7JrP~h5k>x_s74O@aPkX_bCP*u>!#_9;_iUy)QjHZe)JGLEq zSMC(0mZ}Ncw;n)8-3%*pTP%$Cu+?NQ2AfCzo!o<-mJl!F-5BaxW3pxEyD-xGXWCHL z3d3zCY&KM4vz0AM+NQ+ox^Y48D5l#iF;i8ibxj8G%ah64SWnD~6t3%DCTe8>XO*2f zA$^*3rF6=^$fD|_=U7dOraW@5Ao__s5*l$w(0Svn34*HUkz>A$@>8nhH!b93{z*=Y z{u*`s9LHS`;9hJ+Zuu5GPso$vqk+oCjVxHPSP<97fBsdJkXX&q#dBCI^&uW-ui>TR zOkU=3&fX8>cwY_|yLYhT)fKMYKTk*VGGY%~acQ$Dx0@$2+&qcWp;1D(M!y>!qC9oP zDz@>%dxE&W=;w@K09Fct0wJ&9pyK#n342vXJY}v@EbU6n5m%J*y;$(Cmq0X+61Y!EGVErxLMpEJyx$4644RNZ7?8?_JE&i*ao7E@au|7-W1hSbaGJY40qSIi(=&S-={{ z1f)IkSniyP)XhBBI3=O!Dum1~3uV`26i-KDeYla#eZP__`yKnn_hO)P8x^1Lm|yi1 z^9$c1k@Jdq!@n@+8<-(t>c~qggxGxX8+;myE%2_(V;P-?)$&}i}!yYnf{AWfBr3m@o(Py`%lLF>u1LO@>a|r zdCce!#{T*HyBk<1{rEc{{PxeC_M`q9DMubf`s>}JFpIyvjoym}j9+A-_c|Jj=i#V+ zT_MEul@PeEM4j)1FuoQ0_~qSQ`D6e5Q*85J;(PqYN5B0lj`?qH?rdK^{1>Y`|Hb-(Kah?734Q11C|T8GdhP+vZeOwY#%;FSH*xerJ!Yj2g&DR5Abk*b^m)`GMYl;qeY z?4yR3I(u#RA|o$@^-gQ##e6HVTv1tpox66RqNa?cATMQAC9&KJbxk!a1U;#0s9-4w zYO|gWyY}uvO;Z&^L1WswS{ND|pd(1l$kY%6<1Oe5>e4gN6{Q}=<|e4>sbjXy09idj zd=`hfvPy`F(g`$FEI7KwmaNYMi4@7*drCZElA_Nn-9gN{P|m5`6e1Rc_nav1Eq*}x zsF!SiXBDmKZl1sc;mQW;KA@m{3PnAOXwKR~ZuWNkt5i8ua)8sZ`CLiN#40xiw;VTe ziY;j<-biWIV&v8LmJFKBcX96y5Ek-;Ft6oGz^5CoTLu<}aR1>P25_ zFNgNIl5y=E*)IFZayjt-_sDj!C0W?8Qn$Wo@n(!|& zKkg@Vbq^~(kLJpPRxVDe<=~7e&Pr4grYT5CGK?tcey%UAs>y(#^IQAFxM%%Q@_JR};MHE&lU2FFmVC>`nqOFx^M=O4UI>^Fs!@WZMhlU6?{^V@iqC(E_?HkZA%dU(AcW!Tzxd=kQCA4s2fzO=i0D^Q z3Skj3A~5fZawI+$^FR9KFUE{KJNYl(JySXTElm7D(3;rRhk~fS_!cJpGIGcMue|ry zpF%kQ_;-kX{w??E#B;IwQ22ba5%rO-j40GO%|-eC2GKb3I?4E0W6xNa1KwNprNpTxti@%X2H z&heZrgk)N=-Fz3v9176g>yEXT5BkTV{*M7_p-++%+F0ERyLk+T!;a9-mjQ}ip}jRIk6a2G{U z?$|MmhF&>Kw-lqHHo%rGw=mx_%x2TutlCz{HIr{St~G%3p%-jE(8vzGMgrBJqOqot z&2u{l`J#uG<`YXb-h?7Wsj;s3d>i!u~|QiWvlEkGLB@K zgq^_lD3&d85&KJHu_!ki#UQ!FQ8cJ{7AvEv0_v^-^Y=XY#s{E?+KUooNm9uvwQFtzbp z=63(WXO-XZVaXFdZF}p0_xas+M#M%?+DMG~dqiN~^1;8p z7IlARQtx-nQ*Xj>&qItS-N9zmUG@p9s6Fci5_kUO^I!htv)`UENm$HjKm5#$pMT;@ zaonGanEeK(3G$rv&0kCw0z663+~+?EIurB0{PBCHfBP%CrGMk(@tPo;hh*yhPL=Y{ zlz!1n-)Bv0$H-a4&BWGNQmpLtKrLX31_r5{8%YU9d%KP>#d^Z#fnu|QC9Y5%_>(E z6>qX(of`_WH;`U`6M5-tY}(|3%z78(WjvAE;Dn-_2W!`gQqh;Ss~pAt{aLl*66&e} zEMI2FW{p5}CtN2{=@x3AWwLQvF8e>L#%9wHW2U7tb4dxYQwIcbMG`zKjW8i#`MOI-Iv)3$O&Z2+cIX^Nu*Zld5ky-mC zDS?Ju+P{p@!kwJ#w#RnBjiYVhoXAV!zzut%3oa0LdI=@oQaot+h&Oc;=;#_tXUiDw zwvDDVcR6-nl>=x_ zSoXgZ`OdcFU*1D*m?cN*9hv&~E9S<2i|goTh+ap@*a5UA6=AcwhNb$&Xek$Ar{Bj0 z?P3lXccHCQgq(aC2X#7xD5c`QwFnh`9~>OYsaCy9oK_nS8hISLR6>|RHXUp3U~4nL z@l&t4D0iC}!(R#C`yJPhK1BOqHLJV}kUk!Ys#5~%&j+J=t%yxWqlFF3Wy#qfv~T31 zb|e+e>s2fjc2eC{taC&(BDY#rok>Br<)9wDZ` zm?+BezyHL0fBeEHzy8SpbwG;0!ms{d!{gss@Zwh{{P?pF8bK{1&qMzDGavu{2cHVU z`sAm-#QHGqyMOV?+duhG?Bk=~-UwoQENtdCLZAepjr>j~2u!$o-mksG!q%@@QvVH~ z^uHB?B?#&7-}vm;uUYWyG1K0D&F8;8XVM=}nf%i$*3`aWi{}I6r&VG7UXLKI7wAlX zzyZIXFwA<3UcuLB=fA}y`z@yFZ_&^Cfh}o2W0mp~=E=WclJyn(xnB$7{2G(=AJ~!f zD?4I;<*4_MoY()JqD2pQ^Tk_!A1l7Z`#RW2(zG213m26&c7yVg%Xid(+ zUa^Q}lFmr3ac9#4U(6(3*(<1gyXH-F6)vK*$_mZR)~sHwg_-gZmM%AE%VuX3=NV$6 z3C zBvVtYzZ5ye1 zpkfiuZVxx=n&fC~lMr5Y0{5!c($e}d107?z+xZ@4XJFG9@I5?M%RzgQ+dtGWEI#Z@JD92BqOd+ah>6NC8T&!1Qr z{g#`fp7Zy(KdGDg0^>Oi?3hu{63G&D=T+gp@-C~V=W=QN5E`@duwU1O!?ap*Bx48^ zSiDCs3aiDJ>HoBotOccntcyZx!w!;GgmP=<0M|`BxwvN#jp-$v-TxKNcCR>l{tkxT z^{mP$XMXGFr22`>suYxb5JdiJmV3xlV(j_@8 z^m0S0JeLK&o@f*nGsD}5jb&BLyXl8|X(h8osgPI6^cz7a6xT4z!yozFBIaN9L^&{u zRoAW}lN`rt&zr2f>dNx)ST?q_Fg-V$Rn46&O({mUX@GU9jjXNiXH{Vz%d*;8nNp8L zUn_H~GFjYO$L#V_rnhx7U)Z-W-u%f_K|%}5+L0~4&5DXg%zE@j*v=pM;W_oi zc{>9aaUPCZ1!Ex<$`XqP)5z9ONM7re%bSr+xF5-Kxo_Ry?xgT*o`3+8I{~*ocM*TI(m1*H7}sP@3Cn6E z9F7l8YtE1^S4jD|-`S@sM6S(#vDBFS5YjCgs1 zqAUoEE8)C*K5mgUoNVQr$G20fB%+;-LDv-y^?%1qaq; zGw|8Bbk7+;bCVwS7fi{$d6;~+9pt;4iZB<`yU2GvAWA_)H!c4uUHAX*#q~pExC!-+ zFyTg}4IBTeWO>{ZyhnY_-=m=5i|;X#xQF4CVHVHpLs5v4-ISL|k8R@eyr&$T@sMzd zxA=Yegn-Fa*v;%iZeA@L$E9;;-1mfiejER}U$NnXN_MRpz$)=av>*HntIPM;Hm*io zkgqs>=_mGH{T0P4Pni)ozzVkxHVT_ItLJ+rw!UCZ{bNBy&(Mkx+rH7tj_Pk&H}I5o zFP@-TT!z`uBSB5=nD)Fys^Sjn)lX2#>O}kAD^^xip*!@Hjrpx;39;BvT#C+6A2P+c z==b*^S5%65XE_%i`f}>QHO@S8#qo|Sms(x8-097^p)jl;CL{l_0@dzf)bF*R-B^jz zvq6+w+R?sq51qO;)SnF_(^Y}q<6FqJmZJEyht)mRC_Wr!MQkD(51+8KM0_3`VohN= z(#4f56Q$g<2dwOBWA*bvW)D=M@oWIO@@$l%eK1S);7V^a#|uw!BL67+i%xUut|w+~ z9&BsMK|U=9+2lMnwTt7pTg;)`mpIk$%;7Ep!gqEmjCMRAQtJo=hR*)>f4>Ki`GZf42-ANVA*gE`M% zuqsk4zyF#k$$et}S4c#4A$j{}79>AmYW72>=Rac3;5W?Af6ju=ubJ2Q4O44giEH^S zt24jj=&|pyKGn+Bh++&9QrHp|&5p1#j656BPAo+;E)1)nbc}rpP_>LgUNaJ_!_}-X zj$@ZYEhauy97w#+mgj#V-|`hoU%eIOW0ZgR0rkR1=tMli$m;=SQFpKmxcg2ZO+4?T zmNJAw{1D11_t6Uyq!&AkM$E8iQ+Lsc9YCY@5gNfw=%3BO)GP*l^CYadW+A;V1LL?m zZ0`F7<-u<;YX1RQjTW|rcCf6em~GW>k=7|;?u;C!ev-rK*=0nlrck`RlgJHaoR@dP zQ|TH;TWmSC-VHY?2mI!EQaJuQ_L)}^e|rnc!_V?f8a{qbU2lmq4u#5zsx!i8}ko&Ep zY3?3Hd7lan+lI)VGfc*U0&Fd>qAPohGm4k7S3ZlK>LKh@PGF~S6+77g>}0~Qlk>+; z@e+2*=R}`{~7<^&ikM7&$jH9&T&CnWSPUh7-xhq?``dt;Ec{PwcEm1WR^vkIiN7CiVfKXA-M}|>C$TjT^dMrF?$iu*Q^GNgk4kRDMz+JyIO5#OYM*PsT0jmm^}=@3<=Nm!pbzIAHE_2?5= zEhXw{;8nkoxDFlCYBVV8)+4D_n!FZO!YbC1*`tYn&3a;bRS9a6CZtOa@AB0o*J+ZR zrOb`XOGpn|Np0#{$`cn*mN=2T884h=dr0jSaxAJ5Txt-%w%XKmT2qzn&u)Q9_*|Gxc?X$3r>q1#00d<>N zR7^!%$#{n1?nc%Lk=R=Fk~Jx}k?nlSih@>lm%KzRatMQ5VHZ6+u}J(11;>6t$Uif~ zzZcblA6Roqd@lZl6(@QyyZMy)M?2XN`GiGh>yc{sfmyB{EUo>GX)gCzUoU9z;s6_~ ze`BG;13|^#FzwuJLCLR~e4&Rqd4f*Qb~9HT)7&$+Seo{Vxfcdl9rc`f4g*L=zF@vn z56cVQvc~rgE9zdeB&?13;r+;-?_-|tFw%h!nVb27>DjMP4StA@Y$KB` zOg5fot;reY>+Io>##K(rogi*@ydbV%jw_zz^2QSwXLZU5<;^wWO;I5VI){HCc6BoOe?i zc$`u{6AJt{Q4qL_!T@Os{ZvJ$Q|PZELg9ae{J-OW&il{&|8M5~&-iC~POvn&{)UuA zJ8+{sh_&^(tT@w*?|VORZ+t)3r=}tMc@!GLw<|6w;`F*^TsPh(Xk|OTlj?Dw)?acx!}hLS0qar}nGum9%LfBlG(=X2J7(t<_k zx0vkfz~*p2TH6}2x_F;mdwV!=Vt{=YhfzIViPYI%4D24FxF!}A^Fa0#2uo9#jd9y; zmhTGU;NDbBq}{luJwvbq3f>(|%ZfSZ1R&6JsYd>dWHxk)pNJfVSegiUi zS1l!~cP;)!0__L1xKbrcRJS2lid6``b&!kM+c@QChG(EHZmyf~zOjm&5`E%R6e(!h zN@TVgS&ildWGfS$tw?yB6j`Zy6vZ17;UEOlNs%mPC30Mp$iAdThNBLNSJfzwG9%4d zjv|-UJj|8gUfp>5Yo`(yypH%9Q@oqZI3BW&YaSa&4AP)7&x)IF>qv@~B|lr2=qL@Y zT@~ApHzCAR2|t%*6s78u6uFC#>*jbQ?ZyAHKG&1B6L-^y;*t{t`EDk>$rcyC9eC8a z;O_0jjcPBv13YjI4aDKdb*{OD;&m~Co0(a-UQ1zDRyw;<^4L*aj!knN^34exEGWS3 zcozq>9-^-F3K=;ea;xr(B>F4sm%L)C>{}$4e9Pw>U$RR67c|zq;`7yynZMyS5^IN; zq}0!hrT3U2c^mmfuTWPIVl}6aPepS5Y-t}K&gfvpiic?0e~*mzW7N-og`D~jYmW%x zRe8?)^ItJ%vY`1{KQjBvADBGnTP96?&BQq`SU%+`i*~+Yn)wfmTXc`blZ8#6_J$dg zzTxv}U-QYAuaTSjBL_eG0sBLOx^~(UBJIRQjdQFtQe&&N8rv1su-4x~$%Y8hltS5~ zv;%LU5#~C2oRB(#!^YkCEp#ROlW#D#NGIrtHZ`|KGuS?s+ZD4&@ti|__X2$Gm~!}; z3uikb$UERpmSFPPkRR0mK`4R%Z7D=&b z#T?=Sl!ytFBq2fp-!lgY+_#_DgU3m*If#8sCW^0%x%tQ(=SP|h)K8{6bODc=J`lq7 z9*sTYD9_u7wPZ4(a!uS=5yWvTAJ#57i{UIAt{U2sbJdpAE2?DPlqKWldNMp#lHn;S zrZv}_s$}I{cb3WoqdX~sh{>_o3(-11y%mKSaU4DS z9OY+!v;4;&u?)U1gs6st5wEy#;0~wG-{tg)O0I|25E7lu_1qYo@&hrca^rAuI6?kl zMDM@E4M#`(N`r7HKTq1gC9-R*DQlOawQ3su)k~-oV$xc)g1&-P)YPt^ymlTf4XY@x zSw~&#O3G@c(9k-C>Y9l(HB6?iax(2Tb7(J|#!$Y9yvg)ePNlwnI@K+rteZ+*{d^K? z6bVdPLYbhj+Lqa54^N@0eZSv+ZMAyCzcAJ)NqKMKo1Q&|A2I zcCqcoiV1Yoeo0&RRACRM(b_xx-CMR>+osSjwt2f@Hn$q5aI0fF9bIC1-JJw zU)dCz%RZyEb|UqSlPGQZoScS@trHD(Nr;qlA@WkRxA>q zX9x!|lbWi}$m&}}W{o`YJ$hWbB8zLa367rH_=niwa99uL04+SOs}r5R6Hm9jSl2kC z+Yo_bQ4|)Hd8{i8z*^X=BgTH*bf^>7tc=~Ib?5}ealyY9{R`Qc)()WMR)E5#Xrzy( zVtgPO$!*Cf?ny%FbcR^J3%Tn#D7W5YbzrkdUT;vkKFF5h?~vJX2jk;UIH59#lT;sm zGNMgwY{5{X0j1^b*sl4S1=H?gKJ^=H7krQ5q?&oP+y47YjTBlTqua?|g! zVWzO0Q=hS7#w*s({E8)WU$S`Kb0H3cqR-yty8A6w8tZXf`7}GFw`06x4_j3Au{PU_ zx~>K(({AFo;3l>@Cpf*~C|h;5;k@MrcABS1RLmjmlQ&oz7ZCeEkG9@13^csQ{rZI@ z_${KQV-5-Zid=f)%<<#|EI;>P=bRFZz9_>|HiI)KP6>$8CpuW3j5HZRTyy_z6_x%G zuEi^7ut@SFHmv@L*dPN!Jaoy7Jx1cS%S77lC484P;k(Vakd(`&Z`wKkz=3ObcJZKQ z4Tb5`xZgCId!j+L_e`NRNgB(K-Em!9NQ^=j>g$b!y|Kq}%XQMude9krh342Dv?gw) zC1E5iXh}4oCCONX(f>-cCK^$fVMT_^MLds$u`4|Twb~?B>`uaG>}y_%3w(814Cj?Y zFcX-oJWF7&Y&7zd&l0;ng|MYDM6JogNivf&TXVQFS8T)Fi#d`aW6PuxKl=t5QdRiR zPUE6!B4@4ZaNGNWy~nz^aiWy-Mgip8Mv=ECo?wxoLoZ(=_wrE^FK;8l*^)5lyU@Er@C()ccjt7+=@TP7Q586iY zNMQKLL(um+M{%cB%oF9k_EFsJ5aq~I4I+j*M!$Q7{c!b1ysG~v*>nqg-zj3`#=sZi zI(9ct;;!iIgNOR>-A4I|qgEyQcA5WbISs{Dk3 z;z?q^A8@;C6g_>T=*CL*XvLi?LBUbb2BXBu85IQEFOyeKN9;NZhns^O`q_%bpa2mmvOsk6@68U z=oKPdKk%gx$VD`C2ut0#Nr?DD%0y=?Zl6z=pw8}c8Cvs|X%jZItWcS#rVWJM(!{q* zU07lbynB>MZ#JbZXghgXw)pg!3Q|?$MyCd0btecb7xrgZhv<4a65DkMt`au7#RShf zBYc`|h^jLqbYLfLtw*_Z+XsatJFYjzv-_$K-VV_mvkJxhbUfE~#dB5Llc4R<1Ql7BD706shrGB(-ICCC*fk6N|jM5d$bZU-I9leYBqZ|7h$SZ zgoP+~Y2~qBHI*}=k(@c|g=2Iyvi@f{<&cTF`Wd9u%+cSnm-Xt0k<&Op%hFDY*7XVk zi{Oe{F2;&Zm`UDXx7-zue;GvKlz*{zOBLCJhTIbE?cvCXBMtLNjao)S&nyZ%))C!% z2KVY@LM~h;Ga*V4?G3WR>hZeiM0|`s*~y!Tj$ZT6>&aF~uxN?Q$gnmN%v&}S3CSf$ zNlqm_P9S!?z-`glT@N@Axc34PyU!E7Q`nD;bTr;(aq5*hZjUs$TR(+_>>0wde#+g( zF`^Spq9t+@M`k7ytK5Rmng|Y9U1jy+3s?(s**znc?K7^hW41jzW?f!%$s*8Uq|iCF#T~hOuM079q0N z=$<-2=BggTCf9LnR4EM$UvPPEKO2kgv8AYnedXa?O25kKe0whBJ7L~(lVdeG9J=Vu zIrGcxKYN2~`DHkGMBrfM!13ePx#S*;!%=raT|MzUXhhr%DN2QfYAl&eQT}Jtv`;6m z@G~l!=aN-ElgcIu3W}!D+%T8I{7DoyEheC78Ogb`g<#B}qhlO(LR@MG-lwJMQyMG3 zprCUKr43(D)jo+fK`tZ2QdTxuh?4|WrSoWLnM0+Zm5S!&q>989QKiI{cr`pyj0lY| zq$YD6 z*wkqBm*hq5`#fwOEyP8Lj3}Qqj21#QBD_Lmd&g5%FTP{Jhwom>I@~ao4nc91owF(F znL(2fqN+9tL3s0NXrYGGZag*CPyu~?U;0%0AaHgImwVlMdZ^wpz6IL-^JYL0K!IUE8{;#YMMACG;w)Y#(@u#d~3!oK()!L`bTYu7HJpC5#2 zWf}6tjp$eQv%a*1tu5`CEBbNjSPh$UYSF&ch)PZp)~=ZtU&+L<{|+0|^4QrujC_0+ z#t(;4icMnYojXV+g|p>u3#w7+>};Dxwmm)do`{ux7lY{&Jm|ZJPfjk+FgZ< zSs{-0y_lPpu~(~(6Z5Oln~{XW!UjB-G+{BN09P@6U~UPQmzGnw<`!GNNJV>k8M-rS z*s!pcr3>niT-eBpMfE7m7V}m&p*On&AG>C>4R Z7`d^a6w)th%Y41veCc;iPiha znb;y6M;*IllQ^*=9eug0SS^p@;3i+L&xs-Z{a>(AD5AVtkJ}$#bZ? z^${_-v+&MV=4S38TrRkC$|IGd-ie&?2*BR`JYi7=WF$(Fnk0$Lra1x@W-))s`hT8P zoFgg8yu}-lT`urEY&}_pi-}7$CC)7aJIhcmZ7U#9J%#JendrU<$MLBJ0e2MM4QJC{ z_ZhEhCNm%!*r3RuRq-3ywY-Kr&8aU7Jk!@)Y7X<`TRn3mfAo4heCy)o|zdGEbUkRg<(Nlq{(%f|vW_Vsw+>RabfX zNe}T;3kaL@k~5=*xc%AhTu^_BWX?}4sea7tp$BNB-DPdr9X8e9Wm>0b@U`C|cl91x z3+mBPYGh~X&nR8KkN)B+wB$R{I@reM4e?wsuH%Tj6JGmm@i@7IFz>@0Ib}>-sT~JA z3~;LQVoT6*u9SIl;-&$KIhF*aXcAj#jDNB!RV5ol=9?xg+bAJkqp0bhOh)5UBCBNy z=usiCPKo#qLEU+R1o8w?WGEBesgF;cGLgO7gp{ce-m@K_0xPcN9>6E#G}ntSX00*KzO|xS1M%*>K7fq(3Y5%!vt3-Q_#LdScgv-5(ITm(9mG@XnION z6jtIxZjX?nn0K#92$vwM2O}cZ@t&Zm(ZW*BAhb#a_jG+4DjZ1Nr9$-9bp!^h@JTwx#mk2|9~XtCUX&1@ zTd1ofbL3J6S5KYgYV&@s`YI7rYenD{ef%p#=knXk6@No~yiB-RYm29kF3$Da38>vG z&bKYsTkNr~+Q-e7W8APe$3N^ChiXpZ+IAeDd@H<@w{az9JAPeuIA-tVY?wKhllI`< zXU`?E+^72}XNv@Jbvko4>nsN!`*SMG6Q`yCoLn7o>y5%C?F#;_UX-6XLY4bfVqMR2 zy+085q>B`vwWH4PEIsDeDbn@ls#Os77CBTKr&FVrMxI3?$%gSHszs1vnogB=CjL4} z*vbTOSUwF!tt^Zbi`gz;%HFkAcpT5-*w$TyuD`+=Jy&E6_F%sK6lyxAm|Gm6K%#;I z>0FL(x`dZj7@KtrIV#$w!zw2d=KGO6;W>wt${EZxqeoc9!Md>`A$>u1?x(c$P9-Z> zjW{n0;&Kd8(@@4f@H{3Fhd7YyfK|w5yyLdx@2p9L`*PIfq?x~Vvd}Au{|qF!h*^v0 zBDMTevLaPUiB%>p^c42H!~tnEvDf$(JB^w#Io*Zs^JX0HiN-giE3)N$DpNk^QT6-u z2rM6JA5BB-CiYFQC1>?R{8t6Ab;nU;Bu`*7JB|ah(y^VD_Fsvk)3Z1*C5!!LC9F&+ z;>*8&U|GZ)u8)2xi0dWMiy8@$=*MwYD>`cmaadQy!PylAExASXj6p)@4G^%p3(YmL z*vv_0ySONR>x&thafkfn1w_tEC3jOE+g6M0tQ^IIWnWWm`U=lgKXPc)-FJ89hpv2! z-RYk&ihjw*ZEsj!_?9mkz7-VqHJ??!U`^F;tg?T?s#yc9Q0zq`?{_5Jo{NlF%DR=c z*u`WK>9>XaxLu_AZ6!0noQ&|zWTkE)D9D`r{400`A1AmpiBr*G1QjI`6n%_>0!u+m zx|FvFqN&xOr%;A_Ics@XvYOti)zsImpkCOW%2pXNyJaZvQlqd%oz6;aK{r~o)o4)M zrb1!60vX+#NEuWht4E#I5@Wp6MJJ0tgm2ho+>*VhDLYJmnl3qU@^sc1kkF+{RIji@ zT{c|Hvn8Y6oQgIDT3b{pPFhZN;u>xhtI$y>OGl*&U1giZ=hY%saJzOD9TgI^)GZg} zCP=PRMI7HcihGsFY`MY-->Y0TH>1QylWIX(rMD;0*D{Xww$JEo{G7VBPk2--tYhVe z+-jLgYtcrc3XO43u^`4}GoFd(IPYOrfwQO(#G6rXL~e~H4Xq1lsG3i6@dE10B&q7!D2RADWgRQXYg;4gn&OkVg{ya+ za87mRa+xCzp8Iimbe;nx)*N~m#90p?&egYI8F>}euN=63_bdrQ)WS}Ci;mvJIkQr> z9j(F8rh^kV?sH;i8|SYLa@wpD+hz6G>E9=D=0mb4-6vpF3(n&nuzplGtKS=B-l$tF z89&Swhd$I)^$AqEfW;;=40r8evyuUpCYESv8IU|To!~j%oK?Pn!-h*3nHXTNdyPx- zuK3DE;5+3p=E}w5+FA*#{~mW*$MJR5N8D-uj7Rk|2{$k!R^6Gp<~2n1$&=7&Li{6D zJRYhO_C$u{5;Kme?IiK?F|;>nF>l=`%vm+6u-^EV~f zFNNa7Tf_v^lbzMhwa^$&4`dTmx0m8NT?Xsd3B{boVC^R&bBl}CKAz4<1ulG0N9&vy z#3{vLzU2V6W}&zW>hTic`Ckb^TN<%==|pnPF&nwcbSD4xR0!8wT*thiYy3+*z7$q) zdOH{9RAIU{p9>O2*v+daY)LO+Q+n~7(T2mUCJbfLaTg-uwk(6V6(!u8*iWWpCK>BW z$(Wact7#%mnm$yk4sq3}ic6bbvVGJOhQ>k7__t)P{|YDDH*8A#4yDBJkjVZXy~wx7 zN4-WX#$E1z~L>xyuw^VY7nEw1^JgL|CdO zLGH46IIkx(K%0m_1N@FDVt-CwSlNRF6d%Jg+mMu7Te+U0NYou2PFE@s-QvS}hZ~&T zaRaZzmx;7DA?nI<5}YMSvR_TQ>ssPw z(bK-f@$!5O#c^zHk7oNGBkay!;?nM81m|DC-bIU4Az%qUYlyEAohfuJ`9ag^YnsA6 zVWYcSKH_fc``l_B&p^v~VTV4Xxp$OEh zV^?&%9oXJGjD~9hTDR-jR-4AI`<<)|O<`m6YgRb)qkg0Zy&G?sI{-_=pRuI*H&z?o zX0!T3yfuF2)_cEF_ug)Se^cNfwhMmCEr==Y*s2!_e4J2-kHSS2_~BERa}1Tn9Im(qFobJHq;p zJo59h>b-ZrM-GZ)61R1~AV3$A`gKtI-Wl6RC0uPwXZs63!XL^~P`L?vwF~&~K8^MU z6&9_Vj)b%zu95M$B-U<1a+x$zQlAhXqe*d)9JvYeIqIv*kt>S$IVj+BS&g%HONbJg zv?R!ooEUW;RV^YqbS{m(6W;xZdaM0Iy2F+59NWmf&tBjo6~=+B7m!|flAUuy*gq$n zegCC6G9#M(QzOMm&u4i`I$!?%70aXE;QIapx+Xrxb7mnvlXG#HlYsGZU%WOHa&uuJ zk&7z{omPOy%v{dTEyP?YgYXp%M6MJUY+)nM#D$KTR*c823S4Iwvr{DjcZFp7Cv}pu zE|ECtOoBBNxvdjUzeW^AdxA(k6~bljYh1qJ&soo#cwWCjkh23GNgnKpzJ#MVXU>N% z<8U#I%U8p>WG3u~tt+nf-rUr@ft%qC&TYPm`;qgchi##~YUR7fC+;krVrl@ zE?C#}!Mni(8{0n+c>e)CA}RGYj=ZJi6W)}4NL$nUG&hf>qwRf>xW)^*c~2y=aqmVt z?P?y+Ezxni8a|=2^JB_I`>5^yn6{QF6b*e$^4%GNm^26pHOAwj9uY1|vgMUxbgU;Vagh*EbD}CO$xG5CJ5`G^PYtSlEhvk#Au-v6 z$|7SzOJwn@Kfr-bUrsq(;+lsq*UgS_$Yc*!!aeb=_vX^Uo1Ae-5lQt1$18+YI$+0% z4rd}_g@~u@CNe>c!#PvZoUsD;%L-@UU}oP(axw1~vr z(LGii?|b4qj-#gMBfcy6h@q-aiM{g~_1&NIu=aBX>OQ5pY3jQtPud&SQ<=S*%rt2- z1z|;%Y$2s-Cw_v+V*5q1sglF*?q;I%b;+$TCMC+6u)Z@y6xxs}C^ocy1Chfzgfxod z?A0fxURdRBOWf)$aIAOXQlJY?UUt~W2Vphn&*2OYEPFyYeBeB1-J&SnAIlH&;lf&m z@x0w`V-NaeV%xV*ZQTj_@H{wR7V?Ej!>leGh*XuLI@=*{|s zY(7r(Lp@Y~KF7JvEhJn$M8MDq;s^A}FIj?}!Xc6`+Mp%1oJA{EvS_W$zi&WYvXR9L zm$6Rj1Fl}vBl?&c?WG&=yr+ds3bA%y6SxrELQB}O8Wn2m8h{izeG`cF5&{DrZB$a7Y^}bKr?Q!pZ z&l+wU!?V^2JZ=1f;r3Z%6-*+l;uBhXC(+;b0bdKjdo4ukR>yd;TnLirnD@HIa%<#Y z!JhZX>zPhg`A0PMy~iWb2=5B{M07|w8p<`OX_2O=bt=t$ zLX3o1_KW(xqH_z-=x_U$idKr1`-N3E) zxIZwCy1oyo=$=JQD{gLVl(S=}9xm8lFsF+sE7%1oW`+eQNqXWw_yUYWqGD zVlkK2N@3Azwo>1qOmoq0%7v9}s@X#JEou7mRml=odZ2Ct?TriRt64*R%L-weJ@}{eiLGqfr#qo-3bFb@tss=~V zH1ZE=pAfd@FKMiwLrwcUYJ?4~uU;ui8B#js$q}|Xu1DDLUOkGMtSM^IBIw?7Qg5vz zePAtqMOvI4K7d2v9%9>Vxm3A>3j+>ZD&5DW+lO$=Hs;1HN8Hno;gxSmbml&i!c7P% zI)TgJZo;y6;m~`GcxP)euA5UEzn_TegWRmyL$8A|nSR^2*nNSR^iy=X7}9&umaOP= z?A&99*J^(pv_p|oF<|Rnb>uWwvdwZ2*^BeZ5(#?WvLkq^`@9=k=ZyMgPAxuxyP^;N zGoIjPGelvX`MZCxNB$AMHIgvJ`RM3fN%RhLLQO>*zrP-{Z$miW>PCR03jqVJ#0{7U z;*#LJnxOc7#%z|6VbQ9k%wE3i-#4HxkwS9bayH0~$JOH`$5$Fs7GuVRhaOz)jKs6x z2s@s|aQOKlih9)8Z?c<9*5`QK`02Z`$VXn7a98;I?yfOZCdlG7zMlIZJY=t0ASQ-; zSv}Vl>)F2SnGwkD83F&5I5;hW-IJo(W0uXbgk(WnFIW-tN)Xp=dOsZ|dVQ%7uQGhr z<#9kU0sC2z1g@&YZ`R0wX%+Y{%_Kl0k+pMo;=3{qkGVl4%*o^VqzB}#tHF1EHZd{@ zSgSbUzAl1q#(mAhd5Z;pZ**%2)?w6d|`4sy@L(I#6 zh;07%NS%7X+_}B1Uf<5HP2KF%sN$GS24{{X5#Sy~(C&kTA2cP$V-793AM>#5WBLSw z4|aa=?pA@;{xNjcO(oHPG8N^LR1dAD?Di5WI_AB51~oBZDg`mCNOhGZ$;X5no(96Q z7!cd7PDuW2T9Ox#bW>15{#+8vmJ>C&mZWxEr?EhpTgn^R8~k36FQx6Pa%w+^QoxYNMXf7Vt2~nY3a;y zdoNr=MMh3oLiwGEJS%^n?~11|B#5o>;V2<~qj)Mrrm1uh`UbPGjyaEeqceMKO*rgq z$u_w??5-)s$Y2jw^Ag!_B+bFg#_Zm?1-nv5Y_1p*)M$;jpFGi}wgkHG#K&_d-d+|2 zm7c*fz?>UdyYR^n?V?;H^u|M6sWHR1^#q<5wi6q24(BpWN_!-@-#CNXu$d%9E~KDi zqYxHJy!_@6k+^}x4zW&_0tr2qc;v{C-L?gvJW0G?%HUWcNzyG1u7qmg*(qm`vA1gsYU57xWE9_jkgPl9h zBBN-)w%z-Xm)D?hc?n^2e7UOahQm5XthQTmO4&hJ`0MPt7{s-mKVfXsK*%GTcf)-* z^^M}5NMIvv_D=m2JdIQd(6=L|eGPjm+&S%Z84oLG?0om*(Iib)r549kElIj!fwru) zu!@tJw|ee>glh$B7cXa(%y^DnIL!?eFX}V*uqiZdB2vZ zx&1^hAK>Pq4osyAu$$b1)<^kW(=df{0W|` ze`EWYeze9_vgOMPu5IhVSuaam=n5gCsl=H0Q0{b;-ohRl1p^)@s*;a&7A9bD}^O8COBCMXXgb3yUr!{+Gjkjn8TBi--`s@ zb#{D4d4&u)`3Fc!b0sk322tSwcr^szSz||s|4|we_EMauOj+YndRpetD5ASVih_D& zid*H0yS0QnRbTR={&OCed`Vr-GU8gb2<+cYK)MehDOu=B#IdcUpTiD^@GJ=-!{48f ztUwYXVsYsyWxrz(J2U;caLyjb(lCxXpXAKN3)o#a$HlBL&N^M-be11Z89tmT^5R5; zA3I8%aH>n-qS^00maL&B~P31J=<_We=K2STvNzO%*s-D7AK z)I8iNXs%`=J=JqXqF6(6r#AI<%2YIMp-_CkYVq9?2Nek&7T>*X1Ko8CiF~jW??E#T zJ<3MUxsX+@KeOIw$8!Ha05YRhj`vFk&c$p^ox`JNE}F~ zK)~E|DK5{gq;f_tI=bi3wBE*=C3~@+<QJ$SuygjVjRg*!R%8N7jT0U-IKd0munza5ZV55_X*JbiTpKh>6-C| zxQ)Nya^_dOcl^TPi@zd!=pnO8U$ZvxIZ7A0S+$^9WV2q*DL>=%yk1L#S!k3c}qC>!WT>@OUamHPN_(IWH!{$<0 zC`W{!0_o?LlH#0#J zToTgOkl7$lc&#*b(HjIAE+pN3DN&x{JA}&+7paOaE6S6^-?Sk=+K`TH zWpa}@l3cWb;Cf56Pix>@bCa_d58*KANJX^)-nmvBJ-Hj-M$t)~cX6UVf+H7haHiIm zE53(uX}-YaC@YFecaj;mmX@Nq47SeTnGmFb)=9MYeoBw9gU?&W^Ri+r-*->oVe>eK zddCTZ97FT1@icV4M`!;yI@`x^r|lyiH&5brqgYqi{?^WkG3m0M^qRGB{ zNyr67v;B4_3W0IxKB+@CECQ?B!=emf?_eRu{!SPU=b;jrjB(W+G?d~{x&1FT_zbaZ z;8#`!-)7eRuUQ*C$cCzKm?zrh#^&Eyp7oGLO>dCT5bZAcHOJh(BS7T^L7Lx^F8i%$ z`$IUKEMeUiGp;LoW4U?wnTwv&7-*|gn4BAzSe|K85BaD(=%Ss=Ev`n$%&A7F4c6hCEcGZ zwI$+Q`xBA6l_Ei&X(?Z#uCj!ME0-~UiTpppHDmc2W~^L-^7_w-I(P&Z9a{>D&T`|K z8Ajh-LiM{iwmu8t${ja~y0;Lz_Xq*v%RC)?g8P3VZmJg(sL_O*LLE+Lno%hzV)k#3kq-MBud(-dG3y&b z=G7CrXn<2IJ22l=j^~V4l2<%i9iE4H0~N{G@^0;ONE`|vAn7{9{E z^BERi57`iYAGQ3S*yM1JHPic1+AzdUji>DVw2YJUli0h$pIyt`@fBG-?}o@w?(@lT z-^g&S9Cy1#25)*qTW9jXlNXeiOhkHUOhSms7|CsM9$I&k= zNB5a^{?JlC)3@OZ<&OqmfVtXUM-;ANQ zZzfqan@H`nCBE2}sAOZp3bg3V)}=04k&FRju^k2KDutK{dzjm%N=orMA_ldHYE-7F zNtgC?B^siYNGeb#vtEs=iZ#?UDN&NDLT#QtDS4Y{u3W)`n$LOAKAt&R(W zBfeDB?O!c~Vg}K-q=^ikN^Z3R!J&fG8dOO47ZlShPgI~1fsIx;UDoHSAgOaV58+bc z#dXgegjC296)!^{f2 zBuk>|+;EOQ#?hrV9sNh z;(s5>hp^bam!%K>V!p4id{6&me)MDJRR6{T(azW0`xlEM?lAY>Z!C?z!~Egzkq&-< zO5AHUE$_i9>L-lc@1Ps?5-q={?6!SM@aET4zyFGykG~@1vzJ^PQ%9s-HWt>lT-o5y z2_q-uH5J%rX^E1m5?gj0CSzVLxy$NMUviL(TCr#?5H?Rf3**I}oR>?+Z$=NsvVkOu z>-$=eR*#^rEkuC%*FV}?Zl#OJh zZA4CfE(?}PGIzezzki&UT*RVf3y@y+2{p-Tqy|qRHr0xYsqt7>6tktK0<*+Iw9k1H z7=4t?Kov3_Re0F;DSe_5^|nkDN;-zFuF;~gt|ef9HNjFTSX%5v#zY_GWoJ0BB!Xj$ zqd59siepQ{v0Wa>kzE;Vh)-ksA73LG_6GN{U(-JR0X~x}x$$KuHZyC`T9ilb+K2ef z?ZbabFK)A2@tfVuxdr9y+MI^JL_Trr%ZOdj#Jx{nk-IX7@TC!i%fzu)?F{iNgXsL? zDS7LP$y?OMjX8CAJ9cx@p@bmI43g9e@z|O|gmwluRlK=!I+X)H*<4Sn;KZdsc5J%N zA+vCf?XTqUf=C?ZM00XcB1f0p#Mf#&1>PIT_FX`Rn=+}_6^Xt!i*ou4!xG zXuO3BE*A*$j^fls4-R{}5_WM9eU4igx~jpThdk|K-N-&eD)Ov}iM1xy)r2B%OVVOg zDTtDy$74O;U)#ix!wRBX1j!BR6J4cDQqV^3`>50Hw32Su)pYu96au)JpnHZiX3Nvz zy@m=eS;~VoiS?DE(s={-1R?f%tRv5N16giTBwbri%8iwzdd_2@a16P5_w{J#J>GLqdEIy?)JP-bK8gXb&sPUFv(|SdnuZ4|y+9a$^Z4)O4q13N3w8>93tmev1liSsL%|xymVDM^S?kex-)k zSDO*gZ$(6>AtB8>IO3qo^)Nfm6`$ww&^eCOnB&#s%H9xj0&321Ddhl%Ja%w8!VZVd zRE&J=xX@RGTJQlb_Qs&$>x0p~ArwQBvA$n`cE}|xpQNE3dJUU9C2a9`W&7QHbi;zt zcwB}`v>>kbW^~T@VKG#IQ9=Y7L#5~>#h`dE0?T-ROv9qtspHMw@EpwCL)agb#wq7i zyv-xYl8NNjvJ3*}1>mxzm@{)T2)-7InvNWPa-M9L-t%raXbqLkLdnQ96PiZcQ<*iJ4zB2E`@@^BIXO5IB&tfUzV|G=|Yw* z+=SH9Srle3qq*xdB9pdo=u|Z}j)iQymVx={987k{bHn{CRi(;wnL)T>rF&gY!$U-k3}H+!`|040HL*asX~Xk-t3V))KpSO48CK5(SBctc$}&@f=BtNfb`GL&%&+Dwg+>xxAY~!&=HV zH!vX8%<$S<3M&>y{V-_g}!@_5j6~LuoqPOxm_45^e8MsC}Pog$_!#cad_e zMr6+#Ld=UOI3}{%#U4&vE#+!r4>zNmIn>;TNk^yXOt*;8%HsOQI3BFNMVheA{u(V5 zsa8^BRL(8E7Rqhw@wuAGuB>d*9rKB_FXQ65T(0Nc#kTu42OGL^DedN3NiPSz(oi4n zVf_zx(0Ve2pG!A`XCDw`oQwbA5;DA7xsuX>+1*D(x^>aNsfsookr0mECvjg7#nuh< ztLD>YQ%9Ok2_bUXM62fFy*`N>;uzi3{kdvlkMF5Vc$`1aMZaC#6vB~MqD4frG-bka z-D#f4-F6{Z-5(0#{E+tcPkC0ofJcHn?=}f*S2>ZcjxR*L@jR;iQY7L}c~w2~Pp1$r zQUCtPKeo*u(Ap~muR++n&QEz!HAPVF2Q+rh6yi3E$|gz5+m{IHoi5h-gvX774qM+B zRQsN&H-`G#1+FpzRvN&GKu4Ann_plVv0M~klQLvhM?26tt6pY zj<7qrBsZ%GB3VGW5aiSWNh)ikDQ}aZs9uJ&zD?ve=o5ZNiR@ZEvb&Titk)u;_W&_@ zXK)&D!l~97t2c)^-Eoc!g8}&DCUdzz7`ygM_;q*@TJBCtjxX7%AruR-OUy{X<7gY_ zWGe{`j>X(so1hKXxuA6cIW0BJtoNg+qlD>hW8!B7ld>vY*fJHv|~Cx&onUoZXYK*LkYD^#MK}Gd)+J8 znMQN->J9un^+*U)AtXo|MTMozmt4kzMgJkLHB*_naxRkVrjuTaKL-#HuLHu8!o)eM%u-J=(rk?mM_9I0qo%lIr+?()-oaH%0uZSaT zouE5idwkZ1lJLn8X-i8SruQ{fWt_hg{Lj zqRh{Z#>AbpT)#lijzVtPl=A3wJyi}hToKvSFDehunrdRx8i+YwM}>U{#qJ#(jml+P zZv!V=%25BN9F12s?62)6)gp&XgM6MU)Kjvhh$8E9dMt{`v`QuOL>3{XEd-^PQ|($r z|D_sSbGz7_lZHcI12^mHNsFow*0BnYlv2(F#MAm?(hQ$T zaX%6Dy9p5VT2ySz^#CCNBRAsSlp(cd3z43pjWngO?!HkUCYu zLRR8ir;JmglIZw?4r>i?iCRoi{(6#fWl3)o*3n}IsS%3^FOns=%}7w07U{x92l`5q zQL=^PG-bSfmf@ecQP80Q&c(uJ-WKFmwE_QrRRW7;3GLCvKTnC$W(|TeH3{k2LtvT- zxh*Dm6v`9Pw;A7DH4=N(iOG~FuU(d#c`I-#)W$J+Cl2kGxe)8X>8?whzj2mJ=WgI* zltP+bJ=YcUIWCfdv3f2>X3a=xRIpmB4mrbHtQ9U}!$6M6huW4ocn@yF;*BjkpEwd_=SaNuK@#@b5Ps60>z5tL&DckBqz>sRipa|^ zX5q5s%v-eKAK_ZI;&WCm9gpm)FNlfI!zXMau_@?tpmq5hbHbVWP*lpoNOoB1d zX*0-7Tg2^_`DD4T5?N|I4;#iX)H#Nx!WCRo4k1P&41F05jCUQtTsD~VYb&_4wg!i_ z)&G^azN+cnKZaL!cAybg$)dU{HeBc-am*baeBMvyvUHM`BydeGi1Wq)f;0ljlgY+& zb|BF!6G>c=h_`Ym2Ad9&tR73qq9BS_Ht}-uD>5Y0NDy@*)`wxaX$JwS;iOG{MB3bH zlBWKGCylM(DnQQRIHa!e^mP$xH4m#ho} z;%aU1>O3ZB;{w+sy>To^WlLH%tK*xQJM=5F(;l&;|2x(jX0WTZfy*xb_?P-}%6A)K zor*M6=#tT)PGgli8Qn4zR_K%3wwZ#WokX`DA~@23f)*LNi-a|ASx#EDoG3R^cuR(q zmX$P#WzDtPlr|YsU2aT6ogRgqvJ|&(pjePlTC4@RDMmCk$MG&HOsuWJqY zo$JXK1eM+;ML~}WRdrJ2^$9E5EJZ`dO0rtz3BM;pa)&(G{feY@N|Q9al8kmyzfXd) zMp<(D){@aIPgaLG4;81m+&DpP2%amvIHP$QwJpk+Sev4%qbcU^C1qwdF-zi6 z)zoMEw!Li7)fObSA5}F&E^fJs)4VJ!wkNM-MeviI?Aqn+i<>Y$9cQ;WF+d76efhLc{%k78@Su}*}LBg z?)QwLGhUL2H5t^*E5^>~1Txx2=*nK_>?VI4WPGvz|1~!KJO1yM{h#rly8n)m{hpHX z;E?;Ek|%=2j3rZR8BYt38-1lgm7)0ZXDomw%IHde7 zJ4W4QkFc{Q<9@*C{l`MUI^T)cwlPoH@?H=7=9OZnd6eYqW(-@Mqd=ygqvxMt?fIGo znRhv1TSuyCDH--PwC>9z-YkKXv#r?sKSk5LjFZ>C!^h?Ym)*bNg5Nj9T6`}={x*qH z-_btnC4JLxlfV2fEepP+w(UI`=#K6TyC6HMw%P3ADINquc;*KJMk!7jNQue8j(O|?WfJ=5<| zGW$7ElLu*E@P@bzL!y)Qa>Mfl*Sx;tkYpjurrdy@ zegeD0+R(1-Lfxr^FQhY3bZlk0TN7&%pEI}r2NonfK(Zf}ZK^}1rjIixlkjRx#6HQM zt3yh}Ca)v8YYVBVBabC1b5mH9$a*7j44U{>9OJr&5i!Mv#CxnKD_0+{ASLp%gupZ^ z;*+(8lnfzw6&q*~v{oFrnG{!DO0soH7acSrYXwOzYjD4*PEO@P;`7ajOi&>yOO2R5 zL!t`h2=CcKkRZ5}wgbc!84%oSKtQ<=&mKbpt2X0yU!LoYoA4VHR z{7lm1pRgMDJ)eyInwjr?kNSImqwwBSPM@wp!(=}}@)6j|9>CCY3p!dFm|Jc}MMsY6 zjoBox4aCA)2TjfO7#!G)to9mq?XYC$Y8$T1&BDSmnJ7VALtSI2>l{T_%jc9{|APC~ z3kkcmgQL$+;M#PBf?ZC;Z$C-Y-n~Q~b->y}O9<8$0z5YSdj!;ZELgsbg^SRCW4Y8A^F2q5{Euz_XPf`8ZC7%7O$i6qWpe&tEgDHhOdsrGz1uz9#@?fA(qkf* z<&e8JjSL%MReb7%aJ5jSl8gH^4`P=jk{}U}r=T%|jR(o!oI&t>U&_}t^7Qk^q%Tht z;ucSkR0Ni*w-c!mB|6{`x${y95uI`OsA29*ctHIpk9qvwYwX8%ab0_eQ`)z2QtKo{ zp_d>*SjSynB4ab%&#)2H7(Hwh*ej!Xox!I;s}i=~dWmip6`! zL5lp1aWLIP@(nlKGZHye9?FHPAPyv7CLt=EcE3^*yy_`%8zj&ug1c8k7$`kVUB)Jc zOU-!bwTl+r3zXX2;9lT127=6Z?6ZUJP$Rzc)8?g@3NO5Ol6ztYZR+Rv&E_(%J@@b; zP?J~wDm)9?Om~n5FMaiSWifqh z>i!XP!!4t+{x%mZipI4(^@L=q>6nya;b47cbG3p^&qh6yrs+k)%8&TQ5 zoy)Rb9MZ5uNlTMmru+XN#Qk-2R$IF53*#)@9fBo9(cmG$-6cqX1SePs2}uYct|Y|W z-QC^Y-4h&^uT`?DYFF)D^}FLu)!Fx+``y#Nc3V69?mv2)^PN7&98Z6GpYNDsaB%NQ z&TD8BI5m=pHL>j3bAsDfAE3PduyANP?Av|<+Y>HWFUUdTayDVz59z2LPfO=G+RGQv zo+w2}(|C#-l?dtGk9Xx0qVAa!aMOT@`+9iaHYYvBlbY(=6lAE8k+FpxJD0OkK_2Nf zDvVmSMh2NR3dpUNW&6e{_&c4==a>>rBx~|QpeNP%$hhpg69zvi{fJH~#C^{h2&&zb8UL}*FABL-cK03~6+&JZk z`5ABAuEmQAC(_hB znTqzAG`7zc_H`M7&$hrCqw5sEqk%kvo+ z+_1vHIe@DPkvx47z{7p67?~&W&?OA*#7M3-gbEet%%jFALY$wF+KfBR&bTL0OKzq4VoxbJW1Zy6=-TWnJW$S)6wYM#tU<-OxB5irBs5>dl?_3K=@vdSNuIn7*G&nL4(oA!n=)HIKwyHuG>rYZe$Hefj`5ihAyLRZ!B za&-zPH~5jTu?~;rsbs8c5ybTgpLvP+$md`w=ZKB64Zi#1s9*Y?oK=P7$n+7uvXOxe zgEVgX$goTg?qdJC4Gp|s^$xckn1k&hX_hOL3->7YQy$3=&8mB=i~GVRafhJpTalSX%Xl7=(LZ{ zpzS17?!~wB6cJ%6imQ;D8mEm{{c zxn$AAG0%E-7PPRjbAY|6jhyWnM*WI8+EsBFp4P!AFOUb1ZV*Kv!!YcRi%5xo# zjT*cW!V!dC zqD*qYTC!7CQPcVjje@u&eT_-lGS!Iymb4`A6T#fvIEK2;@l>}rj+i%jQipgtu2EG+d7C z%aR~sudZ!VZ3-Kg18ct$X%O6 z>6$7YT`=UrzB8OTV!^B3KG^N@#Y)&Mt6e@=tNLOiVEZpvsRm=I8uGt{tx7odJ0p3$ zBOFJeqU==y#Xi26?DoQFuNRiPyoDn2!Dgoq=BhrJsrg_kAeon(&qUzmPI0U!dF)Qk zV%gt6vMTyBj-v+o{WpK3V0r@qs|s*AmXE{rB2ssh5+GGYpiCog7Bul@ZV}c>{yaVF zN6_jB;x@+PIS8HV{4UldyZj3Qp)ncjC90 zP5#WCsh^48{X2rT{)WZzUwC$87`5@wrVB5yPoTH>K@fZc^{Bx*e&_CY!!+Cik_JGKKJ4vrpq1XEqpDtct==LMB!wm7w z)uJ@x9KD{~84>~A>m@@?#10xAj*?@kL1={?Phv0Q+Ix@q_C3V5Y#}^fnb@jR#AaT` zx!^vgMS3_?+wro;4)5Y;6#JZ`GX5ZO1?z|^SWkG)N$iUCG0QZ@)b|R}S(nL6-a&ST z0&yMt32nJTNwBaDCfjMu7iuJK61iS0$+N#kmhCOF-StWFet=i_BWyYZL6+KZOx>DI z`ZXxL8e~<{56tcU6KmpH(dw$;$qQ2+G=`z`%m&@kM06}%@hl0(@699J#r?d9)WW*; z4tZ@CC~n(Ib(0hWLOBjrPo%YVH1(Z=d<1H{M^Po2b}S%1QH4OS3wY})4I>KGxpp$v=NK+kKduJZ# zzZQwdjk^|CaC138i2X+5{dS_ZO^xO2mm{sH#Hi(~SFvULPSz`JLS@G+f`T`blp;$; z+9jN9o$w0|$K1h@7$LQ-EsRKvctC04QYtd!=oT_KEpVBTxI+FnO{A-SG#%jzq{_un zpj^b6Jr~ixql@ZhOYW`7;+ae_MjLBJRK#QXVjjyDp(9hs)75$CNGI@gO$<+EW6_n3 z6Oc^*2jY3YIvc~4A_J_-MPE8o?3>EH4M}M4$>i3-44$b9MYXjUy)DA(?G(pVDdNda z$$YV}jKw_Np3i-yWOTGD*&18U!oU8?s)%oK9rc#Kjr(`HrMmEul2}+T49*HWx7wZf z&8dXS<`KQF7(b~W@!D^^y#FgUkH4T2|Ao`(zaX!W#-8bg zXpLz@d(;3o1ul*1;MAyUUaGkeq5pueN49ug_QLj#-tUtyAThiC6>vFdTdFx8Ckf^Y&IUUT4UHmW}sa(b`` zLti%CdjtG=7ivF4~Ya!19_cNFz zTcF>V$(8_LF1Nj9XTTetG#T?C{Q`mQkFYN}idW|eEXuYL+lYb&qx0z$-MN-*u5B8Y zG#?T#6@~wD7c{kQqkj4ZXKvr)qSiGoXlUSk+>6kKz1%vKM^W|lk?w4o+66}lcQ#Zh z8Tdq+Oruj|xl4xBJBG2@E1YA3aILSvtlXD~`j-?IUnR~~gQ7SU_H0u|dZRq+wr%}q zM}l&avC3A+vPoFQgrsdm#H^;Y^cGg87Wf5)@zTl#AD={CTe=hBt3z_kdQwB=#EBKj z4qioP^<=@O-_R@Ma&Pi>qSrE4MkKa)gVgg;zcigy~6t!i_6%xR=cHv;I6k z>4U*x4=lwx=MyP79!$YzPdp9>lCj^Hi2Z&EL?sijI}nHM!Dws_MPP9(6r+=Vytp1h zfNM2QZ;CMTO~)WLhv!*2Jj*TQetITPa`P}OF6B{bCN5#Mn4gN{r9mzS3vyWeA8%PL zDzn$9kNjiQKWLfON2IWv=DQ*}ENw`tN*vBo&V;QO_p&jNm<_%-D?4L+#e+~eACk65 zkhCg=;b|?z%7hWUJ()lSFH&~}5ic9Y@T6f%78H`S@Cy$`B`B4J*f~OBY5bLQYkM)+ z*U!s~pHVgKAkZu+)jSuP0I#02+;Z;03MBk6n)F;{{K*7C;hwzD891N`o4<9AON zpS$<*esG?E=Q}A0-^AONCG2# z-`Qb2_?p9&y4bh*;hE*mneQUF{xKfYwlr?U+hbSs2Fpq#p0_^1Ay=O)Gb^g^2xaUP z&YPqVp7%JR`GX0UetgO0k0#s|!Tn;up8Y=taq#CjZoQA>%n!jFsWil7*d5DSQ%*#j zLH%bduIKAvVQbE#q-ak6T+G>TLopZ-%KqI8jM@YV=IL|&TQ5%i>d&EH1K9t&0B#KX zVCnLRpnF$wx^a=LH7_;L)@p#iaOfLS4*Nxu@n(_c~k>oYA0ULl!BUf;fHZBo|Ixjg(h21t<%n9^FUOz2Tfd>-v0qHzaLGlIG5WzDm+ z1dfL~@jCx1d4jY}FRJ4HY!8QbO1f^IkCei{dWVo+qlo;Pb;2r6BRoox=!9h?CY{8_ z+=9TcD9r5K@DGZ_&eD?HEo zOOyU5+?Gn^#&UrbDQK=r6vvC^^7=&7cc!4aHi(VeyxFZ0$2t8>_C3gC>#cZ>KF{UE z^L$ipCUadQ7cHUQ?r0RSIWmhyfBy;T@bB>+^#w*j-=gpElWD_rYcpr%6L6WA%(OrBhOt7hVL5}Ye;$3fH8G2dRzlYo}xXi2K3-}3(clRevj6PiT}hN|b$*7(n1);epK&|JTmrj{8ri)GCPC!}X*1QZt%Q0^N0EX=s^1gIL$mK5At2qlUK8bTyBmzi|xh&7(&w)W|Xs z+!AFX32e!TM4u~1@#$YZunbpE;7jQwK9+w&d)s(%O>-#dP$Di-p0K8~1PIIT+jbt; z!aZb_-^S4SF1tS_qY{(M-u`lqg%q#I#K;HMP0iy*y29!dWmMNFP4IbRT#g(ecJKhF z1`F_Lx8-%c9p*zOg!Wz_C4VcAw`me?qRu{L1*GJc3*wUfKZ#3DW*r+hOd>ILBZcL% z6ct|~FEfME$~sc=3aO|oCMPS5wgzLWs;1Ihxl|PJRGRV^)7L5rUox0M^F)gMcM!fQ zo`8*>T)%OF{kslx`(iSVC(?00lZx%RWGpYGU?G-S3ftjwIvMw4@wlFd#O+KZUgu+Q zIT?=o>B#>JqHsBtDwb#CemonG<5{?!$inet2G(Z;xtz-sh{oz-FeVoQczHDh1C1c` zF9)EnF4W!ye=M&AVJD`NmgFjhLCm9_RnZ^t9QAI5xauZ;CRC}H7aLmGJtqyPSv9!M z>qU233GUL(ge$gUEr{schIs7eRNy$j7}vQ~bWiy~Jh)Q)x3potss|gX7O}iZD6Ze} zeq}AK%7xTkOW@s8SBh@C(Q6z{fwnhoram-3kD^y6kUVQw9Q{Qwr+eX}e}{0ri$p&^ zLf~^Xe2n(uZ*Y`wowG#i+#vX&4*rj>lOME+o<>Q}q@tp?O`@iJoCuz8sA`=;bL})f zl~1R%brO}5E`^1iDQTESP4yJ&%O=y9H;uv48MNh2q`Gk&?KKmr>YOM7Y#hyX6Dew( zNonH>YHOuvtea0;^9-t*r<2+-g{=0mlr@j1xOWC!`HL7%m#3;xk@D(URJTl`S=51= z7I9tmlc{Q%Dip$W%IarQBa}f?{Sr#c=FnLoMUPM&{rU6gDw{xW-2|E%Cy~=Rm#TW9 zD1;R&Yo1GsQ1L@m6R52jM_&6($}1PrT|9@O#_{w?IyP*YDu`tZeKm6QHwZH8m`YdU z9BNxu(B86T& z?%Gj&S2K!6v21Xp-zcFDM4nr1f|>{(C8^PO@3GvdT{KMGf(Thc-;OlQH@$ea-IeQmE^y+;0aQ*N;?$+vWGZEnu`7WChfi_wyf(YeUgMbhRn8ti zg3D?vvM2u;?ag_V=Bkbib0-;(v!_9jxp;n!t!wZ;qf6eU2SoO$qWXIgqm@o11zX|N zqfc<(NpdQ-V|mmJpWDwkp|S(%4NH+y`X9t4EBRMZfz2By;~%I*c#L4ekb^i_TjTB% z$V(AeK7NrnSiK=P#hij%If~LY(p|lRl301#tH#kIBw%;zcq$?{;inWx*q&f^s&3-` z%||FMHsjWu7_?`_a&2}b8Vh5&BA_)tmiu$!cr-JPJ9CrJnv=-Qc}ZNK6~_(n`^MbF z|HQ4iaoiQhxG#?JU}ijzW+n1qme^)m6xs{Y#IiV^OpE6646%N;*j8+(JvW~FGsSsl z#EAV9xjZ+4+h>YU49;cg-~WhQ==Zpc{>Xm_tN3==SNvovG2Kx%tgSo)(NtzpUQCgXx@p++$l0zkEkRK z?UEpzLQC^B-q%bP!7zs6Zb|kQnOtP>-xZ9dzHO{fZG!Y9J)@TWGcY8LiQB~QHlYMM z#JnV6dc?G+c07aS6Zm7Pn2O($U~LjALNbQpN3mbK2u4X~akWA@NCI5a_qya-`b1z$ z&iPU7^MklP$@q_@T@%Ug9VZAt1g5yw_amx8OvU# zzh6rvKM56B#O7Ojqs95yd1aHwi&?3o>fXUV-nkWrV&| zrnO*)DA#H9RZnABklI^uF3G)1Mm3U*S1Bor&RTISv2Lj9pFP_)i}N)|UTlkU>8ca+ zl5ZTFS5Vx!oT92VL=WsBrSc?+&AK=R-oh!`i6^ghdC}>}i)c$6BTR7$3&z;vHBWEr zV3zESoxeMG3Z1!==#FldIqre(M0&(f{UD8&3w~4`x5d#hk{w1?oYod`Iny7vbKY#) zzmGFF1W6q^NA%KQT$aA()KyJR9KFcVD_1#i_MEVeXGALbgC27w}-eqCGKq2BG$x+qg%Eh ztti6^rS<^RIpXc?`+%C6LT}p8D5xWSNk>XF^6A zUpg44vZpYM)&KsPErCDsa>9H5JmDX7O@4>{tP1WdFXF7MWblg$f)rBlQHmmHUA(Zq zLN#vj5Gu|SpS2;xE2j{*I-kL5Jw&gFBw=$lL2CkpjZ7d$CWVjFdilrLzmPupM>Iy& zlCu0WL0iAY@ythd%SCg|?IY@5-=coL9qoN7yt&~+#LK6In;s$BZU;%;qFgJNiAq0( z?nV(LA_xXW@C->Zzl2CcU`U9ovsna~Af7f6T#`Xi2SgCnw@#$IZ5B;Ub9q}ei?_mF zysMfhf_)skEe?QG|CPAA8&B%rt?hEtEK z*!?1li(WPCYN%&@Tq%d^J|Mf(or~GMTt8umN3AZ28KTTZk|<1_L0DRxrZiWcn8N98Tz&nvNK8bSc633Gao;xfE`$OeqK8SM+ zNR)K*aw-}Zjr3ig-?AQ$0UhqdKjv{)F1www*!bZmR@gLhF!DW{of=T=`5m%>jjZnf z1DkyNk#GGyyZqm><5fK}$4fcuHq0&E4%F@XP}d#co?$OG2fIjJ{f>eaKNBI`gWJR! z9CcIJcUK?vtM0gM_v3}^9d2E_#?GTBIDYvKHR~fu-WAK%b7#1A;W+0{Z|B6NBOFq{ zg7Y#9ibwsK>nAHo?ms-DFncPd3spOr_oY*Kn=80RZwu*WJE>^i!HZ8fuo^e2oQ>(em=Sjf!NcQjE zi>Br+)~|lf)g`gqU6jn7g-QQ27X4rFfB*geZ~6ZV+?^kX&Y~0^AIxQoPZ?`}{S&IL zKVdcTBY&Uxce*BY<2b8>JBwSmqEtoL>S_|!H{-r8g@APhgvjLJrW(YlO}a#GPa;@8 zig?9B-p(H)VPg{hE91!AUP6d;06CvH1P3;=|BTPP9{esBkm&e|fjm9Ba<9^vzn`w+&2*F~(jfxBqeO|$0%f}Mx6@T1 z)NYxyuv~LRcArj1-AsXLLcNTopm!{(-OGvX-a@>j5o5owGeY@DMxu`N{s#Myt%Mew zA-w1$?%8{Y?bu0n$7qyJ)sq$h$P50BdFF zDpI1`LzW?v&3rLDz_8u{I$j*0(N2v)$!ONX+6`6+OINy{A|H8*owif=`Zx_%r>TCu zgO)@kp*CcMdXXBjnk}zoM!Kyjw3DXDVFRV+sx-VgK%uKDHMtt(6ausJB_vSFR{0Os+d)fGUkX??0$PE34OiU~DhyQ?5;9C|B{h19h zA5paFVVkK~-~MlGPI!xS{m-bzeqmSkk7%2I#o%-whG&1k^v3VGwfqN6oIY?Uua14m z!?-(&^~d8;+3^_FBM(s7dyR<6?zk+DXT$Cy04M+e*bYfVK~#Q24)3_np^cBPBi_ zcke(VlTvVYe@$X+5;5Vg$SvGVewGNFhG}$&jM^!r?m+!GdWEcR%-Klv)?nN=2XOVG z1_urt=H|s<>`unxdOQx7*$&M72k!)IM2-_ zWK|9E>)Qxl)hHC#PcQ~DC;i5?(Y<(o^Pb3g-_td*o7DBm3~UXfW=|~fd&4N)?T!C^ zTVWNq6D5K++Il;Y*6WF~Su3DKl(jNZmODtW6U)ul5ofcGcqb{k%9jY0JBFTip~ytA zw2MsLBNWf4N|Et}ZIOJ0 zrIPnXqeW0opmAgr8==~2XNjPi%DeKhd@2))PAI8iVSNTm#__&*5}!*aGh8eZSA_^d zVY4Lf$+`pqcGgW5m`-c?q>*<#BbKOqq6mDU?u149EEM2Z$@`nS@zj+FOItRBPdQWg zr9fDu(y@XF#*!(NOntAUlf>~12z%NivUEqa2>2>d?=nu3Xa9!hJ4`TEal%;Dj+c^e zV(*49h$zs&HFOg}c~=PYI7M)l7BLy8 z@Q;?JvSl4HA`ujKY@jE5J$+f*D5}{)QLO^~w`IHM} zw@8s%r;b+SDYV}PaxC70=kJ0z=6{zfO?jLM^+2b)p549~9DMr@<+xPTJ~y#BK8^if zn%L%&%Hb%Xrh*00^uK3ETocl7e`bSsAIo}v`6qGNwz1Q^okeZGp^)~Gg-yS(CGmR{ z@_ypH$B#UC^aazS4^h&qi0{o@sL<9J9ju>A;$Jw3Rw;y2OVaD+XJ4Cr&#@9uS1VLQ;b}m9zaULsH{mUv!%dJLk z%^KD#P9rk>AW>1<2@5@ltK(}P=^A3^;wNrM7e`xfJl^OM6{Adigpl=hvnY<2qPupC zsLA_4d6ZgTau^$8^yB* z=)9IlzmGAcQFo|yxJZ}L1?o(-NHx7otl1f&&5jXmdWZz8W5in=C*J(5fVzN~H#BMKT~1m0JengH(i*;yijXSVi$~jFIQhBR9)dJiqmG1)w+u0;)UcS&!B(h7&nKUj5(xL z&m^O5KI!dCNo!wCYO5S+Eeb@JEhnc%j`Z#Yq;-q!Iv11Cv6Ar8g=DwMlhq_kPOCgI z{R(9ED3YHvhl-5Zps+BZ_En!F~{Az*h z5IG{u6|p$KpXWPYaB+?!7p6pTd0IB-Mi+B@O(R$AKB9f5f#c%~*zWTQ`MN)%9Q=;u zt$$?Sn@$XiJ2`gU6w9n+kyK5%QyGQ!Idk+gbGf2n$GxI7F5J=Qa%m*@o|@oV@P=1{ z*aEX&;o~W+Y4ZsZ8)S%VSEe9OP0R~2i@%4Nu?7K7W*BAZ<6iR;U&E^eKE8uTlm)g4 z!ut5{pf6X2&P*BVOB86$TTinfw`!rNi<;#{xyq8&vX$gU72?|tlT>+`{L1}gRPG_M zT7#6D3sjZgLD%yJT7!ukh;`uH`(*aS_;Ix-hvT7%ThXOp z%2ee7o*O;zSYeFiIUBZ|IKsI*PdKc04<8XDdQz3lpW}t{ns^q>b4G5X8&l_c;vv;Y z>G+>GeK?(vma8Kpl1pAAwAIKF>$;qxwuSh&oWQ&DF>k6oaPhAtJ9vm-=VUSx%DAt0 z7oWf*LdZB6pBDO6xR&_Ts(V$Lx+xY z>tX~phYN5%Sje0ICfFR#!RlBhc2CPVlUl%*j{~Th3}8Rz9e*1OU6TfhQmDshZ8--P zN8+(43&#an7)%ptZB-?a@}1Z!HgIZP6i)Liu$wLEbH1MT3BM4$w2o-`exbO!@Lt-C zgw%MIdx?uvTmIkESJ|e}+nB3IoWYiy_ z0A@g$zcTVHLvEUU@;pzU*B)y9Pm<~KnA}izVuMX7iLfCf_ys}Xx_IV1#iPv-*OrI4 z6x}4U%!&+OGy05O_~}tNKi%}_tC2e;c7`N{8IT!aKth2P_T5h0`r^QaUtgo~gB6<1 zI@k@o!tmV#o_*5h`FlMK-@fEd-BSz)tuTHs)X-Z??tgEA!AA>TWk1HQNS7DG&$;&V z6YhT1#i3JNQ@#Oi`OmQEGs9unim2@8w7Ki?-ueaAl6$o@Ci#^W2`^1aGBF|5%#zes zZlu3R#o=HSdJiMH+vb6xmjfneLpYz8#PPl`o~6C!c84bx;enXdCUVP25KV;(_Y59# zw;=@GM;7Q6C-CU$OH2yG(AIsz%REO+%x(~oe-jVit%Mct$H#XAK{?N{@zTJf_zJ;6 zTkt6rmRs0wSD)i}dfeq{@pCL2EO0Qqg5v`XUMJY_JWiKa=6eXYQX$+yme}Y`1X?N) zWWJH`u)QR-sAFHCN|czs&e%pu^C<$OPLk7jfq>*qIAyEimAQ??#v5n{J?7}gV)iB{ zqc+^lzQ|_o*1tnDpn}6absSC!!VK$${q7_3ZZ~dfWu#f9aO>t-%(pq?zdnkGa<4hR(ScnX zp0Hm{hezuJd8SstQbAbC^06#i=7oZ?n^0Vyyqa7@!RTMPcBzu6KCO{|N+o@AwbaN^ zl(3r8_L&5>-Q&fOJrdj~l15QZfh`+n6CJ&iut-HBBKKorsE4VQ13Csam|HnwsB1%5fF;3#{UQS7=&6$? z#zjWR-Z}Kuj2(H$Rgoku6qg5nTf;eX{1g{1Tw#OEGqhIvqP^Uo`~OY2DecE)QJD8G zC$Kd<7KNXCQFVTY)!29ZStz3~^MA&FRS&PW3~+W;1#WX2cs;is+eNhm$qo>_@-6Oy zcy>tnVK=`N+l5&;&n#zP<}bu=XvTe2Gs#N7!)yL$TxPT~IPD|<5Hh)FVGBlULdn_~ zLgnTt`sHJ&-Wp4RS~Nk&qVcFXPswiFd~h{Mt1!&)XFi58^hso7W!?z23og}I7 z0KJLFX?Ibf#9{!SMg2K#-+s>JGZO2H0cXhafeqeHrT&;f@Aqpq9RY=-+PGEQdQ#G*HKcf zKu6ceE!tS6>t6>*LG zNo(3new92SUE9g6*hXH32HMUKIQ6~)wV)7=y=&)CKq2Q0o!Ncfh@1TdoQ$yNT2}%W z!o1l(C{$!nEPMKsI3Ng3qc)e*NlEPO5b>EQY-n9Nr_;*Oa*x1WGm^Tk5p--!p+~tG zKQZ+%7m9A*Q4%&*;Jd6AEwvP+=DcD1CV!-t8?#T*kIjn%*sd6e^wLa|6xfAO* zdt$#dor3Ydap__u!CfcmsTnmgj9zWk0`j7k(^w$kCXFyqQ4QOR9z;2*r4h#)CCYgZ6zFGE-P+>w{R-L+$B$l6HE)(||l1##-w zNluDsUtp58Wz}fl^RNk6_P(TKq>3!I*#0Gxj5)GJP1Y z@8{-*9^B_O6SwX&`cq19UEM~IP*fHQeVo{kPUzYJoEB9OFE>cK&;AvJlFOR%3mRi<$(R2mtl}rWj`}0D6aUQM*ngvB`Y%LJ?j?R+FUE3x zoSD#u#;9R|cLE;;J_~&L7d~@!)DYLi^6O)MLVZFe2~XV_EIPojq`gz~IKHb|NN?FX zUJI-7=<{vN26gaF+(UD^Ji}Fze$~fO-#UR0^ zOrf`C+{mDvjZLFyX&yzF2--o(zmmn1=&7G5p8FVvh2;Mz*1xYCO@H+``Xo&%B>PF) zL5Xb!t0(cc@*6%>3pHLop8ke$BFIP6(JGX=NN}I3X7RCVo>)GKy1Fr9xj07URC=mr z(AfG7g)QT$=p9X6^Jw0QdCAAcZ^bnaiR}l(@duj5Fd(jNpm{8Vl9%80VjIc(zMKOD zok}L|Vl9{UXNll0=R$coN9>&0*AmUnghVb?b#ma?OU~8gv+sxt7xL>+K4gi0Q7HP> zS21j};n`~qEbDI*7=DQQdSRKHMfr(y4U2niYnec6ySP_zAKl_Uo9b5+6E2IV^%{a+ z3CEIijI^pFgjmZ6MYW9Rlq1AMpTtLJDIS9$ST8`G{3OhXOOe)}4OSPRS?A9L%0 zIp+kC9ZW9bbaxNx@#Uz!?LjlPnq5QXoXpKev#E+JIfWeUYGtn|8>xo(Y)<^hvhpun zdGjrHdj<*I^pWTp@3}Xw8W)3>ksh&+UaNeJ- z>mG9Ud>ArHFS&Tt3u&cCA|?vS9{qPNoUJB&_<(rU5}P=Q`i2>l#?GLpT$+f^Bi#Mv zG^X!#Nj9)0{k$Np2U_^+h(z=3E?Kc!1ig`1MHSR`$snVsAc*T(7&JI2O=QudH^8EQztZkin?Q)Nl3doyX|2?>~%!iwEUC}+0%ohJR*7P-^ z?D7@2(E~8D}NpP57Gol-5vXhx_N)4dfG1xP51*H zqkg7$${(ru=2wy?eRxCc8_9M*a1lc$^Po`wARfcCT%v^?dyqZ-bi$>8d2qo zD5>2-MxYu6R;w9KpTzt6QG5`Ux>NFZxgey$YC(v#k{9w5DUF^;Vd5exD^v(g*@U03 z9ATEriL_cS6qg(!CR_2=xktFUA>sB{u?RiN^Kx%&+&tL#zz}sa6YPt9angH$O{qOs zi>$G3wZ}*QHqHUhaP!uru<1H!S*nz@$O(d8L_ys?0$dd-$=gq?*D`AJX3!@HzO#O; zD5EL#i+bMHK8nxcUWa-{jr=p%+%}%K9W(e^GnvY6p~~9F@=lQXS5Xd)?cdNXY|(K1 zECveZl3ucrw92dGq->#~akU_7vArn&pyKJIG^yg7Ce(56UJNXhNw2&`VEP6!yLJ+k zy#%kY<#_mSAhGK>K|n&wpSSZ_vi#ZyW$d9!GB?^PCrWXb9wWqmSa0F z(zrGWpA}|k-F%MR@!g!$xr_4d?VQuT&*pYezy(7&f6qDx=f^|9uZnb!v34mQ07l% z?lUUVZjl^wg1!4zvTFT2R!e$7m2_((Co9Wx`L!(HzL3aRQ=)kVj|-xD=ykg!v~;dkaU&u_fL{^GCP-SvSNs-H04 z@ecJVog>{cYmEDU#??_jVXhoc_=Cp;YuV$e{)U8CH)u>aLru&v+T+*pHcg4Xs^ue} zU`dGP+nVtV2~@SsBdcvAd1-qXPE=(mNtUnWt9Vx~Y>-ei)xrk#3FXsUw}6a#Wdb@c z;M=Z2YSTfgs~3ypG-~8q)PA9WirQz8)VZCH(P#KM@I2{FLdy3orlVa1uOPo6ao!dY zw56?cNNE)|wsj|w-De4DyCeehDA~ed=A~ZbeaK!pgB$N=4}=dleLBLP|nZW$5Bi|gdhQwk{611ab6zA{Vot^XRQ1 z_cJZf?X|`@`8oIc?0J%E$Mr!69%Q+r-k-+ls8G%nm9b9{*OlBVG?G&|RF%iW&{EW$ zQ_!?*VY9_BS{u9Zf6~OYdp4vm_9kY#FZ;Kg<^I+C>{V0a);&!&@7~MB`%hSRU?*3! zAF^F6zkTZ(2ezHXMJ<~6HQ%Fot_1(Vvm?z!O1mb}Rz8oG;KkH6&Lp}|8vP#*VN~l( z=4}%~?mZ;x`fFjauVe5`ltKI*0$mOg7IcX1yB4xy-F#N8-u2IJZDdz%KvrfGa+{`6 zkh-2v%`?brUxxep3z&V@!1RX;*nH6-eCQ;_!?UTDd?(c~pVr2)3`trK*NM#7Gmdu2 zw^)&@@LlVH&(2659KXcwE9Y6dL6@tm19-4J814TqtO(}*!eDeSqY6*CY~Ifp=GQ5ICUx=;LP^zAGyMw>zv;wS5SR7!uUOsq z5wq*RaAV&`ZXW#1-D4j)F|Ac7u6{0$pzr^Ne$+>Oht>9YqMltK&TIqeE^A47y@g0K z<&mZmjX7%MRV*i{aSh&YcjNo^ByQDWTDlYGf_22Uounf7Ds6AnXm{U6nf)r-+~g?^ zkf$I>o^BTf+TE0h4^$$e`2-$Ad+;1q63<?g3nuJQBk)N+P4$e0tGSz`4!b1B{O9^4Xz5b`D`WkwGyTFtEtYG z5jJ(cNPIH|+0GIKIiHUu3q}TCk^Frwv6!t5Qz@%jOq8%pA%U{Q+Hb(@+y-78JdDnc z(`YNXqO~T1t4e`rso%uX=Q2Tl=ZOqGNQB!q66<#06CzJc?Ro->*5cPJ?#q8OAqg9B z3SK~3ofHWUo2kk>iCxGd;%c@K=(mcv%FRT2$Prq<32%R4`I6V+;joO9;yncWuOm47 z7XIcp@rZFGHo}Wn&+cRO^g139rubL-^33!WuO8jPKGq$}Fh}f#8u4^9$0F+nsoiRV zxR%jd`wd^}#`0~&XhHTu1T;+HedTn%%b(8Q@@Mg`R?^B`V%G(kx6PouY#Cv1l<;gZ z!Xo7&etjEBXjR0gUzzNlBgFTvz^`Z>7Q>IQO?`;YkO}7LW@rz+!Z6#0>jS~u&IsjJ zbsY~Mmhv>G9qr6QPSurQlvK-$gmesE6mj!rGnb{Sur#ejR`V&gTBX8z`=Nf#4OQ7| zXq|~=qnw+tiN45fxWnly4y;vv#D#O7Y*c*Cg_F)WZO$cp@~_-buOV#k5(9OkDQuIp zRgypd zGlkZs@zgX;phw8(VS(lj;r#`pwiaz6Lbn#j`z4$?CKT8Gdz`!!gxP@PQmtBRl@2R9trG~;i)f5~m#a}HSrvsI|IZ*Nc2)6_EIPEFI zMkS80o1PRnyr9_oJasWgsf|8Ovrx$;)rvwPY$UXICjs5Nh-g(KsdfjMRe~()c2ie; zg2Bi=bfq1jJ9YzmA~6HGc#CPlxq@hfKP;hk(+o&m4 z;%n?i-bU^c>hKVq@wCa)?m<+J8yOkTg<^U^Qu8HZbB__+ zu1ajRicm58$w|LLe%b}$7%ov&bee&vEA$kvp>%jGH9`eR-r03a`j@K}bz3Crw)!y) z)Q{&&25u*B3JerP1ac;@`U)RnkR~@VwgSM40&m9=1zBJ<4QX&&+x!=+V6O> zr;zxTW5ODaqN-sg-6iWuaalxtgHV|HhjD6ph()3mM!Gh<(GlW8Cy)U59CY;_lbw5k zs9+^hQe@e!DutZVb~Y#<`lnTtRZ?N)`gJHOPoybXhToM;ps{ufdigiF*O|e^o&;`G z6yhDL!$;xSzZ6fUxpoFMA_MdafB&82okXROyP~j5ljSizAItO0iD+KB!I{&S*r#O5 z1BC#d$%~5;zW4uADEt#TO8z`q!`v!t7F1)tpj4=?R>Ib_iwx4mj>XBmUR;aAoEqF`Rq<}_cO=O4;Vs=t!iIi) z7PsKKxQ-8Ff8j3^{)60E@6nr|O2eu`lIFxytW-ks+IT8u6R4KUp>$pvIm@z$UY&s9 z(lG9=jN!giG>=xrbAMSh+EOw9iN{jOJXoB;qooBrSsBU;m8Zm5uH}8>5;`RfI~zsT z78$&|>Klg3C-JUiG9Sw33L7$q(zuzlSInckZZe;$r_xt3o%hw#`Js3QZ%by3^n%bM zdEZnaEN0m^^wrL$qi#AKB3LEg@4YV>$M-@B^&~Fld#{;%tdJtFZ8mBBQ>f~h$on$M zcZ*}FZ5ku?5$an45pa^A>lDG&(KvzDdZ8%l=2Fx=hq}&hcstT_g5-tm7=94P|57rV z-sUm1w}}egJduLtr6NG(DQa9xZQW|>3TM()F^!?h?Y!37iP8RZm>fRC%f0FtZ+pev z`KDYx<-@U}RxXECv(wOv69GjWsjFp^x+7-_%h?f=!O6NF)b~YmT_=tsN^iK4JH(zN zt~_fN#L~Bnn%1c!B+@9DfUn z>l7Gh95eC_r=*+aj|~%O51USAwgQ=HYpFC}O0L5aVubaLZa9Qb)CxkpXOkT_Td2wz zLU9S=7B;y~?B6UQPhro?x@VEwxQ@goOrF*cGs>Aeo4u`I2^YCmqDhKURKWojA^-nl^!H8{Ynw&eQ$FA*n zxOCQ%?c45iM%|iqDv!CY{swg^XKa>!=C+gvafKUc7!>y<6y&=?DS`tgi!z%^O4v4n zg7y=Zq|RAk4Yeg*O>5uA%-of`x;Jt4Q6|)9Cy^o2sBK%0%<3J;$Zh;r%Xt}Pq!bld zv-KM)GMDph%{Yqc*J9Lfz@@io9D85L+5RD%D;($%74OHAF}$muC?4K8n#99u7a5{k zWF$#f9-oSKk#w&H1JzJ8cAw$=`D>gy?1SO90xUL{V7{gJzXc{+@-g35i1FQ8b|#mx z@E^ahI^qXBMtvF~uJ&2)2$g9TimQYD%L;IqSC8GoCXD746D&PIsB9;WTe>(bTa5ku z2JGiH;x)H{&M9w+UEV{WQWqi8?f5Qkzqs#6ok;ZxXuRK@eHu%x|8 z6gSP_eZf>p>!pQF*^7PuSv=Y=5tyWBhW6p#t3pWE?vc*!-ehkgrsWj=rTYme zIZTxAZW8>~(^0a5Un>NG)=#FqbqbYD!mhQ-5?8k$FHa@1%T>r~kt4fv5k+l_sH&C{ zNpUGDZp(-;K8*i8$$$z*_&vH!(32Z@Uv%ZAq8%EV8LZ6sg_D6lBKx2WrGQVYuKzP~ zDn;xp_>IMJpIF=aH;yS*bK*uBXZJ*KzrK!J`XOkxgblib2f!WM2PyJFACcz%hc zcZp88LxxaknL<%zR?AV_u0Tc0TGE165uLP!xM)>E{q_^%bC#S;Sz0@0(_1rxuhsJ@ z?^{S|?;L&>%IHJWbh^4`Q(r%Y{^l8cS2>6GwF{`JTTW}uQif_Iy=cs%UnG(*Wz%SA zm_=RFYI5oh;*zF5@)^?0U`@Q*9I#G#fmPolY*L^kjK8PM2-z7b15x? zqk{!pOwHqXe+C-q$sFn};CxOtr#fqSY~F!l&RbO6hS}%!E%!}+N4U}ed9#0@aqD&> zA2^5J`5-K%x-dJKOmWLTx;iB9K1VU!AQW}^6b7s2kr8r{(jYC;%8v8c;VRB4frQlr z;Zv4DWQj9rMaM}Ey-aT00S@huML}9+q`~|@+i|Vg#0vTK$ZVTLY4*|)&s{3A-Rq%C zJbS0dv-hts=(WPXU7N(BIfA6b$*cY;@4K5tmT&lmMnPbGO(ILg3!8E<1$&hko}Igk znz&JwJ$C3w2EEwgh4FtAo^N+SZ?gkNk7C%C62)RZusZS!UZdWR5LfrSAMjc>z$5AR zoRI0ocHR)y^WI@NuLI}BpK)LG0So!BC{3*sit7Wmv)|!5bAZn2zY@OW3$}CL5hVRL zJZAlZ(~LfT9QV7CZxsu^`Hn}UN@7CmM z9b8GQ*fzCiDfw+HC=vl#DQrwxPx_0<;1Q(C5l-OJ|$KJzCo+(p=hLzFcOvT9pJ zM)OYc^HeFXR-#%cv&!bNG)feVM2(1ZHs{TzGvzpuFV5j32+Ql?bDT8n(OX-JsZuL9 zwR%{Y)ycltE>=EFKrU!_q~*NSt}M3X|0xuIgKE^HOJRv3bhzh%fSMoFbVvX?# zwZ${Q4KFt%vf^Hn>MH`$^%$YW=LpI_NTKI85`8w~T7DR>{(EEv+#~nKWlYU(q5U=z zjc8{KHJo|++#SQA2(HE4$K-7gCme6^G&`6}QT7$4>qX+|9Q zQjAJa0!IZQZ3)g~>-!pZMn$q^pov`(d2H>gWN%Co8@sC5o07|>=2kBF^s&0^9df?C zC`P{Ln!(SQ9Ude??l06&`H>g1YOv7D;rihVgs9r_aK{4jRAaJN1*gahlo9-6cb4Z0Z+e^^urOzwmr|CuY+J zahyHG!?}HIm|07t^0$J#hDcodg`OF|!)1C8mNUAs5!<*g>mY7jCx4jsMG)6tDG`e6 z>8N)!PWl@!Ck|qB{;$}b{tkoNUog7%J1$*(&&A8{xp3_xduQ~ZxA2c#5sK^TXhB;4 ziSI`sAui2vg1kom%(c-!2;%C*Q^TC$#)Tuvq_ak3^UArx)=lNxiU}fXkEW?<0?oqG z^au<1u6#0YD`(JGHJy^?nbb7T=1akJzAc$TOO;U2wZaB9Po}?qI`7J6(OET#sy30t zI)$pP8_(yWsp9zag!P|a&9Hd>U9ID3u9+ef-Yj}6X3#He-C)gR zVF$mVx#=4kS|?K3K9-ceo#?phVQim(U2+I6MJK7NkrLZ~Bdpm(>Y69eBrIB|AgaEa zne>b6>nWc`N7Yn%Y9}x#=G*cl@4RGbDmX=k_kEtK*kicE9sT>ks8=L%y(EKcW)576 z&*4^GKIb1faK1L4>nZ+R=}YI@LmQl48RBlZg@{gh5~A0TTeOv&7?W^ zlM|N{mUfD;Fm*E1&ypOvQY@DxK79^F`9gKYE0LF~KwicgQWF-Dm$H_ z;2(Vk*Ys30T>`Mns^yBK4|XY;*oTQsQLIcwx-9)wGer8$f;3ps{q0x0y){|iK*AbyYDjgZTktX+e389 zb`sNt%@9^Dvhg;NW!G`5JV#8U*e3T7<*DcS{NfPJ`iBTIP$4z#7QTrhP{n$;{*%~z zIK+z|j`61FB)N9mD0DhVe8qK4KVHJ>-3h|0cavJEic8LR?1#=!A{0iu$$7Hv&yyQ) zmC&F=gfw2nd00c(N)7zF_mbYdfx^5+6bq6qZJSL^>oihZ<;g0!B&^setaC-6_M9iO z?0P` zM{{#1>x)}BTi?iyb77pVOXF_16;?eqSla1h7hs92***emj^pm8h<}SRWx~eQiF({G z0!z}>u%w@{j#i;Wn*;&3Oyq6jc*?tkI!J|x z_+5nA%MxiJhvRE`GRm*vVz(W4qpesvUO+EU8|{||2+w(ldEp6MyUvSeq)KqwHt|~p z&-Rl9B`A|#dl+{=MWQo~i8wrt)u0xyN)O`Kbp}r_H3FJmpc$=2P|ahk^S0qCth!ak zMQ-$Fvfs6Ubv?tZ^QmQHdMCTRJ2>oE%kd}O?2LKO;fNlTvip#8YC_Yxmp9v+*|a4R zJ%d5=mXzQ!z6FbWE$q5rgT+2ip6ePu%11xm|4C6U5?CjW}pWaq+}s zbe`=YCGaGAyM=mEx{b9=D{dNH6lYzeOO)xS>TGI8V`U|ZED?2g_b>F!aHAf>tf ztt-}DF9?aX#j*D~A;TLfEZB_4VMF2{*mGP>nZ;}6Sfse{Ush2itoeK z7@pfj%BBn)WX-vM_9X}QS@CFnIEIQD7|0h3lnWGLpiqc`Tm=TQ^5$M-0Nf5v3O0Dl?(SK21M!)`_s4`nL2x2FJ)#g!y)|HSjj zRhZ8!!)9R|77CHUx+Gk7L4OoFL!p1i2n(DfBr_k=J30-POr+yFhu|J{qewP*pEaMg4km8{|muUn^{! zEVb3rl(i}n(WO9a_hvHNb`sONlk84aYU;L-B(Z`08)+}t$hR5WDQi+CuWkbsB7j5t znf_MHd9}wN>qmm zDJ@$`s!*b`TA8*oL70uZs4m$>SH)2VaxT!FqQy}DDTYcn(ww}Be9zsKdLJe&RgK`z zgOn$orP1>|sb!~0sW?q#us)$3yUDDTC9i8aUA6MmHEgG+Mvbzn1GHA1C12d%P}5wY z>Za3MK39;^GQL(UW1xAVAhBuUI%f#0vxt(m8T=qrWq0Kq25aZ@p=tqL)zgKgm2{&m z6lu94O=ZfoS8SoPRGD|ea=$Iy!rSugbd_xp>Q$cMCK-lHS5em}OIEiuH7(+r3Z-Z+ zQY5=+E1AvWzRK5AQ!C1#L5ZG9C2CvN(O9P_%3GO&HWi_;S5hO?U)Wn=o%@fH*1v(W z`i&#wK^C^JC9eDwetoC$s6B~U^L`R5&ydilMnUdPGRrR$S#yNA;*%t$pCUE(0@Wd! zFL)$4Xk-}K+G|>-kvU~eR<|}Vx@wzqtA})p1$jHl}ylFNG z2?vOYSx0WxB`jYUVrAuk;Y$mwZCo&a>43YdIzho32=!epEWirl+?O*@J@G$@>$?b5 zhURq>Bb!M0{s2yHy~*K&Rv7K}63WL7^WFBC@3q8yj}7Lk9+>Zl!F-#rp}T^_Z?FF{ znCuM0VrwF{+S!~)iQwo!A=`BGvG`_?zfOSK@!#RSrh^Acr6|u1<;~JcZ05D1JGlaf zCAIj;l=DJ5n*(c-a9mh}?TlL7XSC2X?gL(P+6Y@UK*Zb*%vW||F|UemM}I36*Kg!X zwedzFg8t1BB(4jge`7Z7$}xPm&Xb^R9Ba#uSauvE2CRhwac4yxT9~HF%20LM2Js` z6XbawCB^G0p*GKnO?W~`#Vul9>);-#ibwVt+?$`0?0S?`&&#B})FjJamoT@Z#MTLG z;=75+s!O;<9V0CE5?P*S2#GsFyhzBIF~>-9+(UT9agxF}6JKyqs_HJsp8#3cgj zY>11|A~HwBY~TYNx*uYh`;u4PFR?E$z&!gALCJbD=C5+t5tz z+C`&bokGlsOl&p;aCn0o7dB+zW1N8AVet(2K0`<4Hv2U$ap>qJw2ocm%ppxS@3dpn zW(O{ueZ$qOrnG3r(5&Erf!ZUqHr>Wdh!q#d7IIrIQX}}GS*X^o>bW#E&7h@1C^Pk= z1Q?$tsrd@0OB1;4pMZhsOK!vj3(|c;deH{lwAK;v;tZJdqk9~ycJf?Z(S3HI~zGHpNrk1 zYGDx@1?usUYb8{s4d)H*s4mXNetrwKGdpmZ-NC@@pNLvBOz5(Yq)NZz)$$gs=4bQ6 zxIP&3H`1p4k*A}+rFs%XjQWNCu~0hx-x(bB7oqY#Q6=?(HpQRF+%SasqDI^|3l+G$ z7bB@&43_tyzhVHr6+`H*7{*|=P^Bx1FkU$*$}yR+`yqttzahxTogh;y{ED2=|M(ic zA!7_b>+$l#Z9G~pkSa25UhR3ZnvV!7pW8${dV!C|8$z`b1nE|wIay+( zd(l`{iO%&(9v`aa=+saQZk3>ASIHTvSngf4z}z}$W(!4YQ(vDt-B)P5pb9`efSHWy8u*cF=3 znYQ1d=3K{Fn@&{x^SJmSmixK-!b0ke*!=wJE4U==$GP|_cgzo9k?+gh7=0esMsn!9 z1@}6BM#UkSvnBizAfs$&3D2w_8^_{ z3pbwsg2CnwSg!a=!`Q#_XyOM{XZ@bNWB!2K^B%PKtK+omF?y;G*>(OhN3NXa;=wK0 zTnXWbNWyEB?Afx-mQ@N@37i{G)zn{kcqAO1W4hR@MDxZah0K-{)Ch~+)HI&1%H=dv z&Zn(@4n-x>6lW`t+P0r-B{$H^H^(~eDNj<}ajY~aspK3L=}M&e9b=E0JW}h{Bei<( zKZ$FN(rQ-AO0h|K8Y!uVh>eq@yyP0L_7;N3!g%B6C8lw>y$K*Z^d1R`n@NikwxMbn z`H}1Bs~jVUYy5u_*WjEk;$)KvRrBG*7EMl{v=@rYAL~6n*zA|Q%L>CvB@L@xDOm0C z!|H&on1*1b8ZQv{Ux0X=)Z8&UY|isnQJhXnWAD%)M{RzH%v!#V`U<1|LDt0I^LSJ%(aV3qWa4+^&G>iX=KYDH>3<<*-LH5b{TYt~KVZDR zR|MVwrpx}!wNbxvML=EP;;27}>2I7DxIgAI_eXs|QxM>-33&uw@Fx0^5h3@U5~=f$ zID1`ULap)7u)?I-4AXuSB2w>Ask4XS$A=j-JVdwoK?>b(2(|H&aI+Uw8@{B)@Ghm! zXK8afO5cl9B-ozEztoZN5L?2-to_Id|VxkAykYZB1#m>i!wv^~2| z`z?L?A6e4=@*Lf!NBFFJi7!vClVx=Qhx%veb(`UwX^CUjEsWnj=1s7$B>I|k8{FjU zqig)6eV5*6&uG>+CdE{re8=b1+T9~2;{i_1B7v4(#V70l0WNB!T3^NG+C^;6it9M5 ziR0l&?#}DwvM8%Vn}20T%73Dfg!U_aT#RoKU!qGy)IL%YRfuufj(`0DLL>GN zTJRk2$Xf)si)5d2h=9231UPAv;JJ;yY9)s2*7L4fo)40yCY7uBSiO>gs^xSxtPs{z ziRQv{ID}p1X_}s}bMDv&=wKH3lsierShx6L5Pgd`J$gJ&+Cx~!V{u(tc$8UV{M3dU z_XoHh`j)eG|HkP%LmYYW9+{9nq?`WAtYeLADE~7m8%j{_{ReBD`q`q_!WqY}$k+Xe zZT_E8E%+n5gFm9?_Kxd!-(kA456_uziJ$Wm2}^!YrqpkkPievKSrO;12<4>ag^|i@ z_MdsgKFxDnKDPt2ZO^&6$Akk%@1Sw^4o44Zka{4E)ZICpJpGt^%C~XZ>VcNVWztJ_ z(%v$T-iERC7px-LV+O;u-w++Mf}{{-+=SBHd;byly`FPK`xuYi9N43MfRK#i1b8bD z9VX50ooiVkw}BPX|LPrLwZbYvT&slQnvK8zF1)?h5F(_%uJ#>lZJoIH@EPWouX%LO zfRLd3#Kf&5HD(7rjmt^%R}?;f!vACyhZpsbx-|>eP4?V5WyCgRk!4rM^LTX>_gDDy zKq?7s5&YWo1)B?U&|a1%kb(BnT!Ebb?RX$?YehcSmX>nwY%3~(jqGcA#~#}s(Vg&- z?yBE820IQ%CRI z&%y%sVZW+{m-D{IN9xZ+FZ-UFHGic}=I=x<`IUQPy9hr0XD%IaXRt?5!-(v zNc9(74*iJdfj{zMiy)=tt$6MmLPx3w-Q|ruS=zwUrOg7ZJXz9;ox*#}mj1}|g+B@9 zCF#q{8K*PH@Dg%6_WFGyy&Xu-cBaGDlv1acgqC;_5bsLvEh7f5y=Lf+C#?ov#HU0N zob5-HjV_;VKN7^Hi&2#WW+l!v>Y0=ITqvS4NBpy2P-**+CQB0voZb-k#FSpGS9BZO zknL$fewI7s!B*7kYSXNBi|%L7Y0$T#|F#p~UUy?i+m6Bqcj&Yb%Innwn#`UM6>p5$ zkQWA_`b1gk(`sbI4_A!%%h}ibayg9l+ot&X-y$yLF}+W3((y`%c8iyEiQ^XBGbYXM zB=zwdi8Z=H@GWO_*Sc_NQaV@1ed5xnevWUhXRFs+H19NHe;}WSNd=tkOh)Ia7j8Mm z_~zWw>zZgKf*8cI1zE`#EEz)C{`sdaxU4q zQiKH0CcZ(5^sqVP6)O>+u!{Il5r}CU@Jd-ubn+ZZOBPd9G>g*INmQpyqb_F-<+;-+ z&!0s_&P0k!C(+wJi`K+xlw__TwnkB4C3zJqNUK^(T>l0VIyX_-peWScA~O0{l2a;{ z_w6FS=>RS-?{IBK5l$yQa^*@huQzpKtWb-pYYVEae`WKQ0(KSuJ9|XEUEd8W-P>6I ztd>(gZ&}p$BMNbY%OKz-`I+if1ljy33eA z6-Tb0S0y=PJ^c;S8LS%3mm+y`9hdU9bP++(D+u#eB;4~M`i56|ZugwqCT^I#a^i{E zUBVMD5gedQQqn5+?^HrwRv8%ung8*QYYnSqWLdXv4xu6Y@%K|AC*wM%`i4AyD7?4% zYaTo_z}CVQLxbJK#HteFEl*e73JRm-7^o3evG!kzD^iVtIh{lbqVn76%BgKPxvU<9 z<$)r6E(*o=^gf|_rwG%zNr<)~ArAx|Js{-C4FOF7t^WdO60W03lGZ)q>|EHN@5Qp; zx=`}@3G1=n^7qm3?Ub)%%*^^HkZv6+_`v1YPSDhT68%@;pVf5#uQn$2| ze3?4h=2ug)qJsD}`Q*tKkh40IGHVet-=^57Qf`u0@o>GAXBC%k|BoJ==ULhKC4yz!Wp+ZMFn zb71h69i;}AWVl(8r*oT5?R%sJnBY<6LY%ufpEO^SeCILV`L?_q5{kQApVY+XMAW$v z9%N4M4O0fMo6x0gMDJ}K-il*?xc8iPjRy?gGi30-DZ@g|wClQ1r(;R}-P<%-Jf;5C z6G3cx#0DDiy4DeMuP3~5xKEzLBf4Kaq|Qu_GHWZsLe0@E7w7MML#rUW79$s83!_kj_!OF2BKKOEl+3XK`iBFzRD#IJq~C!{rT}G0kAtf>-R0Ohu!r zggraG*jHW1>8L2QYYI7c+L%|mZX{YfBq#0|u|ARyqpuL}eu$LP3wQ?$Whty(qSHPy zORovXp(cpy8WG+{2&oX;1qw3D6Sg|?AX$Rs;^R*e7_pb6U^No5)d@_xNSOU@;_dg6 z5+&53-(ma(5r(=R!Z+mvv8~rhc)gE!n?1rh9>k~c0AcyN@r>Vwckg8^-=8L|^*C;& zI|*w$Nl>~9&i$7#sy&W-#x-F-HHaw`YP9wdLBR(2q`k(d&;;9-2=40GbE>F@-Rj|- z8K`4RWE=-gvN`G5!-mFBY!7W`OLh;ciFGV*8017$4`&~g@^DQm;lgQTFKr@kc0ML! zt8rf4$et;Q_|NL$?)Yq0NrfT3ER5w#Gcj7#!+|+jJXp|1`=TGHo;rY?d^l<+E^z6f zE-wVBxhNZP{+x^`!_9Qm&K?=e@kAFl!ezngO!O1 zH}4BCZs*8@C%RY()n(&wTCn5>p~&QEshvee$byk>NQSG&($qbQuMxZWx}cAO^+mXE ze9hepMr@LO%q1xkyfvTF6nmPgggMlw&Z0I^irNGjfmPJSOAE;Sm!MXlDR~9WVQZ*} zxr%a>28-!KCE$1Xj{c6nkNFS&H0dYGX1zmyaSwZEwBfg+hp0`hLN#U)s8q-6IW-t8 zX+~{j5h0rZQ$Vc0KHw|+cBDmp;_L=qFQ~(0K?Bd0i}|&6+%p|w=^y{jG~ig~09UoW zv5QW|Maz%Ur$r>`W>D}nmC8$@Wa~wfs~bj|K`_1+VR)HG^6H8`cIr+zoO9;&Ial0I zyW@G>h47crm};A2a#bI5Ekpc`9#S5vNujSM-q&;}x#-QHMgT3kAvlMGqSKIsLx?ve z_pE7r=0U!NJ9Q6D$+vY8mLnA_>(}J#dQ)@Ti~9QkbgH{jrDccBQ)3LWf-o!(KtII> zjecMB-V0mr^M+&{J1Sp!(PH36{Y!U}Y&?mx2_)v0D=iwK^j&agNI#m^C$Y3$^e0%y z3Elb>9=4>B=^Ra{g9}zEA$aJ!VeS!3kbfvyuI>azd6VZEM7)(h_RfKLr)QFF?oW|` zaYhnvf>KDm7C`C|GlCxqWn_Mm@E0mXzZ5p=xhBqMg%v&HfVH79<^_H@I(u>dktvUI z?Xa!1;iZl-kK0|iSYphhE=vrbKH;T@q_@{wq?GL^J!TtTJ!eT31d-gZpCrk+3w4KR zEj>hCtvt1Di>PZ}L}T+Za(ZUdS38%w`eigWEEU#oHjS;*sSpmNEOQHaS)0i$UPo?< zJjKy6ROYOvyIz{6nt61zETgPr5yj$IpGsFVT)d2qx_R{1O&7-$s;qMsNqsY@Xq`h> z)l6}|MU*zpp`>jtH3AI{Q+Zdpf{K!@6c((cxk{GuWLerWR*{o4nf6p+MMKw9owS~` zNTE~;m9Z%~hi#J^rjaQ;$co3oGnF)bAN)i;c#|BDT}TAhj)4Txinf#`0)6GwZtST(b2Jls?25dSC1JP3$DF=6z^lVGdQb(+(iX= z?Qm!3u0!Z)_~LXn4DT!c_-VP~BZ9;CrY*iVB(TR<%N~DCAv6CA;d|YRkZX2$TLrPd zFocEw(Z`x_$q@XKPk#Qve~kMR4ddT(Q>ZBA*^R^~e85|fm91Pcujf|bGQF7>i)uKg zRLsj24R|WFVLGD>!&$|cFQ~*=ril$RQ_){v!xNi#to`M0EU5kt#Zw(@QLN>K%P-vB zScHMYFFZ8+fm_aBxxKTR`yM}XEBa^7x_!qTzu(Zf_JtFxJJ6id%Z-^sT%IwA&YaKa zebbM{@gF&}rh~h)hS8co#0z0#EfrIEysi}!#RjUk)zfmS4gbhLpkDU}wDP~>#q%1x zbenmT^(QQv{vCgdHqu{q;~4)VN5VU>4E)0IhB`VlhAB1uM6$#8xZbZN^+iA4o`Zt; z`q8QTiMxT_+a4FmKk zHRFA#6qB&8=vDt2&$#aek<_5^LmW;c9plf?KmB;(}aQJ6BH-9C6>pOCf zeIi8v3w6dKlkXlN;rLh5H+&*gx{DCaXj)Rvir`#JbJQ_%yzdioUDTngY1o^G^ROWh zOTTEW?`PrV9gAtXCysV{Jg)cUYH|<;Jr=@B8{!%Dnx{gY+L+jJ?SvkhwRv2-qk~bY z57!>t!^`nY^j|@Q>7wFA?pc^ zJ3vVGN&J0w6K%hT^wi_jRj5+cra=C{QgXVMP*E?>uSJ{bs}%RpxPnjBi}+ZxjLt?m z2Ah_Te8V{)j{B*66dmGR1(HEe#JN6|jS_Zi0vTD-gr{G}A<7x|Th_$ryyo?NJ<=c9 zlO_l#O(ertha54rOvm7AF?U10=gHIW30M6>>Z(uFFZ-Sf;V$0Ke^14NUV>J%@<`P8 z_~pWR%=iKC1w9zgAE0saN1WB-@DYjm#>^__EQ~~EZV}Vwq_EYXnEj=Vm{?SkD;rCW zViAwFm~&R+F6Z{2!$4Js7n@x(J>jM?~p}KL4g(0OBuC%^(qu317)mP&U)pU z_(rMXDr}&a%YHO2Ugg?TOAg$>i>9#&M=xsO6Z8TPA4Q`4x6)R=g+NPbTI*(vT+pC+ zpo4K+DP7+}ifS!xTbO<3AZCNMr7=7)*Un z%F^G6oA-O#C;x=~_;+|J|DMo2f1rHoccjn#4&N0Yc{8d7t5JREj2hsQtLw#5~|E(YXeE)V@ZC!FB45Z&PFPf|7^l=`lV*hVyYk?1b2eF z1qkl$?gZk=a_hC)R`>4i-Tk}oKWpzZ&K>uRd&W3-+z&M-RaDKI^Ofg)9;lj?=XH%B zv)i24zscz{uG~4}$ocCooUn4o<)9t*2QG5m^b|L49OsUV#ozP*ffk2|Jb47yGsfJ} zyo9NeH~YtCV*Y7A2S4rO@|ZSEKkh_R<28$V`_Xo2W&ij$XsjDyL&Yzw+ndYkroXUA zy`Iw*BRHLOA+#ZYj5I6K%5}&}+=tDpQ)FZq6WVP=a+WF4gL_HNT+2|=Yyqf`X>I+4 zfqumbv5J*qr!v~|xg_8F3<5TVPN78gJlfK~`MVs$aap>aPr}3+L*YKu7mA@CP;@gtN zd{w=O(K^L*a8vlcb|&q`H_^IL!J&m+oVxZcx<+4dE%-|eOoy?$`!gpGf5(G!e zFSJbg3lAs!K+8wZ$sYd|H{N?r=GeaqOZpFgc;}zwe*7nPz4s$qKlvjo-unad-~Wwe zAOD@k5C4Vj7hyzCDZ+Hl1Gennp`2t>Ai5xz>hsty%9`}r)Loi~Xa{}eD6M5b-lQ7R&c)G8_)6;<4Hyt^0^dt^0 zHqx5mXmA2QVP4x_aaAnhO0D1K>b7e-wFAwQNY zzE=GSKU99i*X18j-TyJQJs=YIJLlzR=XMlH~I*w8V1D+fpzg(NFtaZfYFXmbKVg_rc$^6Fg zrt}lOuKI-Bis_`doaLlSJo`RxKcs#T+QP}-hCqAC3X^qr1-4-pyI(w$%E0Bk9kw`sW7C; zWIkO*P}fn8`&wb?nt^Fd2DhFRaOCi1tSw@=cO;p_o$+|A^u=v$GWI4(*p|c+SQbyh zof|y8bC*HO3w(X)3i)nlNUL?A+Rm7$8~*He%EWX}9U6Y^?72{kzDEa#g=L#~R&wEN z9apWJu-!AjqrI<5QGG-D_7_C0evZk8Zq9FiDq#5&iLw=Z{D$y%U*Z1QcX*HaBfCER znx&uoNaNVQ6QNQ>+PGFi*Y`1Lju)#p#4%}B3hFx(Ie0mW3)T_%S=e*I$(ti~*EzA@ z7=0}x?6zBDyU`!RgB$6nP!_;?UkJ{7^fk|=GFp^c>pT*Iml7AX0|$EzTpfM5dD96; zcRTJ_JK=NgHyvD^JZSi<|iNb;HZ$Hhh_?-Il<_{QB(7yr2i<9rXT^-`r*mZn2 zrIpTw^`x!w#oXvDs~26tY<3i9X2f%5W;~~5Q?&md+pPbKWBD zR1?cZD*noXr0)f|6far-n}5Im3#0G8CSvI;jx7*5GJcS+C;f!av|6H8jF32Q5dT%9 z>{{G`)AT_+Cv_7wMUKtuC1uqUoE8tEwx$8EdCxJs`v;c&RT!7=*BE^Gn)B~`L((UM zM2k(5&i3JVb`;+mZQRrH*acA5~vARrg%t|`Cr_5 z>UdX@Or*R>55=-6eZmqHpUYP)NA#u0{MwOssDG?@5nKEy!1cQJGoICdMr+5Xl-GVr zN5^D3TNjZqs!3M=K9Vao;61brx9@cbRxHs{ycf@E9fGQk5LIG8NQVZ6JzFUnST4Xh zm$tTPbT?0AwEF`JpNX^@{D5zoKI2K##|-y;!nchd@v8nKiLEbW{L^U|nZVbzAMrv! zSn-jHH!?=W&y6znmrYy95$Tua>BR#ZSAy?}95PLkppO^Ek;CcwQtTgPU>OyHfB7|1 z8qSavb%v;rTbxOX$84~W%8p~SRA^J&x|iyD zZBZ7h=&DwwtYrm_bt@TeSA6*Ocd0liF|YU(|4_SZV!Hv^?-kqjwtvcC(`-s}7ZBLI zmY^XWyc>kU)}F+-;s~+brzsCIp)|mX%HZpC``w@=&W&KdJ6yP9hfQ2LcY+J?+~dNl zJqgsW_U7A7G4!vvPlrw%nQC!(80K*JemPc#VVnuh=8Am)etva$+|1xcbS#lqLJ0QG z!p0zr+p%AwfBhA^Q+`G7+Sh2u|B;RU&r!|%4o#2mxih<;;*X!vxa?1quKF|S6TZRm zvr%e4`4;<0X_P8H-#2lDgPXdTJhNV8+gGev(8Ttwj|f=$oc?kDq&z z&za)1+X3Hwv79-lM^(-gi7mkuvC}~FN*WVa3GmOOG-Dk(nY)RKl=JPqu@l+ubk6~Y zyZ5+jeMdmcilp#O#5`Dv*6y_|S+SfgJGT7(U&VPVmNHLOnKi0oq+%}RS^EccN$>>r z973n(E*oB_p;GUMabqB1ZO0_w$MZr0?X?7*qS_3I7Jnht`IRKWK+z^1>hut<(}DLE zPY&)pfYsq>p^T*jYLpS6S^j^y`Dy+Cdn+VVBOmV@_3SDZ;QG(E0$kq-aJ>=Wg5N&) zC$Gl-laPtOv3Lc-Papj^cAvI$Yw9rJU%bR_#!FNubQ8Ap7re&5B7EKx+-E$) zZSqU5&3=xSav%35jd0@5&n*4Rzfq2O%FfBn9GO^;ziKuQPP_BK+kr@@d$>f~VDD*z zXQm6TB_gRS-Ee3M#xC+Mr_2v?+|CL&=exvgKSsbkXKq*+5n*!)>o9H7WA>ANU6;xS zYIF}yV01{BK*#&yw|D6mzNS;VWSaig(A}NYW`jcVY&<`gOrmUf4uREQP&qh97~B-z)PE|BM8V($ zfP@u}%9s^vvVWymZA8Y>B!Jc;j9$UwA2+@)Z0%$E1q4Rg#tL9e;AzLl^gWtDvV$hJ zmYX?!@F?dlwy*bDhZ>g0FL!XaQe0-`r$V?9Tv{@wuhXv zwB%}25a%ym!aVdWmqeOeZiwWRyCtDr#|e&7#jpDiu2Jd~Rca6&x|@_tZGs#((p)-S z=Kmr6-R}#FP%QGNc&hzFX+LB@u>yzK`?bvPXJNrzQ46^J(3tD(i5$xd!>;N9I_Zv> zJ#AyV+8wO5lJVb~PSnx>T-V!+gbl;ZI*0q$ONl(1OV}7UhE+=mU71Gb(pnx(%%py4 z6ERD2u-%%=+GU04jd{dbl}3(F$tGE~gsl0wWGk0bK0TkNWqAb7%^<_L7HhL6Op-=8 zAguHLg&J<3&cV{Y3LjHZ3hITVEy&`LS{Xrxl@x66!&jw>(lx`}(#j=M?;GOQ{|%K% z^-TMqnOUE9Fm_xGpMKWIxsQG!d&1XreEygRv*Xb+wnSs!KJ0eg!G5bBhmLKeyy?cR}pE1SV-Iw#Hk5is=li1)jWMwR2 z=a!``TB?GwY`;Gav2-3P%ciq#~;~0j8DtAiIKW_VgA0!Yf8#dz_^b+T=uW-@u;rzbSs4lt9iTP1no*Rdi zFs}=9W4Jgk_HFyW+xdAhocn*cDX#s$yWVR411`=8;p&lW)+9e-`oDktKLOW&_~GN< zXdClixJ~(i6=NQgqx?0$eDWtE<_vO6sgsZ|2Cd;^b@R&GUbq#gyuX9T{g`*2e?VnA^%QaNCtfQiP6P0zU z^cAh5vT-eCU7JKgt|NY6FI9Ewv{!ARR+viE^W~)UDO1(4h=%4xG&ZO)Sh1JP{*`Y( zCY#cyO3dRO?y}6egi$i@RmxqJ!E%V}jLO8x9PB``7B&YHOac!#PwC^Rq#fZ8B zgSY=ZtS{A|QeUH>9C* z7fm(Wq*bN0dJS!gWrfPt(OR~h;x@UqWebg2$4PS3$1C3&mqBl?HC`dO)0$KDUYHH{ zarJx#p~ovIJX}eGel`heUL@bjA=Ryda$(@*SBuEn6~&8V^`sszAAIjDR z)|hDoFm2^E)){59d`>tPdmdmv&6YTI7uFlD#mGdR%WKYZZ*R0Pux(UjEfSWh_{`h8 z^foRaFG!?z`&hCP77-n_nuNsToVUD!jg33!FQ4bO$m8o*jR^DIC$YMo#DoRx-mwJb z<+3eZ^1sh<&1cDyxolWEiRwq&rD}XYW7kv?hL3RNxi81Q6?ykt9rzr+jY+42QDz^XK|G(LMg-QLt?f;**|LI3@j<7VhK)F`0XMA*b0>}PebO{t8q zwND6N+)L!LF0M|l=dNlC`twU!zxELp3(Giib_6xS!Xyvak|9FT~%CES!?khCk>*9*$8#b@) z=dA0`Ox)du#=0Ra-|fP5LLyq5Be{LDi;Ww-aC%tJO0#=h%Isj_Sr0Cxx1oB{o2v;e ztU4!t%xqw(jVEUk%2;>Zlf7AwQ0=KgH~S$wyDQOnn2vUP6B~1~**VyZPI4Hh1|M)C z#e}=vmpPujAIsOSSf-ib)oaZym$g)f>>{*$I}xM12(DN`_|t7XC{-m-WR`!`Mm%2a z!@q1ZrOkT?tyqKW6Lb7Z^$AieLMY%=(kwDTie*OYe(7fwiQQX>Em}c-;|?M!REh7| zg@*uOLhBZ?D%O&CSB^PtCOc*xNule>3D=>hLW4+OW#Usc$*9^%Ow%&*6`%M@QzJq^ zE+%I$dEQ&eeXy9sM_b5i)*&uvCCLq%LZ6+Z>ne0?G{GB!u|Wd21hy+sgRshDL_A=P`V&6~8l8*gIBYaWxz}?Labi=Ma6MhC$sgDOIUv zaQlzAu6aS^<)1iv^Eun|e`fow0S*U0C>L{)m?9Syb1ornTLg z-0VEc${I<3m`O=#HF^2ol;xXHS+tbwoO$fpwixAQ$^u-=eh02)iH;A{)<)F>=8whVFwRP! zr{&n01u<{8Q^N8T?MY!m!sdO? z<&`b$SyDim%2R%t^d+vVVlkcTP0)-)oEN8HxgiVh_4(M!JgzQG;KZUd_Dzpu<)lES zDn~PZmJf^1l^}7$ipW=N9oL1)$6cft|3diuZz-GqCrao1Os&$7L@NJ6oXXF{Ec-jB zh2dE*dV$xXZ#XIEpPxFy&AG4H^JyDq+5)nhJ2-4Lz``xf7;b!n)jI>&>}lliof7U@ z_hWTDle~t2pl=iXHHI~aIz3g_2=UPEG+OCP*%<00=DGlqiPWE}Hak#LF&3zqM zvd>vT|}oyu67CR4#hIYA`SaG#?adLDOH0XiFEvkr*-f1vSuFxM}?kf;L$GlY(!=19|NTy z#n~^hR{9D5xnFZ$@y`a8R~$Suimgo(4%W4t+8#=@SuWlhkt7)>a8uut`@Yp&IakQx z+i7gD@aMRy9alADIkYtaFN+qOx0G?|@7ZG0&qkMiHspPWX5bqxPankLo#$jv`WxQMqNrV1N$0$7uIW3m zZtnq(8QHOCrv?{|O;Fz=`xbXOx>kIx9ggOvvzQy*;oK?<+;>N@PkS@f4_ENKZz`SQ z-^T)Ak1OXh(Dn(fwMx{~ZlJlh^%@qM2#^%`1R259R@rK{~cUCmo4EmL9F&c!TP zx(KC(%YO$hmBp)AxnLEWRX-&yRhiVhnLH>m;L3wY?CN{ipHjrdrV38S`jOr5Lw4hI z8Y`7})%7_IDHD0q_#RK2-=+D0JUmDbxQEwlZf~3EPVEq_ouE0`9Dj=Jxg^ z&a96T2^h@A**@%6jYoNQFdI~pnJu6?XG;)EU5Z%uD=Z8DlFef~(HP%Pr0!qwoBJ)v zo4=)S{SOpwdBekvQc<_PBv9*X^pCv4!t@8u?)-^EpAB+m=1XiAek;H?%;uf1nYeWr z_498Sx2=)gnj%-%brEdci@IwXcU>y5IFy28{u?wL;<3peK=W<_ck=qsc1q%Qu1I~C z6mDgAq3f2y)rYMZdZb{UTaSKM2ge?jqu1AoK|u-n!nAaYa?l-a;doj$x=-3Ukd?!c z$DQb8=b-oEDSPsYxG>m*iM2ge5l*-!*x}aai&4pGoJRb(^5_b7qyAiectQ39xSD%K zfGq&ayi44E=7~w|IWE5P;Y`6f0(!6Ws7Fr%bS9&nioeOdLtoFkQVidD`;A7$f9Mn+ zrR{AOa1kJREFd(}^BzCczeh{qJJjaA&uh81t$z-W>ZZ_KG4Ad2gaeAd(RF>mtM*TL z)$%c~TRwXG&m_giZbw=^l%o5Q0NO`ySMu$XRh~nNYzkgZhO|y(a#`Q|^ zpISMt_^7Gk^J~upTgmCIPtm^g9eNJmqHp(%MMt_&dh`>j6@Ow&uCTKswOlU$ z7kZ~hI9u}HY`-zg`MiH~^u#l^SPrx8{x_^!Uxz`^PwcRJ&Z*k}M)TTZ4ix{Lbsl}J z@BarIgI_YY^EWp5zF}L=pV@f-C3|vyVY}}uv{V0prYM8$$DX2k<_%i*Kj3)y5BSde z7P}9hQmZKFTSCd09YxKYr|7M}#u=mA?B8+}GmE3_*|V7wW>;9hR~L5!FI>0WtI<%pQ2g<(6oO9~sF_V;#v&efk0CyMp2)zRT)no2yY}{&nVDm6 zZ_P=w8{D{lirg$?VnVhMAGeWBo93}_x#Dq%&A$WJ+$Bqyw@g^q`iUgQtS0%vB2pgc z;prTJ)g5na{IWQ6CjwXZIDGD%pfGzGPqW+7`uO zwVU{g{x;AwWA*$~92l32-sA?1PJEC4o|o*O*@NZEmuP?7!^SzoY@H_(ZF(=dlLy#4 zp^GgO+cDbwl-;v>*)^e!t+V>rJ#(0YV@5gg!3&Om@SJU546x_;cc?r3%oh7^*>LwK zHaY#sTDz}VfA>2!IseE;#~-Bqp0&2$vtV64>J~kyW{1VZQe%e9Om|=eU@JH%rws3o5A={Ng*`^%9+W8S|UYf+hxe+X1na-3su`J$@ z%e484Y!~pFve1s@$-?OV1xtdYl8qZg`_osHuYi(qf2Hx=@2LJ{6jzIv+&|Mww7E#< zliA$yD&}5j3s=u)ab%f07j{JAxi1UNS)%pLv(VEDqu(Z zAp2{`l=e}nNU=@{lFGGYc5LTi(Rvblw~<)7nnxWwNGn)Na-T4Ysuh$qs#2S~fY$0dDxzarSOG#$hd(-YMi% z-T>$P>bO($9OK9ejt-AtP+WFlb$o1)HieNtP$JP)^hUn zA=K0~F}`pf<0S_P(7MU?Jxe)t)|3+}r}5YmiMr-u%5!J&tovPBg*gw^&7mZEp#axd zstVSTowbX!^wV6jvclibpDS0a@bix6=8cO)g&7hTx`oKlZS3B)QW)17loqJ{4qUTV zC^KdGLRM@TM{dS$vclKVmwyTWOU}4mv&G9M0Jlpq_+N`9tz;>B6M>LJ`cFra8QV+Y6~9=*$L>|T+I&dO}o zPKjsMx?DExYGv1|PIfG4VELpnmdq_@)B0u(Xb!P%K{+c_i`clS2(2ZRZ2l+%wW;~c zUHFhScLtg5Scg(T6EnSwndeuDQcyKYVU5i2EM`t{y&NxPm3K4BdmnM)Y(HB9hdA5( z13^Z=686zgR4)D#7LyCmo|DYY2?f~B93^tXAU%`*MDn^n$Xp-o7&FxRJLrSx^X9MCEIBzQR8u$4sE&H=_}h!Z^0JY8w{zg zGNPx%l*bRX>8@Ty%kWGFdOzgbx5@P$qun1eq<9QM(Fz+Fkw6)i_J8-g2R@{$_kF$; z$e8{YM4r2%e!wG(@>A%nI>r^`G*vbVn{nGVD_@{GhWwC7KSrUj(?z4(Z9@fz^QzIWs=y#89plnKY$A;a?>#_M!y+mM$I>J7Q9KUPF(k54;xD44$HKIRL}n@;IvrMkvDmXu z+OEzI->x^+-7l=GLPtRKDBiWM9LzgTVDn`zry6o+_!>spW_b48=c0)w-rf$Jd|-!u zjx`7UPZGLQlK^dfVH*cO&b{9p$yIjKgwmHW4j5%@l80q&M ziMef0fu$Gu8*T8toyu|l9BgkC623N`yGI8(TK^pWujA$v%FXNNsVqH5O3W6rGk3CagAz(hRjg+XJM0#iv;_Smo^P{-9ISC!K!1L~1{9O)_kv5l%n7I<9(*!YR z&?CXtDM8xy_+4HrUU^vK$K|b8h|mo{Pt$;d$89hcZG23}8Lh21(9`x3D(QsA9$z$f z`=GlkfSu|NY~ALLu|Y7pdjr^`?Z%p&?r7-wuxr~rj_7!!w!w+R`k`#z=#GJ55NkI( zp=sd5$_>}hJ#dfJ>n@?Y-<|Dh0+_~rEM0Mi14rcdtF1VA;J!#fSBFMq-|)XWW@*-GY7b?Cu3Z7h9igWi8Ib~$iRi2+s%gw0HVGR?q4xV)aTY-9x>rPQo;L-VKGeqFr!~oe zlnN`7drp$ps!wsN7D?S|JnYgVwNamfmZKzfN>H^PCZ*kwte*WORcxWU=?K{chpDNv zq#@0KvT`kA%Qnzhs!vn$5$Y??kXoQYY16U)X^#p^(xjyE2x*0y6gNm)tS!vt5V-|f zWHlKO*0-OG`hA4=>ylZgE#uH9wLy!F4t;Wk!NvB=z3Vkd?UwVZHOcKdN=ls$aYF{g z*KH$yRFkBJHDnKNC81$CDRMljNsp+}<3!1M@sE!a+o((0fB;CN4oQP%@_RXF)R4%Q zO(c)(Ca!q{#T`1r{M0FGJN)*K=7k;VGOqQc_3S0I?FbQVjzpEm2zYssp5{hksv|C) zp12KoV)^7QHbZt;KEI87$4#z2wd2mv9d19lNo?&I0*6jeDnOFjtwDC(9tv8Ill@4S zqz+@M(sapaH>N&Sm$F)83Uc;|y$+Bg1tYpwi=zC^#P?}ZAp1r22IS;vQ&w$EO3{7_ zYmInVD0V6}Ah+6(hl<~K945HW0EdCIxOH8}x6_|<11{K$M84B{7tawN0;*hz?DQb8 z!hyK15PVZ@2`F?YsnVC0dpBqdyiRWJWzq|;5tDZV|2ivzi*6CsZ%t(J9g@p#Qj&3l z#N4Zdq*>9BU`=|`O(K$R5LeBa5givqW^y#)X%Fy93&lA*0`G@W zq(w*KpBhF`Mg+AXaeQx`#BZmvd1{wSbbhq-lg_@rSA4+V`21i0g?ZF#3^j9Ev(W|9 z{oZU|eHpVuUTj=p%ORs+jw`tnxFZ&|?Z+`OzQ(ELmbmW@M@wfJm5=7~Oo~IR;z4F% zT$%oJgsn~{Gj;_r4|b7}ZpFzHCvkFg;{5qjI6L@p>C!QR0=5zrx|W1^RrYEqJ_x&z z`HNJ42d+g+lv$#z!n)O;5gECahzA=83en^I0zj&j?rN~_Ui3M|HJ|I>hH$%_(2TRwK!p9!X7Oh z3{P6HNpBwqPFbL)t%;Bk;kXKm2leFO{R%V?+_;H1eFw(ma7@e`M^ z-gs6jfg@YhO)%SDOr+@eUq5|<+cY2i&YDrRB%fH9sy%zQ_jAchh z6WeQZ(5@@yN>CO)-X*v@mvAAdN^IN8snBMw1+-w}S%Zsj2dBKsxEZoK*x6GxtgmvvjtQ;z4XI&1xNlv6U^4Z zZdTa3v$0nsx=R4s^_?vCjzhn^1?8Y{^eXCD6B5h%$VAq~#-UkTicU{0$}LH3dD_U{ z!VHeL^s=nB4An3DSXx$uQQu=U9mBblna8dOZ%!1Za@5jR3hQl-opR#bIR~x=`eW{Q z2Md2&)a@>EH0&0dx2>?x@y9^q(=87t&RU+sJj@jfUstS;pJ&&mJ#4l-#X)a(wyxgK z+NBrRu*3$vRZ?(g-@t7BeReFl%N7BdMT>5ts(KgIdD5=G#Zo164Ax)a%6dnVw?}aM za1466d2BxQkU6%h&FsO+2YL_uGwZve%CZ^^VsPEl_x#@K_Y3#?z&=Jp_ zS1>ZuLT&FhoU~nW-Vr3{?WC?~!S7O0A;)WH(UPTjkaxNqSEKOJJ}Sx`NlVTkCp(Aq zj0AEr%Sg-crMTz-|ekNq=~h zh^TnNl2h=Bk0mri&^g+loI)qE3YSrwvz8H&iVey0c-8c=$cgu9cp{WLeKkSbyfE8w z5?>uh3^n!&cv-MtM^6&{0A_o&Fg{>_uA#OZ*F)!!7Do(q&^OfQfPo?A2aj;v@DK+M z9Yphh96Nf11KPTrI;hVfogKoY_OZ)w8-^$J(3AZu2livNX&Y7t4-2wuV`OB6mX;P~ zW@e~sXkcn;%HF+uF|@EmZI>ZoyY0EQ%93lx>~P&sM)(JP{9(or0UF_4KeK@E+omws zx1L*vWc<@!vUG9<>sOZX#qtamtgK<;>_Qf;tY^ZULX=lEGGRtODyof)pCxj4brlOI zC$U$ho_m{r=C0;{qc;Cnwr=WWX7^v1_E(tk>OZWm`Wf{{e?+g~FKjRR3tEMLW1F-) zO8&<7;=iMj|5r8_{Ry@5U)fOlhHcHmEXXWGt!9uFIWJIo^c9QKzCoq>C2PL87upup!xi_ z|1k3hm?%Hb{t3oNJ4X8dLi(F0_Z%Ujd7Z(2EuQK+(GU1oMGxHajr`&tOFR<|2e>354e`3OK!V-S_jj6x>vLjbCKg;|Hj6`r<{5Q`rrJ61K<1+tsj5I z;9K$6H-F;bo4-l>cl5sdhy46^j=%l~`h$N&d-!Mce*7z%fBcQDKmCgS&;P;X)sI+w z{TB}W@F#Zs@)vY|_&cXw|CPP@L#UTNMZ0~FjSWqlC?CZ*rH_*jpNqWhMZ0?d&88NP zwsxRX+sWbPRt#zeFluN)zq${js#Yv2J5g)w;z;Kx7RimA%O60!s*C-dFVIhE!o2t; zx>2p@M0R2l-^o3kF37??Up-ks)v#!f7Zgn(%8#MJB{>U$1w;KUhDtv`YH&TFV^ zZ{WDuG0v^B!c{F4?R`tADOBRA$m#apj~N!Jm=mN#f74WQ61S5WE9^S`GM1;$DMeaf5L)OU$XGT zA6Q}bH4D$Rqi@^KRrjB`eCH3`b^VbwS>G_D>N$Ige&$^IZ)jHk8Qr44VJaYHp7Uo8 zm;9OCRexqf$xmn({ssNAKVn)c36b8<`j8aXhsLoa%$s!)A*}X^LNz*%CC(2pDd<40 zyAP$#KGqC9L%Fk?mBWuw=@CXWG|bW-srZ9KEa~rOX?HJ+`np-v-@}@&K9svVSkx=$ zx3;jnyORy|ZLAo0j!OFgn>wGdNZK_6FPYQT%gO=S7sjD7GQxtECe{p(u&TCMSji|W zYa3ZNJj}wTdRC6UV4)<`iYH>b+78wZy+*mFgViIiSll4@5`a}|7F&Fa5T42?Oh6dFKGDx@C;5!k)TES>D#ms{TGyI$PK{^q3_rop0~CprwT^ zVy6Yoji`*=I89Js^ZX47dxs7I3%{*T~NVMVTI}?jhJ-3W?yy( zXIj2OyPzGzt|4|-*P<`?)hQXoP;9!Zx`sm?y{MN75H&w$e@VFj)2OiDE{?W0v8%m+ z13g^=P<@=JdxB9-9lM3KX|*)7zqOP7bsZe8Z@{p55QDY`bh?MpY;R>>{{TDMJK5Jg zgh9RZ)iQuuQ->TMW^Y|Hhr}-WwJjVFKWWv}qBGQuR#i0ygFWb0*J05z#3r$`QU4Gp za%(sseqGz%i&5A2oOtvtCWGIzb?Y~nEpKAqVh`Mx269o`o2`aNL=jn{v-3DcCZ|zX z*TdMzjx8D|u+j6yUCj++VPrdZ9KcOC2xql$EKcsGsz89N=N(~O@Ba_uTES@NJaQ6N zlb)=D&;28~I=OT9j3pkzC@oLj;hvi#;XxwtqxTRUv7Q~D+cEj4NmIk~TgXU(7P4CZ>+bLRqPT zccvsUV|g_nkIiDSQVWwm&1I#uGbR+Gs?x&vF=@EIf6de;*&5z3qv1n`|V299gEp? zD~;XGs2AC-UJ4-6Oa?c10<10`KY-EmG9ZS82ne8Ok2lw!WcNt2>!%V9c zP#Jv9+{{|$hqo}xv4VA>gUoYiM8#)>8P@gaMSP92Lj{Y%d(pCQz}ow3_BeF0IIxdt zP7SOG=|#z*7}cOo=GlsT3lN~Otz!NC9%*Y)^%-J;a}UehrM2#4rON=u@Ub};)^73+Ki2yaxe!D9%en<5+idYSDg_X+4@kzGBTBcGt`)XI8C8LzD{ zCAXKHzShe@zpq*8)X5q*nUnZ&se3O=+-0sF1FUwgWr^5gnX5=`r(sm(yyf@0S?<;) z*L1MKZkUZ8qs$L%W41>F^Y8bwz@-@#j}DQ!bu0>MWv)jBtM0Y4%vvO>XD>_bidpU3 zgOXh}t9%9I?dsUz*~47>I#vfu!Le>+RnTMRIJC%IMlkUH3SIy2S-9*6Y#06+qwx(` zO=)M|=Y>pL(!>|zb6GUMj!BaWP@2;ud0oPs`4udll!w8lVJ1z?W!^OL?W9MX+!lv{ z&IYPV1uukg^>@C7H;#7zS3s!0 zuTfHbko0&pvU66mXU}pLs_Yb^wV8L67B6Dq(uFKkUciQRQ-}=TBaCYm3DJ7ow7Q6| zPdF#7F5?*($eEKD$V##%GfkDEEM*?I%_AdnK98Hn@}}k8w=Y>&X3gQq8h^IWtYgWP zVpJAq^Tot;RF>s2adIq6mWu59B7LYlh3-@B`g}3!af1Hpovcj`Q!&IzWAD>^ZGG5`X%Fa1mHBfnWQzu zr|N@@)qcjl&P`GiljdKs%Rz$cn78LR$+&(&WuQB6Q#*GpC$_?gv*{(`FdcU(~a z2Im8R;flt$+&BIwI}i7;we~mWid38Q8;t!g82|VGh};s1CO?1nHy9`T{s$(t{zX9ePt0rnmATEoFs^J88@^4{0e`L1Z zAgdj|MAPpt?7aPqh30K6J|jtc>^aL!Uki&IMcJww6~`_XM1I2pzaLoU^oFG;x>#Z% zEY$b~tK^(TrX#E{@8i<>zp?jdKQs0|;*{Adu5EhEfm7eJ=u{gE&iA8qwx5~jhnQ_O z$ova^%(NV0menY;EF~Gw3!6J9eVrd>-kCubSoX2tw6LwC^{l=zh_c-%D=tXVS-oJL z!2tU%|B=ly#$_i5BzfPkEM$bG!J{Zy_o8yKiA86HU7isTIVAvcvRCFZ$U@5zl+F#I ze0dZVvo>MbE$oT>8@um)iJJ6(DBw?`3SM(K_-`nAzhQp#*UYgNrl?qB&0C6*_cLMN z9W1!i#UhJF7N2Nlp;;>`XN8TPZDIBW8TaXWRORP2H(s#*v|Oj&%YLn&Ilb%&IV*qT z?4m#N&V+tGn*NmcCO_q)Nl$ra;xO+|9p$|*`gwnPzZBzk*&pWJFGl!a+6W)c9OmQk zgN*sGmEBv#FUwO=T9nK(<#cwcHnCBqgn2XKSiU-wiSt63vn7qOi+q^7HJ?uxc`#>l z9v?0CXa1IK#wk5u_3{ShOm1evv<^O;{+RctJb8QnPbLpDZu%&nPOIj<4;pcu_um}( ztcg2o+n6Q!sl2LmzOok zsk=#z-b!rzQr51U#scN-%u!m(JM$MUWYO{kq8pW2xndHr(VBz?uO}WX`7#pA9 zmV+}#&CPMJb>s5cogx)Ck{B_E$L&k0%v55qeg;pPKId8Qdo-2Kz~+PzdzKyGoUtRD zwrs@Q{3ctr?Z*7%Icc>qKXHRCTMRLk-*4M|0+XYzsBJxlk=}Jq?7M}ft}A-$EIFp_ z#NItu&^hGDE^TY{4>+TxcLfdoOYA#z4($VH(LZ8|nvOY#j$dPs#s&5ty2|0>HXJp+ z!!Dt(#^%@Ayz>xekJzwQ=fLu7rb1X5_OJvO~2hOUOacy50=Qh_8y!A(FKY7N; z=g%ma{~VJKB8lE!$Ngi~Y_>{eyiqFiM25~VNoSsED$~q!n0Y*f*(WoZbu>v5p@2F2 zvsijOpG~K0*={8j(X5btj*ZMW3}@$=Iu2j|j;&{_nW&S@%FBg}(|*AEOOKeQ9?7OF zd5qPKWZ9KUrXI{>$DK+((~e@rZ3$Y#Kz3zFGABi%UMCecrV7KXr)<93!P(2N2tM`= z2`5Aj?(${#nRpiL2t&(6#^zvk`n_?|N@39r zWzX?Q@(;csQvW$N0%{@JuSnkbj6loRY%!`rSvw6?W2wfv@u;4B$UL1WR-MdY_P%J= zS&9ub!`OQ+ods%s>^hUj>}|4t>=D{}jUpfZ3rBCb6Y~Rlu61}uzQp=W6V8^ctX>+0 zwpk;y)~B=Ul+1l=5^5)kn72s^l|=~))+BM{Tm{?rSD|j2%WTa6`F%Pow}x@ew1n_u zgJfzBQL=5A@--veU))W^x$oGZmdl!h$t>9~bJmYVMK=N^lT;QP83drb!H(UAAtl6TtDu{&Q%9FX=aD&&Z8VXZ_Dx>hd6k~5%uGeBNmRV(bVC9`5g|f zJIi@>cQz=WN6#PxK-j?{>uIz$vu%A7_uAB?r|d z&kRnWsdWNFgUe`XSaL|@quOp=ju~BG>t-{|580!&;UwpeoknlZBq{_K9uK`sZ^K87 zlxmRbrp%MJ>0~9&CFa349y~ZAeQ4n9;kCS6BH z>_YnLRLGB0qQ7pDu&()ZwJ8=;Tg>fqvj}rHz~<6c{QXtAabYcKFihS3tXzb#+4dJX>V|?_C8nYuXC~TE|==Bak23{ z*IF-PRcVfG%LS}!&g0+big%kE7n05sQW1=Mm@VnK(S$#GfK#s6uP6@BvJ`G*1Y?!z z%!Q1*Sf)8}F2j+FnT~Jw&r5qL+m&wTzrWORd+d?>&BUfewe1-=X8-j zMtP1LmA=f2Y&r4Bk<&SDoX&O=AGl#&Eaz9blAPs9aQ=O6)C6$9Jd)tNV65wXIn;KC zV+~eZ%yY&*N5&9$pKB=rTur*q^%PGoC%bSb$6f4rm&@56n5DaLHcyUAe|A|u_*F#_ z(v^bEU?^FfQ0#zNwmX-K6F8L`!O1i)EHgd1@X%3g=)(1E8*XGd zap#ef*!nI9i#$0}>4kCOU9Oh9;8y8IP?;N1g>J;>x{{IZ%7Z+2ZWP*JS$c=FB@$2h zu5a(5FY`4kvE^i**t5V^eBp#mv5)lY#0ly9WWL<2Jt+i@C%{=y1_|DH&1w zXsVe_uj1LizV{feUQEZMl?*mcq@jEvWks447v1JjUMl(dwPZdlAveEB_QPmtI!WcD z1Yu4NloyJ_3+f1F>oMuiotSFmo)B*H^>Kf`F z>Qk1yhl2PGq=qe`qiO|J`O_Han8r}Y7kt@1nJ=3rGh8`=mu-`T%}u4NV=AqEGk;&B z`^jV~pG}}_cq+A{s>BtnBd^u~|ES$WBpx8GP=nZWR5PUd{~W!E?vUog-t%(L~!JKimG=@yN==- zHR3b2@u>VD4^noJRlJebke*n2wOkl6-hF; zqNgjUcsz^BrxVG3@;TYhCr~&vo$CH6H1toR=J6EDU(TiA*&=G5t|26S9^tu~cqD8k zyIq~cN&_BsZy_RkH!0Pdh{@SZPToq|y4HxT#STOBr2lCYJ)TJ3=tPR1O{SrD9QA|a z<({)C9#)}#crOK|I>bh46B%L1!(Qg|`6|ku zO{aNqy4YhTEnPFI5j$7)%#$&zNX#r2yDX=~&EwfL49})sVy{tRrgnIm98<*J4C-3< z5aO#(ZQBw;1Jx+6)RwqVAv;U)u`C>}N* z&q(i7p0`Y-x91B61}4!qFpbBOPle%YsLk3yZT_1gb=09APoi3X>9 zeF3od7;PWJP}66Ow0$n^$Flz^L(LyD-0}gBTjf029(VlylJ-!K&`Ht3-Tm(fFuWtq ze}|@_cjy$fRyqc;5Ae^#2K? zor+g7WLz?)0r`D*#~4PXFU31>gI%BUtmPfPlHCyf($);vYz?*yK< zOy+6pr#x>T$K$4nJZqmM6!%j`nm^)Y`v<&eQoP6ZKF=Byiz>eJcI=Ax+x}Vl4!@PX z^S1wiws-ic`8}S>n7ca!;AL!GVw2vk3G_*@DB5o6f1p$B*!B^OXq>E}mjF+c&Kr@J-`n-qg(G ztHyD>lsSIW@tL$A^OfQ?FFE&R3H|; zLWfUfe2OKM{#>P4^Gc2@)`63xP(0~A-2EPJ#AnYNrtwVTMB%fi9iPY;zu>uy;dR3p zzLuDLStoOpex55{Et38f@i5e(c=`HMy1PD>BK#peZNI+?^g`nHP5l(UtDeOV6|;CH z<9;mudEBb_5VFKw(-*Q$;;ACp#V&Qdp9okhV(WdrmHQ~R7jiGf8e|IAp?HsSxb0mA zWgJi1KV!6I5--|kGTJ;{;&7_u)fkz_ySxxzzN#J1)5fV1Llfu{zbn>Udo6t_zLukS zuT$}A)Jthc#Rkt?-({phv3TVBJn8(L;ikC~_Y#*98^g`h7!^A_ZdCkDS`p)lv41K# z@xj|=*A>2Me`~|{e}7G@?K1|&r^DjEo({!(ou4o)epRf0KiVojYyOlcVyDs82@H#^ z70X$VO8$&UP7c>kmwSz;N5uHejDBhG%ENm%b>#A0))cYAB-V+J-U(c0G zJ2-OKkX>qq96c_Wvv&u_O?KhuwHJST9l`?CQBxDfwPFPe|94rN#mkjgsJxJMt7j4s zEWqWwfv6y3jP%UV*4E>IkuiI93^{zjj8i6SXl+&%08x@bOcDpor6T`R+A2R}pnirV z^c+d5&v?=*KevDQ))9}JBw$-+GgPPeTi8qy{wy7SQYI`hY$OkpA|M#USIwIT9SrGsQvTWMO9`0xTmE=#OPnJOul^RUF%-cyCfb zr)~<*go-L&EqN|Zc-s8Q+b^e74vm#07RQUjJBB3z+TZ67^#T-%=Svg;DS}#AwZ_6S&+l5{APLTfIf4gMR zz}rBQA|T-2F3#_1RTgktL8k;wwf7#NVCQ7nQdKP!^y`?rZD2_*LE?~u8*C^6VRp21!LPyy1u)@k&KPY1d_7jO_e zC_txkg0xZ?y2nclNZ(Q%-mVTJL9JM=v|Exu0gj3lLi?oc5dax!n<)XkgnseQkiwo~ zx6w}NLkhu=%zsdTdswRdEAiL268MVuA4kRhUv_-XHv-&W*9kyMoG5_rxg^xfCh1fB z-_tpp=H9uqc8Jda5i}l)wy$X4_cb)95=FJucjVGW`|JM zT0(>qmXvtYiMe1z&Yi7_;pg`Zxsmm5{kt7+c6L!=6f&46$MFQ$|Z~?u-E-KQd(3ri5>a;}^#7^O1_+;sGwgiYU znr=z3K4CERifX1{9AZ=fz`C>vG?vY!r*Jd*p^NFvT}yr1Qbt5}Ri{m*HdCrciQH4> zQ1W0MjhP$d9;-$Aej(#jI7%vAI*uc)?lYQe=FpZphmH)nHd2|k zEJeGJu3S}W;w6zq@)m_D(U7!+ir_g6=dYw9X(~+>W9jKp1d~*)x8oLIP%x6Nk0?$O zc@(2cv)J}Y?Lr;~36sm)A~shQJIX+nBa0RpJ&KH0!Re-@1YD=b)`e2&KB2~)MCQCbu)?$%- zg=@%(mVT30kR72yPx*R^Q|HkncK%ZAtN_Ee%RWi*c{^^o&v4xY>arHmlq2Vts*)8V zGOc8(99tm2TR>&zRI0P))A?{YRbeU=gh+hk%XlA6m$?XN2rv#yvF;a!*WD?FObX4D z=E?L`&LksbI%OI2r3g-^_Tdt`BnIpA=TMfgfv)L@BlAOFWiN7UnaS z%3Sf44_ z%LGl0!x)>KL_=pcCKkK!blZ!sjR6t<`$a0MptMYx`6~bOLD>1rr^+@{wBrQA0?lx@ z*C0AfnE)$MB?KhB!wdu|#ThPL_%-)kn~}wdPaW>n74#CkfuLoUW<`!dgUr)eHTtolRe@ zX!H6H=&t!fSkX9P8H%d(Em6pUBE}tX!R-#qT>yZkpcv1P4 zLL${m{~vOn;q>xp_9Pn^*9%WxlW$q4~o09(Rb%lZr@3M+ei-@18X2ZbM}NJSMEAQERpB*%a-&4CVOX;%ru5lK~}%2zFOgdvFRDql*w z!Y{&3AB)Twkhzc4&t{-f=U*!B66$0 zPU2SzUz^y!L+sQh{r9&_p|yIU_)l0=>q18Blz7!XTL599oI6(-k^oGr^j9bTZBdK*dHhC_xI(}70dAq3!|wUewQ`@ zoG*m|J{RfPQzGM#aVqkvr&{bJbMKS*>8oD!R;qQEOKet7XShO`lK4X*Q-?(*DCA+c zfOePIO98O`!kW7z-nxYac1a(t62BwDt`#8sL>TO#_-ja%lY)G7mQR;H#ea>{=qM8z z+A&Y=EkvPS@kOL@Vyp3VRZ8rMjYc~JbQMKHg@*ByCTTMaQ9C6T0 z3bH<-Fl!vaE;GqbSWm2}r89vdJU`PbVj8Ev0Fz z#2(9q9V{g`X(qLWGXEr*N6aFLwH4%sSdtg1DG4=&m}^?3TsJ1{!YtuWvT zr25V$(#{&&)A~d?p5)#UBMR>7FjBUb?6`Sk#muHEcZtN#R#BO~f*i4VMWKSx zPN%174na4A{4CAID7f zxMRJ6>!;Qe61a^`TNemuEoR=*1-}EA@={fl7p!E{`Y(tK))2&E^tHF6e`F`R zhK3wDd>CE*3qR~Av3Wz4;shj6&0MPd3W5~KE!6fZy`)iOhp zH@{$&^ednhzL%^N4HBZ&h>KoB{v!doYzexuHRLM(lNY*`&ZfQeh_q1rf%hAcb5Gh7 zf9zJQg*1oofVDhK)~2mRhm?d}#76BPHf}qaX-9aNszZd(;HaQ&GnmT?3!d{ zuA`uAFX_34G8Q%RvebzQ-%RFPJM0jU(jqN!2T9ReNDp6&pPeo-A^K#Mjb)%;By#6e zdRv7t4!%Rp=zBB`ekit@LPUgI`*4*=_EkiMt`i%p6aPRTSBGU}BrE<4vx%ti)zsCf zk(#`WnySqd6s)AWTBv-@DuOO*;H-6r(34lN*0_kznX4puS`q0ZbC2IaUF|xO6IYRw zu1jL1E(s6zke9tz?j;Fbxq}B`Yl)WxPEA@b_R^-gbqVe53n_Hh$7Q<_ac9hM*zJJV z8A;gN+C)WJ5SX}$tb#RES8gUeWEFW2caan)#Ugt9Tc2eJVCJXjljwhdSdoi48Cyt* z-%9qw4HV~UU~P61`=dAUFg?TF1K036b&Nb;d(r~7kQ=v77|j;q{5QN^OU}!AJ;||Z zRF>=`A!a-I*_y;fZYKJ{8sbvc;c)pXsc|~gSF9xZ)@^R>xJ2xwL)dS(A;9W15zafM zFl?r>Qlwblc=GcWkQl2?dbHRnWIz6{D+RRokQyssm$ZjCiHG=@-8{_HA~tq8#R8PY zB8_eJ3!j{oH39Bdl7dBWdY^gQlJhH8MujpY%R$-4ay7kh>O_GJ*Q<7 z8#)s6dq{~@m-CJhD?U$--%L~6Vw#49wX}cEKg!uJbe#CvZaL}kdc=h7CMHyk>f%F0hwdaVbHDg> zE9t2l=xE(VbA!6I4`wyQ&>!1PqkMBd8S@ z9b(U(jWTBwoUU)@LBw7*@0`T~)kP>R|DTU#C@a2Zy=0~U*T+NzZN}Si8?LsR9M;!B z$8fu_EFBCDwb*ZX2>nBf_XkgL!05C9nI?x0Zsyt*4X$3^gT0LodAYZ6b=4y*>^L25 z{@imtOjyt<{C$rT8*RxW#qV9T@$uP@pXk=KbPI}0E>Tf(o9K|UG__o%wpo|7$5MfZ z1gVD>Q{TBv44^B?a)g>PclvtnlbmpskRVHvGfv}u-$DY$p3sm(M1&jTx|gIv`+il9o1W z$}29Bn{yo($AkEI9mCJ}fQ-|K>RKB@Lv@LYHWg60CdW(|8of(v&vBaDRpp*LsqUFB zeXgghUzMDeU6N4Z@9=Y!l-ttKXiIX61^(inuuvmzTwfK=U|> z@y4X3nGz(RUjIbcm5jNxZzHwc+kW3#50H{1{`X%kh2Wep4u84E$o}}A}~ysg2vTU3@RQW5cbryg38D9scqM#yx3X_?GdU= z&hn%)k+R%-l>Ses{ z9g@7UA}#r{%t@E9@WWCd&QRJf#Ye`R)3=6<9v#v9v=LFe$)M62nEOrRX}yygWzO&(^SAhS+b`^ZZ2hUZc!u~*+M z%&lg*6sUuwiSJvxgYfXPASUt*7cXukGxI#Yz6Xhym}zQqBRcvdF)4WwwK(&J097#U&5!YRi^tO? z4&$R2xNGaft!vI$-*Umt*@pnX2$B-g$$a1ys2IBX@8BGxhs_IbyoN7x>$y8l z%~#1PIZ0ZI1CI7q3=fra?yLpwZq686?843St}u*z!h+)@AU$w*isAN6IoHt!qeJHi z4@@PhEe6Nu=W%&?luKV<#pX+4S1-2XG2n!z%MES?`jMHH#yQK=_}=%&+37CD1=W&N zH@Iu(g{Ox%rYH9cbv4KJjwg2Kq6zf#A-lzbq#ivYyH67Mc!IfGcahsX6fT(fb)J32!uVh1MT3s28PT&-gzp?q<$_T~CzXUvSx zlacyJf;CR&QjU*vxX6!03R`dDS8Ij;6AOG^s1rG2j$PDEj-K6vzP_FSl#c{kGu~eA z;!BY_cbugF`NY%7$OoQQxc}0!v{?9_6Wh#Js8{Du3Wizo1lPj?C;v(;Czk~ruqcB zq;TIMjj)(oJQ_GkRL4Q$2F?)Eb%EFxcWy;kvUR@^Mi&p`8xcTH@2{Lab3=gEAA4&L zNnBSl(;tbQ?+YONOO?08_NF7Qw;Zs!e1rP|&ZMn~(HDCLy74sPzZ@pkvaS^6)|spPKBJ%?3vA*dfwqa;fMFWYsZFtpgPYd&+9FF|SP(%%`EVhv{{rH!br8cRjhcKU}W(%nCX2jR;( zstJR)pNwgZQRjU5s6)Wiq>+uXimkBf^p9-aZ1nx7Sb^Wu(;Gl@xA6y%o^A0CCX>wOvqW3Y>~L+|TqZhd8o z-VX&B6lW8ac~cU}N{~K67+0GBU#JA67~0_~7p~kSJoFK^4mNMUf_vWT20nqVIC-Au zwzVzy+y!_VBf0#QHD*8EX4jumIQ)|%&R-qpes?Gv&N|`d8%bqNsf_ieFr{!V-#AM~ zMj~fU!02l zv5maT>Fb8%rlye@mXG&6ACl9P1|9w*&*F}Gd4@k}gdq@e@rtCz998z@QX&50A&@b-?x z*3Ogb*BvM-u9IpNLrTg+?szLmb^vFuOA-kn zH@CD2i;KX^*Arv&fY(ZuD+ zMeaU!!*L{pi#gR?Pl)5J<1IPQUF1m?Wdd9`1w5`?wZ_%mhvO!v@bnHOI5e7&h%D~9 zhDvd>#l!v{_Z%GwjJrVg=sALh4e%Z{#%z^B$*887$m?%3TR zGA4-2*Di48+!=`v$q`#?i4PaCO)wTGMQ(=#(Nj~2v+r$oe4EYAzdT~qZ`tgA79s5Q zGHw?y2_Sn=P?p2tB#;vPV z)Rb}k_EpKlJ6ykMBjZVx0{Dd1wmvENchTE_lmiDW(9=7?K|>2Ek^*2ybcRx)QI}^-`on!}-nCX3*Jc*}{y4-E<}97h>}3mBy=omP zQP;^xoXg1QSnBG>i+0_Hq4p+WT;^!&9N@^&W9SNrqRS8iMv;0U0ts~uM_2_!#vPMkHt*69wnY_D_e_BC8R-Gr)#iq=jeDQ{mwPq?On0C;6gyC9VuNRg9l8w(|U&+ zgV(Tsa)GlC-SMgo;9f!kHg0ZGfr3blO2o?QyvVgw0j(3lDx7fl_Qc-Vk@J@?2y67f z)KvPqI;ZSz9ZnM>V+@ z7$lX?o}1QoVq0$spgWkHun;Mo{?-?TWhHoc`I7Q5n6xSnoZ~|AYYgUYT`UeyY%$EW z=Umklj#wVT!`qLBrat_FBDiCJo9osWBsrb9C&6xHYAh0`gX0zkxC#TaJTH|x)tmOg zBI*a-i0?dsYo#4d9gbK(w%|-jC|8P|*=MWIb$@4KGZG}gt0buo%lzGObaQ-rkDJ!F z>6Rk)YHOHwT=_D=MAoPKR{RP95Il@wVSsDKzzt6EEAiW3)lup zOgNLCRlwDoH@R&q1;xjUi`Onn3|_=PBtU@p8oq&{3^i9takFCYH+kH68Hx5En$hj^ z;il_(ERPF&7jP>qujIld8%iolxN^-w{O7?vFF#J4J}*Ec{a(34dU6&yso5mQ3*++i z#lN!yt$bf}pVdj8q;urEQ0!k_!J|?O^Xuls1{KiLK7^yEr}*tYx2&B6SY4#3d2vwi zp|qkw7?Uyg{iN{NdP%{|q(cE*9le}5b4nzjG3wg;ga8SaXdM!kW+H@WuPC;C>{Ec4 zFs=i-yRk4?PGjv62D)d`*Y*XS)%qf#E!d>CgSpH0uyE-r#k-2iD6N>x+!fon%-%!}rX*7hH?bFj2 zy&ET5_L{JqNRf&^aOYNlP|8Ry3N`oe@)5o5&&iY5gb@gu+jtNYn@Ub@5dq=ZSl>?} ze@O8jn-}}PuH)28LH-|G&@Rp;G|d4YkJChj_%YDaBl68t?h*JFpe~AIA|s0ASfo(Y z5RM-|C-(`&&dwWaYd74ag8NjaVDps&XTQFS(f1Fz^dgw3{@cVRxN~^ZQIh1k%F-Te ztOMlyNY0;gA}g~Bt8!`ndJzr|_c?u97|6YFVMtf7we`TmBN!K3 zXORY;!X_iJ$;c4ucofoMaB~sZ{HW%{qstFHEBqjNgNOoT;$`c7LaK|D!ge#+QSiMT;!izu*vW5EB z%W|}Mfc?xBtVMR+y5%f>v=xTj&IJKmPtS0XGhul9IMF{;Nqz5q zf(FlV?MWcFM!h)soi(Nt*iRTHzu*%?QV>G6Bcb2oeh_=^Gg#DJ~bcTUlH%6A*Nb!out-*_qXEADFav@WcI{fV_=2 zm##QU{9Gk8`4X{@?_fXb&Z#FpT=>opi;gsoNa2X>p0% ze$Z{soWDW1e+(ssjToC;5O&;xx!E-VYfr9TapUT>yTTYFB^IN|N-3uxv;3{(_l%9g zucLx(54<_{q8y`dVzGL0AE!|lJS)WijuPi~qITL|a7|*sRdVXs5i614(b9L2uzP1J zD_cdKIAd$=C$SiazfU~9Jx^%wknsvb-?4WKM@Gzkcm6erPqB5VAtJeuT ziel4_-B_N}BtCi*Px_`Z)H{~c^yL^IQR2$k12W*9oIWo%Ke-(93+fy()0RZsB~@~Z z1oJ7OrAJ9fx9#S!Fq*^+h6B+72bd&=Hh0c_gJL2trf}BKe z+WJL;B$?p<^f=DXY_WTKi<`Ap#63DeL7F8#&PN#Pks!IHNoe>*Oi%3)YHUkvtcTp| zwm93I``+h8(jAxIn{)D%8ZpsVN$a-5rqz^Nl}EUgvX_8-6WsjwlNfe^`1FNJY593{5%IuVbq;~j8{2)|2YJ#gmsHBHi`nqRu8D+zQ;J;?58{N`1&Z(U+Qz?M>D+I?&02Jg6WNI#0Fm=Gy5)eHL;i)Z^h5|90C58 z`1_v~@IF9N;!V8oU&Ke|Z*_7bNn*DIk)f%1=A?bS1fMtiaDC>A=bMxGy|Bck))a>f zBQCk^BPha(C;gAOWu-3(Bht&^q|D;`j5hDnh%oJW=u@UDGD2X=pW4^CDoPlXEh~GPZ1hyC2Zm{)zu=g z{4R6*wjSXUi>FTQCNS_aA^v7$7g_S~$w301nd9=U`1_}Qc#K-&Sg%K3j~iZIrZUeH zl$7|0?A%IdgxTAeC@T%d+0k73xQze(lemlh?Qfa$AoQmALB^GQo#F08Zr)eNqT)OU zvQA@CcY{;@2l2eBA?!+@2LZRm#v$0*AEd4>82h`2B-U;c{@^TEF7LzFNAmF2F&-v) zQT8Z^loUto0*r_k32xhIf%ThH*uF8u>y;kSPmd5>xR1-XwvnB7osq6kqJl0F3c4xNlma}xG$5wo>b1B-7WG_mxD*w3b^j((6J4i zwbB+AwvNk}He-HlH8xhNbl0gf(D4Pm{hv_MAkxuj6*~KN5ftzMwH;b4S*pUK#j|;5 z-ogbeTCfylrB$q0xs^M212|}Wk!@<5IU@#r5T-(3`#Q>V*5Yr!l?0#D1iK#RL9iYH zetSj79>Le+FcJ49nVs}ViPa+Ufi^Ye2DGb$>!z=M;Jr4<5EmV6=4s=^>kWsCf3KX*-D# zTPS)c!4p_-rm}7YmDS56Smsk( zxt!vhm9$ssll@>f=@IIb6&#Q;X_Aq&oBXW9l;mrX60I-e)*~T$p9H$0B)&SSVRFv> zwmq^B;DxgDUk zbRTWiCrAp{B0h8vg()XV4%Z}6@!!r|BND>YsZxAgqsV~rd|e)fu46QF1*4BPQ{aCJ zzblrc1YYF+wc|XL{p_&4WF;J?yznrMb@~*F9b?0H$)+dcHxrwRZ=(&Vt1yyw4`q3W zL_TPd6|X^Gs|EwDYpDy{PTaLq zeUv{sLvr*{;>Aw2B@LDNRT+m%PzmceG=0pWZA&Xb1q1lMK=3TURsR>h+Yr@wN8=@w*RK))d8mml6 zjnyVDVyoQ4P+Co*g7?ssp~aiLy|gFoB*D>?u)F3&dzuk!ZA5MXcn*j0m_98fhb0fR zNqC@3Mafo{y5wZY__Cx;K0=zrZ$h8}#RAOvnL7n!c90%*h^9(YMyq%8Bvpm_ zifuf~*-U=zUg|Q}GaSF2htYb})E##oNb|+menzYsHizL+~Nn$;~Q(J6wOj>=y zd`$7ZYet;EIbojLs47xnux&nr^=oJ?ImW*IOE5g7gNFKk?CyB8OHGGm%T}Q@Uqu*~ z%3{{4ZfA+oX4b3~WQ$5c>(EK8t~;>*kiJMdBifs;QIND9?_28#cRE0bi!cN)9jWd+ zq_S%f=&8qjyFIwv*g#H-I`Lt9s4Cq=SEmYj*(=CMTPV&^7Q|LOP`Fiseg}D3>&Qx1 zrLjTuY=a6ZF{&i{FXhYjg?!zj%&WeSc+vR@zw~~{Uz^_No305w@Ra`K`r#gBQleIp zmoC8+H;?3m?Nk)#6XLB(ZmK#d@oNM?H&BqFErwh{cKT-eDp&J;+jxHH8pHPkV|d;@ zmS@5y2YY6bnKn-<*)r-YcT0e;Btw8GEnZD@_7)N&x03fz@g2e)0+LHfdNiK2w6&y! zY0#9rji)8M2tKSqsD=YUI(P8V6*h3(kf@vLwB(&4SpYjDcPXXybBT*vF3Gu#nD8}{ zL;}1yyWavpLi9R{^CW4a)(9KeKyLO%TFZAxb(_bl!4LVW??b-s7JGDm&Zq=cPWmJP z?M2inp8W1sAt`c^uvKO9B~c=LmJ<`SibpA1NsV45!LVLzBQ~y9JoLMWf#MlF%ioI2 z9w{~k;dpHKlJVcgZNCvouG>g{u!r)Z9rSc=Az#Lw6thl(Qvu|=NlQ|UaVf2BDnv(5 zr?_yQjD4Pf#v+FMr|@!g3aJ;QfUOKB!N`VtTaOW8dJzxP6%e6)r>dK@)oF@sjnh5{ZlJEj3*}DYT_R2l>k{q*%hZ*aon61G7 z);_xOxAU@aFAokKCs5}g4-Ox~Tg?%7qiY1-(V(GZx3I{u3_bmT+J;F2k{d}D;3=~Vb^#c_wI52GmTH{KxHED(EbU3yB* z3AndPiti4Z8|1nND@m8@axzv@QM{GRxa~v-tRmV+04shM*{Ms&OVUy9mR3LfrynnaA{4fgHUYv1q07ij*ey0+O?~YQ`g`V!Vp}H#d?mr&+hyB{zq<~B z_cZXntBKp?{Y1E(6+98fRxyduktGZ_U*XbG11_Ai!ovKb$ntB%#bmO5$95KrLR_`t ze?ADid7~Pe)~K;!^>R$lnPG9||JBu%Ek}`DQ9JyI1p+O-)t;HPSM@Fl2?1t2%n(70 z{o)u8b{ISo>V5B4ODsb;Ja7K!%63@#92DkTcb-Ro4b_bi;eQf8CupUZGFMTX0 zRZIs8>qUymtcJl=jK#E7*qF^+tX4ioW9nYH7*7n2O{~U;_;tRIU&cH5W&QvkW)E>Y zKjeG~>#4-3Z(%$yVYRg}n$$2~S23Y~GyTznxsAyn#=8F$%k6z!OiJiaJ6L}R3S4e} z;!f$^H~9GDD|~tPCoFzE#m)Q2*b<{*&!Ueert3ot>C<8^8GnHO6)~C-&q>0gBgadL zaX-VSixbB}~ zMSatLfbD|*&K2ep2kWJY*`$pA#Kz?{U1=JE$zU4Dlze}94b z&#$og`3S>)2fb?^3)Z_>YiYPl4Q#l>{S zF*z}$&&$yh`tM*xzVt2{7_Sxn_Wn8E&%VdzJx?C*n)ou0$&m_HjBB;tCtt~>nZSA- z(1v%XckB`qkDOD)%Ef>=nru@HKJGF1IhOC9;uCRRzU^Sudx49$=U7}5U+NePO=4Na zd})yz#FGAuCl>RbU_EYP+DovR=2*`XjC#!D!X?HMHyd)`<{q)UM|}1e!yay?#C3Uq zx7Q}#d}eK}UB(}>7Kz8)VGcQ7m56zW&9%o|JM=%sY7k&`8Q}Ix;zQ5Che-w3lRbQ% z9^%Vz5C0mL@ugqG%>}W#RODBNUY~hevmY>rx|Y|gu;BP?#abrUdOe#wx3F2$wYFkKI(dBUrLWp!Xuhbbyt%k{jPvIJA@Q+8qc4^fp|Zv<#ZG4e1$ ziRah{p^u#~#(~Ib(}d?8z;iq}whz~7M_Y}V-J?Ydz~0n zzl;OrQm=<9kH@AfsV9IiWB9ck(oE==1zXzi;{;(Az_cU!Z8NqAu31NT_y>5lWSl+@ z0t=7ozrkL%gR<8~#eB|d3l&Lweukvkr41L3XHjZ!UHU1@1zslSEKmDDp?*skoG)`t z4Grr7EXLkVh<&<)l8`v`Yxq5LW7;`{Wf#6mqs}A#LrA9rX`7Iigja1*hXYSIND_r8 z*7i%3L*ldtLz;LL*eHkhxXy#m`$BgQ%2IG@FJV}9SZ+)|P3Cm)qQVc?aUpCAit)I_ z$8j_2P8m;xX8W9Lm`68YY?`AIWf7t5HVU5CxXzRY3_W)1Vw~uRx>$~v7>Rsc~q9TPLt)bpw;vxa*vzWDn9^A-> z6L#RRsW|!{GA0aw4aH@H@wmnd>TFgGcyWZd@eDH4?{QADiTTI8zTo@qySYLdeBJyi z`nLomzn>x}QP2DYr$LO6TS6m=P)+Kz#Wi6Hp^hQsGs+3qw;_YH@Lj4S7VC?)c`*~C%;&F18W8Fl0cRL(6Z{g1NZSFJ(%7-6FYN1YaH zT=k@|7pfFKkJc(;6OCES;StKwIS$ez?B@-X(++A`7e?Ab*gb(A>%V4K5V1$S4*k(K zb7P29y4jLU6Ufn@8Zlj!~c+@1(V`31qanTnc&kLP`F$y*) z{p}ta2mLpvTH@>!QSz9WbtqlR5u|(yCC=gd5q$3)p*Uty7)V8o%zlhSgw*|%IPjh% zMBHppKYeBVcYYF+pi3TPj9<`S@`n0-afU#45HNQ-jvyd$lMWi>L`*Dl7G#r+MoXl) zhCI?AB6K6-Q^?(i3bjb;h?v(fk?<26QLBS$c#2YThW)ICgS<|h>!_qTYHaRiLQclK zKlbUjB9~(NbqW!ja(se>eDuQZ9meFF=2A6op;oWL=Dd(+^eIHnrq!x_gSJKf@O8*s zg!)VCnA^|crmmT8Z==>35*Z)8HIwy#+iueHJhQJpcwDK0x&_!LGpzb_FD0RK) zoD+wFpX3-hQjCeUr*-I@h0HAHDmAseV7XBGM51oVUJbC0oyEj0OX&ZOXb4xX}c zwb{6Y&xaWC*M5s6L7e}QISUJ11na~P1Vw)pvc{dwCt=gbSRZvhD4PyStl@IX#zmZU z9fsWoc}W|Y-r!gT)|wBldQ2OvapL8Y6Gbk4@{VdL3Fs|Ug-MxBF`YdK)00000NkvXX Hu0mjfoP&^s literal 0 HcmV?d00001 diff --git a/DOC/source/device/hd_fpga/hd_device_gallery.rst b/DOC/source/device/hd_fpga/hd_device_gallery.rst new file mode 100644 index 0000000..fc5e43d --- /dev/null +++ b/DOC/source/device/hd_fpga/hd_device_gallery.rst @@ -0,0 +1,39 @@ +.. _hd_fpga_device_gallery: + +Chip Gallery +------------ + +Here lists the images of each HD FPGA chips + +SOFA HD +^^^^^^^ + +SOFA HD is the base design of the SOFA high-density eFPGA IPs + +.. figure:: ./figures/sofa_hd_layout.png + :scale: 100% + :alt: Layout view of SOFA HD device in Caravel SoC + + Layout view of SOFA HD device in Caravel SoC + +QLSOFA HD +^^^^^^^^^ + +QLSOFA HD is the arithmetic-enhanced design of the SOFA high-density eFPGA IPs + +.. figure:: ./figures/qlsofa_hd_layout.png + :scale: 100% + :alt: Layout view of QLSOFA HD device in Caravel SoC + + Layout view of QLSOFA HD device in Caravel SoC + +SOFA CHD +^^^^^^^^ + +SOFA CHD is the performance-optimized design of the SOFA high-density eFPGA IPs + +.. figure:: ./figures/sofa_chd_layout.png + :scale: 100% + :alt: Layout view of SOFA CHD device in Caravel SoC + + Layout view of SOFA CHD device in Caravel SoC diff --git a/DOC/source/device/hd_fpga/index.rst b/DOC/source/device/hd_fpga/index.rst index a6802e3..dc6f0d1 100644 --- a/DOC/source/device/hd_fpga/index.rst +++ b/DOC/source/device/hd_fpga/index.rst @@ -10,3 +10,5 @@ HD FPGAs hd_device_comp hd_device_dcac + + hd_device_gallery diff --git a/DOC/source/device/introduction.rst b/DOC/source/device/introduction.rst index 8c43329..6bda114 100644 --- a/DOC/source/device/introduction.rst +++ b/DOC/source/device/introduction.rst @@ -17,4 +17,3 @@ We aims to empower embedded applications with its low-cost design approach but h :alt: 24-hour FPGA IP development: from PDK to production-ready layout 24-hour FPGA IP development: from PDK to production-ready layout - From f2d143aab2f5c5ba1ddb5d7695f68cc2120ba3bd Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 11:27:48 -0600 Subject: [PATCH 29/51] [Doc] Update front page readme --- README.md | 11 +++++++++++ 1 file changed, 11 insertions(+) diff --git a/README.md b/README.md index b7e40f0..83654e0 100644 --- a/README.md +++ b/README.md @@ -6,6 +6,12 @@ SOFA (**S**kywater **O**pensource **F**PG**A**s) are a series of open-source FPGA IPs using the open-source [Skywater 130nm PDK](https://github.com/google/skywater-pdk) and [OpenFPGA](https://github.com/lnis-uofu/OpenFPGA) framework +

    + + + +

    + ## Quick Start ```bash @@ -22,6 +28,11 @@ python3 SCRIPT/repo_setup.py --openfpga_root_path ${OPENFPGA_PROJECT_DIRECTORY} Otherwise, you should provide full path using the option _--openfpga\_root\_path_ + +## Chip Gallery + +You can find a chip gallery in the online documentation. + ## Directory Organization * Keep this folder clean and organized as follows From b2353127608e884194eeb482fc0ef3b02cfb0af6 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 11:29:56 -0600 Subject: [PATCH 30/51] [Doc] Adjust figure position in README --- README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 83654e0..805ea99 100644 --- a/README.md +++ b/README.md @@ -6,11 +6,11 @@ SOFA (**S**kywater **O**pensource **F**PG**A**s) are a series of open-source FPGA IPs using the open-source [Skywater 130nm PDK](https://github.com/google/skywater-pdk) and [OpenFPGA](https://github.com/lnis-uofu/OpenFPGA) framework -

    + -

    + ## Quick Start From 039c9b932693ee17190bda51a0b746ebcadf8bac Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 11:33:34 -0600 Subject: [PATCH 31/51] [Doc] keep fixing the image in README --- README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 805ea99..747f095 100644 --- a/README.md +++ b/README.md @@ -6,11 +6,11 @@ SOFA (**S**kywater **O**pensource **F**PG**A**s) are a series of open-source FPGA IPs using the open-source [Skywater 130nm PDK](https://github.com/google/skywater-pdk) and [OpenFPGA](https://github.com/lnis-uofu/OpenFPGA) framework - +

    - +

    ## Quick Start From d85e0e8e6277464fd299b19399cba9940b531c0b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 11:40:12 -0600 Subject: [PATCH 32/51] [Doc] Fix image position in README --- README.md | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/README.md b/README.md index 747f095..0b3b748 100644 --- a/README.md +++ b/README.md @@ -7,9 +7,9 @@ SOFA (**S**kywater **O**pensource **F**PG**A**s) are a series of open-source FPGA IPs using the open-source [Skywater 130nm PDK](https://github.com/google/skywater-pdk) and [OpenFPGA](https://github.com/lnis-uofu/OpenFPGA) framework

    - - - + + +

    ## Quick Start From aa845d506e2afd8c711b7f6b4bbee14da995d73d Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 11:50:53 -0600 Subject: [PATCH 33/51] [Doc] Add introduction to the frontpage readme --- README.md | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) diff --git a/README.md b/README.md index 0b3b748..392e865 100644 --- a/README.md +++ b/README.md @@ -4,7 +4,13 @@ ## Introduction -SOFA (**S**kywater **O**pensource **F**PG**A**s) are a series of open-source FPGA IPs using the open-source [Skywater 130nm PDK](https://github.com/google/skywater-pdk) and [OpenFPGA](https://github.com/lnis-uofu/OpenFPGA) framework +SOFA (**S**kywater **O**pensource **F**PG**A**s) are a series of open-source FPGA IPs using the open-source [Skywater 130nm PDK](https://github.com/google/skywater-pdk) and [OpenFPGA](https://github.com/lnis-uofu/OpenFPGA) framework. + +This repository provide the following support for the eFPGA IPs +- **Architecture description file** : Users can inspect architecture details and try architecture evalution using the [VTR project](https://github.com/verilog-to-routing/vtr-verilog-to-routing) and the [OpenFPGA project(https://github.com/lnis-uofu/OpenFPGA)]. +- **Post-layout Verilog Netlists**: Users can run HDL simulations on the eFPGA IPs to validate their applications +- **Benchmark suites**: An example benchmarking suite with which users can run quick examples on the eFPGA IPs +- **Documentation**: Datasheets for each eFPGA IPs downto circuit-level details

    From 1290bbdc90b6ca11c270eb2c3e5146eefa0cd84c Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 11:51:54 -0600 Subject: [PATCH 34/51] [Doc] Typo fix --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index 392e865..1d982fb 100644 --- a/README.md +++ b/README.md @@ -7,7 +7,7 @@ SOFA (**S**kywater **O**pensource **F**PG**A**s) are a series of open-source FPGA IPs using the open-source [Skywater 130nm PDK](https://github.com/google/skywater-pdk) and [OpenFPGA](https://github.com/lnis-uofu/OpenFPGA) framework. This repository provide the following support for the eFPGA IPs -- **Architecture description file** : Users can inspect architecture details and try architecture evalution using the [VTR project](https://github.com/verilog-to-routing/vtr-verilog-to-routing) and the [OpenFPGA project(https://github.com/lnis-uofu/OpenFPGA)]. +- **Architecture description file** : Users can inspect architecture details and try architecture evalution using the [VTR project](https://github.com/verilog-to-routing/vtr-verilog-to-routing) and the [OpenFPGA project](https://github.com/lnis-uofu/OpenFPGA). - **Post-layout Verilog Netlists**: Users can run HDL simulations on the eFPGA IPs to validate their applications - **Benchmark suites**: An example benchmarking suite with which users can run quick examples on the eFPGA IPs - **Documentation**: Datasheets for each eFPGA IPs downto circuit-level details From 0838b48dec003289294a8b99e847160a0bca4af8 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 18:46:43 -0600 Subject: [PATCH 35/51] [Doc] Add timing and detailed routing arch to documentation --- ...l_io_skywater130nm_timing_tt_025C_1v80.yml | 2 +- .../figures/sofa_hd_fle_arch_timing.svg | 328 ++++++++++++++++ .../sofa_hd/figures/sofa_hd_routing_arch.svg | 365 ++++++++++++++++++ DOC/source/datasheet/sofa_hd/index.rst | 2 + .../datasheet/sofa_hd/sofa_hd_fpga_arch.rst | 44 +++ .../datasheet/sofa_hd/sofa_hd_timing.rst | 108 ++++++ 6 files changed, 848 insertions(+), 1 deletion(-) create mode 100644 DOC/source/datasheet/sofa_hd/figures/sofa_hd_fle_arch_timing.svg create mode 100644 DOC/source/datasheet/sofa_hd/figures/sofa_hd_routing_arch.svg create mode 100644 DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst diff --git a/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml b/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml index 82c1658..fc8e81d 100644 --- a/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml +++ b/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml @@ -22,5 +22,5 @@ LUT3_DELAY: 2.31e-9 LUT3_OUT_TO_FLE_OUT_DELAY: 2.03e-9 LUT4_DELAY: 2.6e-9 LUT4_OUT_TO_FLE_OUT_DELAY: 2.03e-9 -REGIN_TO_FF0_DELAY: 1.12e-9 +REGIN_TO_FF0_DELAY: 0.58e-9 FF0_TO_FF1_DELAY: 0.56e-9 diff --git a/DOC/source/datasheet/sofa_hd/figures/sofa_hd_fle_arch_timing.svg b/DOC/source/datasheet/sofa_hd/figures/sofa_hd_fle_arch_timing.svg new file mode 100644 index 0000000..78d1013 --- /dev/null +++ b/DOC/source/datasheet/sofa_hd/figures/sofa_hd_fle_arch_timing.svg @@ -0,0 +1,328 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Produced by OmniGraffle 7.18.4\n2021-04-02 20:32:49 +0000 + + frac_lut4_timing_points + + 图层 1 + + + + + + + + + + + + 4-LUT + + + + + + + + + + + in3 + + + + + in0 + + + + + in1 + + + + + in2 + + + + + + + + + + + + FF + [0] + + + + + + + + + + + + + + + + + + + + + + + out[0] + + + + + + + + + M + U + X + + + + + + + + + + + + + + + FF + [1] + + + + + + + + + + + + + + + + + + + + + + + out[1] + + + + + + + + + LUT4_out + + + + + + + + + + + + + + LUT3_out[0] + + + + + LUT3_out[1] + + + + + + + + scin + + + + + + + + + + + scout + + + + + + + + + + + + + + + + + + + + regin + + + + + + + + + M + U + X + + + + + + + + + + + + + + + + + + regout + + + + + CLK + + + + + + + + + + + + + + + + + + + + + + + + + + + M + U + X + + + + + + + + + M + U + X + + + + + + + + + M + U + X + + + + + + + + + + + + A + + + + + diff --git a/DOC/source/datasheet/sofa_hd/figures/sofa_hd_routing_arch.svg b/DOC/source/datasheet/sofa_hd/figures/sofa_hd_routing_arch.svg new file mode 100644 index 0000000..c8464f2 --- /dev/null +++ b/DOC/source/datasheet/sofa_hd/figures/sofa_hd_routing_arch.svg @@ -0,0 +1,365 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Produced by OmniGraffle 7.18.4\n2021-04-03 00:25:42 +0000 + + Canvas 1 + + Layer 1 + + + + + + + + + + + Input Pin + + + + + Output Pin + + + + + + + + + + + + + SB MUX + + + + + CB MUX + + + + + Track + + + + + + + + + + CLB + [2][1] + + + + + + + + + + + + + + + + + + + + + + + + + CLB + [1][1] + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + CLB + [3][1] + + + + + + + + + + + + + + + + + + + + + CLB + [4][1] + + + + + + + + + + + + + + + + + + CLB + [5][1] + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + L4 Wire + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + L2 Wire + + + + + L1 Wire + + + + + A + + + + + B + + + + + + + + + C + + + + + D + + + + + E + + + + + diff --git a/DOC/source/datasheet/sofa_hd/index.rst b/DOC/source/datasheet/sofa_hd/index.rst index 8bff100..3b82f44 100644 --- a/DOC/source/datasheet/sofa_hd/index.rst +++ b/DOC/source/datasheet/sofa_hd/index.rst @@ -14,3 +14,5 @@ SOFA HD sofa_hd_clb_arch sofa_hd_circuit_design + + sofa_hd_timing diff --git a/DOC/source/datasheet/sofa_hd/sofa_hd_fpga_arch.rst b/DOC/source/datasheet/sofa_hd/sofa_hd_fpga_arch.rst index 5f503c9..26340a9 100644 --- a/DOC/source/datasheet/sofa_hd/sofa_hd_fpga_arch.rst +++ b/DOC/source/datasheet/sofa_hd/sofa_hd_fpga_arch.rst @@ -59,6 +59,50 @@ The FPGA architecture follows a tile-based organization, to exploit the fine-gra | | | cells. | +------+----------+----------------------------------------------+ +.. _sofa_hd_fpga_arch_routing_arch: + +Routing Architecture +^^^^^^^^^^^^^^^^^^^^ + +The routing architecture is based on uni-directional routing tracks, which are interconnected by routing multiplexers. +:numref:`fig_sofa_hd_routing_arch` illustrates the detailed organization of the routing architecture. + +.. _fig_sofa_hd_routing_arch: + +.. figure:: ./figures/sofa_hd_routing_arch.svg + :width: 80% + :alt: Detailed routing architecture + + Detailed routing architecture + +The routing architecture consists the following type of routing tracks: + +- Length-1 wires (``L1 wires``), which hop over 1 logic block (including I/O block) +- Length-2 wires (``L2 wires``), which hop over 2 logic block (including I/O block) +- Length-4 wires (``L4 wires``), which hop over 4 logic block (including I/O block) + +Each tile includes two routing channels, i.e., the X-direction routing channel and the Y-direction routing channel, providing horizental and vertical connections to adjacent tiles. +Each routing channel consists of 40 routing tracks. See details in :numref:`table_sofa_hd_fpga_arch_routing_track_distribution`. + +.. _table_sofa_hd_fpga_arch_routing_track_distribution: + +.. table:: Routing track distribution of SOFA HD FPGA + + +------------+------------------------------+ + | Track type | Number of tracks per channel | + +============+==============================+ + | Length-1 | 6 (10%) | + +------------+------------------------------+ + | Length-2 | 6 (10%) | + +------------+------------------------------+ + | Length-4 | 28 (80%) | + +------------+------------------------------+ + | Total | 40 | + +------------+------------------------------+ + + + + .. _sofa_hd_fpga_arch_scan_chain: Scan-chain diff --git a/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst b/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst new file mode 100644 index 0000000..12b2ea0 --- /dev/null +++ b/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst @@ -0,0 +1,108 @@ +.. _sofa_hd_timing: + +Timing Annotation +----------------- + +.. _sofa_hd_timing_clb: + +Configurable Logic Block +^^^^^^^^^^^^^^^^^^^^^^^^ + +The path delays in :numref:`fig_sofa_hd_fle_arch_timing` are listed in :numref:`table_sofa_hd_fle_arch_timing`. + +.. _fig_sofa_hd_fle_arch_timing: + +.. figure:: ./figures/sofa_hd_fle_arch_timing.svg + :scale: 30% + :alt: Schematic of a logic element used in SOFA HD FPGA + + Schematic of a logic element used in SOFA HD FPGA + +.. _table_sofa_hd_fle_arch_timing: + +.. table:: Path delays of logic element in the SOFA HD FPGA + + +-------------------------+------------------------------+ + | Path / Delay | TT (unit: ns) | + +=========================+==============================+ + | in0 -> LUT3_out[0] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in1 -> LUT3_out[0] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in2 -> LUT3_out[0] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in0 -> LUT3_out[1] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in1 -> LUT3_out[1] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in2 -> LUT3_out[1] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in0 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | in1 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | in2 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | in3 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | LUT3_out[0] -> A | 0.56 | + +-------------------------+------------------------------+ + | LUT4_out[0] -> A | 0.58 | + +-------------------------+------------------------------+ + | A -> out[0] | 0.88 | + +-------------------------+------------------------------+ + | A -> FF[0] | 0.56 | + +-------------------------+------------------------------+ + | FF[0] -> out[0] | 0.88 | + +-------------------------+------------------------------+ + | LUT3_out[1] -> out[1] | 0.89 | + +-------------------------+------------------------------+ + | LUT3_out[1] -> FF[1] | 0.56 | + +-------------------------+------------------------------+ + | FF[1] -> out[1] | 0.89 | + +-------------------------+------------------------------+ + | regin -> FF[0] | 0.58 | + +-------------------------+------------------------------+ + | FF[0] -> FF[1] | 0.56 | + +-------------------------+------------------------------+ + +.. [1] The LUT input-to-output delay should be different as some inputs are close to output. However, we consider a uniform path delay considering the delay from the farest input ``in[0]`` to output. This is because VPR currently does not have LUT rebalancing techniques. + +I/O Block +^^^^^^^^^ + +The path delays in :numref:`fig_sofa_hd_embedded_io_schematic` are listed in :numref:`table_sofa_hd_io_timing`. + +.. _table_sofa_hd_io_timing: + +.. table:: Path delays of I/O circuit in the SOFA HD FPGA + + +-------------------------+------------------------------+ + | Path / Delay | TT (unit: ns) | + +=========================+==============================+ + | SOC_IN -> FPGA_IN | 0.11 | + +-------------------------+------------------------------+ + | FPGA_OUT -> SOC_OUT | 0.11 | + +-------------------------+------------------------------+ + +Routing Architecture +^^^^^^^^^^^^^^^^^^^^ + +The path delays in :numref:`fig_sofa_hd_routing_arch` are listed in :numref:`table_sofa_hd_routing_arch_timing`. + +.. _table_sofa_hd_routing_arch_timing: + +.. table:: Path delays of routing blocks in the SOFA HD FPGA + + +---------------------------+------------------------------+ + | Path / Delay | TT (unit: ns) | + +===========================+==============================+ + | A -> B | 1.61 | + +---------------------------+------------------------------+ + | A -> C | 1.61 | + +---------------------------+------------------------------+ + | A -> D | 1.61 | + +---------------------------+------------------------------+ + | B -> E | 1.38 | + +---------------------------+------------------------------+ + From ea1113917f0fb7fdd7f33ab3233b8df616e53587 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 18:56:14 -0600 Subject: [PATCH 36/51] [Doc] Add routing architecture details to qlsofa --- .../qlsofa_hd/qlsofa_hd_fpga_arch.rst | 26 +++++++++++++++++++ .../datasheet/sofa_hd/sofa_hd_fpga_arch.rst | 9 +++---- 2 files changed, 29 insertions(+), 6 deletions(-) diff --git a/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_fpga_arch.rst b/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_fpga_arch.rst index c05e1c7..8c204ed 100644 --- a/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_fpga_arch.rst +++ b/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_fpga_arch.rst @@ -46,6 +46,32 @@ The FPGA architecture follows a tile-based organization, to exploit the fine-gra | | | cells. | +------+----------+----------------------------------------------+ +.. _qlsofa_hd_fpga_arch_routing_arch: + +Routing Architecture +^^^^^^^^^^^^^^^^^^^^ + +The routing architecture shares the same principle as the SOFA HD routing architecture (See details in :ref:`sofa_hd_fpga_arch_routing_arch`). + +.. note:: Different from SOFA HD, each routing channel consists of 60 routing tracks. See details in :numref:`table_qlsofa_hd_fpga_arch_routing_track_distribution`. + +.. _table_qlsofa_hd_fpga_arch_routing_track_distribution: + +.. table:: Routing track distribution of QLSOFA HD FPGA + + +------------+------------------------------+ + | Track type | Number of tracks per channel | + +============+==============================+ + | Length-1 | 6 (10%) | + +------------+------------------------------+ + | Length-2 | 6 (10%) | + +------------+------------------------------+ + | Length-4 | 48 (80%) | + +------------+------------------------------+ + | Total | 60 | + +------------+------------------------------+ + + .. _qlsofa_hd_fpga_arch_scan_chain: Scan-chain diff --git a/DOC/source/datasheet/sofa_hd/sofa_hd_fpga_arch.rst b/DOC/source/datasheet/sofa_hd/sofa_hd_fpga_arch.rst index 26340a9..9854a4a 100644 --- a/DOC/source/datasheet/sofa_hd/sofa_hd_fpga_arch.rst +++ b/DOC/source/datasheet/sofa_hd/sofa_hd_fpga_arch.rst @@ -91,18 +91,15 @@ Each routing channel consists of 40 routing tracks. See details in :numref:`tabl +------------+------------------------------+ | Track type | Number of tracks per channel | +============+==============================+ - | Length-1 | 6 (10%) | + | Length-1 | 4 (10%) | +------------+------------------------------+ - | Length-2 | 6 (10%) | + | Length-2 | 4 (10%) | +------------+------------------------------+ - | Length-4 | 28 (80%) | + | Length-4 | 32 (80%) | +------------+------------------------------+ | Total | 40 | +------------+------------------------------+ - - - .. _sofa_hd_fpga_arch_scan_chain: Scan-chain From b4b32d5396d7473fd53f3688b6535d073c7cac00 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 19:04:32 -0600 Subject: [PATCH 37/51] [Doc] Update front-page README --- README.md | 1 + 1 file changed, 1 insertion(+) diff --git a/README.md b/README.md index 1d982fb..5640f1c 100644 --- a/README.md +++ b/README.md @@ -8,6 +8,7 @@ SOFA (**S**kywater **O**pensource **F**PG**A**s) are a series of open-source FPG This repository provide the following support for the eFPGA IPs - **Architecture description file** : Users can inspect architecture details and try architecture evalution using the [VTR project](https://github.com/verilog-to-routing/vtr-verilog-to-routing) and the [OpenFPGA project](https://github.com/lnis-uofu/OpenFPGA). +- **Fabrication-ready GDSII layouts**: Users can integrate to their chip designs. - **Post-layout Verilog Netlists**: Users can run HDL simulations on the eFPGA IPs to validate their applications - **Benchmark suites**: An example benchmarking suite with which users can run quick examples on the eFPGA IPs - **Documentation**: Datasheets for each eFPGA IPs downto circuit-level details From c4487d6e1062545604e7800fd127558f92a2b596 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 2 Apr 2021 20:48:58 -0600 Subject: [PATCH 38/51] [Doc] Add timing for QLSOFA and SOFA CHD --- .../figures/qlsofa_hd_fle_arch_timing.svg | 397 ++++++++++++++++++ DOC/source/datasheet/qlsofa_hd/index.rst | 2 + .../datasheet/qlsofa_hd/qlsofa_hd_timing.rst | 100 +++++ .../figures/sofa_chd_fle_arch_timing.svg | 397 ++++++++++++++++++ DOC/source/datasheet/sofa_chd/index.rst | 2 + .../datasheet/sofa_chd/sofa_chd_timing.rst | 100 +++++ .../datasheet/sofa_hd/sofa_hd_timing.rst | 4 + 7 files changed, 1002 insertions(+) create mode 100644 DOC/source/datasheet/qlsofa_hd/figures/qlsofa_hd_fle_arch_timing.svg create mode 100644 DOC/source/datasheet/qlsofa_hd/qlsofa_hd_timing.rst create mode 100644 DOC/source/datasheet/sofa_chd/figures/sofa_chd_fle_arch_timing.svg create mode 100644 DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst diff --git a/DOC/source/datasheet/qlsofa_hd/figures/qlsofa_hd_fle_arch_timing.svg b/DOC/source/datasheet/qlsofa_hd/figures/qlsofa_hd_fle_arch_timing.svg new file mode 100644 index 0000000..8b7cb01 --- /dev/null +++ b/DOC/source/datasheet/qlsofa_hd/figures/qlsofa_hd_fle_arch_timing.svg @@ -0,0 +1,397 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + Produced by OmniGraffle 7.18.4\n2021-04-02 20:35:25 +0000 + + schematic_timing + + 图层 1 + + + + + + + + + + + + 4-LUT + + + + + + + + + + + in3 + + + + + in0 + + + + + in1 + + + + + in2 + + + + + + + + + + + + FF + [0] + + + + + + + + + + + + + + + + + + + + + + + out[0] + + + + + + + + + M + U + X + + + + + + + + + + + + + + + FF + [1] + + + + + + + + + + + + + + + + + + + + + + + out[1] + + + + + + + + + LUT4_out + + + + + + + + + + + + + + LUT3_out[0] + + + + + LUT3_out[1] + + + + + + + + scin + + + + + + + + + + + scout + + + + + + + + + + + + + + + + + + + + regin + + + + + + + + + M + U + X + + + + + + + + + + + + + + + + + + regout + + + + + CLK + + + + + + + + + + + + + + + + + + + + + + + + + + + M + U + X + + + + + + + + + M + U + X + + + + + + + + + M + U + X + + + + + + + + + + + + + + + cin + + + + + + + + + M + U + X + + + + + LUT2_out[1] + + + + + + + + + + + + + + + MUX + + + + + LUT2_out[0] + + + + + + + + + + + + + + + cout + + + + + + + + Reset + + + + + + + + + + + + A + + + + + diff --git a/DOC/source/datasheet/qlsofa_hd/index.rst b/DOC/source/datasheet/qlsofa_hd/index.rst index b736ea8..bbaf130 100644 --- a/DOC/source/datasheet/qlsofa_hd/index.rst +++ b/DOC/source/datasheet/qlsofa_hd/index.rst @@ -14,3 +14,5 @@ QLSOFA HD qlsofa_hd_clb_arch qlsofa_hd_circuit_design + + qlsofa_hd_timing diff --git a/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_timing.rst b/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_timing.rst new file mode 100644 index 0000000..c5cfbf4 --- /dev/null +++ b/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_timing.rst @@ -0,0 +1,100 @@ +.. _qlsofa_hd_timing: + +Timing Annotation +----------------- + +.. _qlsofa_hd_timing_clb: + +Configurable Logic Block +^^^^^^^^^^^^^^^^^^^^^^^^ + +The path delays in :numref:`fig_sofa_hd_fle_arch_timing` are listed in :numref:`table_sofa_hd_fle_arch_timing`. + +.. _fig_qlsofa_hd_fle_arch_timing: + +.. figure:: ./figures/qlsofa_hd_fle_arch_timing.svg + :scale: 30% + :alt: Schematic of a logic element used in QLSOFA HD FPGA + + Schematic of a logic element used in QLSOFA HD FPGA + +.. _table_qlsofa_hd_fle_arch_timing: + +.. table:: Path delays of logic element in the QLSOFA HD FPGA + + +-------------------------+------------------------------+ + | Path / Delay | TT (unit: ns) | + +=========================+==============================+ + | in0 -> LUT3_out[0] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in1 -> LUT3_out[0] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in2 -> LUT3_out[0] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in0 -> LUT3_out[1] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in1 -> LUT3_out[1] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in2 -> LUT3_out[1] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in0 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | in1 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | in2 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | in3 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | LUT3_out[0] -> A | 0.56 | + +-------------------------+------------------------------+ + | LUT4_out[0] -> A | 0.58 | + +-------------------------+------------------------------+ + | A -> out[0] | 0.88 | + +-------------------------+------------------------------+ + | A -> FF[0] | 0.56 | + +-------------------------+------------------------------+ + | FF[0] -> out[0] | 0.88 | + +-------------------------+------------------------------+ + | LUT3_out[1] -> out[1] | 0.89 | + +-------------------------+------------------------------+ + | LUT3_out[1] -> FF[1] | 0.56 | + +-------------------------+------------------------------+ + | FF[1] -> out[1] | 0.89 | + +-------------------------+------------------------------+ + | regin -> FF[0] | 0.58 | + +-------------------------+------------------------------+ + | FF[0] -> FF[1] | 0.56 | + +-------------------------+------------------------------+ + +.. [1] The LUT input-to-output delay should be different as some inputs are close to output. However, we consider a uniform path delay considering the delay from the farest input ``in[0]`` to output. This is because VPR currently does not have LUT rebalancing techniques. + +.. _qlsofa_hd_timing_io: + +I/O Block +^^^^^^^^^ + +The path delays of I/O blocks in QLSOFA HD FPGA is same as the SOFA HD FPGA. See details in :ref:`sofa_hd_timing_io`. + +.. _qlsofa_hd_timing_routing: + +Routing Architecture +^^^^^^^^^^^^^^^^^^^^ + +The path delays in :numref:`fig_sofa_hd_routing_arch` are listed in :numref:`table_qlsofa_hd_routing_arch_timing`. + +.. _table_qlsofa_hd_routing_arch_timing: + +.. table:: Path delays of routing blocks in the QLSOFA HD FPGA + + +---------------------------+------------------------------+ + | Path / Delay | TT (unit: ns) | + +===========================+==============================+ + | A -> B | 1.44 | + +---------------------------+------------------------------+ + | A -> C | 1.44 | + +---------------------------+------------------------------+ + | A -> D | 1.44 | + +---------------------------+------------------------------+ + | B -> E | 1.38 | + +---------------------------+------------------------------+ + diff --git a/DOC/source/datasheet/sofa_chd/figures/sofa_chd_fle_arch_timing.svg b/DOC/source/datasheet/sofa_chd/figures/sofa_chd_fle_arch_timing.svg new file mode 100644 index 0000000..8b7cb01 --- /dev/null +++ b/DOC/source/datasheet/sofa_chd/figures/sofa_chd_fle_arch_timing.svg @@ -0,0 +1,397 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + Produced by OmniGraffle 7.18.4\n2021-04-02 20:35:25 +0000 + + schematic_timing + + 图层 1 + + + + + + + + + + + + 4-LUT + + + + + + + + + + + in3 + + + + + in0 + + + + + in1 + + + + + in2 + + + + + + + + + + + + FF + [0] + + + + + + + + + + + + + + + + + + + + + + + out[0] + + + + + + + + + M + U + X + + + + + + + + + + + + + + + FF + [1] + + + + + + + + + + + + + + + + + + + + + + + out[1] + + + + + + + + + LUT4_out + + + + + + + + + + + + + + LUT3_out[0] + + + + + LUT3_out[1] + + + + + + + + scin + + + + + + + + + + + scout + + + + + + + + + + + + + + + + + + + + regin + + + + + + + + + M + U + X + + + + + + + + + + + + + + + + + + regout + + + + + CLK + + + + + + + + + + + + + + + + + + + + + + + + + + + M + U + X + + + + + + + + + M + U + X + + + + + + + + + M + U + X + + + + + + + + + + + + + + + cin + + + + + + + + + M + U + X + + + + + LUT2_out[1] + + + + + + + + + + + + + + + MUX + + + + + LUT2_out[0] + + + + + + + + + + + + + + + cout + + + + + + + + Reset + + + + + + + + + + + + A + + + + + diff --git a/DOC/source/datasheet/sofa_chd/index.rst b/DOC/source/datasheet/sofa_chd/index.rst index a35e5fe..6a29d92 100644 --- a/DOC/source/datasheet/sofa_chd/index.rst +++ b/DOC/source/datasheet/sofa_chd/index.rst @@ -14,3 +14,5 @@ SOFA CHD sofa_chd_clb_arch sofa_chd_circuit_design + + sofa_chd_timing diff --git a/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst b/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst new file mode 100644 index 0000000..65fef7a --- /dev/null +++ b/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst @@ -0,0 +1,100 @@ +.. _sofa_chd_timing: + +Timing Annotation +----------------- + +.. _sofa_chd_timing_clb: + +Configurable Logic Block +^^^^^^^^^^^^^^^^^^^^^^^^ + +The path delays in :numref:`fig_sofa_chd_fle_arch_timing` are listed in :numref:`table_sofa_chd_fle_arch_timing`. + +.. _fig_sofa_chd_fle_arch_timing: + +.. figure:: ./figures/sofa_chd_fle_arch_timing.svg + :scale: 30% + :alt: Schematic of a logic element used in SOFA CHD FPGA + + Schematic of a logic element used in SOFA CHD FPGA + +.. _table_sofa_chd_fle_arch_timing: + +.. table:: Path delays of logic element in the SOFA CHD FPGA + + +-------------------------+------------------------------+ + | Path / Delay | TT (unit: ns) | + +=========================+==============================+ + | in0 -> LUT3_out[0] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in1 -> LUT3_out[0] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in2 -> LUT3_out[0] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in0 -> LUT3_out[1] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in1 -> LUT3_out[1] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in2 -> LUT3_out[1] [1]_ | 2.31 | + +-------------------------+------------------------------+ + | in0 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | in1 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | in2 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | in3 -> LUT4_out [1]_ | 2.60 | + +-------------------------+------------------------------+ + | LUT3_out[0] -> A | 0.56 | + +-------------------------+------------------------------+ + | LUT4_out[0] -> A | 0.58 | + +-------------------------+------------------------------+ + | A -> out[0] | 0.88 | + +-------------------------+------------------------------+ + | A -> FF[0] | 0.56 | + +-------------------------+------------------------------+ + | FF[0] -> out[0] | 0.88 | + +-------------------------+------------------------------+ + | LUT3_out[1] -> out[1] | 0.89 | + +-------------------------+------------------------------+ + | LUT3_out[1] -> FF[1] | 0.56 | + +-------------------------+------------------------------+ + | FF[1] -> out[1] | 0.89 | + +-------------------------+------------------------------+ + | regin -> FF[0] | 0.58 | + +-------------------------+------------------------------+ + | FF[0] -> FF[1] | 0.56 | + +-------------------------+------------------------------+ + +.. [1] The LUT input-to-output delay should be different as some inputs are close to output. However, we consider a uniform path delay considering the delay from the farest input ``in[0]`` to output. This is because VPR currently does not have LUT rebalancing techniques. + +.. _sofa_chd_timing_io: + +I/O Block +^^^^^^^^^ + +The path delays of I/O blocks in SOFA CHD FPGA is same as the SOFA HD FPGA. See details in :ref:`sofa_hd_timing_io`. + +.. _sofa_chd_timing_routing: + +Routing Architecture +^^^^^^^^^^^^^^^^^^^^ + +The path delays in :numref:`fig_sofa_hd_routing_arch` are listed in :numref:`table_sofa_chd_routing_arch_timing`. + +.. _table_sofa_chd_routing_arch_timing: + +.. table:: Path delays of routing blocks in the SOFA CHD FPGA + + +---------------------------+------------------------------+ + | Path / Delay | TT (unit: ns) | + +===========================+==============================+ + | A -> B | 1.44 | + +---------------------------+------------------------------+ + | A -> C | 1.44 | + +---------------------------+------------------------------+ + | A -> D | 1.44 | + +---------------------------+------------------------------+ + | B -> E | 1.38 | + +---------------------------+------------------------------+ + diff --git a/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst b/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst index 12b2ea0..731eb72 100644 --- a/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst +++ b/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst @@ -68,6 +68,8 @@ The path delays in :numref:`fig_sofa_hd_fle_arch_timing` are listed in :numref:` .. [1] The LUT input-to-output delay should be different as some inputs are close to output. However, we consider a uniform path delay considering the delay from the farest input ``in[0]`` to output. This is because VPR currently does not have LUT rebalancing techniques. +.. _sofa_hd_timing_io: + I/O Block ^^^^^^^^^ @@ -85,6 +87,8 @@ The path delays in :numref:`fig_sofa_hd_embedded_io_schematic` are listed in :nu | FPGA_OUT -> SOC_OUT | 0.11 | +-------------------------+------------------------------+ +.. _sofa_hd_timing_routing: + Routing Architecture ^^^^^^^^^^^^^^^^^^^^ From e9fa1bf243362a3ce77641a89b1af48ecd60cc45 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 3 Apr 2021 10:19:02 -0600 Subject: [PATCH 39/51] [Script] Add openfpga shell script for bitstream generation --- ...itstream_using_key_example_script.openfpga | 49 +++++++++++++++++++ 1 file changed, 49 insertions(+) create mode 100644 SCRIPT/openfpga_shell_script/skywater_generate_bitstream_using_key_example_script.openfpga diff --git a/SCRIPT/openfpga_shell_script/skywater_generate_bitstream_using_key_example_script.openfpga b/SCRIPT/openfpga_shell_script/skywater_generate_bitstream_using_key_example_script.openfpga new file mode 100644 index 0000000..295c863 --- /dev/null +++ b/SCRIPT/openfpga_shell_script/skywater_generate_bitstream_using_key_example_script.openfpga @@ -0,0 +1,49 @@ +# This script is designed to generate bitstream +# with a fixed device layout, which can be used for bitstream loaders +vpr ${VPR_ARCH_FILE} ${VPR_TESTBENCH_BLIF} --clock_modeling ideal --device ${OPENFPGA_VPR_DEVICE_LAYOUT} --route_chan_width ${OPENFPGA_VPR_ROUTE_CHAN_WIDTH} --absorb_buffer_luts off + +# Read OpenFPGA architecture definition +read_openfpga_arch -f ${OPENFPGA_ARCH_FILE} + +# Read OpenFPGA simulation settings +read_openfpga_simulation_setting -f ${OPENFPGA_SIM_SETTING_FILE} + +# Annotate the OpenFPGA architecture to VPR data base +# to debug use --verbose options +link_openfpga_arch --activity_file ${ACTIVITY_FILE} --sort_gsb_chan_node_in_edges + +# Check and correct any naming conflicts in the BLIF netlist +check_netlist_naming_conflict --fix --report ./netlist_renaming.xml + +# Apply fix-up to clustering nets based on routing results +pb_pin_fixup #--verbose + +# Apply fix-up to Look-Up Table truth tables based on packing results +lut_truth_table_fixup + +# Build the module graph +# - Enabled compression on routing architecture modules +# - Enable pin duplication on grid modules +# - Enabled frame view creation to save runtime and memory +# Note that this is turned on when bitstream generation +# is the ONLY purpose of the flow!!! +build_fabric --compress_routing --duplicate_grid_pin --frame_view --load_fabric_key ${EXTERNAL_FABRIC_KEY_FILE} #--verbose + +# Repack the netlist to physical pbs +# This must be done before bitstream generator and testbench generation +# Strongly recommend it is done after all the fix-up have been applied +repack #--verbose + +# Build the bitstream +# - Output the fabric-independent bitstream to a file +build_architecture_bitstream --verbose --write_file arch_bitstream.xml + +# Build fabric-dependent bitstream +build_fabric_bitstream --verbose + +# Write fabric-dependent bitstream +write_fabric_bitstream --file fabric_bitstream.txt --format plain_text +write_fabric_bitstream --file fabric_bitstream.xml --format xml + +# Finish and exit OpenFPGA +exit From 220506cd3f4985199d9c1c8f545b61a2bb137132 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 3 Apr 2021 10:38:23 -0600 Subject: [PATCH 40/51] [Test] Add task configuration file for bitstream generation flow --- .../config/task_template.conf | 53 +++++++++++++++++++ .../config/task_template.conf | 53 +++++++++++++++++++ .../config/task_template.conf | 53 +++++++++++++++++++ 3 files changed, 159 insertions(+) create mode 100644 SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_bitstream/config/task_template.conf create mode 100644 SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_bitstream/config/task_template.conf create mode 100644 SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_bitstream/config/task_template.conf diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_bitstream/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_bitstream/config/task_template.conf new file mode 100644 index 0000000..da59633 --- /dev/null +++ b/SCRIPT/skywater_openfpga_task/k4_N8_caravel_cc_fdhd_12x12/generate_bitstream/config/task_template.conf @@ -0,0 +1,53 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 1*60 +fpga_flow=yosys_vpr +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml + +[OpenFPGA_SHELL] +openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_bitstream_using_key_example_script.openfpga +openfpga_arch_file=${SKYWATER_OPENFPGA_HOME}/ARCH/openfpga_arch/k4_frac_N8_register_scan_chain_caravel_io_skywater130nm_fdhd_cc_openfpga.xml +openfpga_sim_setting_file=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_simulation_setting/efpga_12x12_sim_openfpga.xml +openfpga_vpr_device_layout=12x12 +openfpga_vpr_route_chan_width=40 +external_fabric_key_file=${SKYWATER_OPENFPGA_HOME}/ARCH/fabric_key/fabric_key_12x12.xml + +[ARCHITECTURES] +arch0=${SKYWATER_OPENFPGA_HOME}/ARCH/vpr_arch/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm.xml + +[BENCHMARKS] +bench0=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/and2/and2.v +bench1=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/and2_latch/and2_latch.v +bench2=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/bin2bcd/bin2bcd.v +bench3=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/counter/counter.v +bench4=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/routing_test/routing_test.v +# RS decoder needs 1.5k LUT4, exceeding device capacity +#bench5=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/rs_decoder/rtl/rs_decoder.v +bench6=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/simon_bit_serial/rtl/*.v +bench7=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/and2_or2/and2_or2.v + +[SYNTHESIS_PARAM] +bench0_top = and2 +bench1_top = and2_latch +bench2_top = bin2bcd +bench3_top = counter +bench4_top = routing_test +# RS decoder needs 1.5k LUT4, exceeding device capacity +#bench5_top = rs_decoder_top +bench6_top = top_module +bench7_top = and2_or2 + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +#end_flow_with_test= diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_bitstream/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_bitstream/config/task_template.conf new file mode 100644 index 0000000..770d490 --- /dev/null +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_customhd_12x12/generate_bitstream/config/task_template.conf @@ -0,0 +1,53 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 1*60 +fpga_flow=yosys_vpr +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml + +[OpenFPGA_SHELL] +openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_bitstream_using_key_example_script.openfpga +openfpga_arch_file=${SKYWATER_OPENFPGA_HOME}/ARCH/openfpga_arch/k4_frac_N8_reset_softadder_register_scan_chain_caravel_io_skywater130nm_customhd_cc_openfpga.xml +openfpga_sim_setting_file=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_simulation_setting/efpga_12x12_sim_openfpga.xml +openfpga_vpr_device_layout=12x12 +openfpga_vpr_route_chan_width=60 +external_fabric_key_file=${SKYWATER_OPENFPGA_HOME}/ARCH/fabric_key/fabric_key_12x12.xml + +[ARCHITECTURES] +arch0=${SKYWATER_OPENFPGA_HOME}/ARCH/vpr_arch/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm.xml + +[BENCHMARKS] +bench0=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/and2/and2.v +bench1=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/and2_latch/and2_latch.v +bench2=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/bin2bcd/bin2bcd.v +bench3=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/counter/counter.v +bench4=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/routing_test/routing_test.v +# RS decoder needs 1.5k LUT4, exceeding device capacity +#bench5=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/rs_decoder/rtl/rs_decoder.v +bench6=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/simon_bit_serial/rtl/*.v +bench7=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/and2_or2/and2_or2.v + +[SYNTHESIS_PARAM] +bench0_top = and2 +bench1_top = and2_latch +bench2_top = bin2bcd +bench3_top = counter +bench4_top = routing_test +# RS decoder needs 1.5k LUT4, exceeding device capacity +#bench5_top = rs_decoder_top +bench6_top = top_module +bench7_top = and2_or2 + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +#end_flow_with_test= diff --git a/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_bitstream/config/task_template.conf b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_bitstream/config/task_template.conf new file mode 100644 index 0000000..9a4a1a0 --- /dev/null +++ b/SCRIPT/skywater_openfpga_task/k4_N8_reset_softadder_caravel_cc_fdhd_12x12/generate_bitstream/config/task_template.conf @@ -0,0 +1,53 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 1*60 +fpga_flow=yosys_vpr +arch_variable_file=${SKYWATER_OPENFPGA_HOME}/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml + +[OpenFPGA_SHELL] +openfpga_shell_template=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_shell_script/skywater_generate_bitstream_using_key_example_script.openfpga +openfpga_arch_file=${SKYWATER_OPENFPGA_HOME}/ARCH/openfpga_arch/k4_frac_N8_reset_softadder_register_scan_chain_caravel_io_skywater130nm_fdhd_cc_openfpga.xml +openfpga_sim_setting_file=${SKYWATER_OPENFPGA_HOME}/SCRIPT/openfpga_simulation_setting/efpga_12x12_sim_openfpga.xml +openfpga_vpr_device_layout=12x12 +openfpga_vpr_route_chan_width=60 +external_fabric_key_file=${SKYWATER_OPENFPGA_HOME}/ARCH/fabric_key/fabric_key_12x12.xml + +[ARCHITECTURES] +arch0=${SKYWATER_OPENFPGA_HOME}/ARCH/vpr_arch/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm.xml + +[BENCHMARKS] +bench0=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/and2/and2.v +bench1=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/and2_latch/and2_latch.v +bench2=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/bin2bcd/bin2bcd.v +bench3=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/counter/counter.v +bench4=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/routing_test/routing_test.v +# RS decoder needs 1.5k LUT4, exceeding device capacity +#bench5=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/rs_decoder/rtl/rs_decoder.v +bench6=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/simon_bit_serial/rtl/*.v +bench7=${SKYWATER_OPENFPGA_HOME}/BENCHMARK/and2_or2/and2_or2.v + +[SYNTHESIS_PARAM] +bench0_top = and2 +bench1_top = and2_latch +bench2_top = bin2bcd +bench3_top = counter +bench4_top = routing_test +# RS decoder needs 1.5k LUT4, exceeding device capacity +#bench5_top = rs_decoder_top +bench6_top = top_module +bench7_top = and2_or2 + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +#end_flow_with_test= From 2712e684a84f1db0171cb96f0023b1651e428879 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 3 Apr 2021 14:33:24 -0600 Subject: [PATCH 41/51] [Script] Update report timing script for CLBs --- SNPS_PT/SCRIPT/report_timing_clb.tcl | 77 ++++++++++++++++++++-------- 1 file changed, 56 insertions(+), 21 deletions(-) diff --git a/SNPS_PT/SCRIPT/report_timing_clb.tcl b/SNPS_PT/SCRIPT/report_timing_clb.tcl index 91a7314..28aecd3 100644 --- a/SNPS_PT/SCRIPT/report_timing_clb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_clb.tcl @@ -5,19 +5,24 @@ ################################## # Define environment variables +# +set DEVICE_NAME "SOFA_HD" +#set DEVICE_NAME "QLSOFA_HD" +#set DEVICE_NAME "SOFA_CHD" + set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; -#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; -set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; -#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; +if {"SOFA_HD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +} elseif {"QLSOFA_HD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; +} elseif {"SOFA_CHD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; +} -#set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; -set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; -#set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; - -#set DEVICE_NAME "SOFA_HD" -set DEVICE_NAME "QLSOFA_HD" -#set DEVICE_NAME "SOFA_CHD" set TIMING_REPORT_HOME "../TIMING_REPORTS/"; @@ -51,12 +56,16 @@ link_design ${DESIGN_NAME} ######################################### # Setup constraints to break combinational loops -if {${DEVICE_NAME} eq "SOFA_HD"} { +if {${DEVICE_NAME} == "SOFA_HD"} { set_disable_timing */*/*/mem*/sky*_fd_sc_hd__dfxtp_*_*_/Q } else { # QLSOFA and SOFA CHD use a LUT with carry logic, the memory is deeper in hierarchy # Also QLSOFA and SOFA CHD use a different FF cell as configuration memory - set_disable_timing */*/*/*/*/*mem/sky*_fd_sc_hd__dfrtp_*_*_/Q + set_disable_timing */*/*/*/*mem*/sky*_fd_sc_hd__dfrtp_*_*_/Q + set_disable_timing */*/*/*/*/*mem*/sky*_fd_sc_hd__dfrtp_*_*_/Q + #Disable cin/cout paths + set_disable_timing logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/frac_logic_cin + set_disable_timing logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/frac_logic_cout } # ########################################## @@ -72,20 +81,46 @@ read_parasitics ${FPGA_NETLIST_HOME}/fpga_top_icv_in_design.nominal_25.spef ################################## # Report timing of Connect block # LUT4 output timing -report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/in -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut4_out > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut4_timing.rpt +set LUT_INPUT_PORT_NAME "logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/in" +set LUT4_OUTPUT_PORT_NAME "logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut4_out" + +# Walk through all the input pin and output pin paths +for {set ipin 0} {$ipin < 4} {incr ipin} { + if {0 == $ipin} { + report_timing -from ${LUT_INPUT_PORT_NAME}[$ipin] -to ${LUT4_OUTPUT_PORT_NAME} > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut4_timing.rpt + } else { + report_timing -from ${LUT_INPUT_PORT_NAME}[$ipin] -to ${LUT4_OUTPUT_PORT_NAME} >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut4_timing.rpt + } +} + # LUT3 output timing -report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/in -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut3_out > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut3_timing.rpt +set LUT3_OUTPUT_PORT_NAME "logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut3_out" + +# Walk through all the input pin and output pin paths +for {set ipin 0} {$ipin < 3} {incr ipin} { + for {set opin 0} {$opin < 2} {incr opin} { + if {0 == $ipin && 0 == $opin} { + report_timing -from ${LUT_INPUT_PORT_NAME}[$ipin] -to ${LUT3_OUTPUT_PORT_NAME}[$opin] > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut3_timing.rpt + } else { + report_timing -from ${LUT_INPUT_PORT_NAME}[$ipin] -to ${LUT3_OUTPUT_PORT_NAME}[$opin] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut3_timing.rpt + } + } +} # Output selector timing -report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0/ff_Q[0] -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/fabric_out > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt -report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0/frac_logic_out[0] -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/fabric_out[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt -report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1/ff_Q[0] -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/fabric_out >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt -report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0/frac_logic_out[1] -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/fabric_out[1] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt +set FRAC_LOGIC_OUTPUT_PORT_NAME "logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_0/frac_logic_out[0]" +set FF_PATH "logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff" +set FLE_OUTPUT_PORT_NAME "logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/fabric_out" + +report_timing -from ${FF_PATH}_0/ff_Q[0] -to ${FLE_OUTPUT_PORT_NAME}[0] > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt +report_timing -from ${FRAC_LOGIC_OUTPUT_PORT_NAME}[0] -to ${FLE_OUTPUT_PORT_NAME}[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt +report_timing -from ${FF_PATH}_1/ff_Q[0] -to ${FLE_OUTPUT_PORT_NAME}[1] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt +report_timing -from ${FRAC_LOGIC_OUTPUT_PORT_NAME}[1] -to ${FLE_OUTPUT_PORT_NAME}[1] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_output_mux_timing.rpt # LUT output to FF input timing -report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut4_out -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0/ff_D[0] > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt -report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut3_out -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_0/ff_D[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt -report_timing -from logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__frac_logic_mode_default__frac_lut4_*/frac_lut4_*_/lut3_out -to logical_tile_clb_mode_clb__*/logical_tile_clb_mode_default__fle_*/logical_tile_clb_mode_default__fle_mode_physical__fabric_0/logical_tile_clb_mode_default__fle_mode_physical__fabric_mode_default__ff_1/ff_D[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt +report_timing -from ${LUT4_OUTPUT_PORT_NAME} -to ${FF_PATH}_0/ff_D[0] > ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt +report_timing -from ${LUT3_OUTPUT_PORT_NAME} -to ${FF_PATH}_0/ff_D[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt +report_timing -from ${LUT3_OUTPUT_PORT_NAME} -to ${FF_PATH}_1/ff_D[0] >> ${TIMING_REPORT_HOME}/${DEVICE_NAME}_${DESIGN_NAME}_lut2ff_timing.rpt # TODO: Carry logic timing From 7d1d6517fbc0ac03630d471ca1871765701b7f6a Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 3 Apr 2021 14:33:39 -0600 Subject: [PATCH 42/51] [Arch] Update timing annotation for LUTs --- ...nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml | 8 ++++---- ...nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml | 8 ++++---- 2 files changed, 8 insertions(+), 8 deletions(-) diff --git a/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml b/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml index fc8e81d..b47dbcd 100644 --- a/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml +++ b/ARCH/timing_annotation/k4_frac_N8_tileable_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml @@ -18,9 +18,9 @@ LUT_OUT0_TO_FLE_OUT_DELAY: 0.89e-9 FF0_Q_TO_FLE_OUT_DELAY: 0.88e-9 LUT_OUT1_TO_FLE_OUT_DELAY: 0.78e-9 FF1_Q_TO_FLE_OUT_DELAY: 0.89e-9 -LUT3_DELAY: 2.31e-9 -LUT3_OUT_TO_FLE_OUT_DELAY: 2.03e-9 -LUT4_DELAY: 2.6e-9 -LUT4_OUT_TO_FLE_OUT_DELAY: 2.03e-9 +LUT3_DELAY: 0.86e-9 +LUT3_OUT_TO_FLE_OUT_DELAY: 1.44e-9 +LUT4_DELAY: 1.14e-9 +LUT4_OUT_TO_FLE_OUT_DELAY: 1.46e-9 REGIN_TO_FF0_DELAY: 0.58e-9 FF0_TO_FF1_DELAY: 0.56e-9 diff --git a/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml b/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml index a7eb774..4c4e441 100644 --- a/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml +++ b/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_timing_tt_025C_1v80.yml @@ -18,9 +18,9 @@ LUT_OUT0_TO_FLE_OUT_DELAY: 0.89e-9 FF0_Q_TO_FLE_OUT_DELAY: 0.88e-9 LUT_OUT1_TO_FLE_OUT_DELAY: 0.78e-9 FF1_Q_TO_FLE_OUT_DELAY: 0.89e-9 -LUT3_DELAY: 2.31e-9 -LUT3_OUT_TO_FLE_OUT_DELAY: 2.03e-9 -LUT4_DELAY: 2.6e-9 -LUT4_OUT_TO_FLE_OUT_DELAY: 2.03e-9 +LUT3_DELAY: 0.92e-9 +LUT3_OUT_TO_FLE_OUT_DELAY: 1.44e-9 +LUT4_DELAY: 1.21e-9 +LUT4_OUT_TO_FLE_OUT_DELAY: 1.46e-9 REGIN_TO_FF0_DELAY: 1.12e-9 FF0_TO_FF1_DELAY: 0.56e-9 From acf1d10a00ef5720151d551fc756e01bc2a83381 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 3 Apr 2021 14:34:02 -0600 Subject: [PATCH 43/51] [Doc] Update timing in documentation --- .../figures/qlsofa_hd_fle_arch_timing.svg | 11 ++++++-- .../datasheet/qlsofa_hd/qlsofa_hd_timing.rst | 28 +++++++++---------- .../figures/sofa_chd_fle_arch_timing.svg | 11 ++++++-- .../datasheet/sofa_chd/sofa_chd_timing.rst | 25 +++++++++-------- .../datasheet/sofa_hd/sofa_hd_timing.rst | 24 ++++++++-------- 5 files changed, 54 insertions(+), 45 deletions(-) diff --git a/DOC/source/datasheet/qlsofa_hd/figures/qlsofa_hd_fle_arch_timing.svg b/DOC/source/datasheet/qlsofa_hd/figures/qlsofa_hd_fle_arch_timing.svg index 8b7cb01..eba2802 100644 --- a/DOC/source/datasheet/qlsofa_hd/figures/qlsofa_hd_fle_arch_timing.svg +++ b/DOC/source/datasheet/qlsofa_hd/figures/qlsofa_hd_fle_arch_timing.svg @@ -1,6 +1,6 @@ - + @@ -23,8 +23,8 @@ - Produced by OmniGraffle 7.18.4\n2021-04-02 20:35:25 +0000 - + Produced by OmniGraffle 7.18.4\n2021-04-03 20:07:23 +0000 + schematic_timing 图层 1 @@ -392,6 +392,11 @@ A + + + B + + diff --git a/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_timing.rst b/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_timing.rst index c5cfbf4..7d2745a 100644 --- a/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_timing.rst +++ b/DOC/source/datasheet/qlsofa_hd/qlsofa_hd_timing.rst @@ -8,12 +8,12 @@ Timing Annotation Configurable Logic Block ^^^^^^^^^^^^^^^^^^^^^^^^ -The path delays in :numref:`fig_sofa_hd_fle_arch_timing` are listed in :numref:`table_sofa_hd_fle_arch_timing`. +The path delays in :numref:`fig_qlsofa_hd_fle_arch_timing` are listed in :numref:`table_sofa_hd_fle_arch_timing`. .. _fig_qlsofa_hd_fle_arch_timing: .. figure:: ./figures/qlsofa_hd_fle_arch_timing.svg - :scale: 30% + :width: 80% :alt: Schematic of a logic element used in QLSOFA HD FPGA Schematic of a logic element used in QLSOFA HD FPGA @@ -25,25 +25,27 @@ The path delays in :numref:`fig_sofa_hd_fle_arch_timing` are listed in :numref:` +-------------------------+------------------------------+ | Path / Delay | TT (unit: ns) | +=========================+==============================+ - | in0 -> LUT3_out[0] [1]_ | 2.31 | + | in0 -> LUT3_out[0] | 0.85 | +-------------------------+------------------------------+ - | in1 -> LUT3_out[0] [1]_ | 2.31 | + | in1 -> LUT3_out[0] | 0.57 | +-------------------------+------------------------------+ - | in2 -> LUT3_out[0] [1]_ | 2.31 | + | in2 -> B | 0.60 | +-------------------------+------------------------------+ - | in0 -> LUT3_out[1] [1]_ | 2.31 | + | B -> LUT3_out[0] | 0.32 | +-------------------------+------------------------------+ - | in1 -> LUT3_out[1] [1]_ | 2.31 | + | in0 -> LUT3_out[1] | 0.90 | +-------------------------+------------------------------+ - | in2 -> LUT3_out[1] [1]_ | 2.31 | + | in1 -> LUT3_out[1] | 0.62 | +-------------------------+------------------------------+ - | in0 -> LUT4_out [1]_ | 2.60 | + | B -> LUT3_out[1] | 0.33 | +-------------------------+------------------------------+ - | in1 -> LUT4_out [1]_ | 2.60 | + | in0 -> LUT4_out | 1.17 | +-------------------------+------------------------------+ - | in2 -> LUT4_out [1]_ | 2.60 | + | in1 -> LUT4_out | 0.89 | +-------------------------+------------------------------+ - | in3 -> LUT4_out [1]_ | 2.60 | + | in2 -> LUT4_out | 1.21 | + +-------------------------+------------------------------+ + | in3 -> LUT4_out | 0.79 | +-------------------------+------------------------------+ | LUT3_out[0] -> A | 0.56 | +-------------------------+------------------------------+ @@ -66,8 +68,6 @@ The path delays in :numref:`fig_sofa_hd_fle_arch_timing` are listed in :numref:` | FF[0] -> FF[1] | 0.56 | +-------------------------+------------------------------+ -.. [1] The LUT input-to-output delay should be different as some inputs are close to output. However, we consider a uniform path delay considering the delay from the farest input ``in[0]`` to output. This is because VPR currently does not have LUT rebalancing techniques. - .. _qlsofa_hd_timing_io: I/O Block diff --git a/DOC/source/datasheet/sofa_chd/figures/sofa_chd_fle_arch_timing.svg b/DOC/source/datasheet/sofa_chd/figures/sofa_chd_fle_arch_timing.svg index 8b7cb01..eba2802 100644 --- a/DOC/source/datasheet/sofa_chd/figures/sofa_chd_fle_arch_timing.svg +++ b/DOC/source/datasheet/sofa_chd/figures/sofa_chd_fle_arch_timing.svg @@ -1,6 +1,6 @@ - + @@ -23,8 +23,8 @@ - Produced by OmniGraffle 7.18.4\n2021-04-02 20:35:25 +0000 - + Produced by OmniGraffle 7.18.4\n2021-04-03 20:07:23 +0000 + schematic_timing 图层 1 @@ -392,6 +392,11 @@ A + + + B + + diff --git a/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst b/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst index 65fef7a..d48623a 100644 --- a/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst +++ b/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst @@ -13,7 +13,7 @@ The path delays in :numref:`fig_sofa_chd_fle_arch_timing` are listed in :numref: .. _fig_sofa_chd_fle_arch_timing: .. figure:: ./figures/sofa_chd_fle_arch_timing.svg - :scale: 30% + :width: 80% :alt: Schematic of a logic element used in SOFA CHD FPGA Schematic of a logic element used in SOFA CHD FPGA @@ -25,25 +25,27 @@ The path delays in :numref:`fig_sofa_chd_fle_arch_timing` are listed in :numref: +-------------------------+------------------------------+ | Path / Delay | TT (unit: ns) | +=========================+==============================+ - | in0 -> LUT3_out[0] [1]_ | 2.31 | + | in0 -> LUT3_out[0] | 0.85 | +-------------------------+------------------------------+ - | in1 -> LUT3_out[0] [1]_ | 2.31 | + | in1 -> LUT3_out[0] | 0.57 | +-------------------------+------------------------------+ - | in2 -> LUT3_out[0] [1]_ | 2.31 | + | in2 -> B | 0.60 | +-------------------------+------------------------------+ - | in0 -> LUT3_out[1] [1]_ | 2.31 | + | B -> LUT3_out[0] | 0.32 | +-------------------------+------------------------------+ - | in1 -> LUT3_out[1] [1]_ | 2.31 | + | in0 -> LUT3_out[1] | 0.90 | +-------------------------+------------------------------+ - | in2 -> LUT3_out[1] [1]_ | 2.31 | + | in1 -> LUT3_out[1] | 0.62 | +-------------------------+------------------------------+ - | in0 -> LUT4_out [1]_ | 2.60 | + | B -> LUT3_out[1] | 0.33 | +-------------------------+------------------------------+ - | in1 -> LUT4_out [1]_ | 2.60 | + | in0 -> LUT4_out | 1.17 | +-------------------------+------------------------------+ - | in2 -> LUT4_out [1]_ | 2.60 | + | in1 -> LUT4_out | 0.89 | +-------------------------+------------------------------+ - | in3 -> LUT4_out [1]_ | 2.60 | + | in2 -> LUT4_out | 1.21 | + +-------------------------+------------------------------+ + | in3 -> LUT4_out | 0.79 | +-------------------------+------------------------------+ | LUT3_out[0] -> A | 0.56 | +-------------------------+------------------------------+ @@ -66,7 +68,6 @@ The path delays in :numref:`fig_sofa_chd_fle_arch_timing` are listed in :numref: | FF[0] -> FF[1] | 0.56 | +-------------------------+------------------------------+ -.. [1] The LUT input-to-output delay should be different as some inputs are close to output. However, we consider a uniform path delay considering the delay from the farest input ``in[0]`` to output. This is because VPR currently does not have LUT rebalancing techniques. .. _sofa_chd_timing_io: diff --git a/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst b/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst index 731eb72..104a7da 100644 --- a/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst +++ b/DOC/source/datasheet/sofa_hd/sofa_hd_timing.rst @@ -13,7 +13,7 @@ The path delays in :numref:`fig_sofa_hd_fle_arch_timing` are listed in :numref:` .. _fig_sofa_hd_fle_arch_timing: .. figure:: ./figures/sofa_hd_fle_arch_timing.svg - :scale: 30% + :width: 80% :alt: Schematic of a logic element used in SOFA HD FPGA Schematic of a logic element used in SOFA HD FPGA @@ -25,25 +25,25 @@ The path delays in :numref:`fig_sofa_hd_fle_arch_timing` are listed in :numref:` +-------------------------+------------------------------+ | Path / Delay | TT (unit: ns) | +=========================+==============================+ - | in0 -> LUT3_out[0] [1]_ | 2.31 | + | in0 -> LUT3_out[0] | 0.85 | +-------------------------+------------------------------+ - | in1 -> LUT3_out[0] [1]_ | 2.31 | + | in1 -> LUT3_out[0] | 0.57 | +-------------------------+------------------------------+ - | in2 -> LUT3_out[0] [1]_ | 2.31 | + | in2 -> LUT3_out[0] | 0.30 | +-------------------------+------------------------------+ - | in0 -> LUT3_out[1] [1]_ | 2.31 | + | in0 -> LUT3_out[1] | 0.86 | +-------------------------+------------------------------+ - | in1 -> LUT3_out[1] [1]_ | 2.31 | + | in1 -> LUT3_out[1] | 0.59 | +-------------------------+------------------------------+ - | in2 -> LUT3_out[1] [1]_ | 2.31 | + | in2 -> LUT3_out[1] | 0.31 | +-------------------------+------------------------------+ - | in0 -> LUT4_out [1]_ | 2.60 | + | in0 -> LUT4_out | 1.14 | +-------------------------+------------------------------+ - | in1 -> LUT4_out [1]_ | 2.60 | + | in1 -> LUT4_out | 0.86 | +-------------------------+------------------------------+ - | in2 -> LUT4_out [1]_ | 2.60 | + | in2 -> LUT4_out | 0.58 | +-------------------------+------------------------------+ - | in3 -> LUT4_out [1]_ | 2.60 | + | in3 -> LUT4_out | 0.51 | +-------------------------+------------------------------+ | LUT3_out[0] -> A | 0.56 | +-------------------------+------------------------------+ @@ -66,8 +66,6 @@ The path delays in :numref:`fig_sofa_hd_fle_arch_timing` are listed in :numref:` | FF[0] -> FF[1] | 0.56 | +-------------------------+------------------------------+ -.. [1] The LUT input-to-output delay should be different as some inputs are close to output. However, we consider a uniform path delay considering the delay from the farest input ``in[0]`` to output. This is because VPR currently does not have LUT rebalancing techniques. - .. _sofa_hd_timing_io: I/O Block From 77f340cb2f3ddf6e7fed8c40cac30ed0739d4945 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 3 Apr 2021 14:38:01 -0600 Subject: [PATCH 44/51] [Script] Update report timing script --- SNPS_PT/SCRIPT/report_timing_cb.tcl | 26 +++++++++++++++----------- SNPS_PT/SCRIPT/report_timing_clb.tcl | 1 - SNPS_PT/SCRIPT/report_timing_io.tcl | 25 +++++++++++++++---------- SNPS_PT/SCRIPT/report_timing_sb.tcl | 26 +++++++++++++++----------- 4 files changed, 45 insertions(+), 33 deletions(-) diff --git a/SNPS_PT/SCRIPT/report_timing_cb.tcl b/SNPS_PT/SCRIPT/report_timing_cb.tcl index fd5fb73..ea32e88 100644 --- a/SNPS_PT/SCRIPT/report_timing_cb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_cb.tcl @@ -5,19 +5,23 @@ # ################################## # Define environment variables +# +set DEVICE_NAME "SOFA_HD" +#set DEVICE_NAME "QLSOFA_HD" +#set DEVICE_NAME "SOFA_CHD" + set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; -#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; -set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; -#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; - -#set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; -set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; -#set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; - -#set DEVICE_NAME "SOFA_HD" -set DEVICE_NAME "QLSOFA_HD" -#set DEVICE_NAME "SOFA_CHD" +if {"SOFA_HD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +} elseif {"QLSOFA_HD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; +} elseif {"SOFA_CHD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; +} set TIMING_REPORT_HOME "../TIMING_REPORTS/"; diff --git a/SNPS_PT/SCRIPT/report_timing_clb.tcl b/SNPS_PT/SCRIPT/report_timing_clb.tcl index 28aecd3..f983c50 100644 --- a/SNPS_PT/SCRIPT/report_timing_clb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_clb.tcl @@ -23,7 +23,6 @@ if {"SOFA_HD" == ${DEVICE_NAME}} { set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; } - set TIMING_REPORT_HOME "../TIMING_REPORTS/"; # Enable preprocessing in Verilog parser diff --git a/SNPS_PT/SCRIPT/report_timing_io.tcl b/SNPS_PT/SCRIPT/report_timing_io.tcl index 5714dfc..095a3a8 100644 --- a/SNPS_PT/SCRIPT/report_timing_io.tcl +++ b/SNPS_PT/SCRIPT/report_timing_io.tcl @@ -5,19 +5,24 @@ ################################## # Define environment variables +# +set DEVICE_NAME "SOFA_HD" +#set DEVICE_NAME "QLSOFA_HD" +#set DEVICE_NAME "SOFA_CHD" + set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; -#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; -set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; -#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; +if {"SOFA_HD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +} elseif {"QLSOFA_HD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; +} elseif {"SOFA_CHD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; +} -#set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; -set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; -#set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; - -#set DEVICE_NAME "SOFA_HD" -set DEVICE_NAME "QLSOFA_HD" -#set DEVICE_NAME "SOFA_CHD" set TIMING_REPORT_HOME "../TIMING_REPORTS/"; diff --git a/SNPS_PT/SCRIPT/report_timing_sb.tcl b/SNPS_PT/SCRIPT/report_timing_sb.tcl index 9e426b7..72a8c72 100644 --- a/SNPS_PT/SCRIPT/report_timing_sb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_sb.tcl @@ -5,19 +5,23 @@ ################################## # Define environment variables + +set DEVICE_NAME "SOFA_HD" +#set DEVICE_NAME "QLSOFA_HD" +#set DEVICE_NAME "SOFA_CHD" + set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; -#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; -set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; -#set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; - -#set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; -set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; -#set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; - -#set DEVICE_NAME "SOFA_HD" -set DEVICE_NAME "QLSOFA_HD" -#set DEVICE_NAME "SOFA_CHD" +if {"SOFA_HD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_HD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_caravel_io_FPGA_12x12_fdhd_cc"; +} elseif {"QLSOFA_HD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_QLSOFA_HD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_fdhd_cc"; +} elseif {"SOFA_CHD" == ${DEVICE_NAME}} { + set FPGA_NETLIST_HOME "../../FPGA1212_SOFA_CHD_PNR/fpga_top"; + set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; +} set TIMING_REPORT_HOME "../TIMING_REPORTS/"; # Enable preprocessing in Verilog parser From 11aee0a5f61488f3577e68e7426d6a4ccb3eef55 Mon Sep 17 00:00:00 2001 From: Ganesh Gore Date: Sat, 3 Apr 2021 16:30:31 -0600 Subject: [PATCH 45/51] [SRC] Adding custom cell lib --- LIB/sky130_uuopenfpga_cc_hd_tt_025C_1v80.lib | 3318 ++++++++++++++++++ 1 file changed, 3318 insertions(+) create mode 100644 LIB/sky130_uuopenfpga_cc_hd_tt_025C_1v80.lib diff --git a/LIB/sky130_uuopenfpga_cc_hd_tt_025C_1v80.lib b/LIB/sky130_uuopenfpga_cc_hd_tt_025C_1v80.lib new file mode 100644 index 0000000..2f538f1 --- /dev/null +++ b/LIB/sky130_uuopenfpga_cc_hd_tt_025C_1v80.lib @@ -0,0 +1,3318 @@ +library (sclib_SKYWATER130_tt) { + /* Models written by Liberate 19.2.1.591 from Cadence Design Systems, Inc. on Tue Dec 15 16:47:57 MST 2020 */ + comment : ""; + date : "$Date: Tue Dec 15 16:46:50 2020 $"; + revision : "1.0"; + delay_model : table_lookup; + capacitive_load_unit (1,pf); + current_unit : "1mA"; + leakage_power_unit : "1nW"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1V"; + voltage_map (VPWR, 1.8); + voltage_map (VGND, 0); + voltage_map (VDD, 1.8); + voltage_map (VSS, 0); + default_cell_leakage_power : 0; + default_fanout_load : 1; + default_max_transition : 1.5; + default_output_pin_cap : 0; + in_place_swap_mode : match_footprint; + input_threshold_pct_fall : 50; + input_threshold_pct_rise : 50; + nom_process : 1; + nom_temperature : 25; + nom_voltage : 1.8; + output_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + slew_derate_from_library : 1; + slew_lower_threshold_pct_fall : 20; + slew_lower_threshold_pct_rise : 20; + slew_upper_threshold_pct_fall : 80; + slew_upper_threshold_pct_rise : 80; + operating_conditions (PVT_1P8V_25C) { + process : 1; + temperature : 25; + voltage : 1.8; + } + default_operating_conditions : PVT_1P8V_25C; + lu_table_template (delay_template_13x19) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + } + power_lut_template (passive_power_template_13x19) { + variable_1 : input_transition_time; + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + } + power_lut_template (power_template_13x19) { + variable_1 : input_transition_time; + variable_2 : total_output_net_capacitance; + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + } + cell (sky130_uuopenfpga_cc_hd_invmux2_1) { + area : 15.0144; + cell_footprint : "sky130_uuopenfpga_cc_hd_invmux2_1"; + cell_leakage_power : 0.0233842; + pg_pin (VGND) { + pg_type : primary_ground; + voltage_name : "VGND"; + } + pg_pin (VPWR) { + pg_type : primary_power; + voltage_name : "VPWR"; + } + leakage_power () { + value : 0.02694; + when : "(Q1 * Q2 * S0 * !S0B * !S1 * S1B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.02694; + when : "(Q1 * Q2 * !S0 * S0B * S1 * !S1B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0235109; + when : "(Q1 * !Q2 * S0 * !S0B * !S1 * S1B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0235101; + when : "(Q1 * !Q2 * !S0 * S0B * S1 * !S1B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.02351; + when : "(!Q1 * Q2 * S0 * !S0B * !S1 * S1B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0235108; + when : "(!Q1 * Q2 * !S0 * S0B * S1 * !S1B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0195761; + when : "(!Q1 * !Q2 * S0 * !S0B * !S1 * S1B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0195761; + when : "(!Q1 * !Q2 * !S0 * S0B * S1 * !S1B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0233842; + related_pg_pin : VPWR; + } + pin (Z) { + direction : output; + function : "(!Q1) + (!Q2)"; + min_capacitance : 1e-08; + power_down_function : "(!VPWR) + (VGND)"; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_capacitance : 0.21844; + timing () { + related_pin : "Q1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0496369, 0.127364, 0.142692, 0.161066, 0.18317, 0.209697, 0.241504, 0.279711, 0.325461, 0.380624, 0.446703, 0.525973, 0.620855, 0.735255, 0.872161, 1.0364, 1.23405, 1.4709, 1.75565", \ + "0.053124, 0.131015, 0.146357, 0.164718, 0.186849, 0.213391, 0.245158, 0.283331, 0.32914, 0.384373, 0.450408, 0.529528, 0.624713, 0.739133, 0.876111, 1.04018, 1.23814, 1.47453, 1.75941", \ + "0.0574884, 0.135449, 0.150808, 0.169141, 0.191337, 0.217778, 0.249625, 0.287798, 0.333598, 0.388753, 0.454993, 0.534079, 0.629346, 0.743529, 0.88073, 1.0449, 1.24264, 1.47916, 1.76363", \ + "0.0641525, 0.14221, 0.157543, 0.175945, 0.198064, 0.224593, 0.25636, 0.294609, 0.340457, 0.395465, 0.461594, 0.540746, 0.63616, 0.750358, 0.886998, 1.05136, 1.24915, 1.48584, 1.77005", \ + "0.0742749, 0.152301, 0.167682, 0.186073, 0.208314, 0.234767, 0.266629, 0.304954, 0.350528, 0.405738, 0.471886, 0.551051, 0.646488, 0.76049, 0.897465, 1.06161, 1.25948, 1.49619, 1.78056", \ + "0.0877145, 0.16752, 0.182842, 0.201291, 0.223363, 0.250148, 0.282019, 0.320157, 0.366324, 0.42108, 0.487365, 0.566539, 0.661916, 0.775929, 0.912812, 1.07718, 1.27477, 1.5115, 1.79569", \ + "0.10418, 0.189889, 0.20538, 0.22378, 0.24636, 0.272828, 0.304889, 0.343122, 0.388738, 0.444376, 0.510234, 0.589699, 0.684703, 0.799071, 0.935837, 1.10012, 1.29748, 1.53456, 1.81914", \ + "0.124026, 0.222008, 0.23788, 0.256953, 0.279389, 0.30664, 0.338484, 0.377148, 0.423446, 0.478808, 0.545013, 0.624251, 0.719914, 0.833521, 0.970823, 1.13523, 1.33228, 1.56939, 1.85403", \ + "0.147955, 0.26311, 0.281313, 0.302347, 0.326988, 0.354456, 0.387488, 0.426595, 0.4735, 0.529353, 0.596213, 0.675952, 0.772072, 0.885259, 1.02336, 1.18699, 1.38505, 1.62182, 1.90595", \ + "0.176392, 0.31402, 0.335521, 0.359482, 0.387266, 0.419175, 0.455401, 0.497588, 0.54522, 0.602413, 0.669867, 0.751154, 0.84796, 0.9629, 1.09995, 1.26597, 1.46227, 1.69978, 1.98366", \ + "0.211208, 0.376892, 0.401996, 0.430384, 0.46273, 0.499744, 0.541453, 0.588807, 0.642832, 0.705039, 0.776021, 0.858897, 0.957083, 1.07447, 1.21351, 1.38084, 1.57852, 1.81705, 2.10208", \ + "0.252889, 0.453827, 0.483571, 0.518011, 0.556263, 0.599102, 0.648001, 0.702993, 0.766829, 0.836864, 0.917544, 1.00902, 1.11359, 1.23429, 1.37669, 1.5459, 1.74849, 1.98836, 2.2751", \ + "0.304762, 0.547006, 0.583217, 0.623711, 0.670203, 0.721349, 0.780371, 0.845311, 0.918833, 1.00168, 1.09534, 1.20075, 1.31911, 1.45308, 1.60817, 1.78377, 1.99014, 2.23393, 2.52612" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0526224, 0.19747, 0.226854, 0.262273, 0.304982, 0.356007, 0.417654, 0.491398, 0.580017, 0.686441, 0.814076, 0.967676, 1.15137, 1.37236, 1.63772, 1.95616, 2.33703, 2.7951, 3.34599", \ + "0.0526207, 0.197393, 0.226975, 0.262197, 0.304804, 0.356002, 0.417647, 0.491323, 0.580009, 0.686542, 0.814359, 0.967406, 1.15123, 1.37281, 1.63784, 1.95553, 2.33847, 2.79539, 3.34597", \ + "0.0527044, 0.197382, 0.226912, 0.262321, 0.304826, 0.356008, 0.417464, 0.491318, 0.580094, 0.686211, 0.814374, 0.967603, 1.1514, 1.37279, 1.63793, 1.95531, 2.33755, 2.79513, 3.34711", \ + "0.0540722, 0.19748, 0.226845, 0.262213, 0.304982, 0.355997, 0.417334, 0.49128, 0.579974, 0.68624, 0.813962, 0.967415, 1.15139, 1.37284, 1.63749, 1.95582, 2.33701, 2.79536, 3.34513", \ + "0.0580477, 0.197569, 0.226882, 0.262233, 0.304855, 0.355999, 0.417497, 0.491238, 0.579967, 0.686401, 0.814283, 0.967428, 1.15125, 1.37213, 1.63706, 1.95575, 2.33816, 2.79513, 3.34682", \ + "0.0656965, 0.198927, 0.227593, 0.262527, 0.304905, 0.356039, 0.417429, 0.491262, 0.579828, 0.686549, 0.814133, 0.967657, 1.15142, 1.37207, 1.63749, 1.95558, 2.33708, 2.79531, 3.34507", \ + "0.0774199, 0.204296, 0.231963, 0.265759, 0.307225, 0.357275, 0.417918, 0.491424, 0.58003, 0.686401, 0.814259, 0.967679, 1.15165, 1.37219, 1.63759, 1.95571, 2.3375, 2.79568, 3.34505", \ + "0.0918548, 0.216198, 0.242799, 0.275265, 0.314884, 0.36332, 0.42207, 0.493888, 0.581355, 0.686889, 0.814379, 0.967749, 1.15145, 1.37284, 1.63778, 1.95621, 2.33758, 2.7953, 3.34684", \ + "0.110426, 0.237187, 0.262391, 0.293606, 0.331838, 0.378358, 0.435088, 0.50433, 0.588831, 0.691644, 0.816572, 0.968282, 1.15149, 1.37218, 1.6381, 1.95549, 2.33758, 2.79513, 3.34636", \ + "0.133979, 0.270836, 0.295588, 0.325137, 0.361609, 0.406274, 0.460501, 0.527554, 0.608971, 0.708206, 0.829709, 0.977128, 1.15608, 1.37382, 1.63745, 1.95551, 2.33715, 2.79624, 3.34499", \ + "0.163955, 0.314666, 0.341431, 0.372649, 0.410585, 0.453484, 0.505727, 0.569646, 0.647876, 0.743862, 0.86086, 1.00404, 1.17763, 1.38948, 1.64653, 1.95927, 2.33864, 2.79516, 3.3473", \ + "0.201281, 0.37138, 0.400302, 0.435214, 0.474791, 0.522492, 0.575891, 0.640471, 0.715937, 0.80716, 0.920419, 1.05811, 1.22653, 1.43114, 1.68081, 1.98352, 2.35398, 2.80392, 3.34684", \ + "0.249571, 0.445111, 0.477414, 0.516415, 0.558646, 0.609777, 0.670075, 0.738199, 0.820238, 0.914529, 1.0226, 1.15536, 1.31567, 1.51318, 1.75591, 2.04851, 2.40719, 2.84326, 3.3733" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0339802, 0.080293, 0.0894593, 0.100424, 0.11368, 0.129506, 0.148373, 0.171114, 0.198548, 0.231274, 0.270737, 0.317818, 0.374598, 0.442493, 0.524228, 0.622069, 0.739291, 0.880234, 1.04938", \ + "0.0371769, 0.0834608, 0.0926183, 0.103582, 0.116751, 0.132642, 0.151619, 0.174261, 0.201593, 0.234494, 0.273693, 0.321119, 0.377475, 0.445753, 0.527077, 0.624776, 0.742454, 0.883428, 1.05228", \ + "0.0410248, 0.087267, 0.0963865, 0.107365, 0.120646, 0.136469, 0.155389, 0.178078, 0.205433, 0.238194, 0.277642, 0.324756, 0.381243, 0.449201, 0.530921, 0.628827, 0.746309, 0.887215, 1.05682", \ + "0.0467709, 0.0930296, 0.102214, 0.113161, 0.126354, 0.142148, 0.161202, 0.183948, 0.21121, 0.243874, 0.283414, 0.330598, 0.387358, 0.45521, 0.536655, 0.634783, 0.752122, 0.892983, 1.06212", \ + "0.0541837, 0.101589, 0.110768, 0.121775, 0.134946, 0.150757, 0.169689, 0.192541, 0.219801, 0.252641, 0.291932, 0.339143, 0.395785, 0.463911, 0.545292, 0.643039, 0.760576, 0.901965, 1.07069", \ + "0.0629078, 0.114181, 0.123373, 0.13448, 0.147668, 0.163498, 0.182572, 0.205268, 0.232665, 0.265432, 0.304758, 0.352078, 0.408522, 0.476811, 0.558345, 0.65628, 0.773834, 0.914519, 1.08371", \ + "0.0729607, 0.131592, 0.14144, 0.152803, 0.166216, 0.182303, 0.201285, 0.224346, 0.251568, 0.284505, 0.323989, 0.37139, 0.427966, 0.495901, 0.577701, 0.6754, 0.792968, 0.933801, 1.10339", \ + "0.0842841, 0.153579, 0.164437, 0.177131, 0.192093, 0.20882, 0.22854, 0.251731, 0.279323, 0.312723, 0.352213, 0.399539, 0.456408, 0.524676, 0.606412, 0.704088, 0.821201, 0.962503, 1.13172", \ + "0.0967045, 0.179887, 0.192783, 0.207551, 0.224238, 0.243387, 0.265214, 0.290792, 0.319279, 0.353483, 0.393156, 0.441523, 0.498128, 0.566893, 0.649152, 0.747391, 0.864553, 1.00568, 1.17481", \ + "0.109899, 0.210488, 0.225803, 0.243391, 0.26335, 0.28557, 0.311126, 0.339513, 0.372274, 0.409276, 0.452646, 0.502223, 0.559713, 0.628593, 0.711658, 0.810153, 0.928248, 1.06962, 1.23949", \ + "0.122933, 0.24495, 0.2637, 0.284906, 0.308735, 0.335773, 0.365621, 0.399465, 0.437187, 0.480279, 0.528423, 0.583279, 0.646727, 0.718719, 0.803179, 0.902928, 1.02197, 1.16357, 1.33444", \ + "0.134399, 0.28271, 0.305735, 0.331698, 0.360194, 0.392963, 0.428669, 0.469519, 0.514939, 0.56544, 0.621443, 0.685504, 0.756653, 0.838605, 0.929682, 1.0366, 1.15764, 1.30248, 1.47414", \ + "0.141908, 0.322927, 0.350378, 0.381721, 0.416774, 0.455793, 0.500557, 0.549634, 0.60419, 0.664636, 0.732216, 0.807388, 0.892663, 0.985432, 1.09132, 1.21077, 1.34437, 1.49956, 1.6775" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0186421, 0.0822141, 0.0951753, 0.110734, 0.129487, 0.15195, 0.178817, 0.211124, 0.250058, 0.296609, 0.352613, 0.419577, 0.500011, 0.596883, 0.712973, 0.852103, 1.01882, 1.21922, 1.45971", \ + "0.0187305, 0.082234, 0.0951881, 0.110732, 0.129411, 0.151949, 0.178891, 0.211119, 0.24988, 0.29662, 0.352369, 0.419741, 0.499944, 0.596917, 0.712521, 0.851493, 1.01882, 1.21922, 1.45954", \ + "0.0189985, 0.0822183, 0.0951792, 0.11073, 0.12951, 0.151949, 0.178852, 0.211062, 0.249976, 0.296532, 0.352352, 0.419651, 0.499867, 0.596457, 0.712678, 0.851843, 1.01907, 1.21922, 1.45996", \ + "0.0205515, 0.0822326, 0.0951299, 0.110736, 0.129458, 0.151883, 0.17889, 0.211196, 0.249935, 0.296404, 0.35255, 0.419665, 0.500288, 0.596878, 0.712622, 0.85206, 1.01913, 1.21922, 1.45971", \ + "0.024447, 0.0824941, 0.0953049, 0.110776, 0.12945, 0.151885, 0.178772, 0.211178, 0.249919, 0.296585, 0.352401, 0.419619, 0.500051, 0.596844, 0.712621, 0.851591, 1.01877, 1.21951, 1.45963", \ + "0.0304796, 0.084715, 0.097014, 0.111946, 0.130148, 0.152116, 0.178796, 0.211156, 0.249968, 0.296596, 0.352391, 0.419572, 0.499863, 0.596922, 0.71304, 0.851906, 1.01913, 1.21917, 1.45963", \ + "0.0386746, 0.090666, 0.102374, 0.116578, 0.133785, 0.155021, 0.180797, 0.212191, 0.250217, 0.29643, 0.352574, 0.419632, 0.500246, 0.596516, 0.712792, 0.851966, 1.01902, 1.21952, 1.46017", \ + "0.0493925, 0.103215, 0.114061, 0.126926, 0.143287, 0.163299, 0.187909, 0.217834, 0.254541, 0.299095, 0.35348, 0.419686, 0.500089, 0.596998, 0.712808, 0.851967, 1.01846, 1.2185, 1.45961", \ + "0.0633966, 0.121615, 0.13311, 0.14648, 0.162535, 0.18143, 0.203668, 0.231953, 0.266286, 0.309393, 0.361311, 0.425128, 0.502734, 0.597427, 0.712918, 0.852182, 1.01902, 1.21852, 1.45893", \ + "0.0812789, 0.148489, 0.160298, 0.174472, 0.191029, 0.21061, 0.233438, 0.260838, 0.293159, 0.33215, 0.381501, 0.442325, 0.516379, 0.607302, 0.718447, 0.854044, 1.01916, 1.21971, 1.45869", \ + "0.105049, 0.185096, 0.198165, 0.213078, 0.230586, 0.251745, 0.276568, 0.304887, 0.338464, 0.377646, 0.423763, 0.479121, 0.548974, 0.634685, 0.74073, 0.871029, 1.03016, 1.22368, 1.46059", \ + "0.136144, 0.233669, 0.248364, 0.265831, 0.285572, 0.308578, 0.334741, 0.366135, 0.401605, 0.443062, 0.491105, 0.547944, 0.614617, 0.695301, 0.79245, 0.916038, 1.06684, 1.25255, 1.48058", \ + "0.176865, 0.295608, 0.314193, 0.334946, 0.358119, 0.384813, 0.414805, 0.448612, 0.488165, 0.533343, 0.585985, 0.647035, 0.717168, 0.798856, 0.896056, 1.009, 1.14816, 1.32375, 1.53975" \ + ); + } + } + timing () { + related_pin : "Q2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0496312, 0.127379, 0.142697, 0.161082, 0.183189, 0.209664, 0.241508, 0.279645, 0.325687, 0.380715, 0.446734, 0.525971, 0.621022, 0.735414, 0.872756, 1.03671, 1.23439, 1.47132, 1.75613", \ + "0.0531681, 0.131036, 0.146371, 0.164753, 0.186885, 0.213361, 0.24518, 0.283356, 0.329203, 0.384231, 0.450366, 0.529775, 0.625051, 0.739329, 0.876018, 1.04044, 1.23771, 1.475, 1.75957", \ + "0.0575236, 0.135531, 0.150889, 0.169215, 0.19137, 0.217854, 0.249691, 0.287813, 0.333766, 0.388764, 0.455053, 0.534352, 0.629276, 0.743849, 0.880793, 1.04527, 1.24224, 1.47952, 1.76379", \ + "0.0641768, 0.142197, 0.157628, 0.175969, 0.198109, 0.224659, 0.256404, 0.294717, 0.340524, 0.395727, 0.461675, 0.541183, 0.636162, 0.750521, 0.887578, 1.05178, 1.24952, 1.48622, 1.7706", \ + "0.0743163, 0.15239, 0.167835, 0.186152, 0.208258, 0.234799, 0.266752, 0.304925, 0.350613, 0.405742, 0.47206, 0.551292, 0.646683, 0.760775, 0.897719, 1.06254, 1.25979, 1.49665, 1.78082", \ + "0.0877961, 0.167582, 0.182972, 0.201391, 0.223485, 0.250082, 0.282012, 0.320155, 0.366194, 0.421164, 0.487346, 0.566829, 0.661908, 0.776397, 0.91357, 1.07747, 1.27542, 1.51205, 1.79626", \ + "0.104288, 0.189998, 0.205466, 0.223855, 0.246247, 0.272964, 0.304796, 0.343413, 0.388855, 0.44451, 0.510393, 0.58972, 0.684973, 0.799159, 0.935964, 1.10059, 1.29791, 1.53534, 1.8195", \ + "0.124252, 0.222019, 0.238178, 0.25697, 0.27946, 0.306583, 0.338687, 0.377264, 0.423485, 0.478761, 0.545046, 0.624262, 0.719934, 0.833723, 0.970743, 1.13515, 1.3331, 1.56988, 1.85474", \ + "0.148293, 0.26325, 0.281464, 0.302462, 0.327098, 0.354574, 0.387579, 0.426639, 0.473559, 0.529297, 0.596305, 0.675916, 0.772208, 0.88593, 1.02314, 1.18731, 1.3846, 1.62226, 1.90654", \ + "0.176782, 0.314304, 0.335728, 0.359649, 0.387418, 0.419369, 0.455491, 0.4976, 0.54512, 0.602453, 0.669946, 0.751342, 0.848229, 0.963126, 1.10093, 1.2662, 1.46268, 1.70113, 1.984", \ + "0.211723, 0.377321, 0.402238, 0.430664, 0.462973, 0.500001, 0.541642, 0.589103, 0.643036, 0.704745, 0.776077, 0.859061, 0.957111, 1.07479, 1.21377, 1.38119, 1.57896, 1.81737, 2.10224", \ + "0.253537, 0.454169, 0.483998, 0.51834, 0.556276, 0.599517, 0.648286, 0.703246, 0.766975, 0.837077, 0.917805, 1.00918, 1.11378, 1.23444, 1.377, 1.54604, 1.74891, 1.98975, 2.27673", \ + "0.305596, 0.547409, 0.583752, 0.624094, 0.670644, 0.721881, 0.780761, 0.845677, 0.919877, 1.002, 1.09502, 1.20076, 1.31985, 1.45335, 1.60849, 1.78467, 1.99052, 2.23437, 2.52653" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0528624, 0.19771, 0.227082, 0.262445, 0.305193, 0.356212, 0.417711, 0.491569, 0.580241, 0.686718, 0.814365, 0.967937, 1.15172, 1.37256, 1.63828, 1.95683, 2.33757, 2.7968, 3.34698", \ + "0.0528598, 0.197698, 0.227235, 0.262429, 0.30503, 0.356369, 0.417803, 0.491572, 0.580253, 0.686534, 0.814246, 0.967626, 1.15215, 1.37318, 1.63803, 1.95597, 2.3381, 2.79594, 3.34693", \ + "0.0529569, 0.197716, 0.227206, 0.262387, 0.30514, 0.35627, 0.417608, 0.491593, 0.58027, 0.686473, 0.814659, 0.968073, 1.15148, 1.37265, 1.63812, 1.95578, 2.33796, 2.79593, 3.34568", \ + "0.0543459, 0.197715, 0.227078, 0.262403, 0.305216, 0.356269, 0.41796, 0.491662, 0.580283, 0.686614, 0.814213, 0.967963, 1.15172, 1.37285, 1.63754, 1.95675, 2.3375, 2.79582, 3.34574", \ + "0.0582964, 0.197775, 0.227248, 0.262464, 0.30508, 0.356251, 0.417872, 0.4916, 0.580275, 0.68651, 0.814414, 0.968028, 1.1517, 1.37272, 1.63755, 1.95647, 2.33751, 2.79559, 3.34574", \ + "0.0659152, 0.19907, 0.227825, 0.262928, 0.305149, 0.356413, 0.41773, 0.491522, 0.580267, 0.686717, 0.814231, 0.968104, 1.15177, 1.37301, 1.63872, 1.95623, 2.33841, 2.7957, 3.34578", \ + "0.0775977, 0.204492, 0.232361, 0.266001, 0.307505, 0.357314, 0.418222, 0.491641, 0.580253, 0.686585, 0.814303, 0.967942, 1.15178, 1.37287, 1.63776, 1.95617, 2.33782, 2.79726, 3.3456", \ + "0.092135, 0.216455, 0.243092, 0.275488, 0.315148, 0.363396, 0.422395, 0.494174, 0.581446, 0.686752, 0.814606, 0.967675, 1.15175, 1.3732, 1.63791, 1.95614, 2.33787, 2.79595, 3.34747", \ + "0.110712, 0.237429, 0.262719, 0.293936, 0.332085, 0.378475, 0.435367, 0.504784, 0.589033, 0.691751, 0.816947, 0.968481, 1.15202, 1.37265, 1.63787, 1.95595, 2.33789, 2.79571, 3.34671", \ + "0.13435, 0.271, 0.295791, 0.325515, 0.36188, 0.406307, 0.460803, 0.527834, 0.609343, 0.708531, 0.829823, 0.977433, 1.15647, 1.37439, 1.63801, 1.95614, 2.33758, 2.7969, 3.34732", \ + "0.164443, 0.314895, 0.342104, 0.37328, 0.410886, 0.453804, 0.505815, 0.569821, 0.648055, 0.744406, 0.861082, 1.00436, 1.17806, 1.39043, 1.64694, 1.96047, 2.33863, 2.79569, 3.3475", \ + "0.2018, 0.371091, 0.400585, 0.436038, 0.475351, 0.52232, 0.576197, 0.641223, 0.716007, 0.807447, 0.920984, 1.0584, 1.22697, 1.43155, 1.68124, 1.98394, 2.35513, 2.80354, 3.34738", \ + "0.2501, 0.445644, 0.477863, 0.516871, 0.558955, 0.610052, 0.670407, 0.738548, 0.820031, 0.914835, 1.02233, 1.15542, 1.31663, 1.51352, 1.75627, 2.0494, 2.40851, 2.84384, 3.37416" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0339842, 0.0802945, 0.0894863, 0.100511, 0.113722, 0.12956, 0.148569, 0.17132, 0.19862, 0.231485, 0.27088, 0.318127, 0.374555, 0.442691, 0.524603, 0.622295, 0.739813, 0.880877, 1.0502", \ + "0.0371893, 0.0834981, 0.0927047, 0.103628, 0.116835, 0.132736, 0.151723, 0.174374, 0.201761, 0.234623, 0.273949, 0.321197, 0.377879, 0.446052, 0.527522, 0.625455, 0.743248, 0.884046, 1.05331", \ + "0.0410395, 0.0873186, 0.0964251, 0.107437, 0.120718, 0.136473, 0.1555, 0.178272, 0.205494, 0.238348, 0.277716, 0.324953, 0.381614, 0.449847, 0.531311, 0.629256, 0.747064, 0.887836, 1.05755", \ + "0.0467749, 0.0930723, 0.102194, 0.113206, 0.126429, 0.142209, 0.161317, 0.18396, 0.211294, 0.24406, 0.283583, 0.33061, 0.387544, 0.455499, 0.537167, 0.635202, 0.752823, 0.893589, 1.063", \ + "0.0542238, 0.101623, 0.110855, 0.121826, 0.135042, 0.150834, 0.169795, 0.192633, 0.219999, 0.252844, 0.292229, 0.339225, 0.396085, 0.463916, 0.545513, 0.643638, 0.761448, 0.902566, 1.07148", \ + "0.0629752, 0.114238, 0.123502, 0.13455, 0.147814, 0.163596, 0.182667, 0.205333, 0.232816, 0.265604, 0.304924, 0.352195, 0.40885, 0.477154, 0.558447, 0.656498, 0.774002, 0.915131, 1.08428", \ + "0.0730554, 0.131697, 0.141487, 0.152852, 0.166295, 0.182354, 0.20133, 0.224323, 0.251716, 0.284646, 0.324189, 0.37122, 0.428309, 0.496079, 0.578075, 0.676086, 0.793004, 0.934456, 1.10328", \ + "0.0844614, 0.153715, 0.164565, 0.177394, 0.192218, 0.208966, 0.228585, 0.251861, 0.279525, 0.312776, 0.352561, 0.399648, 0.456566, 0.524888, 0.606705, 0.704609, 0.821724, 0.963583, 1.13272", \ + "0.096899, 0.180082, 0.192894, 0.207713, 0.224385, 0.243554, 0.265395, 0.290926, 0.319461, 0.353707, 0.393275, 0.44176, 0.498509, 0.566845, 0.649002, 0.748041, 0.865112, 1.00633, 1.17562", \ + "0.110219, 0.21071, 0.22597, 0.243372, 0.26342, 0.285781, 0.311198, 0.33964, 0.372321, 0.409667, 0.452915, 0.502179, 0.559989, 0.628886, 0.712112, 0.810885, 0.928868, 1.07019, 1.24008", \ + "0.123335, 0.245354, 0.263992, 0.284836, 0.309023, 0.336063, 0.366044, 0.399802, 0.437502, 0.480825, 0.528846, 0.583491, 0.647367, 0.718775, 0.803169, 0.903025, 1.0225, 1.1644, 1.33424", \ + "0.134934, 0.283322, 0.30621, 0.33208, 0.360553, 0.393337, 0.429224, 0.469429, 0.515316, 0.56609, 0.622447, 0.68637, 0.757045, 0.83887, 0.930405, 1.03694, 1.1586, 1.3032, 1.47522", \ + "0.142567, 0.323457, 0.350914, 0.382142, 0.417046, 0.456493, 0.501009, 0.550059, 0.604388, 0.665187, 0.73266, 0.807804, 0.893022, 0.986024, 1.09178, 1.21141, 1.34461, 1.50029, 1.67822" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0187271, 0.0822837, 0.0953099, 0.110903, 0.129638, 0.152116, 0.179019, 0.211474, 0.250084, 0.296853, 0.352847, 0.420121, 0.500381, 0.597201, 0.713594, 0.852564, 1.01963, 1.22019, 1.46121", \ + "0.018823, 0.0823261, 0.095332, 0.11087, 0.129601, 0.152116, 0.179055, 0.21133, 0.250205, 0.296928, 0.352763, 0.420031, 0.500513, 0.597412, 0.713285, 0.852532, 1.01987, 1.22018, 1.46086", \ + "0.0190962, 0.0823366, 0.0953037, 0.110865, 0.12966, 0.152052, 0.179078, 0.211646, 0.250166, 0.296875, 0.352771, 0.419906, 0.500466, 0.59717, 0.712841, 0.852532, 1.02012, 1.22019, 1.46138", \ + "0.0206425, 0.0823665, 0.0952584, 0.110833, 0.129626, 0.152048, 0.179005, 0.211359, 0.250173, 0.296677, 0.352821, 0.419757, 0.500614, 0.597376, 0.713375, 0.852705, 1.02016, 1.22011, 1.46122", \ + "0.0245403, 0.0826032, 0.0954352, 0.110896, 0.129574, 0.152052, 0.178981, 0.211395, 0.250261, 0.29688, 0.352924, 0.419735, 0.500573, 0.59698, 0.712975, 0.852486, 1.01994, 1.22084, 1.46077", \ + "0.0306889, 0.0848769, 0.0971448, 0.112078, 0.130275, 0.152289, 0.179017, 0.211366, 0.250207, 0.29687, 0.35271, 0.419921, 0.500293, 0.597375, 0.712997, 0.852309, 1.01958, 1.22011, 1.46076", \ + "0.038848, 0.0908018, 0.102446, 0.116676, 0.133925, 0.155186, 0.180921, 0.212401, 0.250469, 0.296704, 0.352885, 0.419731, 0.500601, 0.597137, 0.713487, 0.852754, 1.01927, 1.22031, 1.45965", \ + "0.0495927, 0.103265, 0.113977, 0.127132, 0.143472, 0.163413, 0.188071, 0.218053, 0.254678, 0.299441, 0.354031, 0.419893, 0.500239, 0.597316, 0.713396, 0.852651, 1.01927, 1.2206, 1.46042", \ + "0.0636379, 0.121855, 0.133257, 0.146609, 0.162653, 0.181543, 0.203865, 0.232136, 0.266554, 0.309631, 0.361575, 0.425473, 0.503218, 0.597722, 0.713251, 0.852872, 1.01983, 1.21987, 1.4609", \ + "0.0815265, 0.148424, 0.160414, 0.174614, 0.191062, 0.210666, 0.233882, 0.261029, 0.293205, 0.33259, 0.381879, 0.442254, 0.516759, 0.607862, 0.718963, 0.854843, 1.02036, 1.2204, 1.46019", \ + "0.105394, 0.185437, 0.198276, 0.213111, 0.230369, 0.251883, 0.276379, 0.305083, 0.338596, 0.378171, 0.423962, 0.479456, 0.549563, 0.634876, 0.741068, 0.871722, 1.03094, 1.22476, 1.46081", \ + "0.13654, 0.2331, 0.248475, 0.266046, 0.285724, 0.308792, 0.335192, 0.365442, 0.40189, 0.443485, 0.491965, 0.548968, 0.614907, 0.695458, 0.792883, 0.916451, 1.06789, 1.25347, 1.48131", \ + "0.177324, 0.295623, 0.314275, 0.335308, 0.358491, 0.38479, 0.4151, 0.448844, 0.488539, 0.533529, 0.586159, 0.647933, 0.71842, 0.799249, 0.894432, 1.01175, 1.14875, 1.32462, 1.5396" \ + ); + } + } + timing () { + related_pin : "S0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0191532, 0.0992414, 0.114531, 0.132826, 0.154795, 0.18118, 0.212882, 0.251128, 0.297022, 0.352033, 0.417999, 0.497329, 0.59255, 0.706825, 0.843785, 1.00787, 1.20546, 1.44223, 1.72699", \ + "0.0233212, 0.102194, 0.117495, 0.135755, 0.157675, 0.184053, 0.215691, 0.253761, 0.299668, 0.354643, 0.420759, 0.499985, 0.595202, 0.709176, 0.846415, 1.01095, 1.20811, 1.44495, 1.72918", \ + "0.0289555, 0.105653, 0.120904, 0.139156, 0.161053, 0.187259, 0.218815, 0.25677, 0.30247, 0.357587, 0.423543, 0.502802, 0.597975, 0.712366, 0.848797, 1.01332, 1.21086, 1.44774, 1.73176", \ + "0.0370196, 0.110912, 0.126146, 0.144291, 0.166138, 0.192173, 0.223492, 0.261204, 0.306808, 0.361526, 0.427586, 0.506783, 0.601979, 0.715798, 0.85271, 1.01757, 1.21457, 1.45139, 1.73488", \ + "0.0467905, 0.119779, 0.13463, 0.152494, 0.174141, 0.199935, 0.23098, 0.268377, 0.313402, 0.368021, 0.433571, 0.512563, 0.607709, 0.721613, 0.858158, 1.02284, 1.21991, 1.45686, 1.74079", \ + "0.0586759, 0.134833, 0.149143, 0.166308, 0.187326, 0.212762, 0.243475, 0.280209, 0.324764, 0.378126, 0.443177, 0.521663, 0.616145, 0.729867, 0.866322, 1.03067, 1.2274, 1.46414, 1.74891", \ + "0.073159, 0.160342, 0.173757, 0.190154, 0.210175, 0.234399, 0.264161, 0.300293, 0.343907, 0.396684, 0.460005, 0.536885, 0.630391, 0.743101, 0.878476, 1.04258, 1.23864, 1.47534, 1.75912", \ + "0.0911201, 0.201392, 0.214477, 0.229916, 0.24861, 0.271559, 0.299568, 0.333973, 0.376242, 0.427517, 0.489882, 0.565177, 0.656066, 0.766639, 0.899881, 1.06186, 1.25769, 1.49309, 1.77695", \ + "0.113877, 0.25521, 0.272335, 0.290804, 0.310679, 0.332599, 0.359165, 0.391501, 0.430994, 0.47982, 0.539857, 0.61305, 0.701779, 0.809758, 0.939537, 1.09826, 1.28989, 1.52325, 1.80431", \ + "0.143499, 0.321307, 0.343365, 0.36785, 0.39387, 0.421899, 0.452034, 0.484527, 0.522462, 0.568073, 0.624173, 0.693715, 0.778706, 0.883401, 1.0103, 1.1649, 1.35117, 1.57835, 1.85426", \ + "0.182845, 0.402387, 0.430822, 0.461982, 0.496419, 0.532661, 0.573041, 0.615413, 0.660738, 0.708859, 0.763366, 0.828425, 0.908009, 1.00661, 1.12826, 1.27708, 1.4592, 1.68088, 1.94961", \ + "0.236153, 0.503394, 0.539379, 0.578986, 0.622182, 0.669722, 0.721887, 0.775608, 0.836514, 0.899355, 0.968064, 1.04013, 1.11875, 1.21188, 1.32545, 1.46566, 1.64036, 1.85217, 2.11374", \ + "0.310007, 0.630806, 0.674971, 0.723556, 0.779429, 0.838523, 0.904426, 0.974908, 1.05119, 1.13366, 1.22337, 1.31959, 1.42023, 1.52787, 1.6435, 1.77783, 1.94018, 2.13932, 2.38703" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0370148, 0.194328, 0.224711, 0.26113, 0.304438, 0.355912, 0.417454, 0.491443, 0.580241, 0.686298, 0.814249, 0.967446, 1.15154, 1.37262, 1.63778, 1.95575, 2.33708, 2.79523, 3.34584", \ + "0.0376011, 0.193874, 0.224401, 0.260537, 0.304315, 0.355914, 0.417533, 0.491264, 0.580041, 0.6864, 0.814076, 0.967673, 1.15158, 1.37248, 1.63792, 1.95619, 2.33705, 2.79516, 3.34635", \ + "0.039285, 0.192811, 0.223466, 0.259916, 0.303532, 0.355535, 0.417354, 0.491346, 0.579978, 0.686494, 0.813946, 0.967539, 1.1517, 1.37292, 1.63748, 1.9561, 2.33707, 2.79633, 3.34496", \ + "0.0427601, 0.191128, 0.221901, 0.258599, 0.302529, 0.354899, 0.417225, 0.491225, 0.579979, 0.686255, 0.814122, 0.967654, 1.1514, 1.37217, 1.6375, 1.9555, 2.33707, 2.7953, 3.34569", \ + "0.04867, 0.191074, 0.220866, 0.256742, 0.30053, 0.353368, 0.41595, 0.490886, 0.57992, 0.68613, 0.813936, 0.967618, 1.15143, 1.37284, 1.63809, 1.95692, 2.33813, 2.79625, 3.34516", \ + "0.056803, 0.194747, 0.223291, 0.258044, 0.300099, 0.351536, 0.413828, 0.488909, 0.579222, 0.686284, 0.814165, 0.967734, 1.15138, 1.37267, 1.63718, 1.95597, 2.33712, 2.79516, 3.34583", \ + "0.0668218, 0.205905, 0.232828, 0.265656, 0.305702, 0.354947, 0.414983, 0.487929, 0.576636, 0.684013, 0.813331, 0.967579, 1.15139, 1.37233, 1.63736, 1.95624, 2.33742, 2.7953, 3.34511", \ + "0.0801054, 0.228291, 0.253771, 0.284748, 0.322643, 0.368827, 0.425333, 0.495416, 0.580823, 0.684522, 0.811803, 0.965456, 1.15118, 1.37292, 1.63739, 1.95535, 2.33711, 2.79532, 3.34582", \ + "0.0969276, 0.263478, 0.289022, 0.319921, 0.356473, 0.400144, 0.453432, 0.519003, 0.599887, 0.698926, 0.820427, 0.9692, 1.15048, 1.37052, 1.63698, 1.95559, 2.33732, 2.79526, 3.34505", \ + "0.118167, 0.315153, 0.341482, 0.372999, 0.410124, 0.454381, 0.506504, 0.568878, 0.644805, 0.738131, 0.852387, 0.994484, 1.16708, 1.38021, 1.63901, 1.95459, 2.33716, 2.79561, 3.3451", \ + "0.145003, 0.38024, 0.41186, 0.448409, 0.489112, 0.533831, 0.587711, 0.650615, 0.726357, 0.81567, 0.923892, 1.05625, 1.21962, 1.4212, 1.66952, 1.97277, 2.34405, 2.79644, 3.34584", \ + "0.179181, 0.460916, 0.499958, 0.54309, 0.591811, 0.644269, 0.705302, 0.769745, 0.848218, 0.938249, 1.04811, 1.17715, 1.33135, 1.51991, 1.75309, 2.04021, 2.3952, 2.82913, 3.36054", \ + "0.224927, 0.562464, 0.608245, 0.659507, 0.71807, 0.781082, 0.853593, 0.932747, 1.02132, 1.11865, 1.22978, 1.36157, 1.51896, 1.70407, 1.92579, 2.19571, 2.52846, 2.93813, 3.44399" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0144551, 0.0608209, 0.0699997, 0.0809624, 0.0942214, 0.11007, 0.129009, 0.15177, 0.179057, 0.211892, 0.251435, 0.29853, 0.355339, 0.423214, 0.505016, 0.602618, 0.720615, 0.861401, 1.03066", \ + "0.0179162, 0.0639663, 0.0731201, 0.0840739, 0.0972972, 0.113064, 0.132102, 0.154822, 0.182169, 0.214866, 0.25434, 0.301656, 0.358328, 0.426211, 0.507896, 0.606109, 0.723353, 0.864195, 1.03368", \ + "0.0214231, 0.067602, 0.0767949, 0.0877093, 0.100907, 0.116699, 0.135685, 0.158508, 0.185727, 0.218475, 0.257843, 0.305195, 0.361774, 0.42993, 0.511254, 0.609344, 0.727187, 0.86807, 1.03749", \ + "0.0251716, 0.0733673, 0.0824239, 0.0933, 0.106346, 0.122164, 0.141025, 0.163822, 0.191158, 0.223855, 0.26314, 0.310391, 0.367156, 0.43487, 0.51669, 0.614555, 0.73209, 0.872805, 1.04253", \ + "0.0291657, 0.0820025, 0.0910935, 0.101901, 0.115018, 0.13062, 0.149335, 0.172008, 0.199226, 0.231982, 0.271354, 0.318301, 0.375041, 0.442865, 0.524682, 0.622477, 0.739739, 0.88116, 1.05018", \ + "0.0331097, 0.0950463, 0.104313, 0.115119, 0.128153, 0.143674, 0.162422, 0.18481, 0.211849, 0.244403, 0.283361, 0.330623, 0.386916, 0.454712, 0.536229, 0.633854, 0.751235, 0.892898, 1.06137", \ + "0.0368545, 0.112502, 0.122848, 0.134582, 0.147897, 0.163416, 0.18242, 0.204609, 0.231454, 0.263797, 0.302502, 0.349246, 0.405559, 0.473137, 0.554332, 0.652161, 0.769619, 0.91021, 1.07926", \ + "0.0396241, 0.131796, 0.144508, 0.158862, 0.174644, 0.192298, 0.211786, 0.23444, 0.261523, 0.29341, 0.332075, 0.378371, 0.434129, 0.501219, 0.582711, 0.679377, 0.796438, 0.936997, 1.10582", \ + "0.040637, 0.153275, 0.16867, 0.185761, 0.205107, 0.226183, 0.250275, 0.276156, 0.30568, 0.338716, 0.377139, 0.423467, 0.478909, 0.545558, 0.625662, 0.722562, 0.838708, 0.978623, 1.1469", \ + "0.0383672, 0.175598, 0.194604, 0.214967, 0.238328, 0.264286, 0.29277, 0.324728, 0.360446, 0.39911, 0.442728, 0.490485, 0.546423, 0.613519, 0.692737, 0.788333, 0.904181, 1.04352, 1.21071", \ + "0.0303486, 0.197752, 0.220594, 0.245741, 0.274256, 0.305332, 0.340181, 0.379048, 0.421295, 0.468245, 0.52048, 0.579749, 0.642955, 0.713419, 0.79434, 0.890807, 1.005, 1.14277, 1.30959", \ + "0.0128674, 0.217328, 0.245659, 0.276597, 0.30997, 0.348661, 0.390206, 0.437301, 0.488597, 0.545576, 0.608603, 0.679045, 0.755357, 0.842947, 0.936073, 1.04026, 1.15825, 1.29598, 1.46198", \ + "-0.0201847, 0.230318, 0.262911, 0.300978, 0.342332, 0.390019, 0.440536, 0.496566, 0.559894, 0.628981, 0.704865, 0.789676, 0.884342, 0.985554, 1.0999, 1.22599, 1.36502, 1.52, 1.68988" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0152326, 0.0822919, 0.0953028, 0.110838, 0.129612, 0.152102, 0.17901, 0.21137, 0.250157, 0.296801, 0.352941, 0.419939, 0.500645, 0.597165, 0.713446, 0.852185, 1.01995, 1.22018, 1.46116", \ + "0.0156321, 0.0822324, 0.0952936, 0.11086, 0.129587, 0.152029, 0.179022, 0.211362, 0.250211, 0.296723, 0.352758, 0.420017, 0.500589, 0.597158, 0.713146, 0.852759, 1.01966, 1.21989, 1.46086", \ + "0.0169831, 0.0819524, 0.0951917, 0.110806, 0.129596, 0.152052, 0.179044, 0.211413, 0.25016, 0.296789, 0.352717, 0.420026, 0.500476, 0.59735, 0.712969, 0.852482, 1.01994, 1.22055, 1.46114", \ + "0.0190342, 0.0814492, 0.0946009, 0.11053, 0.129488, 0.152005, 0.178955, 0.211445, 0.250271, 0.296887, 0.352727, 0.419704, 0.500709, 0.596953, 0.713445, 0.852492, 1.01957, 1.21976, 1.46129", \ + "0.0220757, 0.0827178, 0.095178, 0.110391, 0.129057, 0.151665, 0.178862, 0.211289, 0.250195, 0.296883, 0.352903, 0.419936, 0.500674, 0.59716, 0.71316, 0.85267, 1.01947, 1.22055, 1.46004", \ + "0.026371, 0.0872075, 0.0990902, 0.11326, 0.130937, 0.152413, 0.17873, 0.210878, 0.250053, 0.296898, 0.352739, 0.420013, 0.500288, 0.597161, 0.713226, 0.852234, 1.01927, 1.22066, 1.45998", \ + "0.0322141, 0.0969638, 0.107704, 0.121194, 0.137831, 0.158049, 0.182995, 0.213464, 0.250771, 0.296767, 0.352532, 0.420013, 0.500586, 0.597313, 0.71289, 0.852778, 1.01995, 1.22038, 1.46093", \ + "0.0401286, 0.112747, 0.124306, 0.137004, 0.152229, 0.171259, 0.194456, 0.223232, 0.258475, 0.301395, 0.354769, 0.420369, 0.500117, 0.596984, 0.713548, 0.852257, 1.01929, 1.21992, 1.46079", \ + "0.050613, 0.134286, 0.146481, 0.16098, 0.177272, 0.195612, 0.217784, 0.244007, 0.277321, 0.318199, 0.36796, 0.429771, 0.505431, 0.599054, 0.71339, 0.852692, 1.01957, 1.2204, 1.46039", \ + "0.0642389, 0.16226, 0.176607, 0.192278, 0.210643, 0.231586, 0.254965, 0.282525, 0.313831, 0.350718, 0.398288, 0.455724, 0.527309, 0.615472, 0.723376, 0.856151, 1.02004, 1.22048, 1.46157", \ + "0.0824577, 0.199259, 0.215113, 0.2338, 0.254424, 0.277438, 0.30443, 0.334378, 0.369491, 0.409549, 0.453504, 0.508299, 0.574295, 0.657037, 0.758507, 0.883818, 1.03813, 1.22868, 1.46322", \ + "0.106975, 0.246581, 0.265473, 0.287268, 0.310652, 0.339087, 0.369006, 0.404323, 0.442406, 0.48695, 0.537377, 0.594253, 0.65961, 0.737637, 0.830834, 0.948458, 1.09538, 1.273, 1.49421", \ + "0.140163, 0.308262, 0.331953, 0.357325, 0.385123, 0.416894, 0.452808, 0.49309, 0.537008, 0.589419, 0.645219, 0.710586, 0.784169, 0.866693, 0.964725, 1.07334, 1.20554, 1.37641, 1.58269" \ + ); + } + } + timing () { + related_pin : "S0"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0206993, 0.101543, 0.116881, 0.135175, 0.157248, 0.183664, 0.21543, 0.253585, 0.299558, 0.354483, 0.420707, 0.50018, 0.595252, 0.709412, 0.846252, 1.01129, 1.20849, 1.44539, 1.72981", \ + "0.0246963, 0.104443, 0.119778, 0.138104, 0.160089, 0.186509, 0.218273, 0.256392, 0.302203, 0.35744, 0.423563, 0.502783, 0.598096, 0.712376, 0.849293, 1.01404, 1.21123, 1.44815, 1.73253", \ + "0.0304048, 0.107779, 0.123132, 0.141371, 0.163363, 0.189668, 0.22127, 0.259478, 0.305163, 0.360185, 0.42639, 0.505789, 0.600776, 0.715276, 0.85191, 1.01642, 1.21431, 1.4509, 1.7352", \ + "0.0388839, 0.112945, 0.128281, 0.146462, 0.168327, 0.194457, 0.225855, 0.263765, 0.309589, 0.364267, 0.430364, 0.509711, 0.604922, 0.718925, 0.855718, 1.02027, 1.21799, 1.45473, 1.73902", \ + "0.0490275, 0.121579, 0.136582, 0.154523, 0.176169, 0.202099, 0.233168, 0.270633, 0.315794, 0.370305, 0.436305, 0.515384, 0.610436, 0.724582, 0.86162, 1.02563, 1.22275, 1.45974, 1.74425", \ + "0.0612007, 0.136489, 0.150854, 0.168201, 0.189285, 0.214756, 0.245364, 0.282421, 0.326881, 0.38063, 0.445642, 0.524094, 0.618973, 0.732743, 0.869487, 1.03362, 1.23067, 1.46737, 1.75168", \ + "0.0759201, 0.161947, 0.175337, 0.191703, 0.211798, 0.236263, 0.266058, 0.302096, 0.345866, 0.398408, 0.462267, 0.539258, 0.63273, 0.745847, 0.881336, 1.04567, 1.24217, 1.47858, 1.76271", \ + "0.0940992, 0.203224, 0.216206, 0.231479, 0.250255, 0.273139, 0.301312, 0.335652, 0.378083, 0.4293, 0.491929, 0.567207, 0.658041, 0.768661, 0.9028, 1.06481, 1.26102, 1.49616, 1.77961", \ + "0.117177, 0.257228, 0.274021, 0.292583, 0.31235, 0.334284, 0.360698, 0.393063, 0.432607, 0.481583, 0.541516, 0.614746, 0.703755, 0.812007, 0.942017, 1.10034, 1.29249, 1.52615, 1.8081", \ + "0.146718, 0.323524, 0.345623, 0.369864, 0.39586, 0.424013, 0.453777, 0.486186, 0.524074, 0.569611, 0.625908, 0.695354, 0.780895, 0.885372, 1.01225, 1.16751, 1.35343, 1.58094, 1.85693", \ + "0.186088, 0.404986, 0.4333, 0.464886, 0.498797, 0.534865, 0.575117, 0.616978, 0.662713, 0.710575, 0.764898, 0.830029, 0.909776, 1.00828, 1.12945, 1.27974, 1.46194, 1.68299, 1.95161", \ + "0.239237, 0.506695, 0.5425, 0.581289, 0.625461, 0.67236, 0.724195, 0.77893, 0.838547, 0.901009, 0.96966, 1.0419, 1.12028, 1.21361, 1.32712, 1.46756, 1.64136, 1.855, 2.11542", \ + "0.312957, 0.632998, 0.677123, 0.726319, 0.782325, 0.841243, 0.907085, 0.977478, 1.05429, 1.136, 1.22536, 1.32178, 1.42244, 1.5295, 1.64531, 1.77949, 1.94167, 2.14102, 2.38883" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0378912, 0.194971, 0.22534, 0.261414, 0.304736, 0.356327, 0.417871, 0.491605, 0.580516, 0.686491, 0.814427, 0.967724, 1.15196, 1.37269, 1.63783, 1.95638, 2.33756, 2.79726, 3.34557", \ + "0.0383239, 0.194363, 0.224855, 0.261169, 0.304606, 0.356128, 0.417729, 0.491571, 0.580223, 0.686741, 0.814359, 0.967823, 1.15172, 1.37324, 1.63753, 1.95593, 2.33758, 2.79566, 3.34659", \ + "0.0399808, 0.193416, 0.224065, 0.260453, 0.304014, 0.355903, 0.417792, 0.491646, 0.580231, 0.686574, 0.814428, 0.968089, 1.15162, 1.37298, 1.63783, 1.95593, 2.33891, 2.79673, 3.34595", \ + "0.0436344, 0.191672, 0.222539, 0.259325, 0.303021, 0.355339, 0.417515, 0.491352, 0.580238, 0.686582, 0.814431, 0.96775, 1.15173, 1.37299, 1.6379, 1.95617, 2.33756, 2.79572, 3.34576", \ + "0.0498706, 0.191484, 0.2213, 0.25738, 0.301173, 0.353635, 0.416367, 0.491053, 0.580143, 0.68655, 0.814607, 0.96786, 1.15187, 1.37323, 1.63842, 1.95624, 2.33799, 2.79622, 3.34575", \ + "0.0587318, 0.194967, 0.223632, 0.258172, 0.300621, 0.352087, 0.414266, 0.489478, 0.57947, 0.686553, 0.814413, 0.967714, 1.15175, 1.37313, 1.6383, 1.95606, 2.33753, 2.79596, 3.3457", \ + "0.0685422, 0.206166, 0.233001, 0.265651, 0.306107, 0.355126, 0.415336, 0.488363, 0.577163, 0.684556, 0.813867, 0.96771, 1.15182, 1.37288, 1.63789, 1.957, 2.33748, 2.79596, 3.34747", \ + "0.0816148, 0.228718, 0.254161, 0.284905, 0.322686, 0.368901, 0.425714, 0.495494, 0.581269, 0.685233, 0.812086, 0.966197, 1.15134, 1.37315, 1.63816, 1.95633, 2.33806, 2.79594, 3.34567", \ + "0.0985736, 0.264401, 0.289537, 0.320451, 0.356762, 0.400518, 0.453696, 0.519038, 0.599955, 0.699243, 0.820627, 0.969308, 1.15062, 1.37157, 1.63756, 1.95579, 2.33809, 2.79729, 3.34641", \ + "0.119833, 0.316567, 0.34297, 0.374163, 0.411028, 0.454845, 0.506908, 0.569208, 0.64501, 0.738202, 0.852291, 0.99385, 1.16784, 1.38057, 1.6395, 1.95511, 2.33835, 2.79587, 3.34566", \ + "0.146253, 0.38158, 0.413497, 0.450626, 0.490694, 0.534973, 0.588639, 0.65099, 0.726956, 0.815848, 0.92395, 1.05658, 1.21915, 1.42063, 1.66916, 1.97343, 2.34535, 2.79698, 3.34514", \ + "0.180453, 0.462649, 0.501111, 0.544404, 0.593932, 0.646429, 0.707937, 0.771888, 0.849088, 0.938664, 1.04831, 1.17727, 1.3315, 1.52016, 1.75315, 2.04087, 2.39459, 2.83059, 3.36123", \ + "0.225405, 0.562939, 0.609195, 0.66078, 0.719675, 0.782649, 0.854831, 0.934678, 1.02354, 1.12009, 1.23024, 1.36207, 1.51862, 1.70381, 1.92588, 2.19575, 2.5283, 2.93823, 3.44424" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.016302, 0.062998, 0.0721653, 0.0831739, 0.0963751, 0.112196, 0.131118, 0.15392, 0.18119, 0.214031, 0.253273, 0.300725, 0.357351, 0.424997, 0.506606, 0.604942, 0.722394, 0.86306, 1.0322", \ + "0.0196604, 0.0660428, 0.0752211, 0.0862141, 0.0994126, 0.115252, 0.134292, 0.157018, 0.184391, 0.217058, 0.256536, 0.303751, 0.360275, 0.428473, 0.509816, 0.607852, 0.725108, 0.866425, 1.0352", \ + "0.0232786, 0.0697169, 0.0787927, 0.0898572, 0.102985, 0.118802, 0.137822, 0.160569, 0.18781, 0.2205, 0.26004, 0.307154, 0.363984, 0.431932, 0.513347, 0.611102, 0.728947, 0.869992, 1.0383", \ + "0.0271878, 0.0753077, 0.084415, 0.0953166, 0.108495, 0.124219, 0.143214, 0.165911, 0.193282, 0.225932, 0.265113, 0.312243, 0.368867, 0.43712, 0.518794, 0.616653, 0.73357, 0.874969, 1.04388", \ + "0.0312314, 0.0839826, 0.0930858, 0.104008, 0.116993, 0.132685, 0.151455, 0.174167, 0.201281, 0.233998, 0.273129, 0.320206, 0.376907, 0.444927, 0.526311, 0.624337, 0.74193, 0.882125, 1.05216", \ + "0.0353556, 0.0970918, 0.106203, 0.117139, 0.130054, 0.145714, 0.164311, 0.186886, 0.213698, 0.246352, 0.285309, 0.332527, 0.388861, 0.45681, 0.538109, 0.636074, 0.753457, 0.894468, 1.06362", \ + "0.0391363, 0.114514, 0.124958, 0.136603, 0.149938, 0.165631, 0.184219, 0.206549, 0.233316, 0.265744, 0.304291, 0.351137, 0.407519, 0.475045, 0.556363, 0.653849, 0.771091, 0.91194, 1.08062", \ + "0.0419818, 0.133836, 0.146688, 0.161003, 0.17674, 0.194331, 0.213758, 0.236464, 0.263547, 0.295346, 0.333757, 0.380346, 0.436158, 0.503224, 0.584035, 0.681801, 0.798194, 0.938878, 1.10753", \ + "0.0429812, 0.155453, 0.170812, 0.188051, 0.20718, 0.228358, 0.252448, 0.278611, 0.307465, 0.340412, 0.379032, 0.425324, 0.480695, 0.547412, 0.627156, 0.723823, 0.840959, 0.980195, 1.14845", \ + "0.0406812, 0.177999, 0.196827, 0.217392, 0.240956, 0.266603, 0.29505, 0.327041, 0.362051, 0.400904, 0.444771, 0.492674, 0.548333, 0.615278, 0.694454, 0.79002, 0.905768, 1.04443, 1.21174", \ + "0.0327303, 0.20007, 0.222921, 0.248266, 0.276724, 0.307674, 0.34251, 0.381397, 0.423695, 0.471006, 0.522706, 0.581033, 0.645209, 0.715705, 0.796516, 0.892651, 1.00648, 1.14415, 1.31005", \ + "0.0151685, 0.219411, 0.247934, 0.278685, 0.312211, 0.35134, 0.392296, 0.439822, 0.490879, 0.548139, 0.611737, 0.681305, 0.757492, 0.844759, 0.937727, 1.04174, 1.1598, 1.29765, 1.46299", \ + "-0.0177168, 0.23222, 0.265189, 0.303094, 0.344528, 0.39239, 0.443066, 0.49874, 0.561681, 0.630333, 0.707192, 0.791948, 0.885886, 0.988122, 1.10222, 1.22879, 1.3668, 1.52087, 1.69176" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0157071, 0.0822187, 0.0951763, 0.110734, 0.129456, 0.151903, 0.178778, 0.211152, 0.24986, 0.296525, 0.352308, 0.419744, 0.50017, 0.59643, 0.712396, 0.852112, 1.01918, 1.21922, 1.45971", \ + "0.0159275, 0.0821178, 0.0951485, 0.110735, 0.129454, 0.151849, 0.178884, 0.211207, 0.250038, 0.296472, 0.352548, 0.419666, 0.499851, 0.596928, 0.71264, 0.851994, 1.01876, 1.21958, 1.45966", \ + "0.0170892, 0.082001, 0.0950772, 0.110717, 0.129374, 0.151886, 0.178854, 0.211184, 0.24993, 0.296392, 0.352535, 0.419529, 0.500292, 0.596884, 0.712287, 0.851604, 1.01912, 1.21966, 1.45843", \ + "0.019208, 0.0814707, 0.0946283, 0.110528, 0.129376, 0.151879, 0.178836, 0.21122, 0.250084, 0.296566, 0.352301, 0.419382, 0.499865, 0.596888, 0.712944, 0.852055, 1.01846, 1.21942, 1.45965", \ + "0.022036, 0.0825369, 0.0950937, 0.110331, 0.128935, 0.151592, 0.178778, 0.211211, 0.24997, 0.296522, 0.352399, 0.419379, 0.500055, 0.596981, 0.712644, 0.851856, 1.01875, 1.21878, 1.46028", \ + "0.0261791, 0.0869809, 0.0987303, 0.113165, 0.130729, 0.152319, 0.178654, 0.210894, 0.249827, 0.296518, 0.352339, 0.419668, 0.499849, 0.596876, 0.71233, 0.852011, 1.01935, 1.21965, 1.46019", \ + "0.0319244, 0.0965426, 0.10746, 0.120863, 0.137509, 0.157751, 0.182534, 0.213088, 0.250492, 0.296414, 0.35225, 0.419564, 0.500225, 0.596749, 0.712921, 0.851989, 1.01897, 1.21953, 1.45966", \ + "0.0396973, 0.112303, 0.123623, 0.136569, 0.151823, 0.170741, 0.193939, 0.222743, 0.258062, 0.301455, 0.354504, 0.420019, 0.500129, 0.596679, 0.712659, 0.852178, 1.01895, 1.2195, 1.45943", \ + "0.0501125, 0.133684, 0.146129, 0.160587, 0.176675, 0.195322, 0.21723, 0.243783, 0.276521, 0.31756, 0.367375, 0.429208, 0.504917, 0.598306, 0.712421, 0.851798, 1.01906, 1.21938, 1.45888", \ + "0.0636927, 0.161591, 0.176, 0.19184, 0.210103, 0.230709, 0.254343, 0.282311, 0.313066, 0.349947, 0.397721, 0.455414, 0.526511, 0.614519, 0.722803, 0.855284, 1.01915, 1.21916, 1.45906", \ + "0.0818899, 0.198294, 0.214438, 0.232424, 0.253794, 0.277062, 0.303729, 0.333834, 0.368954, 0.408665, 0.452995, 0.507679, 0.574162, 0.655824, 0.75811, 0.883225, 1.03751, 1.22768, 1.46123", \ + "0.106325, 0.245976, 0.265136, 0.285977, 0.310401, 0.337776, 0.368476, 0.403329, 0.441286, 0.485499, 0.536844, 0.593818, 0.658779, 0.737204, 0.830337, 0.947664, 1.09355, 1.27185, 1.493", \ + "0.139533, 0.307878, 0.331142, 0.356737, 0.384764, 0.416647, 0.451824, 0.492603, 0.536086, 0.587408, 0.644153, 0.709602, 0.782752, 0.8668, 0.961833, 1.07306, 1.20531, 1.37408, 1.58138" \ + ); + } + } + timing () { + related_pin : "S0B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0206993, 0.101543, 0.116882, 0.135175, 0.157247, 0.183664, 0.21543, 0.253585, 0.299558, 0.354483, 0.420707, 0.50018, 0.595252, 0.709578, 0.846252, 1.01129, 1.20849, 1.44538, 1.72981", \ + "0.0246963, 0.104443, 0.119778, 0.138104, 0.160089, 0.186509, 0.218273, 0.256392, 0.302203, 0.35744, 0.423563, 0.502783, 0.598096, 0.712376, 0.8493, 1.01402, 1.21123, 1.44815, 1.73253", \ + "0.0304048, 0.107779, 0.123132, 0.141371, 0.163363, 0.189668, 0.22127, 0.259478, 0.305163, 0.360397, 0.42639, 0.505789, 0.600776, 0.715276, 0.851911, 1.01642, 1.21431, 1.4509, 1.7352", \ + "0.0388839, 0.112945, 0.128281, 0.146467, 0.168327, 0.194457, 0.225855, 0.263765, 0.309584, 0.364267, 0.430364, 0.509711, 0.604922, 0.718926, 0.855718, 1.0202, 1.21799, 1.45473, 1.73902", \ + "0.0490275, 0.121579, 0.136582, 0.154523, 0.176169, 0.202099, 0.233168, 0.270633, 0.315794, 0.370305, 0.436305, 0.515384, 0.610436, 0.724582, 0.86162, 1.02563, 1.22275, 1.45974, 1.74425", \ + "0.0612007, 0.136489, 0.150854, 0.168201, 0.189285, 0.214756, 0.245364, 0.282421, 0.326881, 0.38063, 0.445642, 0.524094, 0.618973, 0.732743, 0.869487, 1.03362, 1.23067, 1.46737, 1.75168", \ + "0.0759201, 0.161947, 0.175337, 0.191703, 0.211798, 0.236263, 0.266058, 0.302096, 0.345866, 0.398408, 0.462267, 0.539258, 0.63273, 0.745847, 0.881336, 1.04567, 1.24217, 1.47858, 1.76271", \ + "0.0940992, 0.203224, 0.216206, 0.231479, 0.250255, 0.273139, 0.301312, 0.335652, 0.378083, 0.4293, 0.491929, 0.567207, 0.658041, 0.768661, 0.9028, 1.06481, 1.26102, 1.49616, 1.77961", \ + "0.117177, 0.257228, 0.274021, 0.292583, 0.31235, 0.334284, 0.360698, 0.393063, 0.432607, 0.481583, 0.541516, 0.614746, 0.703755, 0.812007, 0.942017, 1.10034, 1.29249, 1.52615, 1.8081", \ + "0.146718, 0.323524, 0.345623, 0.369864, 0.39586, 0.424013, 0.453777, 0.486186, 0.524074, 0.569611, 0.625908, 0.695354, 0.780895, 0.885372, 1.01225, 1.16751, 1.35343, 1.58094, 1.85693", \ + "0.186088, 0.404986, 0.4333, 0.464886, 0.498797, 0.534865, 0.575117, 0.616978, 0.662713, 0.710575, 0.764898, 0.830029, 0.909776, 1.00828, 1.12945, 1.27974, 1.46194, 1.68299, 1.95161", \ + "0.239237, 0.506695, 0.5425, 0.581289, 0.625461, 0.67236, 0.724195, 0.77893, 0.838547, 0.901009, 0.96966, 1.0419, 1.12028, 1.21361, 1.32712, 1.46756, 1.64136, 1.855, 2.11542", \ + "0.312957, 0.632998, 0.677123, 0.726319, 0.782325, 0.841243, 0.907085, 0.977478, 1.05429, 1.136, 1.22536, 1.32178, 1.42244, 1.5295, 1.64531, 1.77949, 1.94167, 2.14102, 2.38883" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0378912, 0.194971, 0.22534, 0.261414, 0.304736, 0.356327, 0.417871, 0.491605, 0.580516, 0.686491, 0.814427, 0.967724, 1.15196, 1.37317, 1.63783, 1.95638, 2.33756, 2.79723, 3.34557", \ + "0.0383239, 0.194363, 0.224855, 0.261169, 0.304606, 0.356128, 0.417729, 0.491571, 0.580223, 0.686741, 0.814359, 0.967823, 1.15172, 1.37324, 1.63758, 1.95683, 2.33758, 2.79566, 3.34659", \ + "0.0399808, 0.193416, 0.224065, 0.260453, 0.304014, 0.355903, 0.417792, 0.491646, 0.580231, 0.686739, 0.814428, 0.968089, 1.15162, 1.37298, 1.63783, 1.95593, 2.33891, 2.79673, 3.34595", \ + "0.0436344, 0.191672, 0.222539, 0.259327, 0.303021, 0.355339, 0.417515, 0.491352, 0.580498, 0.686582, 0.814431, 0.96775, 1.15173, 1.37299, 1.6379, 1.95612, 2.33756, 2.79572, 3.34576", \ + "0.0498706, 0.191484, 0.2213, 0.25738, 0.301173, 0.353635, 0.416367, 0.491053, 0.580143, 0.68655, 0.814607, 0.96786, 1.15187, 1.37323, 1.63842, 1.95624, 2.33799, 2.79622, 3.34575", \ + "0.0587318, 0.194967, 0.223632, 0.258172, 0.300621, 0.352087, 0.414266, 0.489478, 0.57947, 0.686553, 0.814413, 0.967714, 1.15175, 1.37313, 1.6383, 1.95606, 2.33753, 2.79596, 3.3457", \ + "0.0685422, 0.206166, 0.233001, 0.265651, 0.306107, 0.355126, 0.415336, 0.488363, 0.577163, 0.684556, 0.813867, 0.96771, 1.15182, 1.37288, 1.63789, 1.957, 2.33747, 2.79596, 3.34747", \ + "0.0816148, 0.228718, 0.254161, 0.284905, 0.322686, 0.368901, 0.425714, 0.495494, 0.581269, 0.685233, 0.812086, 0.966197, 1.15134, 1.37315, 1.63816, 1.95633, 2.33806, 2.79594, 3.34567", \ + "0.0985736, 0.264401, 0.289537, 0.320451, 0.356762, 0.400518, 0.453696, 0.519038, 0.599955, 0.699243, 0.820627, 0.969308, 1.15062, 1.37157, 1.63756, 1.95579, 2.33809, 2.79729, 3.34641", \ + "0.119833, 0.316567, 0.34297, 0.374163, 0.411028, 0.454845, 0.506908, 0.569208, 0.64501, 0.738202, 0.852291, 0.99385, 1.16784, 1.38057, 1.6395, 1.95511, 2.33835, 2.79587, 3.34566", \ + "0.146253, 0.38158, 0.413497, 0.450626, 0.490694, 0.534973, 0.588639, 0.65099, 0.726956, 0.815848, 0.92395, 1.05658, 1.21915, 1.42063, 1.66916, 1.97343, 2.34535, 2.79698, 3.34514", \ + "0.180453, 0.462649, 0.501111, 0.544404, 0.593932, 0.646429, 0.707937, 0.771888, 0.849088, 0.938664, 1.04831, 1.17727, 1.3315, 1.52016, 1.75315, 2.04087, 2.39459, 2.83059, 3.36123", \ + "0.225405, 0.562939, 0.609195, 0.66078, 0.719675, 0.782649, 0.854831, 0.934678, 1.02354, 1.12009, 1.23024, 1.36207, 1.51862, 1.70381, 1.92588, 2.19575, 2.5283, 2.93823, 3.44424" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.016302, 0.062998, 0.0721653, 0.0831739, 0.0963751, 0.112196, 0.131118, 0.15392, 0.18119, 0.214031, 0.253273, 0.300725, 0.357351, 0.424997, 0.506606, 0.604942, 0.722394, 0.86306, 1.0322", \ + "0.0196604, 0.0660428, 0.0752211, 0.0862141, 0.0994126, 0.115252, 0.134292, 0.157018, 0.184391, 0.217058, 0.256536, 0.303751, 0.360275, 0.428473, 0.509816, 0.607852, 0.725108, 0.866425, 1.0351", \ + "0.0232786, 0.0697169, 0.0787927, 0.0898572, 0.102985, 0.118802, 0.137822, 0.160569, 0.18781, 0.2205, 0.260039, 0.307154, 0.363984, 0.431932, 0.513347, 0.611102, 0.728947, 0.869992, 1.0383", \ + "0.0271878, 0.0753077, 0.084415, 0.0953166, 0.108495, 0.124219, 0.143214, 0.165911, 0.193282, 0.225932, 0.265113, 0.312243, 0.368867, 0.43712, 0.518794, 0.616653, 0.73357, 0.874969, 1.04388", \ + "0.0312314, 0.0839826, 0.0930858, 0.104008, 0.116993, 0.132685, 0.151455, 0.174168, 0.201281, 0.233998, 0.273129, 0.320452, 0.376907, 0.444927, 0.526311, 0.624337, 0.74193, 0.882125, 1.05216", \ + "0.0353556, 0.0970918, 0.106203, 0.117139, 0.130054, 0.145714, 0.164311, 0.186778, 0.213698, 0.246352, 0.285309, 0.332527, 0.388861, 0.45681, 0.538109, 0.636074, 0.753457, 0.894468, 1.06362", \ + "0.0391363, 0.114514, 0.124958, 0.136603, 0.149938, 0.165631, 0.184219, 0.206549, 0.233316, 0.265743, 0.304291, 0.351137, 0.407519, 0.475045, 0.556363, 0.653849, 0.771091, 0.91194, 1.08062", \ + "0.0419818, 0.133836, 0.146688, 0.161003, 0.17674, 0.194331, 0.213758, 0.236464, 0.263547, 0.295346, 0.333757, 0.380346, 0.436158, 0.503224, 0.584035, 0.681801, 0.798194, 0.938878, 1.10753", \ + "0.0429812, 0.155453, 0.170812, 0.188051, 0.20718, 0.228358, 0.252448, 0.278624, 0.307465, 0.340412, 0.379032, 0.425324, 0.480695, 0.547412, 0.627156, 0.723823, 0.840959, 0.980195, 1.14845", \ + "0.0406812, 0.177999, 0.196827, 0.217392, 0.240956, 0.266603, 0.29505, 0.327041, 0.362051, 0.400904, 0.444771, 0.492674, 0.548333, 0.615278, 0.694454, 0.79002, 0.905768, 1.04443, 1.21174", \ + "0.0327303, 0.20007, 0.222921, 0.248266, 0.276724, 0.307674, 0.34251, 0.381397, 0.423695, 0.471006, 0.522706, 0.581033, 0.645209, 0.715705, 0.796516, 0.892651, 1.00648, 1.14415, 1.31005", \ + "0.0151685, 0.219411, 0.247934, 0.278685, 0.312211, 0.35134, 0.392296, 0.439822, 0.490879, 0.548139, 0.611737, 0.681305, 0.757492, 0.844759, 0.937727, 1.04174, 1.1598, 1.29765, 1.46299", \ + "-0.0177168, 0.23222, 0.265189, 0.303094, 0.344528, 0.39239, 0.443066, 0.49874, 0.561681, 0.630333, 0.707192, 0.791948, 0.885886, 0.988122, 1.10222, 1.22879, 1.3668, 1.52087, 1.69176" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0157071, 0.0822187, 0.0951763, 0.110734, 0.129456, 0.151903, 0.178778, 0.211152, 0.24986, 0.296525, 0.352308, 0.419744, 0.50017, 0.59643, 0.712396, 0.852112, 1.01918, 1.21922, 1.45971", \ + "0.0159275, 0.0821178, 0.0951485, 0.110735, 0.129454, 0.151849, 0.178884, 0.211207, 0.250038, 0.296472, 0.352548, 0.419666, 0.499851, 0.596928, 0.71264, 0.851994, 1.01876, 1.21958, 1.45959", \ + "0.0170892, 0.082001, 0.0950772, 0.110717, 0.129374, 0.151886, 0.178854, 0.211184, 0.24993, 0.296392, 0.352544, 0.419529, 0.500292, 0.596884, 0.712287, 0.851604, 1.01912, 1.21966, 1.45843", \ + "0.019208, 0.0814707, 0.0946284, 0.110528, 0.129376, 0.151879, 0.178836, 0.21122, 0.250084, 0.296566, 0.352301, 0.419382, 0.499865, 0.596888, 0.712944, 0.852055, 1.01846, 1.21942, 1.45965", \ + "0.022036, 0.0825369, 0.0950937, 0.110331, 0.128935, 0.151592, 0.178778, 0.211211, 0.24997, 0.296522, 0.352399, 0.419632, 0.500055, 0.596981, 0.712644, 0.851857, 1.01875, 1.21878, 1.46028", \ + "0.0261791, 0.0869809, 0.0987303, 0.113165, 0.130729, 0.152319, 0.178654, 0.210789, 0.249827, 0.296518, 0.352339, 0.419668, 0.499849, 0.596876, 0.71233, 0.852011, 1.01935, 1.21965, 1.46019", \ + "0.0319244, 0.0965426, 0.10746, 0.120863, 0.137509, 0.157751, 0.182535, 0.213088, 0.250492, 0.296414, 0.35225, 0.419564, 0.500225, 0.596749, 0.712921, 0.851989, 1.01897, 1.21953, 1.45966", \ + "0.0396973, 0.112303, 0.123623, 0.136569, 0.151823, 0.170741, 0.193939, 0.222743, 0.258062, 0.301455, 0.354504, 0.420019, 0.500129, 0.596679, 0.712659, 0.852178, 1.01895, 1.2195, 1.45943", \ + "0.0501125, 0.133684, 0.146129, 0.160587, 0.176675, 0.195322, 0.21723, 0.243797, 0.276521, 0.31756, 0.367375, 0.429208, 0.504917, 0.598306, 0.712421, 0.851798, 1.01906, 1.21938, 1.45888", \ + "0.0636927, 0.161591, 0.176, 0.19184, 0.210103, 0.230709, 0.254343, 0.282311, 0.313066, 0.349947, 0.397721, 0.455414, 0.526511, 0.614519, 0.722803, 0.855284, 1.01915, 1.21916, 1.45906", \ + "0.0818899, 0.198294, 0.214438, 0.232424, 0.253794, 0.277062, 0.303729, 0.333834, 0.368954, 0.408665, 0.452995, 0.507679, 0.574162, 0.655824, 0.75811, 0.883225, 1.03751, 1.22768, 1.46123", \ + "0.106325, 0.245976, 0.265136, 0.285977, 0.310401, 0.337776, 0.368476, 0.403329, 0.441286, 0.485499, 0.536844, 0.593818, 0.658779, 0.737204, 0.830337, 0.947664, 1.09355, 1.27185, 1.493", \ + "0.139533, 0.307878, 0.331142, 0.356737, 0.384764, 0.416647, 0.451824, 0.492603, 0.536086, 0.587408, 0.644153, 0.709602, 0.782752, 0.866801, 0.961833, 1.07306, 1.20531, 1.37408, 1.58138" \ + ); + } + } + timing () { + related_pin : "S0B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0191532, 0.0992414, 0.114531, 0.132826, 0.154795, 0.18118, 0.212882, 0.251128, 0.297022, 0.352028, 0.417985, 0.497328, 0.59255, 0.706826, 0.84387, 1.00827, 1.20494, 1.44221, 1.72694", \ + "0.0233212, 0.102194, 0.117495, 0.135755, 0.157675, 0.184053, 0.215691, 0.253761, 0.299668, 0.354643, 0.420759, 0.499985, 0.595202, 0.709176, 0.846415, 1.01095, 1.20811, 1.44495, 1.72918", \ + "0.0289555, 0.105653, 0.120904, 0.139156, 0.161053, 0.187259, 0.218815, 0.25677, 0.30247, 0.357587, 0.423543, 0.502802, 0.597975, 0.712366, 0.848797, 1.01332, 1.21086, 1.44772, 1.73176", \ + "0.0370196, 0.110912, 0.126146, 0.144291, 0.166138, 0.192173, 0.223492, 0.261204, 0.306808, 0.361526, 0.427586, 0.506783, 0.601979, 0.715798, 0.85271, 1.01757, 1.21457, 1.45139, 1.73488", \ + "0.0467905, 0.119779, 0.13463, 0.152494, 0.174141, 0.199935, 0.23098, 0.268377, 0.313402, 0.368021, 0.433571, 0.512563, 0.607709, 0.721613, 0.858161, 1.02284, 1.21991, 1.45686, 1.74079", \ + "0.0586759, 0.134833, 0.149143, 0.166308, 0.187326, 0.212762, 0.243475, 0.280209, 0.324764, 0.378126, 0.443177, 0.521663, 0.616145, 0.729867, 0.866322, 1.03067, 1.2274, 1.46414, 1.74891", \ + "0.073159, 0.160342, 0.173757, 0.190154, 0.210175, 0.234399, 0.264161, 0.300293, 0.343907, 0.396684, 0.460005, 0.536885, 0.630391, 0.743101, 0.878476, 1.04258, 1.23864, 1.47534, 1.75912", \ + "0.0911201, 0.201392, 0.214477, 0.229916, 0.24861, 0.271559, 0.299568, 0.333973, 0.376242, 0.427517, 0.489882, 0.565177, 0.656066, 0.766639, 0.899881, 1.06186, 1.25769, 1.49309, 1.77695", \ + "0.113877, 0.25521, 0.272335, 0.290804, 0.310679, 0.332599, 0.359165, 0.391501, 0.430994, 0.47982, 0.539857, 0.61305, 0.701779, 0.809758, 0.939537, 1.09826, 1.28989, 1.52325, 1.80431", \ + "0.143497, 0.321307, 0.343365, 0.36785, 0.39387, 0.421899, 0.452034, 0.484527, 0.522462, 0.568073, 0.624173, 0.693715, 0.778706, 0.883401, 1.0103, 1.1649, 1.35117, 1.57835, 1.85426", \ + "0.182845, 0.402387, 0.430822, 0.461982, 0.496419, 0.532661, 0.573041, 0.615413, 0.660738, 0.708859, 0.763366, 0.828425, 0.908009, 1.00661, 1.12826, 1.27708, 1.4592, 1.68088, 1.94961", \ + "0.236153, 0.503394, 0.539379, 0.578986, 0.622182, 0.669722, 0.721887, 0.775608, 0.836514, 0.899355, 0.968064, 1.04013, 1.11875, 1.21188, 1.32545, 1.46566, 1.64035, 1.85217, 2.11374", \ + "0.310007, 0.630806, 0.674971, 0.723556, 0.779429, 0.838523, 0.904426, 0.974908, 1.05119, 1.13366, 1.22337, 1.31959, 1.42023, 1.52787, 1.6435, 1.77783, 1.94018, 2.13932, 2.38703" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0370148, 0.194328, 0.224711, 0.26113, 0.304438, 0.355912, 0.417454, 0.491443, 0.580241, 0.686272, 0.814161, 0.967447, 1.15154, 1.37329, 1.638, 1.95614, 2.33757, 2.79513, 3.34603", \ + "0.0376011, 0.193874, 0.224401, 0.260537, 0.304315, 0.355914, 0.417533, 0.491264, 0.580041, 0.6864, 0.814076, 0.967673, 1.15158, 1.37248, 1.63792, 1.95623, 2.33705, 2.79516, 3.34635", \ + "0.039285, 0.192811, 0.223466, 0.259916, 0.303532, 0.355535, 0.417354, 0.491346, 0.579978, 0.686494, 0.813946, 0.967539, 1.1517, 1.37292, 1.63748, 1.95609, 2.33707, 2.79627, 3.34496", \ + "0.0427601, 0.191128, 0.221901, 0.258599, 0.302529, 0.354899, 0.417225, 0.491225, 0.579979, 0.686255, 0.814122, 0.967654, 1.1514, 1.37217, 1.6375, 1.9555, 2.33707, 2.7953, 3.34569", \ + "0.04867, 0.191074, 0.220866, 0.256742, 0.30053, 0.353368, 0.41595, 0.490886, 0.57992, 0.68613, 0.813936, 0.967618, 1.15143, 1.37284, 1.63731, 1.95692, 2.33814, 2.79625, 3.34516", \ + "0.056803, 0.194747, 0.223291, 0.258044, 0.300099, 0.351536, 0.413828, 0.488909, 0.579222, 0.686284, 0.814165, 0.967734, 1.15138, 1.37267, 1.63718, 1.95597, 2.33712, 2.79516, 3.34583", \ + "0.0668218, 0.205905, 0.232828, 0.26566, 0.305702, 0.354947, 0.414983, 0.487929, 0.576636, 0.684013, 0.813331, 0.967579, 1.15139, 1.37233, 1.63736, 1.95624, 2.33742, 2.7953, 3.34511", \ + "0.0801054, 0.228291, 0.253771, 0.284748, 0.322643, 0.368827, 0.425333, 0.495416, 0.580823, 0.684522, 0.811803, 0.965456, 1.15118, 1.37294, 1.63739, 1.95535, 2.33711, 2.79532, 3.34582", \ + "0.0969276, 0.263478, 0.289022, 0.319921, 0.356473, 0.400144, 0.453432, 0.519003, 0.599887, 0.698926, 0.820427, 0.9692, 1.15048, 1.37052, 1.63698, 1.95559, 2.33732, 2.79526, 3.34505", \ + "0.118166, 0.315153, 0.341482, 0.372999, 0.410124, 0.454381, 0.506504, 0.568878, 0.644805, 0.738131, 0.852387, 0.994484, 1.16708, 1.38021, 1.63901, 1.95459, 2.33716, 2.79561, 3.3451", \ + "0.145003, 0.38024, 0.41186, 0.448409, 0.489112, 0.533831, 0.587711, 0.650615, 0.726357, 0.81567, 0.923892, 1.05625, 1.21962, 1.4212, 1.66952, 1.97277, 2.34405, 2.79644, 3.34584", \ + "0.179181, 0.460916, 0.499958, 0.54309, 0.591811, 0.644269, 0.705302, 0.769745, 0.84822, 0.938249, 1.04811, 1.17715, 1.33135, 1.51991, 1.75309, 2.04021, 2.39518, 2.82913, 3.36054", \ + "0.224927, 0.562464, 0.608245, 0.659507, 0.71807, 0.781082, 0.853593, 0.932747, 1.02132, 1.11865, 1.22978, 1.36157, 1.51896, 1.70407, 1.92579, 2.19571, 2.52846, 2.93813, 3.44399" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0144551, 0.0608209, 0.0699997, 0.0809624, 0.0942214, 0.11007, 0.129009, 0.15177, 0.179057, 0.211892, 0.251435, 0.29853, 0.355339, 0.423214, 0.505016, 0.602618, 0.720615, 0.861401, 1.03066", \ + "0.0179162, 0.0639662, 0.0731201, 0.0840736, 0.0972972, 0.113064, 0.132102, 0.154822, 0.182169, 0.214866, 0.25434, 0.301656, 0.358328, 0.426211, 0.507896, 0.606109, 0.723353, 0.864195, 1.03368", \ + "0.0214231, 0.067602, 0.0767949, 0.0877093, 0.100907, 0.116699, 0.135685, 0.158508, 0.185727, 0.218475, 0.257843, 0.305195, 0.361774, 0.42993, 0.511254, 0.609344, 0.727187, 0.86807, 1.03749", \ + "0.0251716, 0.0733673, 0.0824239, 0.0933, 0.106346, 0.122164, 0.141025, 0.163822, 0.191158, 0.223855, 0.26314, 0.310391, 0.367156, 0.43487, 0.51669, 0.614555, 0.73209, 0.872805, 1.04253", \ + "0.0291657, 0.0820025, 0.0910935, 0.101901, 0.115018, 0.13062, 0.149335, 0.172008, 0.199226, 0.231982, 0.271354, 0.318301, 0.375041, 0.442865, 0.524661, 0.622477, 0.739739, 0.88116, 1.05018", \ + "0.0331097, 0.0950463, 0.104313, 0.115119, 0.128153, 0.143674, 0.162422, 0.18481, 0.211849, 0.244403, 0.283361, 0.330623, 0.386916, 0.454712, 0.536229, 0.633854, 0.751235, 0.892898, 1.06137", \ + "0.0368545, 0.112502, 0.122848, 0.134582, 0.147897, 0.163416, 0.18242, 0.204609, 0.231454, 0.263797, 0.302502, 0.349246, 0.405559, 0.473137, 0.554332, 0.652161, 0.769619, 0.91021, 1.07926", \ + "0.0396241, 0.131796, 0.144508, 0.158862, 0.174644, 0.192298, 0.211786, 0.23444, 0.261523, 0.29341, 0.332075, 0.378371, 0.434129, 0.501219, 0.582711, 0.679377, 0.796438, 0.936997, 1.10582", \ + "0.040637, 0.153275, 0.16867, 0.185761, 0.205107, 0.226183, 0.250275, 0.276156, 0.30568, 0.338716, 0.377139, 0.423467, 0.478909, 0.545555, 0.625662, 0.722562, 0.838708, 0.978623, 1.1469", \ + "0.0383672, 0.175598, 0.194604, 0.214967, 0.238328, 0.264286, 0.29277, 0.325033, 0.360446, 0.39911, 0.442728, 0.490485, 0.546423, 0.613519, 0.692737, 0.788333, 0.904181, 1.04352, 1.21071", \ + "0.0303486, 0.197752, 0.220594, 0.245741, 0.274256, 0.305332, 0.340181, 0.379048, 0.421296, 0.468245, 0.52048, 0.579749, 0.642955, 0.713419, 0.79434, 0.890807, 1.005, 1.14277, 1.30959", \ + "0.0128674, 0.217328, 0.245659, 0.276597, 0.30997, 0.348661, 0.390206, 0.437301, 0.488597, 0.545577, 0.608603, 0.679045, 0.755357, 0.842947, 0.936073, 1.04026, 1.15825, 1.29598, 1.46198", \ + "-0.0201847, 0.230318, 0.262911, 0.300978, 0.342332, 0.390019, 0.440536, 0.496566, 0.559894, 0.628981, 0.704865, 0.789676, 0.884342, 0.985554, 1.0999, 1.22599, 1.36502, 1.52, 1.68988" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0152326, 0.082292, 0.0953028, 0.110838, 0.129612, 0.152102, 0.17901, 0.21137, 0.250157, 0.296801, 0.352941, 0.419939, 0.500645, 0.597165, 0.713446, 0.852185, 1.01995, 1.22018, 1.46116", \ + "0.0156321, 0.0822324, 0.0952936, 0.11086, 0.129587, 0.152029, 0.179022, 0.211362, 0.250211, 0.296723, 0.352758, 0.420017, 0.500589, 0.597158, 0.713146, 0.852759, 1.01966, 1.21989, 1.46086", \ + "0.0169831, 0.0819524, 0.0951917, 0.110806, 0.129596, 0.152052, 0.179044, 0.211413, 0.25016, 0.296789, 0.352717, 0.420026, 0.500476, 0.59735, 0.712969, 0.852482, 1.01994, 1.22055, 1.46114", \ + "0.0190342, 0.0814492, 0.0946009, 0.11053, 0.129488, 0.152005, 0.178955, 0.211445, 0.250271, 0.296887, 0.352727, 0.419704, 0.500709, 0.596953, 0.713445, 0.852492, 1.01957, 1.21976, 1.46129", \ + "0.0220757, 0.0827178, 0.095178, 0.110391, 0.129057, 0.151665, 0.178862, 0.211289, 0.250195, 0.296883, 0.352904, 0.419936, 0.500674, 0.59716, 0.71344, 0.85267, 1.01947, 1.22055, 1.46004", \ + "0.026371, 0.0872075, 0.0990902, 0.11326, 0.130937, 0.152413, 0.17873, 0.210878, 0.250053, 0.296898, 0.352739, 0.420013, 0.500288, 0.597161, 0.713226, 0.852234, 1.01927, 1.22066, 1.45998", \ + "0.0322141, 0.0969638, 0.107704, 0.121194, 0.137831, 0.158049, 0.182995, 0.213464, 0.250771, 0.296767, 0.352532, 0.420013, 0.500586, 0.597313, 0.71289, 0.852778, 1.01995, 1.22038, 1.46093", \ + "0.0401286, 0.112747, 0.124306, 0.137004, 0.152229, 0.171259, 0.194456, 0.223232, 0.258475, 0.301395, 0.354769, 0.420369, 0.500117, 0.596984, 0.713548, 0.852257, 1.01929, 1.21992, 1.46079", \ + "0.050613, 0.134286, 0.146481, 0.16098, 0.177272, 0.195612, 0.217784, 0.244007, 0.277321, 0.318199, 0.36796, 0.42977, 0.505431, 0.599086, 0.71339, 0.852692, 1.01957, 1.2204, 1.46039", \ + "0.0642389, 0.16226, 0.176607, 0.192278, 0.210643, 0.231586, 0.254965, 0.282783, 0.313831, 0.350718, 0.398288, 0.455724, 0.527309, 0.615472, 0.723376, 0.856151, 1.02004, 1.22048, 1.46157", \ + "0.0824577, 0.199259, 0.215113, 0.2338, 0.254424, 0.277438, 0.30443, 0.334378, 0.369491, 0.409549, 0.453504, 0.508299, 0.574295, 0.657037, 0.758507, 0.883818, 1.03813, 1.22868, 1.46322", \ + "0.106975, 0.246581, 0.265473, 0.287268, 0.310652, 0.339087, 0.369006, 0.404323, 0.442406, 0.486949, 0.537377, 0.594253, 0.65961, 0.737637, 0.830834, 0.948458, 1.09538, 1.273, 1.49421", \ + "0.140163, 0.308262, 0.331953, 0.357425, 0.385123, 0.416894, 0.452808, 0.49309, 0.537008, 0.589419, 0.645219, 0.710586, 0.784169, 0.866693, 0.964725, 1.07334, 1.20554, 1.37641, 1.58269" \ + ); + } + } + timing () { + related_pin : "S1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0206993, 0.101543, 0.116882, 0.135175, 0.157247, 0.183664, 0.21543, 0.253585, 0.299558, 0.354483, 0.420707, 0.50018, 0.595252, 0.709578, 0.846252, 1.01129, 1.20849, 1.44538, 1.72981", \ + "0.0246963, 0.104443, 0.119778, 0.138104, 0.160089, 0.186509, 0.218273, 0.256392, 0.302203, 0.35744, 0.423563, 0.502783, 0.598096, 0.712376, 0.8493, 1.01402, 1.21123, 1.44815, 1.73253", \ + "0.0304048, 0.107779, 0.123132, 0.141371, 0.163363, 0.189668, 0.22127, 0.259478, 0.305163, 0.360397, 0.42639, 0.505789, 0.600776, 0.715276, 0.851911, 1.01642, 1.21431, 1.4509, 1.7352", \ + "0.0388839, 0.112945, 0.128281, 0.146467, 0.168327, 0.194457, 0.225855, 0.263765, 0.309584, 0.364267, 0.430364, 0.509711, 0.604922, 0.718926, 0.855718, 1.0202, 1.21799, 1.45473, 1.73902", \ + "0.0490275, 0.121579, 0.136582, 0.154523, 0.176169, 0.202099, 0.233168, 0.270633, 0.315794, 0.370305, 0.436305, 0.515384, 0.610436, 0.724582, 0.86162, 1.02563, 1.22275, 1.45974, 1.74425", \ + "0.0612007, 0.136489, 0.150854, 0.168201, 0.189285, 0.214756, 0.245364, 0.282421, 0.326881, 0.38063, 0.445642, 0.524094, 0.618973, 0.732743, 0.869487, 1.03362, 1.23067, 1.46737, 1.75168", \ + "0.0759201, 0.161947, 0.175337, 0.191703, 0.211798, 0.236263, 0.266058, 0.302096, 0.345866, 0.398408, 0.462267, 0.539258, 0.63273, 0.745847, 0.881336, 1.04567, 1.24217, 1.47858, 1.76271", \ + "0.0940992, 0.203224, 0.216206, 0.231479, 0.250255, 0.273139, 0.301312, 0.335652, 0.378083, 0.4293, 0.491929, 0.567207, 0.658041, 0.768661, 0.9028, 1.06481, 1.26102, 1.49616, 1.77961", \ + "0.117177, 0.257228, 0.274021, 0.292583, 0.31235, 0.334284, 0.360698, 0.393063, 0.432607, 0.481583, 0.541516, 0.614746, 0.703755, 0.812007, 0.942017, 1.10034, 1.29249, 1.52615, 1.8081", \ + "0.146718, 0.323524, 0.345623, 0.369864, 0.39586, 0.424013, 0.453777, 0.486186, 0.524074, 0.569611, 0.625908, 0.695354, 0.780895, 0.885372, 1.01225, 1.16751, 1.35343, 1.58094, 1.85693", \ + "0.186088, 0.404986, 0.4333, 0.464886, 0.498797, 0.534865, 0.575117, 0.616978, 0.662713, 0.710575, 0.764898, 0.830029, 0.909776, 1.00828, 1.12945, 1.27974, 1.46194, 1.68299, 1.95161", \ + "0.239237, 0.506695, 0.5425, 0.581289, 0.625461, 0.67236, 0.724195, 0.77893, 0.838547, 0.901009, 0.96966, 1.0419, 1.12028, 1.21361, 1.32712, 1.46756, 1.64136, 1.855, 2.11542", \ + "0.312957, 0.632998, 0.677123, 0.726319, 0.782325, 0.841243, 0.907085, 0.977478, 1.05429, 1.136, 1.22536, 1.32178, 1.42244, 1.5295, 1.64531, 1.77949, 1.94167, 2.14102, 2.38883" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0378912, 0.194971, 0.22534, 0.261414, 0.304736, 0.356327, 0.417871, 0.491605, 0.580516, 0.686491, 0.814427, 0.967724, 1.15196, 1.37317, 1.63783, 1.95638, 2.33756, 2.79723, 3.34557", \ + "0.0383239, 0.194363, 0.224855, 0.261169, 0.304606, 0.356128, 0.417729, 0.491571, 0.580223, 0.686741, 0.814359, 0.967823, 1.15172, 1.37324, 1.63758, 1.95683, 2.33758, 2.79566, 3.34659", \ + "0.0399808, 0.193416, 0.224065, 0.260453, 0.304014, 0.355903, 0.417792, 0.491646, 0.580231, 0.686739, 0.814428, 0.968089, 1.15162, 1.37298, 1.63783, 1.95593, 2.33891, 2.79673, 3.34595", \ + "0.0436344, 0.191672, 0.222539, 0.259327, 0.303021, 0.355339, 0.417515, 0.491352, 0.580498, 0.686582, 0.814431, 0.96775, 1.15173, 1.37299, 1.6379, 1.95612, 2.33756, 2.79572, 3.34576", \ + "0.0498706, 0.191484, 0.2213, 0.25738, 0.301173, 0.353635, 0.416367, 0.491053, 0.580143, 0.68655, 0.814607, 0.96786, 1.15187, 1.37323, 1.63842, 1.95624, 2.33799, 2.79622, 3.34575", \ + "0.0587318, 0.194967, 0.223632, 0.258172, 0.300621, 0.352087, 0.414266, 0.489478, 0.57947, 0.686553, 0.814413, 0.967714, 1.15175, 1.37313, 1.6383, 1.95606, 2.33753, 2.79596, 3.3457", \ + "0.0685422, 0.206166, 0.233001, 0.265651, 0.306107, 0.355126, 0.415336, 0.488363, 0.577163, 0.684556, 0.813867, 0.96771, 1.15182, 1.37288, 1.63789, 1.957, 2.33747, 2.79596, 3.34747", \ + "0.0816148, 0.228718, 0.254161, 0.284905, 0.322686, 0.368901, 0.425714, 0.495494, 0.581269, 0.685233, 0.812086, 0.966197, 1.15134, 1.37315, 1.63816, 1.95633, 2.33806, 2.79594, 3.34567", \ + "0.0985736, 0.264401, 0.289537, 0.320451, 0.356762, 0.400518, 0.453696, 0.519038, 0.599955, 0.699243, 0.820627, 0.969308, 1.15062, 1.37157, 1.63756, 1.95579, 2.33809, 2.79729, 3.34641", \ + "0.119833, 0.316567, 0.34297, 0.374163, 0.411028, 0.454845, 0.506908, 0.569208, 0.64501, 0.738202, 0.852291, 0.99385, 1.16784, 1.38057, 1.6395, 1.95511, 2.33835, 2.79587, 3.34566", \ + "0.146253, 0.38158, 0.413497, 0.450626, 0.490694, 0.534973, 0.588639, 0.65099, 0.726956, 0.815848, 0.92395, 1.05658, 1.21915, 1.42063, 1.66916, 1.97343, 2.34535, 2.79698, 3.34514", \ + "0.180453, 0.462649, 0.501111, 0.544404, 0.593932, 0.646429, 0.707937, 0.771888, 0.849088, 0.938664, 1.04831, 1.17727, 1.3315, 1.52016, 1.75315, 2.04087, 2.39459, 2.83059, 3.36123", \ + "0.225405, 0.562939, 0.609195, 0.66078, 0.719675, 0.782649, 0.854831, 0.934678, 1.02354, 1.12009, 1.23024, 1.36207, 1.51862, 1.70381, 1.92588, 2.19575, 2.5283, 2.93823, 3.44424" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.016302, 0.062998, 0.0721653, 0.0831739, 0.0963751, 0.112196, 0.131118, 0.15392, 0.18119, 0.214031, 0.253273, 0.300725, 0.357351, 0.424997, 0.506606, 0.604942, 0.722394, 0.86306, 1.0322", \ + "0.0196604, 0.0660428, 0.0752211, 0.0862141, 0.0994126, 0.115252, 0.134292, 0.157018, 0.184391, 0.217058, 0.256536, 0.303751, 0.360275, 0.428473, 0.509816, 0.607852, 0.725108, 0.866425, 1.0351", \ + "0.0232786, 0.0697169, 0.0787927, 0.0898572, 0.102985, 0.118802, 0.137822, 0.160569, 0.18781, 0.2205, 0.260039, 0.307154, 0.363984, 0.431932, 0.513347, 0.611102, 0.728947, 0.869992, 1.0383", \ + "0.0271878, 0.0753077, 0.084415, 0.0953166, 0.108495, 0.124219, 0.143214, 0.165911, 0.193282, 0.225932, 0.265113, 0.312243, 0.368867, 0.43712, 0.518794, 0.616653, 0.73357, 0.874969, 1.04388", \ + "0.0312314, 0.0839826, 0.0930858, 0.104008, 0.116993, 0.132685, 0.151455, 0.174168, 0.201281, 0.233998, 0.273129, 0.320452, 0.376907, 0.444927, 0.526311, 0.624337, 0.74193, 0.882125, 1.05216", \ + "0.0353556, 0.0970918, 0.106203, 0.117139, 0.130054, 0.145714, 0.164311, 0.186778, 0.213698, 0.246352, 0.285309, 0.332527, 0.388861, 0.45681, 0.538109, 0.636074, 0.753457, 0.894468, 1.06362", \ + "0.0391363, 0.114514, 0.124958, 0.136603, 0.149938, 0.165631, 0.184219, 0.206549, 0.233316, 0.265743, 0.304291, 0.351137, 0.407519, 0.475045, 0.556363, 0.653849, 0.771091, 0.91194, 1.08062", \ + "0.0419818, 0.133836, 0.146688, 0.161003, 0.17674, 0.194331, 0.213758, 0.236464, 0.263547, 0.295346, 0.333757, 0.380346, 0.436158, 0.503224, 0.584035, 0.681801, 0.798194, 0.938878, 1.10753", \ + "0.0429812, 0.155453, 0.170812, 0.188051, 0.20718, 0.228358, 0.252448, 0.278624, 0.307465, 0.340412, 0.379032, 0.425324, 0.480695, 0.547412, 0.627156, 0.723823, 0.840959, 0.980195, 1.14845", \ + "0.0406812, 0.177999, 0.196827, 0.217392, 0.240956, 0.266603, 0.29505, 0.327041, 0.362051, 0.400904, 0.444771, 0.492674, 0.548333, 0.615278, 0.694454, 0.79002, 0.905768, 1.04443, 1.21174", \ + "0.0327303, 0.20007, 0.222921, 0.248266, 0.276724, 0.307674, 0.34251, 0.381397, 0.423695, 0.471006, 0.522706, 0.581033, 0.645209, 0.715705, 0.796516, 0.892651, 1.00648, 1.14415, 1.31005", \ + "0.0151685, 0.219411, 0.247934, 0.278685, 0.312211, 0.35134, 0.392296, 0.439822, 0.490879, 0.548139, 0.611737, 0.681305, 0.757492, 0.844759, 0.937727, 1.04174, 1.1598, 1.29765, 1.46299", \ + "-0.0177168, 0.23222, 0.265189, 0.303094, 0.344528, 0.39239, 0.443066, 0.49874, 0.561681, 0.630333, 0.707192, 0.791948, 0.885886, 0.988122, 1.10222, 1.22879, 1.3668, 1.52087, 1.69176" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0157071, 0.0822187, 0.0951763, 0.110734, 0.129456, 0.151903, 0.178778, 0.211152, 0.24986, 0.296525, 0.352308, 0.419744, 0.50017, 0.59643, 0.712396, 0.852112, 1.01918, 1.21922, 1.45971", \ + "0.0159275, 0.0821178, 0.0951485, 0.110735, 0.129454, 0.151849, 0.178884, 0.211207, 0.250038, 0.296472, 0.352548, 0.419666, 0.499851, 0.596928, 0.71264, 0.851994, 1.01876, 1.21958, 1.45959", \ + "0.0170892, 0.082001, 0.0950772, 0.110717, 0.129374, 0.151886, 0.178854, 0.211184, 0.24993, 0.296392, 0.352544, 0.419529, 0.500292, 0.596884, 0.712287, 0.851604, 1.01912, 1.21966, 1.45843", \ + "0.019208, 0.0814707, 0.0946284, 0.110528, 0.129376, 0.151879, 0.178836, 0.21122, 0.250084, 0.296566, 0.352301, 0.419382, 0.499865, 0.596888, 0.712944, 0.852055, 1.01846, 1.21942, 1.45965", \ + "0.022036, 0.0825369, 0.0950937, 0.110331, 0.128935, 0.151592, 0.178778, 0.211211, 0.24997, 0.296522, 0.352399, 0.419632, 0.500055, 0.596981, 0.712644, 0.851857, 1.01875, 1.21878, 1.46028", \ + "0.0261791, 0.0869809, 0.0987303, 0.113165, 0.130729, 0.152319, 0.178654, 0.210789, 0.249827, 0.296518, 0.352339, 0.419668, 0.499849, 0.596876, 0.71233, 0.852011, 1.01935, 1.21965, 1.46019", \ + "0.0319244, 0.0965426, 0.10746, 0.120863, 0.137509, 0.157751, 0.182535, 0.213088, 0.250492, 0.296414, 0.35225, 0.419564, 0.500225, 0.596749, 0.712921, 0.851989, 1.01897, 1.21953, 1.45966", \ + "0.0396973, 0.112303, 0.123623, 0.136569, 0.151823, 0.170741, 0.193939, 0.222743, 0.258062, 0.301455, 0.354504, 0.420019, 0.500129, 0.596679, 0.712659, 0.852178, 1.01895, 1.2195, 1.45943", \ + "0.0501125, 0.133684, 0.146129, 0.160587, 0.176675, 0.195322, 0.21723, 0.243797, 0.276521, 0.31756, 0.367375, 0.429208, 0.504917, 0.598306, 0.712421, 0.851798, 1.01906, 1.21938, 1.45888", \ + "0.0636927, 0.161591, 0.176, 0.19184, 0.210103, 0.230709, 0.254343, 0.282311, 0.313066, 0.349947, 0.397721, 0.455414, 0.526511, 0.614519, 0.722803, 0.855284, 1.01915, 1.21916, 1.45906", \ + "0.0818899, 0.198294, 0.214438, 0.232424, 0.253794, 0.277062, 0.303729, 0.333834, 0.368954, 0.408665, 0.452995, 0.507679, 0.574162, 0.655824, 0.75811, 0.883225, 1.03751, 1.22768, 1.46123", \ + "0.106325, 0.245976, 0.265136, 0.285977, 0.310401, 0.337776, 0.368476, 0.403329, 0.441286, 0.485499, 0.536844, 0.593818, 0.658779, 0.737204, 0.830337, 0.947664, 1.09355, 1.27185, 1.493", \ + "0.139533, 0.307878, 0.331142, 0.356737, 0.384764, 0.416647, 0.451824, 0.492603, 0.536086, 0.587408, 0.644153, 0.709602, 0.782752, 0.866801, 0.961833, 1.07306, 1.20531, 1.37408, 1.58138" \ + ); + } + } + timing () { + related_pin : "S1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0191532, 0.0992414, 0.114531, 0.132826, 0.154795, 0.18118, 0.212882, 0.251128, 0.297022, 0.352028, 0.417985, 0.497328, 0.59255, 0.706826, 0.84387, 1.00827, 1.20494, 1.44221, 1.72694", \ + "0.0233212, 0.102194, 0.117495, 0.135755, 0.157675, 0.184053, 0.215691, 0.253761, 0.299668, 0.354643, 0.420759, 0.499985, 0.595202, 0.709176, 0.846415, 1.01095, 1.20811, 1.44495, 1.72918", \ + "0.0289555, 0.105653, 0.120904, 0.139156, 0.161053, 0.187259, 0.218815, 0.25677, 0.30247, 0.357587, 0.423543, 0.502802, 0.597975, 0.712366, 0.848797, 1.01332, 1.21086, 1.44772, 1.73176", \ + "0.0370196, 0.110912, 0.126146, 0.144291, 0.166138, 0.192173, 0.223492, 0.261204, 0.306808, 0.361526, 0.427586, 0.506783, 0.601979, 0.715798, 0.85271, 1.01757, 1.21457, 1.45139, 1.73488", \ + "0.0467905, 0.119779, 0.13463, 0.152494, 0.174141, 0.199935, 0.23098, 0.268377, 0.313402, 0.368021, 0.433571, 0.512563, 0.607709, 0.721613, 0.858161, 1.02284, 1.21991, 1.45686, 1.74079", \ + "0.0586759, 0.134833, 0.149143, 0.166308, 0.187326, 0.212762, 0.243475, 0.280209, 0.324764, 0.378126, 0.443177, 0.521663, 0.616145, 0.729867, 0.866322, 1.03067, 1.2274, 1.46414, 1.74891", \ + "0.073159, 0.160342, 0.173757, 0.190154, 0.210175, 0.234399, 0.264161, 0.300293, 0.343907, 0.396684, 0.460005, 0.536885, 0.630391, 0.743101, 0.878476, 1.04258, 1.23864, 1.47534, 1.75912", \ + "0.0911201, 0.201392, 0.214477, 0.229916, 0.24861, 0.271559, 0.299568, 0.333973, 0.376242, 0.427517, 0.489882, 0.565177, 0.656066, 0.766639, 0.899881, 1.06186, 1.25769, 1.49309, 1.77695", \ + "0.113877, 0.25521, 0.272335, 0.290804, 0.310679, 0.332599, 0.359165, 0.391501, 0.430994, 0.47982, 0.539857, 0.61305, 0.701779, 0.809758, 0.939537, 1.09826, 1.28989, 1.52325, 1.80431", \ + "0.143497, 0.321307, 0.343365, 0.36785, 0.39387, 0.421899, 0.452034, 0.484527, 0.522462, 0.568073, 0.624173, 0.693715, 0.778706, 0.883401, 1.0103, 1.1649, 1.35117, 1.57835, 1.85426", \ + "0.182845, 0.402387, 0.430822, 0.461982, 0.496419, 0.532661, 0.573041, 0.615413, 0.660738, 0.708859, 0.763366, 0.828425, 0.908009, 1.00661, 1.12826, 1.27708, 1.4592, 1.68088, 1.94961", \ + "0.236153, 0.503394, 0.539379, 0.578986, 0.622182, 0.669722, 0.721887, 0.775608, 0.836514, 0.899355, 0.968064, 1.04013, 1.11875, 1.21188, 1.32545, 1.46566, 1.64035, 1.85217, 2.11374", \ + "0.310007, 0.630806, 0.674971, 0.723556, 0.779429, 0.838523, 0.904426, 0.974908, 1.05119, 1.13366, 1.22337, 1.31959, 1.42023, 1.52787, 1.6435, 1.77783, 1.94018, 2.13932, 2.38703" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0370148, 0.194328, 0.224711, 0.26113, 0.304438, 0.355912, 0.417454, 0.491443, 0.580241, 0.686272, 0.814161, 0.967447, 1.15154, 1.37329, 1.638, 1.95614, 2.33757, 2.79513, 3.34603", \ + "0.0376011, 0.193874, 0.224401, 0.260537, 0.304315, 0.355914, 0.417533, 0.491264, 0.580041, 0.6864, 0.814076, 0.967673, 1.15158, 1.37248, 1.63792, 1.95623, 2.33705, 2.79516, 3.34635", \ + "0.039285, 0.192811, 0.223466, 0.259916, 0.303532, 0.355535, 0.417354, 0.491346, 0.579978, 0.686494, 0.813946, 0.967539, 1.1517, 1.37292, 1.63748, 1.95609, 2.33707, 2.79627, 3.34496", \ + "0.0427601, 0.191128, 0.221901, 0.258599, 0.302529, 0.354899, 0.417225, 0.491225, 0.579979, 0.686255, 0.814122, 0.967654, 1.1514, 1.37217, 1.6375, 1.9555, 2.33707, 2.7953, 3.34569", \ + "0.04867, 0.191074, 0.220866, 0.256742, 0.30053, 0.353368, 0.41595, 0.490886, 0.57992, 0.68613, 0.813936, 0.967618, 1.15143, 1.37284, 1.63731, 1.95692, 2.33814, 2.79625, 3.34516", \ + "0.056803, 0.194747, 0.223291, 0.258044, 0.300099, 0.351536, 0.413828, 0.488909, 0.579222, 0.686284, 0.814165, 0.967734, 1.15138, 1.37267, 1.63718, 1.95597, 2.33712, 2.79516, 3.34583", \ + "0.0668218, 0.205905, 0.232828, 0.26566, 0.305702, 0.354947, 0.414983, 0.487929, 0.576636, 0.684013, 0.813331, 0.967579, 1.15139, 1.37233, 1.63736, 1.95624, 2.33742, 2.7953, 3.34511", \ + "0.0801054, 0.228291, 0.253771, 0.284748, 0.322643, 0.368827, 0.425333, 0.495416, 0.580823, 0.684522, 0.811803, 0.965456, 1.15118, 1.37294, 1.63739, 1.95535, 2.33711, 2.79532, 3.34582", \ + "0.0969276, 0.263478, 0.289022, 0.319921, 0.356473, 0.400144, 0.453432, 0.519003, 0.599887, 0.698926, 0.820427, 0.9692, 1.15048, 1.37052, 1.63698, 1.95559, 2.33732, 2.79526, 3.34505", \ + "0.118166, 0.315153, 0.341482, 0.372999, 0.410124, 0.454381, 0.506504, 0.568878, 0.644805, 0.738131, 0.852387, 0.994484, 1.16708, 1.38021, 1.63901, 1.95459, 2.33716, 2.79561, 3.3451", \ + "0.145003, 0.38024, 0.41186, 0.448409, 0.489112, 0.533831, 0.587711, 0.650615, 0.726357, 0.81567, 0.923892, 1.05625, 1.21962, 1.4212, 1.66952, 1.97277, 2.34405, 2.79644, 3.34584", \ + "0.179181, 0.460916, 0.499958, 0.54309, 0.591811, 0.644269, 0.705302, 0.769745, 0.84822, 0.938249, 1.04811, 1.17715, 1.33135, 1.51991, 1.75309, 2.04021, 2.39518, 2.82913, 3.36054", \ + "0.224927, 0.562464, 0.608245, 0.659507, 0.71807, 0.781082, 0.853593, 0.932747, 1.02132, 1.11865, 1.22978, 1.36157, 1.51896, 1.70407, 1.92579, 2.19571, 2.52846, 2.93813, 3.44399" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0144551, 0.0608209, 0.0699997, 0.0809624, 0.0942214, 0.11007, 0.129009, 0.15177, 0.179057, 0.211892, 0.251435, 0.29853, 0.355339, 0.423214, 0.505016, 0.602618, 0.720615, 0.861401, 1.03066", \ + "0.0179162, 0.0639662, 0.0731201, 0.0840736, 0.0972972, 0.113064, 0.132102, 0.154822, 0.182169, 0.214866, 0.25434, 0.301656, 0.358328, 0.426211, 0.507896, 0.606109, 0.723353, 0.864195, 1.03368", \ + "0.0214231, 0.067602, 0.0767949, 0.0877093, 0.100907, 0.116699, 0.135685, 0.158508, 0.185727, 0.218475, 0.257843, 0.305195, 0.361774, 0.42993, 0.511254, 0.609344, 0.727187, 0.86807, 1.03749", \ + "0.0251716, 0.0733673, 0.0824239, 0.0933, 0.106346, 0.122164, 0.141025, 0.163822, 0.191158, 0.223855, 0.26314, 0.310391, 0.367156, 0.43487, 0.51669, 0.614555, 0.73209, 0.872805, 1.04253", \ + "0.0291657, 0.0820025, 0.0910935, 0.101901, 0.115018, 0.13062, 0.149335, 0.172008, 0.199226, 0.231982, 0.271354, 0.318301, 0.375041, 0.442865, 0.524661, 0.622477, 0.739739, 0.88116, 1.05018", \ + "0.0331097, 0.0950463, 0.104313, 0.115119, 0.128153, 0.143674, 0.162422, 0.18481, 0.211849, 0.244403, 0.283361, 0.330623, 0.386916, 0.454712, 0.536229, 0.633854, 0.751235, 0.892898, 1.06137", \ + "0.0368545, 0.112502, 0.122848, 0.134582, 0.147897, 0.163416, 0.18242, 0.204609, 0.231454, 0.263797, 0.302502, 0.349246, 0.405559, 0.473137, 0.554332, 0.652161, 0.769619, 0.91021, 1.07926", \ + "0.0396241, 0.131796, 0.144508, 0.158862, 0.174644, 0.192298, 0.211786, 0.23444, 0.261523, 0.29341, 0.332075, 0.378371, 0.434129, 0.501219, 0.582711, 0.679377, 0.796438, 0.936997, 1.10582", \ + "0.040637, 0.153275, 0.16867, 0.185761, 0.205107, 0.226183, 0.250275, 0.276156, 0.30568, 0.338716, 0.377139, 0.423467, 0.478909, 0.545555, 0.625662, 0.722562, 0.838708, 0.978623, 1.1469", \ + "0.0383672, 0.175598, 0.194604, 0.214967, 0.238328, 0.264286, 0.29277, 0.325033, 0.360446, 0.39911, 0.442728, 0.490485, 0.546423, 0.613519, 0.692737, 0.788333, 0.904181, 1.04352, 1.21071", \ + "0.0303486, 0.197752, 0.220594, 0.245741, 0.274256, 0.305332, 0.340181, 0.379048, 0.421296, 0.468245, 0.52048, 0.579749, 0.642955, 0.713419, 0.79434, 0.890807, 1.005, 1.14277, 1.30959", \ + "0.0128674, 0.217328, 0.245659, 0.276597, 0.30997, 0.348661, 0.390206, 0.437301, 0.488597, 0.545577, 0.608603, 0.679045, 0.755357, 0.842947, 0.936073, 1.04026, 1.15825, 1.29598, 1.46198", \ + "-0.0201847, 0.230318, 0.262911, 0.300978, 0.342332, 0.390019, 0.440536, 0.496566, 0.559894, 0.628981, 0.704865, 0.789676, 0.884342, 0.985554, 1.0999, 1.22599, 1.36502, 1.52, 1.68988" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0152326, 0.082292, 0.0953028, 0.110838, 0.129612, 0.152102, 0.17901, 0.21137, 0.250157, 0.296801, 0.352941, 0.419939, 0.500645, 0.597165, 0.713446, 0.852185, 1.01995, 1.22018, 1.46116", \ + "0.0156321, 0.0822324, 0.0952936, 0.11086, 0.129587, 0.152029, 0.179022, 0.211362, 0.250211, 0.296723, 0.352758, 0.420017, 0.500589, 0.597158, 0.713146, 0.852759, 1.01966, 1.21989, 1.46086", \ + "0.0169831, 0.0819524, 0.0951917, 0.110806, 0.129596, 0.152052, 0.179044, 0.211413, 0.25016, 0.296789, 0.352717, 0.420026, 0.500476, 0.59735, 0.712969, 0.852482, 1.01994, 1.22055, 1.46114", \ + "0.0190342, 0.0814492, 0.0946009, 0.11053, 0.129488, 0.152005, 0.178955, 0.211445, 0.250271, 0.296887, 0.352727, 0.419704, 0.500709, 0.596953, 0.713445, 0.852492, 1.01957, 1.21976, 1.46129", \ + "0.0220757, 0.0827178, 0.095178, 0.110391, 0.129057, 0.151665, 0.178862, 0.211289, 0.250195, 0.296883, 0.352904, 0.419936, 0.500674, 0.59716, 0.71344, 0.85267, 1.01947, 1.22055, 1.46004", \ + "0.026371, 0.0872075, 0.0990902, 0.11326, 0.130937, 0.152413, 0.17873, 0.210878, 0.250053, 0.296898, 0.352739, 0.420013, 0.500288, 0.597161, 0.713226, 0.852234, 1.01927, 1.22066, 1.45998", \ + "0.0322141, 0.0969638, 0.107704, 0.121194, 0.137831, 0.158049, 0.182995, 0.213464, 0.250771, 0.296767, 0.352532, 0.420013, 0.500586, 0.597313, 0.71289, 0.852778, 1.01995, 1.22038, 1.46093", \ + "0.0401286, 0.112747, 0.124306, 0.137004, 0.152229, 0.171259, 0.194456, 0.223232, 0.258475, 0.301395, 0.354769, 0.420369, 0.500117, 0.596984, 0.713548, 0.852257, 1.01929, 1.21992, 1.46079", \ + "0.050613, 0.134286, 0.146481, 0.16098, 0.177272, 0.195612, 0.217784, 0.244007, 0.277321, 0.318199, 0.36796, 0.42977, 0.505431, 0.599086, 0.71339, 0.852692, 1.01957, 1.2204, 1.46039", \ + "0.0642389, 0.16226, 0.176607, 0.192278, 0.210643, 0.231586, 0.254965, 0.282783, 0.313831, 0.350718, 0.398288, 0.455724, 0.527309, 0.615472, 0.723376, 0.856151, 1.02004, 1.22048, 1.46157", \ + "0.0824577, 0.199259, 0.215113, 0.2338, 0.254424, 0.277438, 0.30443, 0.334378, 0.369491, 0.409549, 0.453504, 0.508299, 0.574295, 0.657037, 0.758507, 0.883818, 1.03813, 1.22868, 1.46322", \ + "0.106975, 0.246581, 0.265473, 0.287268, 0.310652, 0.339087, 0.369006, 0.404323, 0.442406, 0.486949, 0.537377, 0.594253, 0.65961, 0.737637, 0.830834, 0.948458, 1.09538, 1.273, 1.49421", \ + "0.140163, 0.308262, 0.331953, 0.357425, 0.385123, 0.416894, 0.452808, 0.49309, 0.537008, 0.589419, 0.645219, 0.710586, 0.784169, 0.866693, 0.964725, 1.07334, 1.20554, 1.37641, 1.58269" \ + ); + } + } + timing () { + related_pin : "S1B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0191532, 0.0992414, 0.114531, 0.132826, 0.154795, 0.18118, 0.212882, 0.251128, 0.297022, 0.352033, 0.417999, 0.497329, 0.59255, 0.706825, 0.843785, 1.00787, 1.20546, 1.44223, 1.72699", \ + "0.0233212, 0.102194, 0.117495, 0.135755, 0.157675, 0.184053, 0.215691, 0.253761, 0.299668, 0.354643, 0.420759, 0.499985, 0.595202, 0.709176, 0.846415, 1.01095, 1.20811, 1.44495, 1.72918", \ + "0.0289555, 0.105653, 0.120904, 0.139156, 0.161053, 0.187259, 0.218815, 0.25677, 0.30247, 0.357587, 0.423543, 0.502802, 0.597975, 0.712366, 0.848797, 1.01332, 1.21086, 1.44774, 1.73176", \ + "0.0370196, 0.110912, 0.126146, 0.144291, 0.166138, 0.192173, 0.223492, 0.261204, 0.306808, 0.361526, 0.427586, 0.506783, 0.601979, 0.715798, 0.85271, 1.01757, 1.21457, 1.45139, 1.73488", \ + "0.0467905, 0.119779, 0.13463, 0.152494, 0.174141, 0.199935, 0.23098, 0.268377, 0.313402, 0.368021, 0.433571, 0.512563, 0.607709, 0.721613, 0.858158, 1.02284, 1.21991, 1.45686, 1.74079", \ + "0.0586759, 0.134833, 0.149143, 0.166308, 0.187326, 0.212762, 0.243475, 0.280209, 0.324764, 0.378126, 0.443177, 0.521663, 0.616145, 0.729867, 0.866322, 1.03067, 1.2274, 1.46414, 1.74891", \ + "0.073159, 0.160342, 0.173757, 0.190154, 0.210175, 0.234399, 0.264161, 0.300293, 0.343907, 0.396684, 0.460005, 0.536885, 0.630391, 0.743101, 0.878476, 1.04258, 1.23864, 1.47534, 1.75912", \ + "0.0911201, 0.201392, 0.214477, 0.229916, 0.24861, 0.271559, 0.299568, 0.333973, 0.376242, 0.427517, 0.489882, 0.565177, 0.656066, 0.766639, 0.899881, 1.06186, 1.25769, 1.49309, 1.77695", \ + "0.113877, 0.25521, 0.272335, 0.290804, 0.310679, 0.332599, 0.359165, 0.391501, 0.430994, 0.47982, 0.539857, 0.61305, 0.701779, 0.809758, 0.939537, 1.09826, 1.28989, 1.52325, 1.80431", \ + "0.143499, 0.321307, 0.343365, 0.36785, 0.39387, 0.421899, 0.452034, 0.484527, 0.522462, 0.568073, 0.624173, 0.693715, 0.778706, 0.883401, 1.0103, 1.1649, 1.35117, 1.57835, 1.85426", \ + "0.182845, 0.402387, 0.430822, 0.461982, 0.496419, 0.532661, 0.573041, 0.615413, 0.660738, 0.708859, 0.763366, 0.828425, 0.908009, 1.00661, 1.12826, 1.27708, 1.4592, 1.68088, 1.94961", \ + "0.236153, 0.503394, 0.539379, 0.578986, 0.622182, 0.669722, 0.721887, 0.775608, 0.836514, 0.899355, 0.968064, 1.04013, 1.11875, 1.21188, 1.32545, 1.46566, 1.64036, 1.85217, 2.11374", \ + "0.310007, 0.630806, 0.674971, 0.723556, 0.779429, 0.838523, 0.904426, 0.974908, 1.05119, 1.13366, 1.22337, 1.31959, 1.42023, 1.52787, 1.6435, 1.77783, 1.94018, 2.13932, 2.38703" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0370148, 0.194328, 0.224711, 0.26113, 0.304438, 0.355912, 0.417454, 0.491443, 0.580241, 0.686298, 0.814249, 0.967446, 1.15154, 1.37262, 1.63778, 1.95575, 2.33708, 2.79523, 3.34584", \ + "0.0376011, 0.193874, 0.224401, 0.260537, 0.304315, 0.355914, 0.417533, 0.491264, 0.580041, 0.6864, 0.814076, 0.967673, 1.15158, 1.37248, 1.63792, 1.95619, 2.33705, 2.79516, 3.34635", \ + "0.039285, 0.192811, 0.223466, 0.259916, 0.303532, 0.355535, 0.417354, 0.491346, 0.579978, 0.686494, 0.813946, 0.967539, 1.1517, 1.37292, 1.63748, 1.9561, 2.33707, 2.79633, 3.34496", \ + "0.0427601, 0.191128, 0.221901, 0.258599, 0.302529, 0.354899, 0.417225, 0.491225, 0.579979, 0.686255, 0.814122, 0.967654, 1.1514, 1.37217, 1.6375, 1.9555, 2.33707, 2.7953, 3.34569", \ + "0.04867, 0.191074, 0.220866, 0.256742, 0.30053, 0.353368, 0.41595, 0.490886, 0.57992, 0.68613, 0.813936, 0.967618, 1.15143, 1.37284, 1.63809, 1.95692, 2.33813, 2.79625, 3.34516", \ + "0.056803, 0.194747, 0.223291, 0.258044, 0.300099, 0.351536, 0.413828, 0.488909, 0.579222, 0.686284, 0.814165, 0.967734, 1.15138, 1.37267, 1.63718, 1.95597, 2.33712, 2.79516, 3.34583", \ + "0.0668218, 0.205905, 0.232828, 0.265656, 0.305702, 0.354947, 0.414983, 0.487929, 0.576636, 0.684013, 0.813331, 0.967579, 1.15139, 1.37233, 1.63736, 1.95624, 2.33742, 2.7953, 3.34511", \ + "0.0801054, 0.228291, 0.253771, 0.284748, 0.322643, 0.368827, 0.425333, 0.495416, 0.580823, 0.684522, 0.811803, 0.965456, 1.15118, 1.37292, 1.63739, 1.95535, 2.33711, 2.79532, 3.34582", \ + "0.0969276, 0.263478, 0.289022, 0.319921, 0.356473, 0.400144, 0.453432, 0.519003, 0.599887, 0.698926, 0.820427, 0.9692, 1.15048, 1.37052, 1.63698, 1.95559, 2.33732, 2.79526, 3.34505", \ + "0.118167, 0.315153, 0.341482, 0.372999, 0.410124, 0.454381, 0.506504, 0.568878, 0.644805, 0.738131, 0.852387, 0.994484, 1.16708, 1.38021, 1.63901, 1.95459, 2.33716, 2.79561, 3.3451", \ + "0.145003, 0.38024, 0.41186, 0.448409, 0.489112, 0.533831, 0.587711, 0.650615, 0.726357, 0.81567, 0.923892, 1.05625, 1.21962, 1.4212, 1.66952, 1.97277, 2.34405, 2.79644, 3.34584", \ + "0.179181, 0.460916, 0.499958, 0.54309, 0.591811, 0.644269, 0.705302, 0.769745, 0.848218, 0.938249, 1.04811, 1.17715, 1.33135, 1.51991, 1.75309, 2.04021, 2.3952, 2.82913, 3.36054", \ + "0.224927, 0.562464, 0.608245, 0.659507, 0.71807, 0.781082, 0.853593, 0.932747, 1.02132, 1.11865, 1.22978, 1.36157, 1.51896, 1.70407, 1.92579, 2.19571, 2.52846, 2.93813, 3.44399" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0144551, 0.0608209, 0.0699997, 0.0809624, 0.0942214, 0.11007, 0.129009, 0.15177, 0.179057, 0.211892, 0.251435, 0.29853, 0.355339, 0.423214, 0.505016, 0.602618, 0.720615, 0.861401, 1.03066", \ + "0.0179162, 0.0639663, 0.0731201, 0.0840739, 0.0972972, 0.113064, 0.132102, 0.154822, 0.182169, 0.214866, 0.25434, 0.301656, 0.358328, 0.426211, 0.507896, 0.606109, 0.723353, 0.864195, 1.03368", \ + "0.0214231, 0.067602, 0.0767949, 0.0877093, 0.100907, 0.116699, 0.135685, 0.158508, 0.185727, 0.218475, 0.257843, 0.305195, 0.361774, 0.42993, 0.511254, 0.609344, 0.727187, 0.86807, 1.03749", \ + "0.0251716, 0.0733673, 0.0824239, 0.0933, 0.106346, 0.122164, 0.141025, 0.163822, 0.191158, 0.223855, 0.26314, 0.310391, 0.367156, 0.43487, 0.51669, 0.614555, 0.73209, 0.872805, 1.04253", \ + "0.0291657, 0.0820025, 0.0910935, 0.101901, 0.115018, 0.13062, 0.149335, 0.172008, 0.199226, 0.231982, 0.271354, 0.318301, 0.375041, 0.442865, 0.524682, 0.622477, 0.739739, 0.88116, 1.05018", \ + "0.0331097, 0.0950463, 0.104313, 0.115119, 0.128153, 0.143674, 0.162422, 0.18481, 0.211849, 0.244403, 0.283361, 0.330623, 0.386916, 0.454712, 0.536229, 0.633854, 0.751235, 0.892898, 1.06137", \ + "0.0368545, 0.112502, 0.122848, 0.134582, 0.147897, 0.163416, 0.18242, 0.204609, 0.231454, 0.263797, 0.302502, 0.349246, 0.405559, 0.473137, 0.554332, 0.652161, 0.769619, 0.91021, 1.07926", \ + "0.0396241, 0.131796, 0.144508, 0.158862, 0.174644, 0.192298, 0.211786, 0.23444, 0.261523, 0.29341, 0.332075, 0.378371, 0.434129, 0.501219, 0.582711, 0.679377, 0.796438, 0.936997, 1.10582", \ + "0.040637, 0.153275, 0.16867, 0.185761, 0.205107, 0.226183, 0.250275, 0.276156, 0.30568, 0.338716, 0.377139, 0.423467, 0.478909, 0.545558, 0.625662, 0.722562, 0.838708, 0.978623, 1.1469", \ + "0.0383672, 0.175598, 0.194604, 0.214967, 0.238328, 0.264286, 0.29277, 0.324728, 0.360446, 0.39911, 0.442728, 0.490485, 0.546423, 0.613519, 0.692737, 0.788333, 0.904181, 1.04352, 1.21071", \ + "0.0303486, 0.197752, 0.220594, 0.245741, 0.274256, 0.305332, 0.340181, 0.379048, 0.421295, 0.468245, 0.52048, 0.579749, 0.642955, 0.713419, 0.79434, 0.890807, 1.005, 1.14277, 1.30959", \ + "0.0128674, 0.217328, 0.245659, 0.276597, 0.30997, 0.348661, 0.390206, 0.437301, 0.488597, 0.545576, 0.608603, 0.679045, 0.755357, 0.842947, 0.936073, 1.04026, 1.15825, 1.29598, 1.46198", \ + "-0.0201847, 0.230318, 0.262911, 0.300978, 0.342332, 0.390019, 0.440536, 0.496566, 0.559894, 0.628981, 0.704865, 0.789676, 0.884342, 0.985554, 1.0999, 1.22599, 1.36502, 1.52, 1.68988" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0152326, 0.0822919, 0.0953028, 0.110838, 0.129612, 0.152102, 0.17901, 0.21137, 0.250157, 0.296801, 0.352941, 0.419939, 0.500645, 0.597165, 0.713446, 0.852185, 1.01995, 1.22018, 1.46116", \ + "0.0156321, 0.0822324, 0.0952936, 0.11086, 0.129587, 0.152029, 0.179022, 0.211362, 0.250211, 0.296723, 0.352758, 0.420017, 0.500589, 0.597158, 0.713146, 0.852759, 1.01966, 1.21989, 1.46086", \ + "0.0169831, 0.0819524, 0.0951917, 0.110806, 0.129596, 0.152052, 0.179044, 0.211413, 0.25016, 0.296789, 0.352717, 0.420026, 0.500476, 0.59735, 0.712969, 0.852482, 1.01994, 1.22055, 1.46114", \ + "0.0190342, 0.0814492, 0.0946009, 0.11053, 0.129488, 0.152005, 0.178955, 0.211445, 0.250271, 0.296887, 0.352727, 0.419704, 0.500709, 0.596953, 0.713445, 0.852492, 1.01957, 1.21976, 1.46129", \ + "0.0220757, 0.0827178, 0.095178, 0.110391, 0.129057, 0.151665, 0.178862, 0.211289, 0.250195, 0.296883, 0.352903, 0.419936, 0.500674, 0.59716, 0.71316, 0.85267, 1.01947, 1.22055, 1.46004", \ + "0.026371, 0.0872075, 0.0990902, 0.11326, 0.130937, 0.152413, 0.17873, 0.210878, 0.250053, 0.296898, 0.352739, 0.420013, 0.500288, 0.597161, 0.713226, 0.852234, 1.01927, 1.22066, 1.45998", \ + "0.0322141, 0.0969638, 0.107704, 0.121194, 0.137831, 0.158049, 0.182995, 0.213464, 0.250771, 0.296767, 0.352532, 0.420013, 0.500586, 0.597313, 0.71289, 0.852778, 1.01995, 1.22038, 1.46093", \ + "0.0401286, 0.112747, 0.124306, 0.137004, 0.152229, 0.171259, 0.194456, 0.223232, 0.258475, 0.301395, 0.354769, 0.420369, 0.500117, 0.596984, 0.713548, 0.852257, 1.01929, 1.21992, 1.46079", \ + "0.050613, 0.134286, 0.146481, 0.16098, 0.177272, 0.195612, 0.217784, 0.244007, 0.277321, 0.318199, 0.36796, 0.429771, 0.505431, 0.599054, 0.71339, 0.852692, 1.01957, 1.2204, 1.46039", \ + "0.0642389, 0.16226, 0.176607, 0.192278, 0.210643, 0.231586, 0.254965, 0.282525, 0.313831, 0.350718, 0.398288, 0.455724, 0.527309, 0.615472, 0.723376, 0.856151, 1.02004, 1.22048, 1.46157", \ + "0.0824577, 0.199259, 0.215113, 0.2338, 0.254424, 0.277438, 0.30443, 0.334378, 0.369491, 0.409549, 0.453504, 0.508299, 0.574295, 0.657037, 0.758507, 0.883818, 1.03813, 1.22868, 1.46322", \ + "0.106975, 0.246581, 0.265473, 0.287268, 0.310652, 0.339087, 0.369006, 0.404323, 0.442406, 0.48695, 0.537377, 0.594253, 0.65961, 0.737637, 0.830834, 0.948458, 1.09538, 1.273, 1.49421", \ + "0.140163, 0.308262, 0.331953, 0.357325, 0.385123, 0.416894, 0.452808, 0.49309, 0.537008, 0.589419, 0.645219, 0.710586, 0.784169, 0.866693, 0.964725, 1.07334, 1.20554, 1.37641, 1.58269" \ + ); + } + } + timing () { + related_pin : "S1B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0206993, 0.101543, 0.116881, 0.135175, 0.157248, 0.183664, 0.21543, 0.253585, 0.299558, 0.354483, 0.420707, 0.50018, 0.595252, 0.709412, 0.846252, 1.01129, 1.20849, 1.44539, 1.72981", \ + "0.0246963, 0.104443, 0.119778, 0.138104, 0.160089, 0.186509, 0.218273, 0.256392, 0.302203, 0.35744, 0.423563, 0.502783, 0.598096, 0.712376, 0.849293, 1.01404, 1.21123, 1.44815, 1.73253", \ + "0.0304048, 0.107779, 0.123132, 0.141371, 0.163363, 0.189668, 0.22127, 0.259478, 0.305163, 0.360185, 0.42639, 0.505789, 0.600776, 0.715276, 0.85191, 1.01642, 1.21431, 1.4509, 1.7352", \ + "0.0388839, 0.112945, 0.128281, 0.146462, 0.168327, 0.194457, 0.225855, 0.263765, 0.309589, 0.364267, 0.430364, 0.509711, 0.604922, 0.718925, 0.855718, 1.02027, 1.21799, 1.45473, 1.73902", \ + "0.0490275, 0.121579, 0.136582, 0.154523, 0.176169, 0.202099, 0.233168, 0.270633, 0.315794, 0.370305, 0.436305, 0.515384, 0.610436, 0.724582, 0.86162, 1.02563, 1.22275, 1.45974, 1.74425", \ + "0.0612007, 0.136489, 0.150854, 0.168201, 0.189285, 0.214756, 0.245364, 0.282421, 0.326881, 0.38063, 0.445642, 0.524094, 0.618973, 0.732743, 0.869487, 1.03362, 1.23067, 1.46737, 1.75168", \ + "0.0759201, 0.161947, 0.175337, 0.191703, 0.211798, 0.236263, 0.266058, 0.302096, 0.345866, 0.398408, 0.462267, 0.539258, 0.63273, 0.745847, 0.881336, 1.04567, 1.24217, 1.47858, 1.76271", \ + "0.0940992, 0.203224, 0.216206, 0.231479, 0.250255, 0.273139, 0.301312, 0.335652, 0.378083, 0.4293, 0.491929, 0.567207, 0.658041, 0.768661, 0.9028, 1.06481, 1.26102, 1.49616, 1.77961", \ + "0.117177, 0.257228, 0.274021, 0.292583, 0.31235, 0.334284, 0.360698, 0.393063, 0.432607, 0.481583, 0.541516, 0.614746, 0.703755, 0.812007, 0.942017, 1.10034, 1.29249, 1.52615, 1.8081", \ + "0.146718, 0.323524, 0.345623, 0.369864, 0.39586, 0.424013, 0.453777, 0.486186, 0.524074, 0.569611, 0.625908, 0.695354, 0.780895, 0.885372, 1.01225, 1.16751, 1.35343, 1.58094, 1.85693", \ + "0.186088, 0.404986, 0.4333, 0.464886, 0.498797, 0.534865, 0.575117, 0.616978, 0.662713, 0.710575, 0.764898, 0.830029, 0.909776, 1.00828, 1.12945, 1.27974, 1.46194, 1.68299, 1.95161", \ + "0.239237, 0.506695, 0.5425, 0.581289, 0.625461, 0.67236, 0.724195, 0.77893, 0.838547, 0.901009, 0.96966, 1.0419, 1.12028, 1.21361, 1.32712, 1.46756, 1.64136, 1.855, 2.11542", \ + "0.312957, 0.632998, 0.677123, 0.726319, 0.782325, 0.841243, 0.907085, 0.977478, 1.05429, 1.136, 1.22536, 1.32178, 1.42244, 1.5295, 1.64531, 1.77949, 1.94167, 2.14102, 2.38883" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0378912, 0.194971, 0.22534, 0.261414, 0.304736, 0.356327, 0.417871, 0.491605, 0.580516, 0.686491, 0.814427, 0.967724, 1.15196, 1.37269, 1.63783, 1.95638, 2.33756, 2.79726, 3.34557", \ + "0.0383239, 0.194363, 0.224855, 0.261169, 0.304606, 0.356128, 0.417729, 0.491571, 0.580223, 0.686741, 0.814359, 0.967823, 1.15172, 1.37324, 1.63753, 1.95593, 2.33758, 2.79566, 3.34659", \ + "0.0399808, 0.193416, 0.224065, 0.260453, 0.304014, 0.355903, 0.417792, 0.491646, 0.580231, 0.686574, 0.814428, 0.968089, 1.15162, 1.37298, 1.63783, 1.95593, 2.33891, 2.79673, 3.34595", \ + "0.0436344, 0.191672, 0.222539, 0.259325, 0.303021, 0.355339, 0.417515, 0.491352, 0.580238, 0.686582, 0.814431, 0.96775, 1.15173, 1.37299, 1.6379, 1.95617, 2.33756, 2.79572, 3.34576", \ + "0.0498706, 0.191484, 0.2213, 0.25738, 0.301173, 0.353635, 0.416367, 0.491053, 0.580143, 0.68655, 0.814607, 0.96786, 1.15187, 1.37323, 1.63842, 1.95624, 2.33799, 2.79622, 3.34575", \ + "0.0587318, 0.194967, 0.223632, 0.258172, 0.300621, 0.352087, 0.414266, 0.489478, 0.57947, 0.686553, 0.814413, 0.967714, 1.15175, 1.37313, 1.6383, 1.95606, 2.33753, 2.79596, 3.3457", \ + "0.0685422, 0.206166, 0.233001, 0.265651, 0.306107, 0.355126, 0.415336, 0.488363, 0.577163, 0.684556, 0.813867, 0.96771, 1.15182, 1.37288, 1.63789, 1.957, 2.33748, 2.79596, 3.34747", \ + "0.0816148, 0.228718, 0.254161, 0.284905, 0.322686, 0.368901, 0.425714, 0.495494, 0.581269, 0.685233, 0.812086, 0.966197, 1.15134, 1.37315, 1.63816, 1.95633, 2.33806, 2.79594, 3.34567", \ + "0.0985736, 0.264401, 0.289537, 0.320451, 0.356762, 0.400518, 0.453696, 0.519038, 0.599955, 0.699243, 0.820627, 0.969308, 1.15062, 1.37157, 1.63756, 1.95579, 2.33809, 2.79729, 3.34641", \ + "0.119833, 0.316567, 0.34297, 0.374163, 0.411028, 0.454845, 0.506908, 0.569208, 0.64501, 0.738202, 0.852291, 0.99385, 1.16784, 1.38057, 1.6395, 1.95511, 2.33835, 2.79587, 3.34566", \ + "0.146253, 0.38158, 0.413497, 0.450626, 0.490694, 0.534973, 0.588639, 0.65099, 0.726956, 0.815848, 0.92395, 1.05658, 1.21915, 1.42063, 1.66916, 1.97343, 2.34535, 2.79698, 3.34514", \ + "0.180453, 0.462649, 0.501111, 0.544404, 0.593932, 0.646429, 0.707937, 0.771888, 0.849088, 0.938664, 1.04831, 1.17727, 1.3315, 1.52016, 1.75315, 2.04087, 2.39459, 2.83059, 3.36123", \ + "0.225405, 0.562939, 0.609195, 0.66078, 0.719675, 0.782649, 0.854831, 0.934678, 1.02354, 1.12009, 1.23024, 1.36207, 1.51862, 1.70381, 1.92588, 2.19575, 2.5283, 2.93823, 3.44424" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.016302, 0.062998, 0.0721653, 0.0831739, 0.0963751, 0.112196, 0.131118, 0.15392, 0.18119, 0.214031, 0.253273, 0.300725, 0.357351, 0.424997, 0.506606, 0.604942, 0.722394, 0.86306, 1.0322", \ + "0.0196604, 0.0660428, 0.0752211, 0.0862141, 0.0994126, 0.115252, 0.134292, 0.157018, 0.184391, 0.217058, 0.256536, 0.303751, 0.360275, 0.428473, 0.509816, 0.607852, 0.725108, 0.866425, 1.0352", \ + "0.0232786, 0.0697169, 0.0787927, 0.0898572, 0.102985, 0.118802, 0.137822, 0.160569, 0.18781, 0.2205, 0.26004, 0.307154, 0.363984, 0.431932, 0.513347, 0.611102, 0.728947, 0.869992, 1.0383", \ + "0.0271878, 0.0753077, 0.084415, 0.0953166, 0.108495, 0.124219, 0.143214, 0.165911, 0.193282, 0.225932, 0.265113, 0.312243, 0.368867, 0.43712, 0.518794, 0.616653, 0.73357, 0.874969, 1.04388", \ + "0.0312314, 0.0839826, 0.0930858, 0.104008, 0.116993, 0.132685, 0.151455, 0.174167, 0.201281, 0.233998, 0.273129, 0.320206, 0.376907, 0.444927, 0.526311, 0.624337, 0.74193, 0.882125, 1.05216", \ + "0.0353556, 0.0970918, 0.106203, 0.117139, 0.130054, 0.145714, 0.164311, 0.186886, 0.213698, 0.246352, 0.285309, 0.332527, 0.388861, 0.45681, 0.538109, 0.636074, 0.753457, 0.894468, 1.06362", \ + "0.0391363, 0.114514, 0.124958, 0.136603, 0.149938, 0.165631, 0.184219, 0.206549, 0.233316, 0.265744, 0.304291, 0.351137, 0.407519, 0.475045, 0.556363, 0.653849, 0.771091, 0.91194, 1.08062", \ + "0.0419818, 0.133836, 0.146688, 0.161003, 0.17674, 0.194331, 0.213758, 0.236464, 0.263547, 0.295346, 0.333757, 0.380346, 0.436158, 0.503224, 0.584035, 0.681801, 0.798194, 0.938878, 1.10753", \ + "0.0429812, 0.155453, 0.170812, 0.188051, 0.20718, 0.228358, 0.252448, 0.278611, 0.307465, 0.340412, 0.379032, 0.425324, 0.480695, 0.547412, 0.627156, 0.723823, 0.840959, 0.980195, 1.14845", \ + "0.0406812, 0.177999, 0.196827, 0.217392, 0.240956, 0.266603, 0.29505, 0.327041, 0.362051, 0.400904, 0.444771, 0.492674, 0.548333, 0.615278, 0.694454, 0.79002, 0.905768, 1.04443, 1.21174", \ + "0.0327303, 0.20007, 0.222921, 0.248266, 0.276724, 0.307674, 0.34251, 0.381397, 0.423695, 0.471006, 0.522706, 0.581033, 0.645209, 0.715705, 0.796516, 0.892651, 1.00648, 1.14415, 1.31005", \ + "0.0151685, 0.219411, 0.247934, 0.278685, 0.312211, 0.35134, 0.392296, 0.439822, 0.490879, 0.548139, 0.611737, 0.681305, 0.757492, 0.844759, 0.937727, 1.04174, 1.1598, 1.29765, 1.46299", \ + "-0.0177168, 0.23222, 0.265189, 0.303094, 0.344528, 0.39239, 0.443066, 0.49874, 0.561681, 0.630333, 0.707192, 0.791948, 0.885886, 0.988122, 1.10222, 1.22879, 1.3668, 1.52087, 1.69176" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0157071, 0.0822187, 0.0951763, 0.110734, 0.129456, 0.151903, 0.178778, 0.211152, 0.24986, 0.296525, 0.352308, 0.419744, 0.50017, 0.59643, 0.712396, 0.852112, 1.01918, 1.21922, 1.45971", \ + "0.0159275, 0.0821178, 0.0951485, 0.110735, 0.129454, 0.151849, 0.178884, 0.211207, 0.250038, 0.296472, 0.352548, 0.419666, 0.499851, 0.596928, 0.71264, 0.851994, 1.01876, 1.21958, 1.45966", \ + "0.0170892, 0.082001, 0.0950772, 0.110717, 0.129374, 0.151886, 0.178854, 0.211184, 0.24993, 0.296392, 0.352535, 0.419529, 0.500292, 0.596884, 0.712287, 0.851604, 1.01912, 1.21966, 1.45843", \ + "0.019208, 0.0814707, 0.0946283, 0.110528, 0.129376, 0.151879, 0.178836, 0.21122, 0.250084, 0.296566, 0.352301, 0.419382, 0.499865, 0.596888, 0.712944, 0.852055, 1.01846, 1.21942, 1.45965", \ + "0.022036, 0.0825369, 0.0950937, 0.110331, 0.128935, 0.151592, 0.178778, 0.211211, 0.24997, 0.296522, 0.352399, 0.419379, 0.500055, 0.596981, 0.712644, 0.851856, 1.01875, 1.21878, 1.46028", \ + "0.0261791, 0.0869809, 0.0987303, 0.113165, 0.130729, 0.152319, 0.178654, 0.210894, 0.249827, 0.296518, 0.352339, 0.419668, 0.499849, 0.596876, 0.71233, 0.852011, 1.01935, 1.21965, 1.46019", \ + "0.0319244, 0.0965426, 0.10746, 0.120863, 0.137509, 0.157751, 0.182534, 0.213088, 0.250492, 0.296414, 0.35225, 0.419564, 0.500225, 0.596749, 0.712921, 0.851989, 1.01897, 1.21953, 1.45966", \ + "0.0396973, 0.112303, 0.123623, 0.136569, 0.151823, 0.170741, 0.193939, 0.222743, 0.258062, 0.301455, 0.354504, 0.420019, 0.500129, 0.596679, 0.712659, 0.852178, 1.01895, 1.2195, 1.45943", \ + "0.0501125, 0.133684, 0.146129, 0.160587, 0.176675, 0.195322, 0.21723, 0.243783, 0.276521, 0.31756, 0.367375, 0.429208, 0.504917, 0.598306, 0.712421, 0.851798, 1.01906, 1.21938, 1.45888", \ + "0.0636927, 0.161591, 0.176, 0.19184, 0.210103, 0.230709, 0.254343, 0.282311, 0.313066, 0.349947, 0.397721, 0.455414, 0.526511, 0.614519, 0.722803, 0.855284, 1.01915, 1.21916, 1.45906", \ + "0.0818899, 0.198294, 0.214438, 0.232424, 0.253794, 0.277062, 0.303729, 0.333834, 0.368954, 0.408665, 0.452995, 0.507679, 0.574162, 0.655824, 0.75811, 0.883225, 1.03751, 1.22768, 1.46123", \ + "0.106325, 0.245976, 0.265136, 0.285977, 0.310401, 0.337776, 0.368476, 0.403329, 0.441286, 0.485499, 0.536844, 0.593818, 0.658779, 0.737204, 0.830337, 0.947664, 1.09355, 1.27185, 1.493", \ + "0.139533, 0.307878, 0.331142, 0.356737, 0.384764, 0.416647, 0.451824, 0.492603, 0.536086, 0.587408, 0.644153, 0.709602, 0.782752, 0.8668, 0.961833, 1.07306, 1.20531, 1.37408, 1.58138" \ + ); + } + } + internal_power () { + related_pin : "Q1"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0151006, 0.0151141, 0.0150922, 0.015089, 0.0150758, 0.015043, 0.015039, 0.0149887, 0.0149513, 0.0148965, 0.0148571, 0.0148166, 0.0147479, 0.0147373, 0.014727, 0.0146684, 0.0145569, 0.0144974, 0.0145715", \ + "0.0149608, 0.0150328, 0.0150304, 0.0150049, 0.0149856, 0.0149764, 0.0149644, 0.0149296, 0.0148929, 0.0148799, 0.0148214, 0.0147384, 0.0146963, 0.0147474, 0.0147053, 0.0146074, 0.0146525, 0.0144576, 0.0145259", \ + "0.0148521, 0.0149701, 0.0149715, 0.0149516, 0.0149409, 0.0149159, 0.0149125, 0.0148848, 0.0148551, 0.0148023, 0.0148065, 0.0147195, 0.0146534, 0.0146874, 0.0146718, 0.0145364, 0.0145753, 0.014393, 0.0145913", \ + "0.0147407, 0.0149185, 0.0149014, 0.0148932, 0.0148931, 0.0148717, 0.0148422, 0.0148328, 0.0148179, 0.0147614, 0.014692, 0.0146553, 0.014592, 0.0146383, 0.0145712, 0.0145487, 0.0144401, 0.0144192, 0.0143309", \ + "0.0146438, 0.0148223, 0.0148174, 0.0148166, 0.0148229, 0.0148027, 0.0148009, 0.0148094, 0.0147225, 0.0147253, 0.0146914, 0.0146017, 0.0146131, 0.0145177, 0.0144943, 0.0144752, 0.0145175, 0.0143316, 0.0144972", \ + "0.0145932, 0.0147577, 0.0147289, 0.0147207, 0.0146903, 0.0147435, 0.0147327, 0.0147012, 0.0147137, 0.0146423, 0.014637, 0.0145864, 0.0145215, 0.0144921, 0.0145285, 0.0144803, 0.0143737, 0.0142855, 0.0142337", \ + "0.0145887, 0.0146912, 0.0146801, 0.0146435, 0.0147266, 0.0146623, 0.0146579, 0.0146409, 0.0145293, 0.01462, 0.0145067, 0.0145268, 0.0144357, 0.0144792, 0.0143958, 0.014368, 0.0143407, 0.0143556, 0.0142373", \ + "0.0146513, 0.0146715, 0.0146393, 0.0146864, 0.0146334, 0.0147202, 0.0145848, 0.0145783, 0.014571, 0.0145394, 0.0144937, 0.014387, 0.0144696, 0.0143093, 0.0143571, 0.0143471, 0.0142567, 0.014161, 0.0143505", \ + "0.0148029, 0.0147174, 0.0146818, 0.0146782, 0.0147484, 0.0146547, 0.0146599, 0.0145913, 0.014597, 0.014538, 0.0144564, 0.0144042, 0.0144452, 0.014153, 0.0143859, 0.0141448, 0.0141879, 0.0140725, 0.0142153", \ + "0.0150881, 0.0148715, 0.0148594, 0.0147982, 0.0147628, 0.0147858, 0.0147451, 0.0148375, 0.0146594, 0.0146016, 0.014465, 0.014452, 0.0144591, 0.0143526, 0.014045, 0.0142999, 0.0138272, 0.0141232, 0.0137419", \ + "0.0156412, 0.0152144, 0.015189, 0.0151602, 0.0151322, 0.0150318, 0.0150047, 0.0148621, 0.0148003, 0.0148221, 0.014767, 0.0146028, 0.014487, 0.0144284, 0.0142774, 0.0142598, 0.0139257, 0.0139017, 0.0140147", \ + "0.0165381, 0.0159484, 0.0158316, 0.0157823, 0.0157377, 0.0156187, 0.0154111, 0.0152832, 0.0153597, 0.0150865, 0.0150335, 0.0149452, 0.0149006, 0.0147558, 0.0146527, 0.0143768, 0.0144055, 0.0141015, 0.0139953", \ + "0.0180438, 0.017037, 0.0170135, 0.0168444, 0.016762, 0.0165499, 0.0164494, 0.0161692, 0.0161861, 0.0161017, 0.0157316, 0.0157779, 0.0154452, 0.0151693, 0.0153932, 0.0151846, 0.0149677, 0.014441, 0.014205" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00222335, 0.00223543, 0.0022349, 0.00223175, 0.00223817, 0.00223713, 0.00223389, 0.00223642, 0.00223334, 0.00223553, 0.00223627, 0.0022323, 0.00223506, 0.00223606, 0.00223646, 0.00223362, 0.00223314, 0.00223286, 0.00223343", \ + "0.00212425, 0.00214527, 0.0021497, 0.00214722, 0.00214819, 0.00214716, 0.00215096, 0.00215006, 0.00215757, 0.00215258, 0.0021564, 0.00215367, 0.00215354, 0.00215488, 0.00215738, 0.00215634, 0.00215738, 0.00215858, 0.00215773", \ + "0.00204192, 0.00208556, 0.00208708, 0.00208958, 0.00209238, 0.00209777, 0.00209551, 0.00209827, 0.00209695, 0.00210242, 0.00210304, 0.00210141, 0.00210323, 0.00210339, 0.00210303, 0.00210367, 0.00210491, 0.00210514, 0.00210807", \ + "0.00194591, 0.0020322, 0.00203906, 0.00203768, 0.00204434, 0.00204908, 0.00205373, 0.00205536, 0.00205834, 0.00205877, 0.00206282, 0.00206738, 0.00206437, 0.00206839, 0.00206849, 0.00206977, 0.0020686, 0.00207022, 0.00207187", \ + "0.00183785, 0.00198367, 0.00198809, 0.00199437, 0.00199735, 0.00200662, 0.00201576, 0.00201797, 0.00202009, 0.00202557, 0.00203088, 0.00202906, 0.00203481, 0.0020325, 0.00203381, 0.00203961, 0.00204162, 0.00204254, 0.00204422", \ + "0.00173791, 0.00193177, 0.0019403, 0.00194807, 0.00196533, 0.00197683, 0.00197707, 0.00198453, 0.00199312, 0.00200094, 0.00200199, 0.00201138, 0.00201431, 0.0020163, 0.00202213, 0.0020277, 0.00203715, 0.00203326, 0.00203588", \ + "0.00165564, 0.00188955, 0.00190862, 0.00192442, 0.0019395, 0.00195585, 0.00196318, 0.00197568, 0.00198475, 0.00199209, 0.00200513, 0.00201453, 0.00201806, 0.0020231, 0.00202905, 0.0020318, 0.00203799, 0.0020389, 0.00204643", \ + "0.00160734, 0.00186277, 0.00188411, 0.00190943, 0.00192923, 0.00194463, 0.00196029, 0.00198221, 0.00199357, 0.00201617, 0.00202791, 0.00203533, 0.00205081, 0.00205563, 0.00206794, 0.00206562, 0.00207481, 0.00207822, 0.00208224", \ + "0.0016507, 0.00188699, 0.00190471, 0.0019273, 0.00195465, 0.00197573, 0.00199866, 0.00202102, 0.00204411, 0.00205946, 0.0020761, 0.00209165, 0.0020983, 0.00211179, 0.002124, 0.00213476, 0.00214224, 0.00215123, 0.00215593", \ + "0.0018445, 0.00196872, 0.00198461, 0.00201034, 0.00204403, 0.00207443, 0.00209069, 0.00211588, 0.00213515, 0.00216474, 0.0021899, 0.00220999, 0.00222648, 0.00223516, 0.00225035, 0.00226026, 0.00227441, 0.00227851, 0.00228666", \ + "0.00226762, 0.0022094, 0.00221836, 0.00224388, 0.00226499, 0.00227736, 0.00229319, 0.00231779, 0.00234353, 0.00235928, 0.00237641, 0.00239879, 0.0024201, 0.00244661, 0.00246584, 0.00247351, 0.0024879, 0.00249603, 0.00250625", \ + "0.00306157, 0.00271998, 0.00271569, 0.00270076, 0.00269293, 0.00269702, 0.00270232, 0.00270797, 0.00271354, 0.00272735, 0.00273629, 0.00275779, 0.0027698, 0.00277796, 0.00279992, 0.00281492, 0.0028274, 0.00284412, 0.00285296", \ + "0.00446891, 0.0037168, 0.00364959, 0.00359573, 0.00354393, 0.00349874, 0.00346975, 0.00343249, 0.00341746, 0.00339075, 0.00338077, 0.0033731, 0.00337576, 0.00336936, 0.00337628, 0.00337907, 0.00338043, 0.00338691, 0.00339505" \ + ); + } + } + internal_power () { + related_pin : "Q2"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0148912, 0.0149047, 0.0148771, 0.0148624, 0.0148488, 0.0148132, 0.0147995, 0.0147638, 0.0147406, 0.0146871, 0.0146499, 0.014593, 0.0145334, 0.0144741, 0.0145249, 0.0144902, 0.0143587, 0.0144256, 0.0144131", \ + "0.0147613, 0.0148277, 0.0148216, 0.0147961, 0.0147747, 0.0147771, 0.0147369, 0.014707, 0.014663, 0.0146326, 0.0145611, 0.0145112, 0.0145202, 0.0145283, 0.014436, 0.014382, 0.0143605, 0.014233, 0.0143551", \ + "0.0146578, 0.0147874, 0.0147836, 0.0147499, 0.0147384, 0.0147014, 0.0146868, 0.0146716, 0.0146404, 0.0145873, 0.0145869, 0.0145146, 0.0144214, 0.0144072, 0.0144412, 0.0143341, 0.0143134, 0.0142203, 0.0141349", \ + "0.0145462, 0.0147062, 0.0147087, 0.0146873, 0.0146735, 0.0146598, 0.0146543, 0.0146491, 0.0146084, 0.014559, 0.0144819, 0.0144782, 0.0143886, 0.0144279, 0.014346, 0.0143525, 0.0142338, 0.014195, 0.0141131", \ + "0.0144583, 0.0146266, 0.0146584, 0.0146162, 0.0145913, 0.0145948, 0.0146249, 0.0145916, 0.0145201, 0.0145106, 0.0144866, 0.0144224, 0.0143883, 0.0143618, 0.0142598, 0.0143368, 0.0141768, 0.0141211, 0.0140667", \ + "0.0144097, 0.0145608, 0.0145482, 0.0145562, 0.0145075, 0.0145315, 0.0145237, 0.0144716, 0.0145195, 0.0143968, 0.0143795, 0.0143982, 0.0142999, 0.0143967, 0.0143732, 0.0142628, 0.0142644, 0.014078, 0.0140337", \ + "0.0144027, 0.0145082, 0.014503, 0.0144532, 0.0144876, 0.0144587, 0.014421, 0.0144732, 0.0143339, 0.0144033, 0.0143012, 0.0142926, 0.0142171, 0.0142459, 0.0141838, 0.014215, 0.0141319, 0.0142074, 0.0139704", \ + "0.0144736, 0.0144674, 0.0145025, 0.0144763, 0.0144394, 0.0144683, 0.0144204, 0.0143891, 0.0143609, 0.014317, 0.0142447, 0.0141176, 0.0142007, 0.0140792, 0.0141195, 0.0140699, 0.0141332, 0.013954, 0.0142017", \ + "0.0146191, 0.0145315, 0.0145072, 0.014501, 0.0145657, 0.0144678, 0.0144623, 0.0143957, 0.0143911, 0.0143136, 0.0142647, 0.0141699, 0.0142936, 0.0140213, 0.0141298, 0.0139725, 0.0139492, 0.0138661, 0.013989", \ + "0.0149148, 0.0147184, 0.0146794, 0.0146281, 0.0145852, 0.0146139, 0.0145487, 0.0146242, 0.0144155, 0.014386, 0.0142841, 0.0142592, 0.014272, 0.014177, 0.0139853, 0.0141574, 0.0136284, 0.014132, 0.0136792", \ + "0.0154691, 0.0150528, 0.0150587, 0.0150039, 0.014953, 0.0148592, 0.0147876, 0.0147114, 0.014604, 0.0145331, 0.014573, 0.0144101, 0.0142435, 0.0142758, 0.0140776, 0.0141043, 0.0136836, 0.0136578, 0.0137989", \ + "0.0163431, 0.0157471, 0.0156689, 0.0156087, 0.015469, 0.0153519, 0.0152242, 0.0151563, 0.0151507, 0.0149055, 0.0148734, 0.0147463, 0.0147083, 0.0145265, 0.0144533, 0.0140623, 0.0142432, 0.0142423, 0.0139969", \ + "0.0178592, 0.0168333, 0.0168068, 0.0166449, 0.0166016, 0.0163291, 0.0162528, 0.0159859, 0.0161504, 0.0159154, 0.0154519, 0.0155581, 0.0153234, 0.0149785, 0.0152096, 0.0150889, 0.0146907, 0.0142347, 0.0140069" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00244411, 0.00244846, 0.0024494, 0.00245167, 0.00245001, 0.00245016, 0.00245446, 0.00245307, 0.00245297, 0.00245481, 0.00244783, 0.00244704, 0.00244601, 0.00244546, 0.00244836, 0.00244851, 0.0024481, 0.00244863, 0.00244942", \ + "0.00235442, 0.00236973, 0.00237113, 0.00236793, 0.00236837, 0.00237126, 0.00237769, 0.0023726, 0.00237482, 0.00237426, 0.00237438, 0.00237473, 0.00237484, 0.00237556, 0.00237463, 0.00237618, 0.00237858, 0.00237721, 0.0023775", \ + "0.00227271, 0.0023092, 0.00230994, 0.00231325, 0.00231577, 0.00231971, 0.00231796, 0.00232148, 0.0023208, 0.00232193, 0.00232286, 0.0023236, 0.00232408, 0.00232733, 0.00232834, 0.00232639, 0.00232817, 0.00232789, 0.00232964", \ + "0.00217572, 0.00225754, 0.00226107, 0.00226262, 0.00227087, 0.0022716, 0.00227618, 0.00227634, 0.00228244, 0.00228018, 0.00228681, 0.00228902, 0.00228756, 0.00228794, 0.00229377, 0.00229349, 0.00229236, 0.00229113, 0.00229761", \ + "0.00207436, 0.00221155, 0.00222331, 0.00222361, 0.00222899, 0.00223237, 0.00224233, 0.00224079, 0.00224691, 0.00225205, 0.00225618, 0.00225655, 0.00225831, 0.00225793, 0.00226459, 0.00226138, 0.00226415, 0.00226584, 0.00226538", \ + "0.00198243, 0.002156, 0.00216687, 0.00217737, 0.00219302, 0.00220503, 0.00220492, 0.00221305, 0.00222086, 0.00222844, 0.00223228, 0.00224054, 0.00224721, 0.00224721, 0.00225003, 0.00225264, 0.0022572, 0.00225779, 0.00225981", \ + "0.00189489, 0.00212279, 0.0021377, 0.00214813, 0.00217018, 0.00218472, 0.00219346, 0.00220232, 0.00221027, 0.00221868, 0.00223106, 0.00224279, 0.00224332, 0.00224971, 0.00225387, 0.00225734, 0.00226561, 0.00226522, 0.00227054", \ + "0.00186169, 0.00209914, 0.00212094, 0.00214234, 0.00216778, 0.00218021, 0.00219532, 0.00221452, 0.0022305, 0.00224634, 0.00225959, 0.0022662, 0.00227466, 0.00228467, 0.00228895, 0.00229003, 0.00229959, 0.00230367, 0.0023128", \ + "0.00190145, 0.00212435, 0.00214038, 0.0021649, 0.00218659, 0.00221082, 0.0022314, 0.00225414, 0.00227718, 0.00228873, 0.00230461, 0.00232046, 0.0023324, 0.00233701, 0.00235006, 0.0023618, 0.00236958, 0.00237893, 0.00238464", \ + "0.00209632, 0.00221301, 0.00223107, 0.002256, 0.00228264, 0.00230943, 0.0023272, 0.00234895, 0.00236943, 0.00239409, 0.00241978, 0.00244029, 0.00245731, 0.00246364, 0.00248247, 0.00248292, 0.00250456, 0.00250772, 0.00251395", \ + "0.00251997, 0.00245564, 0.00246209, 0.0024832, 0.00250554, 0.00252041, 0.00253748, 0.00255223, 0.00257831, 0.00260494, 0.00261516, 0.00263445, 0.00265435, 0.00267904, 0.00269534, 0.00269967, 0.00271703, 0.0027264, 0.00273547", \ + "0.00331637, 0.00296898, 0.00296501, 0.00295006, 0.00293605, 0.00294062, 0.00293751, 0.00294721, 0.00296095, 0.00296868, 0.00298378, 0.00299336, 0.00300499, 0.00301147, 0.00303274, 0.00304816, 0.00305852, 0.00307512, 0.00308279", \ + "0.00471618, 0.00396046, 0.00389549, 0.00384881, 0.00379301, 0.00375242, 0.00371712, 0.00367787, 0.00365636, 0.00363494, 0.00362297, 0.00361161, 0.00361208, 0.00360169, 0.00360759, 0.00361022, 0.00361576, 0.00361818, 0.0036292" \ + ); + } + } + internal_power () { + related_pin : "S0"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00548378, 0.00548133, 0.00547164, 0.00547757, 0.00544882, 0.0054205, 0.00538802, 0.00538529, 0.0053536, 0.00528734, 0.00525836, 0.00520085, 0.00514932, 0.00516035, 0.00514056, 0.00503779, 0.00495999, 0.00490108, 0.00489973", \ + "0.00545465, 0.00550121, 0.00549576, 0.00545865, 0.00546973, 0.00545763, 0.00542569, 0.00536351, 0.00534902, 0.00532088, 0.00525413, 0.00521861, 0.00516846, 0.00514254, 0.00514093, 0.00512168, 0.00497494, 0.00488741, 0.00496817", \ + "0.00543134, 0.0054848, 0.00546802, 0.00545744, 0.0054385, 0.00542882, 0.00540515, 0.00537077, 0.0053404, 0.00532519, 0.00524524, 0.00522496, 0.00516681, 0.00518607, 0.005095, 0.005097, 0.00502603, 0.0050531, 0.00481464", \ + "0.0054192, 0.00546254, 0.00544919, 0.00543824, 0.00543246, 0.00543457, 0.0054212, 0.00534615, 0.00534336, 0.00526647, 0.00522421, 0.0052011, 0.0051441, 0.00509983, 0.00511262, 0.00510975, 0.00500837, 0.00494262, 0.00492474", \ + "0.00544181, 0.00545817, 0.00544099, 0.00541703, 0.00540595, 0.00539867, 0.00536203, 0.00537474, 0.00532087, 0.00525051, 0.00520087, 0.00521199, 0.00513836, 0.00517239, 0.00510418, 0.00513227, 0.00511513, 0.00506033, 0.00488066", \ + "0.00551977, 0.00545143, 0.00544249, 0.00542175, 0.00539238, 0.00538508, 0.0053993, 0.00531205, 0.00533367, 0.00524861, 0.00522458, 0.00519625, 0.00512053, 0.00511514, 0.00504531, 0.00507322, 0.00491964, 0.00486966, 0.00498486", \ + "0.0056794, 0.00550799, 0.00549204, 0.00548179, 0.00543774, 0.005403, 0.00536781, 0.00536865, 0.00529177, 0.00523977, 0.0052052, 0.00515039, 0.00513022, 0.00508775, 0.00501144, 0.00510613, 0.00497109, 0.00489783, 0.00486679", \ + "0.00595382, 0.00562778, 0.00560303, 0.00560914, 0.00554646, 0.00550194, 0.00541497, 0.00540098, 0.0053428, 0.00527347, 0.00523423, 0.00512746, 0.00511953, 0.00514834, 0.00503566, 0.00484776, 0.00491683, 0.00491387, 0.00496911", \ + "0.00638098, 0.00590897, 0.00583437, 0.00582108, 0.00575844, 0.00569944, 0.00567122, 0.00556787, 0.00546708, 0.00541851, 0.00530092, 0.00522469, 0.00516391, 0.00507446, 0.00497367, 0.0050302, 0.00493512, 0.00487107, 0.00477675", \ + "0.00708419, 0.00646486, 0.00629334, 0.00626056, 0.00615364, 0.00605404, 0.00597166, 0.00591289, 0.00587977, 0.00571102, 0.00559854, 0.00550041, 0.00532616, 0.00529196, 0.00517299, 0.00512261, 0.00491772, 0.00499195, 0.00478376", \ + "0.00813366, 0.00732741, 0.00714383, 0.00703235, 0.00693958, 0.00672727, 0.00666672, 0.00646926, 0.00639564, 0.00618372, 0.00616823, 0.00597284, 0.00579355, 0.0056222, 0.00542223, 0.0052705, 0.00514626, 0.00514904, 0.00501511", \ + "0.00977183, 0.00874865, 0.00862719, 0.00852891, 0.00831593, 0.00797824, 0.00796213, 0.00748757, 0.00747096, 0.00704704, 0.00702129, 0.00676906, 0.0065827, 0.00643966, 0.00622816, 0.00597528, 0.00595345, 0.00534311, 0.00541829", \ + "0.0123058, 0.0110158, 0.010769, 0.0106339, 0.0103976, 0.0100795, 0.00987015, 0.00967644, 0.0091637, 0.00885228, 0.00854853, 0.00823397, 0.00793012, 0.00759893, 0.00736583, 0.00735469, 0.00697773, 0.0065132, 0.00615811" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00220011, 0.00235495, 0.00235989, 0.00236535, 0.00236931, 0.00237346, 0.00237753, 0.00238063, 0.00238295, 0.00238341, 0.00238696, 0.00238947, 0.00239082, 0.0023916, 0.00239375, 0.00239497, 0.0023959, 0.00239631, 0.00239728", \ + "0.00213898, 0.00236196, 0.00237109, 0.00237835, 0.00238386, 0.00239335, 0.00239876, 0.00240134, 0.00240705, 0.00241068, 0.00241266, 0.00241539, 0.0024193, 0.00242072, 0.00242063, 0.00242467, 0.00242545, 0.00242787, 0.0024278", \ + "0.00207455, 0.00236046, 0.00236975, 0.00238393, 0.00239371, 0.00240066, 0.00241022, 0.00241587, 0.00242262, 0.00242741, 0.00243312, 0.00243599, 0.00243974, 0.00244296, 0.00244698, 0.00244914, 0.00244999, 0.00245157, 0.00245354", \ + "0.00200271, 0.00234419, 0.00236114, 0.00237502, 0.00239259, 0.00240192, 0.00241497, 0.00242416, 0.00243552, 0.00243837, 0.00244496, 0.00245118, 0.00245549, 0.00245983, 0.0024632, 0.00246611, 0.00247032, 0.00247149, 0.00247358", \ + "0.00190965, 0.00231518, 0.00233877, 0.00235884, 0.00237603, 0.00239475, 0.00240905, 0.00241955, 0.00243014, 0.00244229, 0.00245018, 0.00245974, 0.002464, 0.00247047, 0.00247357, 0.00248101, 0.0024865, 0.00248865, 0.00248928", \ + "0.00181772, 0.00226461, 0.00229289, 0.00232031, 0.00234107, 0.00236624, 0.00238392, 0.00240365, 0.00241681, 0.00242937, 0.00244521, 0.00245457, 0.00246524, 0.00247026, 0.00247896, 0.00248661, 0.00249019, 0.00249366, 0.00249835", \ + "0.00173627, 0.00219154, 0.00222734, 0.00226075, 0.00229349, 0.00232356, 0.0023495, 0.00237367, 0.00239271, 0.00241227, 0.00242714, 0.00244187, 0.00245372, 0.00246723, 0.00247575, 0.00248072, 0.00248776, 0.00249369, 0.00249986", \ + "0.0016922, 0.00210747, 0.00214942, 0.00218987, 0.00222738, 0.00226338, 0.00229669, 0.00232901, 0.00235467, 0.00237412, 0.00239512, 0.00241719, 0.0024339, 0.00244683, 0.00245995, 0.00247331, 0.00248132, 0.00248813, 0.00249991", \ + "0.0017259, 0.00203336, 0.00207504, 0.00211716, 0.00215513, 0.00219753, 0.002238, 0.00227279, 0.00230478, 0.0023354, 0.00236242, 0.00238426, 0.00240521, 0.00242428, 0.00244138, 0.00245204, 0.00246899, 0.00247912, 0.00248886", \ + "0.00194893, 0.00198572, 0.00202145, 0.00205495, 0.00209912, 0.00213605, 0.00217809, 0.00221848, 0.00225254, 0.00228764, 0.00232121, 0.00235103, 0.00237348, 0.00239832, 0.00241702, 0.00243663, 0.00245384, 0.00246492, 0.0024776", \ + "0.00256438, 0.00202322, 0.00204557, 0.00206493, 0.00209609, 0.00213098, 0.00215821, 0.00219727, 0.00222673, 0.0022627, 0.00229331, 0.00232447, 0.00235529, 0.00237809, 0.00239927, 0.00242302, 0.00243906, 0.00245099, 0.00246862", \ + "0.00387609, 0.00222612, 0.00222441, 0.00221794, 0.00221186, 0.00222491, 0.00223254, 0.0022502, 0.00226656, 0.00229061, 0.00231305, 0.00233788, 0.00236383, 0.00238776, 0.00240661, 0.00242434, 0.00244138, 0.0024575, 0.00247061", \ + "0.0062724, 0.00274331, 0.00266136, 0.00260064, 0.00255594, 0.00251838, 0.00248951, 0.00246197, 0.00245762, 0.00245212, 0.0024527, 0.0024536, 0.00246243, 0.00246541, 0.00247408, 0.0024866, 0.00249642, 0.00250513, 0.00251136" \ + ); + } + } + internal_power () { + related_pin : "S0B"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00548364, 0.00548119, 0.0054715, 0.00547743, 0.00544868, 0.00542037, 0.00538788, 0.00538516, 0.00535346, 0.00529411, 0.00525034, 0.0052009, 0.00514918, 0.00516832, 0.00515662, 0.00511038, 0.00498793, 0.00487574, 0.00496745", \ + "0.0054545, 0.00550105, 0.00549561, 0.00545849, 0.00546957, 0.00545748, 0.00542554, 0.00536335, 0.00534886, 0.00532073, 0.00525397, 0.00521846, 0.0051683, 0.00514239, 0.00514078, 0.00511703, 0.00497479, 0.00488726, 0.0049682", \ + "0.00543134, 0.0054848, 0.00546802, 0.00545744, 0.0054385, 0.00542886, 0.00540515, 0.00537077, 0.0053404, 0.00532519, 0.00524524, 0.00522496, 0.00516681, 0.00518608, 0.005095, 0.005097, 0.00502603, 0.00502307, 0.00481464", \ + "0.00541919, 0.00546254, 0.00544918, 0.00543824, 0.00543245, 0.00543457, 0.00542119, 0.00534615, 0.00534336, 0.00526646, 0.0052242, 0.0052011, 0.0051441, 0.00509983, 0.00511279, 0.00510831, 0.00500836, 0.00494261, 0.00492474", \ + "0.00544181, 0.00545817, 0.00544099, 0.00541703, 0.00540595, 0.00539867, 0.00536203, 0.00537474, 0.00532087, 0.00525051, 0.00520087, 0.00521199, 0.00513836, 0.00517239, 0.0050779, 0.00513227, 0.00509641, 0.00506033, 0.00488066", \ + "0.00551978, 0.00545143, 0.00544249, 0.00542175, 0.00539238, 0.00538508, 0.00539931, 0.00531206, 0.00533368, 0.00524861, 0.0052251, 0.00519625, 0.00512053, 0.00511514, 0.00503307, 0.00507322, 0.00491964, 0.00486967, 0.00498897", \ + "0.00567921, 0.0055078, 0.00549185, 0.00548504, 0.00543755, 0.00540281, 0.00536762, 0.00536846, 0.00529158, 0.00523958, 0.00520501, 0.0051502, 0.00513003, 0.00508756, 0.00501125, 0.00510594, 0.00497089, 0.00489764, 0.00486652", \ + "0.00595382, 0.00562778, 0.00560303, 0.00560914, 0.00554645, 0.00550194, 0.00541497, 0.00540098, 0.0053428, 0.00527347, 0.00523423, 0.00512746, 0.00511953, 0.0051406, 0.00503566, 0.00484776, 0.00491683, 0.00491387, 0.00494416", \ + "0.00638099, 0.00590898, 0.00583437, 0.00582109, 0.00575845, 0.00569945, 0.00567122, 0.00556788, 0.00546709, 0.00541852, 0.00530093, 0.0052247, 0.00516392, 0.00507447, 0.00497368, 0.00503039, 0.00493513, 0.00487108, 0.00477676", \ + "0.00708417, 0.00646485, 0.00629333, 0.00626055, 0.00615363, 0.00605404, 0.00597166, 0.00591288, 0.00587976, 0.00571101, 0.00559853, 0.0055004, 0.00532615, 0.00529196, 0.00517299, 0.0051226, 0.00491772, 0.00499167, 0.00478375", \ + "0.00813367, 0.00732742, 0.00714386, 0.00703236, 0.00693959, 0.00672728, 0.00666673, 0.00646927, 0.00639565, 0.00618373, 0.00616824, 0.00597285, 0.00579356, 0.00562221, 0.0054262, 0.00527051, 0.00514627, 0.00514905, 0.00501512", \ + "0.00977182, 0.00874865, 0.00862719, 0.0085289, 0.00831593, 0.00797823, 0.00796212, 0.00748757, 0.00747065, 0.00704703, 0.00702128, 0.00676905, 0.00658269, 0.00643965, 0.00622816, 0.00597527, 0.00596515, 0.0053431, 0.00541828", \ + "0.0123058, 0.0110158, 0.0107688, 0.0106339, 0.0103976, 0.0100795, 0.00987014, 0.00967644, 0.00916369, 0.00885228, 0.00854853, 0.00823396, 0.00793012, 0.00759892, 0.00736583, 0.00735468, 0.00697773, 0.0065132, 0.00615811" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00219998, 0.00235481, 0.00235975, 0.00236521, 0.00236917, 0.00237332, 0.00237739, 0.00238049, 0.00238281, 0.00238327, 0.00238682, 0.00238933, 0.00239068, 0.00239146, 0.00239361, 0.00239483, 0.00239576, 0.00239617, 0.00239714", \ + "0.00213883, 0.00236181, 0.00237093, 0.0023782, 0.00238371, 0.0023932, 0.00239861, 0.00240118, 0.0024069, 0.00241053, 0.0024125, 0.00241524, 0.00241915, 0.00242057, 0.00242048, 0.00242451, 0.0024253, 0.00242772, 0.00242782", \ + "0.00207455, 0.00236046, 0.00236976, 0.00238393, 0.00239371, 0.00240066, 0.00241022, 0.00241587, 0.00242262, 0.00242741, 0.00243295, 0.002436, 0.00243974, 0.00244296, 0.00244698, 0.00244914, 0.00244999, 0.00245157, 0.00245355", \ + "0.00200271, 0.00234418, 0.00236114, 0.00237501, 0.00239259, 0.00240191, 0.00241496, 0.00242416, 0.00243552, 0.00243837, 0.00244495, 0.00245118, 0.00245549, 0.00245983, 0.0024632, 0.00246611, 0.00247032, 0.00247149, 0.00247357", \ + "0.00190965, 0.00231518, 0.00233877, 0.00235874, 0.00237603, 0.00239475, 0.00240905, 0.00241956, 0.00243014, 0.00244229, 0.00245018, 0.00246091, 0.002464, 0.00247047, 0.00247357, 0.002481, 0.00248636, 0.00248865, 0.00248928", \ + "0.00181772, 0.00226462, 0.0022929, 0.00232032, 0.00234107, 0.00236624, 0.00238392, 0.00240425, 0.00241681, 0.00242937, 0.00244522, 0.00245457, 0.00246524, 0.00247027, 0.00247896, 0.00248662, 0.00249019, 0.00249367, 0.00249836", \ + "0.00173608, 0.00219135, 0.00222715, 0.00226056, 0.0022933, 0.00232337, 0.00234923, 0.00237348, 0.00239252, 0.00241207, 0.00242695, 0.00244167, 0.00245353, 0.00246704, 0.00247556, 0.00248053, 0.00248757, 0.0024935, 0.00249967", \ + "0.0016922, 0.00210747, 0.00214942, 0.00218987, 0.00222738, 0.00226338, 0.00229669, 0.00232901, 0.00235467, 0.00237412, 0.00239512, 0.00241719, 0.00243389, 0.00244683, 0.00245995, 0.0024733, 0.00248132, 0.00248813, 0.0024999", \ + "0.00172591, 0.00203337, 0.00207505, 0.00211716, 0.00215514, 0.00219754, 0.00223801, 0.00227288, 0.00230479, 0.00233541, 0.00236243, 0.00238427, 0.00240521, 0.00242429, 0.00244139, 0.00245205, 0.00246899, 0.00247913, 0.00248887", \ + "0.00194893, 0.00198571, 0.00202144, 0.00205495, 0.00209912, 0.00213604, 0.00217809, 0.00221848, 0.00225253, 0.00228764, 0.00232121, 0.00235102, 0.00237348, 0.00239831, 0.00241702, 0.00243662, 0.00245384, 0.00246491, 0.0024776", \ + "0.00256439, 0.00202323, 0.00204558, 0.00206494, 0.0020961, 0.00213099, 0.00215822, 0.00219728, 0.00222674, 0.00226271, 0.00229332, 0.00232448, 0.0023553, 0.0023781, 0.00239928, 0.00242304, 0.00243907, 0.002451, 0.00246863", \ + "0.00387608, 0.00222612, 0.0022244, 0.00221794, 0.00221186, 0.0022249, 0.00223254, 0.00225019, 0.00226655, 0.00229061, 0.00231304, 0.00233788, 0.00236383, 0.00238776, 0.0024066, 0.00242434, 0.00244138, 0.00245749, 0.0024706", \ + "0.0062724, 0.00274331, 0.00266135, 0.00260064, 0.00255594, 0.00251838, 0.00248951, 0.00246196, 0.00245762, 0.00245212, 0.00245269, 0.0024536, 0.00246243, 0.00246541, 0.00247408, 0.00248659, 0.00249642, 0.00250513, 0.00251135" \ + ); + } + } + internal_power () { + related_pin : "S1"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00548374, 0.00548129, 0.00547161, 0.00547754, 0.00544878, 0.00542047, 0.00538798, 0.00538526, 0.00535356, 0.00529421, 0.00525044, 0.005201, 0.00514928, 0.00516842, 0.00515673, 0.00511048, 0.00498804, 0.00487585, 0.00496756", \ + "0.00545472, 0.00550128, 0.00549583, 0.00545871, 0.00546979, 0.0054577, 0.00542576, 0.00536357, 0.00534909, 0.00532095, 0.00525419, 0.00521868, 0.00516852, 0.00514261, 0.005141, 0.00511725, 0.00497501, 0.00488748, 0.00496842", \ + "0.00543133, 0.00548479, 0.00546801, 0.00545743, 0.00543849, 0.00542885, 0.00540514, 0.00537076, 0.00534039, 0.00532518, 0.00524523, 0.00522495, 0.0051668, 0.00518607, 0.00509499, 0.00509699, 0.00502602, 0.00502306, 0.00481463", \ + "0.00541909, 0.00546244, 0.00544908, 0.00543814, 0.00543235, 0.00543447, 0.00542109, 0.00534605, 0.00534326, 0.00526636, 0.0052241, 0.005201, 0.005144, 0.00509972, 0.00511269, 0.00510821, 0.00500826, 0.00494251, 0.00492464", \ + "0.00544174, 0.0054581, 0.00544092, 0.00541696, 0.00540588, 0.0053986, 0.00536196, 0.00537467, 0.0053208, 0.00525044, 0.0052008, 0.00521192, 0.00513829, 0.00517232, 0.00507783, 0.0051322, 0.00509634, 0.00506026, 0.00488059", \ + "0.00551978, 0.00545144, 0.0054425, 0.00542176, 0.00539239, 0.00538509, 0.00539931, 0.00531207, 0.00533368, 0.00524862, 0.00522511, 0.00519626, 0.00512054, 0.00511515, 0.00503308, 0.00507323, 0.00491965, 0.00486967, 0.00498897", \ + "0.00567941, 0.005508, 0.00549206, 0.00548524, 0.00543775, 0.00540301, 0.00536782, 0.00536866, 0.00529179, 0.00523979, 0.00520521, 0.0051504, 0.00513023, 0.00508776, 0.00501145, 0.00510614, 0.0049711, 0.00489784, 0.00486673", \ + "0.00595382, 0.00562778, 0.00560304, 0.00560914, 0.00554646, 0.00550195, 0.00541497, 0.00540098, 0.00534281, 0.00527347, 0.00523423, 0.00512746, 0.00511953, 0.0051406, 0.00503567, 0.00484776, 0.00491684, 0.00491388, 0.00494416", \ + "0.00638098, 0.00590898, 0.00583437, 0.00582109, 0.00575845, 0.00569944, 0.00567122, 0.00556788, 0.00546709, 0.00541851, 0.00530092, 0.0052247, 0.00516392, 0.00507447, 0.00497368, 0.00503039, 0.00493513, 0.00487108, 0.00477676", \ + "0.00708418, 0.00646486, 0.00629334, 0.00626056, 0.00615364, 0.00605405, 0.00597167, 0.00591289, 0.00587977, 0.00571102, 0.00559854, 0.00550041, 0.00532616, 0.00529197, 0.005173, 0.00512261, 0.00491773, 0.00499168, 0.00478376", \ + "0.00813367, 0.00732741, 0.00714385, 0.00703236, 0.00693958, 0.00672728, 0.00666672, 0.00646926, 0.00639564, 0.00618373, 0.00616823, 0.00597285, 0.00579356, 0.00562221, 0.00542619, 0.00527051, 0.00514626, 0.00514905, 0.00501511", \ + "0.00977183, 0.00874866, 0.00862719, 0.00852891, 0.00831594, 0.00797824, 0.00796213, 0.00748758, 0.00747066, 0.00704704, 0.00702129, 0.00676906, 0.0065827, 0.00643966, 0.00622816, 0.00597528, 0.00596515, 0.00534311, 0.00541829", \ + "0.0123058, 0.0110158, 0.0107688, 0.0106339, 0.0103976, 0.0100795, 0.00987015, 0.00967645, 0.0091637, 0.00885228, 0.00854853, 0.00823397, 0.00793012, 0.00759893, 0.00736583, 0.00735469, 0.00697774, 0.0065132, 0.00615811" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00220008, 0.00235492, 0.00235986, 0.00236531, 0.00236928, 0.00237342, 0.00237749, 0.0023806, 0.00238291, 0.00238337, 0.00238693, 0.00238943, 0.00239078, 0.00239156, 0.00239371, 0.00239494, 0.00239587, 0.00239627, 0.00239724", \ + "0.00213905, 0.00236203, 0.00237115, 0.00237842, 0.00238393, 0.00239342, 0.00239883, 0.00240141, 0.00240712, 0.00241075, 0.00241273, 0.00241546, 0.00241937, 0.00242079, 0.0024207, 0.00242473, 0.00242552, 0.00242794, 0.00242804", \ + "0.00207454, 0.00236045, 0.00236974, 0.00238392, 0.0023937, 0.00240065, 0.00241021, 0.00241586, 0.00242261, 0.0024274, 0.00243294, 0.00243598, 0.00243973, 0.00244295, 0.00244697, 0.00244913, 0.00244998, 0.00245156, 0.00245353", \ + "0.00200261, 0.00234408, 0.00236104, 0.00237491, 0.00239249, 0.00240181, 0.00241486, 0.00242406, 0.00243542, 0.00243827, 0.00244485, 0.00245108, 0.00245539, 0.00245973, 0.0024631, 0.00246601, 0.00247022, 0.00247139, 0.00247347", \ + "0.00190959, 0.00231511, 0.0023387, 0.00235867, 0.00237596, 0.00239468, 0.00240898, 0.00241949, 0.00243007, 0.00244222, 0.00245011, 0.00246084, 0.00246393, 0.0024704, 0.0024735, 0.00248093, 0.00248629, 0.00248858, 0.00248921", \ + "0.00181773, 0.00226463, 0.0022929, 0.00232032, 0.00234108, 0.00236625, 0.00238393, 0.00240426, 0.00241682, 0.00242938, 0.00244523, 0.00245458, 0.00246525, 0.00247028, 0.00247897, 0.00248662, 0.0024902, 0.00249368, 0.00249837", \ + "0.00173629, 0.00219155, 0.00222736, 0.00226077, 0.00229351, 0.00232357, 0.00234943, 0.00237369, 0.00239272, 0.00241228, 0.00242715, 0.00244187, 0.00245373, 0.00246725, 0.00247576, 0.00248073, 0.00248778, 0.00249371, 0.00249987", \ + "0.0016922, 0.00210747, 0.00214942, 0.00218987, 0.00222739, 0.00226339, 0.0022967, 0.00232901, 0.00235468, 0.00237413, 0.00239512, 0.00241719, 0.0024339, 0.00244683, 0.00245996, 0.00247331, 0.00248132, 0.00248814, 0.0024999", \ + "0.0017259, 0.00203337, 0.00207504, 0.00211716, 0.00215513, 0.00219753, 0.00223801, 0.00227288, 0.00230479, 0.00233541, 0.00236243, 0.00238427, 0.00240521, 0.00242429, 0.00244138, 0.00245205, 0.00246899, 0.00247913, 0.00248886", \ + "0.00194893, 0.00198572, 0.00202145, 0.00205496, 0.00209912, 0.00213605, 0.0021781, 0.00221848, 0.00225254, 0.00228765, 0.00232122, 0.00235103, 0.00237349, 0.00239832, 0.00241703, 0.00243663, 0.00245385, 0.00246492, 0.00247761", \ + "0.00256438, 0.00202323, 0.00204557, 0.00206493, 0.00209609, 0.00213098, 0.00215821, 0.00219728, 0.00222673, 0.00226271, 0.00229332, 0.00232448, 0.00235529, 0.00237809, 0.00239927, 0.00242303, 0.00243906, 0.00245099, 0.00246862", \ + "0.00387609, 0.00222613, 0.00222441, 0.00221794, 0.00221187, 0.00222491, 0.00223255, 0.0022502, 0.00226656, 0.00229062, 0.00231305, 0.00233789, 0.00236384, 0.00238777, 0.00240661, 0.00242434, 0.00244139, 0.0024575, 0.00247061", \ + "0.0062724, 0.00274332, 0.00266136, 0.00260065, 0.00255594, 0.00251838, 0.00248951, 0.00246197, 0.00245762, 0.00245212, 0.0024527, 0.0024536, 0.00246243, 0.00246541, 0.00247408, 0.0024866, 0.00249642, 0.00250513, 0.00251136" \ + ); + } + } + internal_power () { + related_pin : "S1B"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00548366, 0.00548121, 0.00547153, 0.00547746, 0.0054487, 0.00542039, 0.0053879, 0.00538518, 0.00535348, 0.00528723, 0.00525825, 0.00520074, 0.0051492, 0.00516024, 0.00514045, 0.00503768, 0.00495988, 0.00490097, 0.00489962", \ + "0.00545452, 0.00550107, 0.00549563, 0.00545851, 0.00546959, 0.0054575, 0.00542556, 0.00536337, 0.00534888, 0.00532075, 0.00525399, 0.00521848, 0.00516832, 0.00514241, 0.0051408, 0.00512155, 0.00497481, 0.00488728, 0.00496804", \ + "0.00543133, 0.00548479, 0.00546801, 0.00545743, 0.00543849, 0.00542881, 0.00540514, 0.00537076, 0.00534039, 0.00532518, 0.00524523, 0.00522495, 0.0051668, 0.00518607, 0.00509499, 0.00509699, 0.00502602, 0.00505309, 0.00481463", \ + "0.00541911, 0.00546246, 0.0054491, 0.00543816, 0.00543237, 0.00543449, 0.00542111, 0.00534607, 0.00534328, 0.00526638, 0.00522412, 0.00520101, 0.00514402, 0.00509974, 0.00511253, 0.00510967, 0.00500828, 0.00494253, 0.00492466", \ + "0.00544167, 0.00545803, 0.00544085, 0.00541689, 0.00540581, 0.00539853, 0.00536189, 0.0053746, 0.00532073, 0.00525037, 0.00520072, 0.00521185, 0.00513822, 0.00517225, 0.00510404, 0.00513213, 0.00511499, 0.00506019, 0.00488052", \ + "0.00551958, 0.00545123, 0.0054423, 0.00542155, 0.00539218, 0.00538489, 0.00539911, 0.00531186, 0.00533348, 0.00524841, 0.00522438, 0.00519606, 0.00512034, 0.00511495, 0.00504511, 0.00507303, 0.00491944, 0.00486947, 0.00498466", \ + "0.00567941, 0.005508, 0.00549205, 0.0054818, 0.00543775, 0.00540301, 0.00536782, 0.00536866, 0.00529178, 0.00523978, 0.00520521, 0.0051504, 0.00513023, 0.00508776, 0.00501145, 0.00510614, 0.0049711, 0.00489784, 0.0048668", \ + "0.00595362, 0.00562758, 0.00560284, 0.00560894, 0.00554626, 0.00550174, 0.00541477, 0.00540078, 0.0053426, 0.00527327, 0.00523403, 0.00512726, 0.00511933, 0.00514814, 0.00503547, 0.00484756, 0.00491663, 0.00491367, 0.00496891", \ + "0.00638098, 0.00590898, 0.00583437, 0.00582109, 0.00575845, 0.00569944, 0.00567122, 0.00556788, 0.00546709, 0.00541851, 0.00530092, 0.0052247, 0.00516392, 0.00507447, 0.00497368, 0.00503021, 0.00493513, 0.00487108, 0.00477676", \ + "0.0070842, 0.00646486, 0.00629334, 0.00626056, 0.00615364, 0.00605405, 0.00597167, 0.00591289, 0.00587977, 0.00571102, 0.00559854, 0.00550041, 0.00532616, 0.00529197, 0.005173, 0.00512261, 0.00491773, 0.00499195, 0.00478376", \ + "0.00813367, 0.00732741, 0.00714383, 0.00703236, 0.00693958, 0.00672728, 0.00666672, 0.00646926, 0.00639564, 0.00618373, 0.00616823, 0.00597285, 0.00579356, 0.00562221, 0.00542223, 0.00527051, 0.00514626, 0.00514905, 0.00501511", \ + "0.00977183, 0.00874866, 0.00862719, 0.00852891, 0.00831594, 0.00797824, 0.00796213, 0.00748758, 0.00747096, 0.00704704, 0.00702129, 0.00676906, 0.0065827, 0.00643966, 0.00622816, 0.00597528, 0.00595345, 0.00534311, 0.00541829", \ + "0.0123058, 0.0110158, 0.010769, 0.0106339, 0.0103976, 0.0100795, 0.00987015, 0.00967645, 0.0091637, 0.00885228, 0.00854853, 0.00823397, 0.00793012, 0.00759893, 0.00736583, 0.00735469, 0.00697774, 0.0065132, 0.00615811" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0022, 0.00235484, 0.00235978, 0.00236523, 0.0023692, 0.00237335, 0.00237741, 0.00238052, 0.00238283, 0.00238329, 0.00238685, 0.00238935, 0.0023907, 0.00239149, 0.00239363, 0.00239486, 0.00239579, 0.0023962, 0.00239716", \ + "0.00213885, 0.00236183, 0.00237095, 0.00237822, 0.00238373, 0.00239322, 0.00239863, 0.0024012, 0.00240692, 0.00241055, 0.00241252, 0.00241526, 0.00241917, 0.00242059, 0.0024205, 0.00242453, 0.00242532, 0.00242774, 0.00242767", \ + "0.00207454, 0.00236045, 0.00236975, 0.00238392, 0.0023937, 0.00240065, 0.00241021, 0.00241586, 0.00242261, 0.0024274, 0.00243311, 0.00243599, 0.00243973, 0.00244295, 0.00244697, 0.00244913, 0.00244998, 0.00245156, 0.00245354", \ + "0.00200263, 0.0023441, 0.00236106, 0.00237493, 0.00239251, 0.00240183, 0.00241488, 0.00242408, 0.00243544, 0.00243828, 0.00244487, 0.0024511, 0.00245541, 0.00245975, 0.00246312, 0.00246602, 0.00247023, 0.00247141, 0.00247349", \ + "0.00190951, 0.00231504, 0.00233863, 0.0023587, 0.00237589, 0.00239461, 0.00240891, 0.00241941, 0.00243, 0.00244215, 0.00245004, 0.0024596, 0.00246385, 0.00247033, 0.00247343, 0.00248087, 0.00248636, 0.00248851, 0.00248914", \ + "0.00181752, 0.00226442, 0.0022927, 0.00232012, 0.00234087, 0.00236604, 0.00238373, 0.00240346, 0.00241661, 0.00242918, 0.00244502, 0.00245437, 0.00246504, 0.00247007, 0.00247876, 0.00248642, 0.00248999, 0.00249347, 0.00249816", \ + "0.00173629, 0.00219155, 0.00222735, 0.00226077, 0.00229351, 0.00232357, 0.00234951, 0.00237368, 0.00239272, 0.00241228, 0.00242715, 0.00244188, 0.00245373, 0.00246725, 0.00247576, 0.00248073, 0.00248778, 0.0024937, 0.00249987", \ + "0.001692, 0.00210727, 0.00214922, 0.00218967, 0.00222719, 0.00226319, 0.00229649, 0.00232881, 0.00235448, 0.00237392, 0.00239492, 0.00241699, 0.0024337, 0.00244663, 0.00245975, 0.00247311, 0.00248112, 0.00248793, 0.00249971", \ + "0.0017259, 0.00203337, 0.00207504, 0.00211716, 0.00215513, 0.00219753, 0.00223801, 0.00227279, 0.00230479, 0.00233541, 0.00236243, 0.00238427, 0.00240521, 0.00242429, 0.00244138, 0.00245205, 0.00246899, 0.00247913, 0.00248886", \ + "0.00194893, 0.00198572, 0.00202145, 0.00205496, 0.00209912, 0.00213605, 0.0021781, 0.00221848, 0.00225254, 0.00228765, 0.00232122, 0.00235103, 0.00237349, 0.00239832, 0.00241703, 0.00243663, 0.00245385, 0.00246492, 0.00247761", \ + "0.00256438, 0.00202323, 0.00204557, 0.00206493, 0.00209609, 0.00213098, 0.00215821, 0.00219728, 0.00222673, 0.00226271, 0.00229332, 0.00232448, 0.00235529, 0.00237809, 0.00239927, 0.00242303, 0.00243906, 0.00245099, 0.00246862", \ + "0.00387609, 0.00222613, 0.00222441, 0.00221794, 0.00221187, 0.00222491, 0.00223255, 0.0022502, 0.00226656, 0.00229062, 0.00231305, 0.00233789, 0.00236384, 0.00238777, 0.00240661, 0.00242434, 0.00244139, 0.0024575, 0.00247061", \ + "0.0062724, 0.00274332, 0.00266136, 0.00260065, 0.00255594, 0.00251838, 0.00248951, 0.00246197, 0.00245762, 0.00245212, 0.0024527, 0.0024536, 0.00246243, 0.00246541, 0.00247408, 0.0024866, 0.00249642, 0.00250513, 0.00251136" \ + ); + } + } + } + pin (Q1) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00261469; + rise_capacitance : 0.00261469; + rise_capacitance_range (0.00221549, 0.00261469); + fall_capacitance : 0.00261021; + fall_capacitance_range (0.00209886, 0.00261021); + internal_power () { + when : "(Q2 * !S0 * S0B * S1 * !S1B * !Z) + (!Q2 * !S0 * S0B * S1 * !S1B * Z)"; + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-0.000935791, -0.00110708, -0.00123885, -0.00136589, -0.00147221, -0.00154758, -0.00156268, -0.00150165, -0.00132626, -0.000967784, -0.000323859, 0.000749882, 0.00247445" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "0.00821448, 0.00805427, 0.00796167, 0.00789298, 0.00788018, 0.00789723, 0.00795337, 0.00808759, 0.00831357, 0.00871412, 0.00938805, 0.0104803, 0.0122292" \ + ); + } + } + } + pin (Q2) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00255586; + rise_capacitance : 0.00255586; + rise_capacitance_range (0.00219259, 0.00255586); + fall_capacitance : 0.00255243; + fall_capacitance_range (0.00208056, 0.00255243); + internal_power () { + when : "(Q1 * S0 * !S0B * !S1 * S1B * !Z) + (!Q1 * S0 * !S0B * !S1 * S1B * Z)"; + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-0.000702336, -0.000870142, -0.000998335, -0.00111517, -0.00121966, -0.00128908, -0.00130895, -0.00124323, -0.00107049, -0.000712787, -6.95891e-05, 0.00100013, 0.00272737" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "0.00799307, 0.00783859, 0.00774824, 0.00768633, 0.00766477, 0.00768365, 0.00773317, 0.00787582, 0.00809796, 0.00849948, 0.00916886, 0.0102663, 0.0120119" \ + ); + } + } + } + pin (S0) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.0013832; + rise_capacitance : 0.0013832; + rise_capacitance_range (0.000504089, 0.0013832); + fall_capacitance : 0.00138281; + fall_capacitance_range (0.000503123, 0.00138281); + internal_power () { + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "8.17117e-06, 7.98098e-06, 7.83044e-06, 7.85542e-06, 7.83344e-06, 7.84543e-06, 7.69594e-06, 7.58796e-06, 7.66102e-06, 7.60049e-06, 7.66261e-06, 7.76957e-06, 7.8794e-06" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-8.17117e-06, -7.98098e-06, -7.83044e-06, -7.85542e-06, -7.83344e-06, -7.84543e-06, -7.69594e-06, -7.58796e-06, -7.66102e-06, -7.60049e-06, -7.66261e-06, -7.76957e-06, -7.8794e-06" \ + ); + } + } + } + pin (S0B) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00147641; + rise_capacitance : 0.00147641; + rise_capacitance_range (0.000768437, 0.00147641); + fall_capacitance : 0.00146987; + fall_capacitance_range (0.000713543, 0.00146987); + internal_power () { + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-8.30864e-06, -8.13415e-06, -7.82856e-06, -7.85998e-06, -7.83324e-06, -7.84168e-06, -7.88703e-06, -7.58917e-06, -7.65382e-06, -7.60441e-06, -7.65243e-06, -7.77595e-06, -7.88123e-06" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "8.30864e-06, 8.13415e-06, 7.82856e-06, 7.85998e-06, 7.83324e-06, 7.84168e-06, 7.88703e-06, 7.58917e-06, 7.65382e-06, 7.60441e-06, 7.65243e-06, 7.77595e-06, 7.88123e-06" \ + ); + } + } + } + pin (S1) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00128806; + rise_capacitance : 0.00128806; + rise_capacitance_range (0.000645783, 0.00128806); + fall_capacitance : 0.00128788; + fall_capacitance_range (0.000643314, 0.00128788); + internal_power () { + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-8.20585e-06, -7.91227e-06, -7.83998e-06, -7.96e-06, -7.90244e-06, -7.8342e-06, -7.68149e-06, -7.58405e-06, -7.656e-06, -7.59515e-06, -7.65986e-06, -7.7678e-06, -7.87831e-06" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "8.20585e-06, 7.91227e-06, 7.83998e-06, 7.96e-06, 7.90244e-06, 7.8342e-06, 7.68149e-06, 7.58405e-06, 7.656e-06, 7.59515e-06, 7.65986e-06, 7.7678e-06, 7.87831e-06" \ + ); + } + } + } + pin (S1B) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00151441; + rise_capacitance : 0.00151441; + rise_capacitance_range (0.000664275, 0.00151441); + fall_capacitance : 0.00150816; + fall_capacitance_range (0.000663966, 0.00150816); + internal_power () { + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "8.28367e-06, 8.11353e-06, 7.83879e-06, 7.9405e-06, 7.97376e-06, 8.03938e-06, 7.68474e-06, 7.78662e-06, 7.65603e-06, 7.5952e-06, 7.65989e-06, 7.76782e-06, 7.87833e-06" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-8.28367e-06, -8.11353e-06, -7.83879e-06, -7.9405e-06, -7.97376e-06, -8.03938e-06, -7.68474e-06, -7.78662e-06, -7.65603e-06, -7.5952e-06, -7.65989e-06, -7.76782e-06, -7.87833e-06" \ + ); + } + } + } + } + cell (sky130_uuopenfpga_cc_hd_invmux3_1) { + area : 28.7776; + cell_footprint : "sky130_uuopenfpga_cc_hd_invmux3_1"; + cell_leakage_power : 0.0358488; + pg_pin (VGND) { + pg_type : primary_ground; + voltage_name : "VGND"; + } + pg_pin (VPWR) { + pg_type : primary_power; + voltage_name : "VPWR"; + } + leakage_power () { + value : 0.0418282; + when : "(Q1 * Q2 * Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0418282; + when : "(Q1 * Q2 * Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0418277; + when : "(Q1 * Q2 * Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0384011; + when : "(Q1 * Q2 * !Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0384011; + when : "(Q1 * Q2 * !Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0372327; + when : "(Q1 * Q2 * !Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0383988; + when : "(Q1 * !Q2 * Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0372309; + when : "(Q1 * !Q2 * Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0383983; + when : "(Q1 * !Q2 * Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0349717; + when : "(Q1 * !Q2 * !Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.033299; + when : "(Q1 * !Q2 * !Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0332986; + when : "(Q1 * !Q2 * !Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0372311; + when : "(!Q1 * Q2 * Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.038399; + when : "(!Q1 * Q2 * Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0383985; + when : "(!Q1 * Q2 * Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0332992; + when : "(!Q1 * Q2 * !Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0349719; + when : "(!Q1 * Q2 * !Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0332987; + when : "(!Q1 * Q2 * !Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0332969; + when : "(!Q1 * !Q2 * Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0332969; + when : "(!Q1 * !Q2 * Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0349691; + when : "(!Q1 * !Q2 * Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * !Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.029365; + when : "(!Q1 * !Q2 * !Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.029365; + when : "(!Q1 * !Q2 * !Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0293646; + when : "(!Q1 * !Q2 * !Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * Z)"; + related_pg_pin : VPWR; + } + leakage_power () { + value : 0.0358488; + related_pg_pin : VPWR; + } + pin (Z) { + direction : output; + function : "(!Q1) + (!Q2) + (!Q3)"; + min_capacitance : 1e-08; + power_down_function : "(!VPWR) + (VGND)"; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_capacitance : 0.21844; + timing () { + related_pin : "Q1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0656666, 0.143263, 0.158708, 0.177235, 0.199513, 0.226275, 0.258306, 0.296911, 0.343067, 0.398554, 0.465332, 0.545346, 0.640875, 0.755982, 0.894179, 1.06053, 1.25886, 1.49843, 1.784", \ + "0.0692625, 0.146878, 0.162375, 0.180908, 0.203265, 0.230049, 0.26204, 0.30054, 0.346909, 0.402293, 0.469005, 0.549061, 0.644678, 0.759901, 0.897919, 1.0642, 1.26294, 1.50215, 1.78783", \ + "0.0736273, 0.151326, 0.166798, 0.18535, 0.207638, 0.234369, 0.266399, 0.304924, 0.351193, 0.406736, 0.47354, 0.553549, 0.649008, 0.764273, 0.902424, 1.06849, 1.26716, 1.50667, 1.79237", \ + "0.0802197, 0.158092, 0.173513, 0.192124, 0.214426, 0.241176, 0.273206, 0.311815, 0.358256, 0.413526, 0.480255, 0.560355, 0.656046, 0.770991, 0.90922, 1.07513, 1.27481, 1.51346, 1.79919", \ + "0.0902732, 0.168341, 0.183705, 0.202202, 0.224525, 0.25124, 0.283577, 0.322071, 0.368131, 0.423907, 0.490399, 0.57053, 0.666639, 0.781245, 0.919489, 1.08559, 1.28481, 1.52297, 1.80937", \ + "0.105196, 0.183329, 0.1989, 0.217423, 0.239759, 0.266725, 0.298847, 0.337243, 0.383554, 0.438937, 0.505917, 0.585793, 0.681423, 0.797059, 0.934901, 1.10099, 1.30025, 1.53895, 1.8254", \ + "0.124462, 0.205867, 0.221336, 0.240054, 0.262531, 0.2893, 0.32175, 0.360351, 0.406737, 0.462343, 0.528966, 0.608837, 0.704791, 0.819933, 0.957814, 1.12389, 1.32326, 1.56228, 1.84872", \ + "0.148129, 0.23834, 0.254179, 0.273085, 0.295862, 0.323137, 0.355554, 0.39415, 0.44081, 0.49659, 0.563235, 0.643792, 0.739557, 0.854313, 0.99289, 1.1587, 1.3575, 1.59683, 1.8834", \ + "0.176911, 0.281547, 0.299342, 0.319975, 0.343545, 0.371083, 0.404223, 0.443674, 0.49089, 0.547119, 0.61453, 0.6945, 0.791349, 0.906321, 1.04551, 1.21046, 1.40999, 1.64907, 1.93577", \ + "0.211715, 0.335629, 0.355991, 0.379225, 0.406393, 0.437489, 0.473341, 0.514471, 0.562476, 0.620083, 0.688282, 0.770249, 0.867617, 0.983689, 1.12148, 1.28942, 1.48739, 1.7271, 2.01281", \ + "0.253617, 0.402202, 0.425916, 0.453102, 0.484731, 0.520921, 0.561266, 0.608211, 0.661916, 0.723979, 0.794024, 0.877457, 0.976319, 1.09467, 1.23499, 1.40407, 1.60435, 1.8432, 2.13024", \ + "0.304723, 0.483527, 0.512419, 0.544923, 0.581238, 0.623598, 0.671489, 0.725554, 0.788016, 0.857241, 0.937179, 1.02883, 1.13287, 1.2539, 1.39727, 1.56814, 1.77167, 2.01364, 2.30543", \ + "0.367132, 0.583161, 0.616901, 0.656629, 0.70104, 0.750809, 0.807591, 0.870863, 0.943584, 1.02485, 1.11722, 1.22172, 1.33997, 1.47439, 1.62917, 1.80544, 2.0142, 2.25908, 2.55355" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0809494, 0.228345, 0.258246, 0.293938, 0.337175, 0.388896, 0.450726, 0.525461, 0.614741, 0.722269, 0.851187, 1.00639, 1.19176, 1.41471, 1.6824, 2.00443, 2.39005, 2.85292, 3.40731", \ + "0.0809266, 0.22832, 0.258121, 0.294007, 0.337095, 0.388894, 0.450702, 0.525253, 0.614913, 0.722262, 0.851216, 1.0064, 1.19177, 1.41451, 1.6824, 2.00408, 2.38901, 2.85289, 3.40735", \ + "0.0809613, 0.228323, 0.258255, 0.293904, 0.337072, 0.388689, 0.450781, 0.52531, 0.614772, 0.722074, 0.851238, 1.00642, 1.19177, 1.41466, 1.68242, 2.0042, 2.38928, 2.8529, 3.4076", \ + "0.0813289, 0.22834, 0.258241, 0.293906, 0.33714, 0.38873, 0.450768, 0.525248, 0.615079, 0.722149, 0.85121, 1.00577, 1.19113, 1.41484, 1.68246, 2.00415, 2.39, 2.8529, 3.40761", \ + "0.0837832, 0.228361, 0.258253, 0.293982, 0.337162, 0.388731, 0.450693, 0.525549, 0.614727, 0.721946, 0.851323, 1.00599, 1.19216, 1.41469, 1.68239, 2.00455, 2.39032, 2.85231, 3.40759", \ + "0.0898007, 0.229106, 0.258625, 0.294136, 0.337278, 0.388844, 0.450695, 0.525263, 0.614751, 0.722265, 0.851189, 1.00573, 1.19178, 1.41512, 1.6827, 2.00414, 2.39043, 2.85272, 3.40879", \ + "0.100438, 0.233591, 0.261935, 0.296455, 0.33853, 0.389395, 0.45098, 0.525373, 0.614976, 0.721815, 0.851313, 1.00561, 1.19171, 1.41467, 1.68259, 2.00379, 2.39033, 2.85233, 3.4087", \ + "0.116777, 0.244212, 0.271697, 0.30473, 0.345292, 0.394394, 0.454533, 0.527404, 0.615333, 0.722539, 0.851252, 1.00579, 1.19264, 1.41473, 1.68304, 2.00426, 2.39004, 2.85324, 3.40869", \ + "0.137426, 0.263724, 0.290126, 0.322056, 0.361117, 0.408385, 0.466235, 0.53675, 0.622507, 0.726454, 0.853293, 1.00678, 1.19183, 1.4152, 1.68278, 2.0042, 2.39012, 2.85348, 3.40825", \ + "0.163952, 0.296765, 0.321921, 0.351963, 0.389609, 0.434912, 0.490566, 0.558722, 0.641638, 0.742146, 0.864926, 1.01495, 1.19603, 1.41611, 1.68261, 2.00449, 2.3898, 2.85289, 3.40906", \ + "0.198206, 0.342743, 0.36879, 0.40084, 0.436991, 0.480875, 0.534096, 0.599807, 0.679255, 0.776995, 0.895562, 1.04025, 1.21572, 1.43104, 1.69101, 2.00742, 2.39031, 2.8529, 3.40868", \ + "0.240824, 0.40122, 0.430824, 0.464233, 0.50475, 0.550218, 0.606419, 0.668519, 0.74588, 0.839178, 0.953629, 1.09312, 1.26285, 1.47103, 1.72328, 2.03053, 2.40519, 2.85917, 3.40884", \ + "0.294855, 0.478665, 0.511494, 0.547147, 0.590132, 0.641065, 0.70049, 0.769431, 0.849051, 0.943222, 1.05465, 1.18731, 1.35166, 1.55111, 1.797, 2.09412, 2.45696, 2.89735, 3.43359" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0433951, 0.089656, 0.098864, 0.109886, 0.123154, 0.139032, 0.158108, 0.180893, 0.208242, 0.241335, 0.28073, 0.328153, 0.384974, 0.453419, 0.535357, 0.633562, 0.75137, 0.892907, 1.06275", \ + "0.0465471, 0.0927882, 0.101981, 0.113001, 0.126309, 0.142186, 0.161191, 0.183975, 0.211509, 0.244443, 0.283964, 0.331393, 0.388036, 0.456468, 0.538331, 0.636593, 0.754518, 0.896058, 1.0659", \ + "0.0504054, 0.096631, 0.105808, 0.116842, 0.130057, 0.145963, 0.16505, 0.187848, 0.215378, 0.248245, 0.287686, 0.335015, 0.392071, 0.460059, 0.542221, 0.640505, 0.758584, 0.899888, 1.06963", \ + "0.0561976, 0.102381, 0.111558, 0.122606, 0.135842, 0.151776, 0.170738, 0.193555, 0.221141, 0.25384, 0.293462, 0.340804, 0.397681, 0.466085, 0.548101, 0.646065, 0.76409, 0.905375, 1.07551", \ + "0.0645084, 0.110978, 0.12015, 0.131138, 0.144437, 0.160349, 0.179356, 0.202172, 0.229772, 0.26259, 0.302061, 0.349475, 0.406486, 0.474651, 0.556475, 0.654838, 0.773023, 0.914227, 1.08415", \ + "0.0748931, 0.12356, 0.132767, 0.143898, 0.157153, 0.173117, 0.192005, 0.215061, 0.242459, 0.275306, 0.314748, 0.362336, 0.419142, 0.487236, 0.569443, 0.667969, 0.785494, 0.927104, 1.09733", \ + "0.0872488, 0.141603, 0.151085, 0.162277, 0.175853, 0.191773, 0.210845, 0.233953, 0.261304, 0.294576, 0.334114, 0.381376, 0.438196, 0.506438, 0.58872, 0.686898, 0.80489, 0.946784, 1.11616", \ + "0.101477, 0.164545, 0.175213, 0.187862, 0.201885, 0.218733, 0.238346, 0.261281, 0.289426, 0.322582, 0.362266, 0.409586, 0.466951, 0.535136, 0.617758, 0.715537, 0.833904, 0.974976, 1.1456", \ + "0.117564, 0.192853, 0.205266, 0.219359, 0.235788, 0.254414, 0.276035, 0.300809, 0.329424, 0.363243, 0.403223, 0.451579, 0.50843, 0.578041, 0.659456, 0.758596, 0.876309, 1.01851, 1.18847", \ + "0.134994, 0.225897, 0.240476, 0.257578, 0.276417, 0.298572, 0.322995, 0.351335, 0.383309, 0.420373, 0.462767, 0.512082, 0.570353, 0.639441, 0.722847, 0.821373, 0.939955, 1.08204, 1.25243", \ + "0.153353, 0.263893, 0.282041, 0.301807, 0.325115, 0.35079, 0.379909, 0.412352, 0.450481, 0.492144, 0.540609, 0.594498, 0.657728, 0.729541, 0.813799, 0.914322, 1.03357, 1.17609, 1.34676", \ + "0.171294, 0.306006, 0.327825, 0.351743, 0.379537, 0.410994, 0.445991, 0.485517, 0.529832, 0.580033, 0.6348, 0.698987, 0.769102, 0.849887, 0.941339, 1.04741, 1.17, 1.31503, 1.48734", \ + "0.187197, 0.350564, 0.376882, 0.406846, 0.440453, 0.478343, 0.521239, 0.568083, 0.622288, 0.681169, 0.748648, 0.822947, 0.906939, 0.998446, 1.10428, 1.22407, 1.35696, 1.5126, 1.68871" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.030829, 0.0957003, 0.108783, 0.124469, 0.143297, 0.165992, 0.193152, 0.225681, 0.264685, 0.311937, 0.368109, 0.43575, 0.516881, 0.614494, 0.731448, 0.871723, 1.03962, 1.24161, 1.484", \ + "0.030849, 0.0956716, 0.108754, 0.124407, 0.143373, 0.165992, 0.193091, 0.225565, 0.26485, 0.311805, 0.368204, 0.435788, 0.516681, 0.614398, 0.731361, 0.871494, 1.03962, 1.24161, 1.484", \ + "0.0309347, 0.0957005, 0.108768, 0.124481, 0.143314, 0.165964, 0.193152, 0.225653, 0.264748, 0.311669, 0.368107, 0.435629, 0.516959, 0.614025, 0.731333, 0.871716, 1.04008, 1.2416, 1.48416", \ + "0.0314747, 0.0956741, 0.108755, 0.12446, 0.143314, 0.165981, 0.193068, 0.225567, 0.264876, 0.311589, 0.367998, 0.435614, 0.516889, 0.614417, 0.731448, 0.871215, 1.03962, 1.24127, 1.484", \ + "0.033838, 0.0957798, 0.10876, 0.124389, 0.143239, 0.165928, 0.193111, 0.225697, 0.26491, 0.311785, 0.368038, 0.435749, 0.516998, 0.614403, 0.731223, 0.871592, 1.04014, 1.24161, 1.48438", \ + "0.0391663, 0.0974536, 0.109976, 0.125165, 0.143602, 0.165945, 0.193003, 0.225744, 0.264807, 0.31178, 0.367941, 0.435852, 0.516848, 0.614034, 0.731376, 0.87186, 1.03926, 1.2416, 1.48416", \ + "0.0473604, 0.102683, 0.114623, 0.129159, 0.146889, 0.168356, 0.194476, 0.226362, 0.264819, 0.311735, 0.368194, 0.435582, 0.516897, 0.614065, 0.731489, 0.871468, 1.04004, 1.24153, 1.48417", \ + "0.0595262, 0.114118, 0.125132, 0.138819, 0.155345, 0.176039, 0.20091, 0.231403, 0.268425, 0.31381, 0.368691, 0.435667, 0.516896, 0.614286, 0.731494, 0.871498, 1.04019, 1.24167, 1.48447", \ + "0.0757103, 0.133226, 0.144392, 0.157907, 0.173831, 0.192561, 0.215819, 0.244443, 0.280042, 0.323232, 0.376056, 0.440569, 0.518868, 0.61505, 0.730954, 0.871883, 1.04004, 1.24102, 1.48477", \ + "0.0966633, 0.15996, 0.171714, 0.186022, 0.202228, 0.222153, 0.245764, 0.272718, 0.304827, 0.34535, 0.394954, 0.456684, 0.532154, 0.623818, 0.736295, 0.873659, 1.03993, 1.24161, 1.48423", \ + "0.12381, 0.197559, 0.209692, 0.224813, 0.242725, 0.263608, 0.288133, 0.316587, 0.35021, 0.389968, 0.43742, 0.492793, 0.563403, 0.650649, 0.75757, 0.889882, 1.04964, 1.24558, 1.48484", \ + "0.159538, 0.247453, 0.262478, 0.278859, 0.298421, 0.32082, 0.347708, 0.377916, 0.413664, 0.455835, 0.504784, 0.562146, 0.627558, 0.708766, 0.808556, 0.932429, 1.08619, 1.2741, 1.50259", \ + "0.20563, 0.313377, 0.330758, 0.350413, 0.373219, 0.397859, 0.427881, 0.461325, 0.500607, 0.546962, 0.59934, 0.659503, 0.731693, 0.811735, 0.909464, 1.02705, 1.16532, 1.34381, 1.56115" \ + ); + } + } + timing () { + related_pin : "Q2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0664306, 0.144345, 0.159884, 0.178484, 0.200847, 0.227704, 0.259803, 0.29847, 0.344884, 0.40062, 0.467531, 0.547557, 0.643737, 0.759286, 0.897773, 1.06466, 1.26406, 1.50391, 1.79185", \ + "0.0699987, 0.148057, 0.163596, 0.182194, 0.204604, 0.231353, 0.263518, 0.302211, 0.348641, 0.404297, 0.471185, 0.551456, 0.647478, 0.762849, 0.901793, 1.06846, 1.26838, 1.50768, 1.79494", \ + "0.0743804, 0.152447, 0.167911, 0.186535, 0.208981, 0.235757, 0.267953, 0.306682, 0.353065, 0.408774, 0.475711, 0.555685, 0.651829, 0.767523, 0.905961, 1.07279, 1.2725, 1.51219, 1.79941", \ + "0.080989, 0.159131, 0.174655, 0.19328, 0.215663, 0.242556, 0.274749, 0.313348, 0.359731, 0.415295, 0.482123, 0.56279, 0.65863, 0.774253, 0.912939, 1.07966, 1.27855, 1.51896, 1.80564", \ + "0.0909995, 0.169288, 0.184816, 0.20343, 0.22576, 0.252645, 0.28491, 0.323534, 0.370047, 0.425816, 0.492636, 0.572973, 0.668874, 0.784517, 0.923177, 1.08997, 1.28948, 1.5292, 1.81607", \ + "0.106031, 0.184365, 0.200057, 0.218666, 0.241041, 0.26815, 0.299995, 0.338714, 0.385238, 0.440939, 0.508108, 0.588167, 0.684013, 0.799866, 0.938508, 1.10523, 1.30481, 1.54458, 1.83204", \ + "0.125328, 0.206888, 0.222474, 0.241337, 0.263811, 0.290791, 0.323053, 0.361681, 0.408565, 0.463867, 0.530947, 0.611079, 0.707092, 0.823187, 0.961908, 1.12831, 1.32748, 1.56715, 1.8555", \ + "0.149146, 0.239444, 0.255477, 0.274473, 0.297036, 0.324316, 0.356624, 0.395805, 0.442459, 0.498632, 0.565402, 0.645781, 0.741732, 0.857337, 0.99595, 1.16289, 1.36173, 1.60197, 1.88931", \ + "0.17818, 0.282787, 0.300539, 0.321564, 0.344682, 0.37247, 0.405714, 0.445471, 0.492404, 0.549054, 0.616595, 0.69723, 0.793978, 0.909663, 1.04863, 1.215, 1.41452, 1.6542, 1.94209", \ + "0.213286, 0.337039, 0.357295, 0.380939, 0.407987, 0.438905, 0.475157, 0.516125, 0.564295, 0.621583, 0.690007, 0.772188, 0.869433, 0.986437, 1.12476, 1.29264, 1.49213, 1.73214, 2.02012", \ + "0.255785, 0.403874, 0.427681, 0.454762, 0.486299, 0.522726, 0.563098, 0.60999, 0.663875, 0.725605, 0.796496, 0.879741, 0.978453, 1.09755, 1.23829, 1.40685, 1.6075, 1.84914, 2.13528", \ + "0.307079, 0.485626, 0.514482, 0.546751, 0.583288, 0.625609, 0.673033, 0.727553, 0.790231, 0.859487, 0.93906, 1.03105, 1.13568, 1.25703, 1.40088, 1.5716, 1.77614, 2.01984, 2.30962", \ + "0.369564, 0.584953, 0.619253, 0.659046, 0.703199, 0.753281, 0.809644, 0.873066, 0.946012, 1.02742, 1.11977, 1.22408, 1.343, 1.4774, 1.63232, 1.80954, 2.01765, 2.26473, 2.55925" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0815017, 0.229134, 0.258969, 0.294628, 0.338004, 0.389529, 0.451722, 0.526282, 0.615878, 0.723751, 0.852696, 1.00772, 1.19368, 1.41684, 1.68528, 2.00774, 2.39261, 2.85713, 3.41421", \ + "0.0815076, 0.229023, 0.258865, 0.294638, 0.337732, 0.389616, 0.451794, 0.526273, 0.615947, 0.723482, 0.852853, 1.00809, 1.19415, 1.41706, 1.68493, 2.00777, 2.39421, 2.85811, 3.41331", \ + "0.0815266, 0.229028, 0.258824, 0.294596, 0.337891, 0.389603, 0.451707, 0.526268, 0.615884, 0.723472, 0.852767, 1.00771, 1.19376, 1.41699, 1.68514, 2.0068, 2.39394, 2.85716, 3.41191", \ + "0.0818736, 0.229044, 0.258959, 0.294652, 0.338052, 0.389591, 0.451927, 0.526281, 0.615879, 0.723473, 0.852701, 1.00814, 1.19376, 1.41684, 1.6855, 2.00849, 2.39308, 2.85806, 3.41267", \ + "0.0842672, 0.229095, 0.2589, 0.294717, 0.337847, 0.389607, 0.4518, 0.526322, 0.616021, 0.723524, 0.85285, 1.00812, 1.19371, 1.41685, 1.685, 2.00766, 2.39394, 2.85715, 3.41241", \ + "0.0902143, 0.229764, 0.259223, 0.294786, 0.337916, 0.389566, 0.451723, 0.526313, 0.616155, 0.723547, 0.85279, 1.00809, 1.19378, 1.41694, 1.68496, 2.00694, 2.39402, 2.8573, 3.41347", \ + "0.100906, 0.234177, 0.262554, 0.297147, 0.33947, 0.390415, 0.451969, 0.52638, 0.616123, 0.723413, 0.852681, 1.0075, 1.19371, 1.41686, 1.68498, 2.00783, 2.3931, 2.85722, 3.41333", \ + "0.117312, 0.244803, 0.272073, 0.30533, 0.346023, 0.395383, 0.455407, 0.528291, 0.616893, 0.723455, 0.852771, 1.00768, 1.19384, 1.41691, 1.68525, 2.0068, 2.39304, 2.85696, 3.41215", \ + "0.137971, 0.264344, 0.290629, 0.322754, 0.361756, 0.409138, 0.467111, 0.537341, 0.623428, 0.72809, 0.854903, 1.0085, 1.19403, 1.41706, 1.68589, 2.00775, 2.39304, 2.85774, 3.4135", \ + "0.164667, 0.297459, 0.322659, 0.352684, 0.390258, 0.435598, 0.491638, 0.559683, 0.642547, 0.743634, 0.866346, 1.01656, 1.19778, 1.41825, 1.68529, 2.00665, 2.39401, 2.85707, 3.41362", \ + "0.198819, 0.343792, 0.369507, 0.401748, 0.437699, 0.481461, 0.535104, 0.600631, 0.680274, 0.778243, 0.896702, 1.04174, 1.21769, 1.4336, 1.6937, 2.00977, 2.39337, 2.85764, 3.41278", \ + "0.242088, 0.40229, 0.432028, 0.465347, 0.505655, 0.551163, 0.606442, 0.669962, 0.746385, 0.840422, 0.954338, 1.09457, 1.26475, 1.47279, 1.72556, 2.0336, 2.408, 2.86305, 3.41422", \ + "0.296448, 0.480058, 0.512501, 0.547712, 0.590594, 0.642274, 0.701032, 0.7715, 0.849553, 0.944641, 1.05585, 1.18858, 1.35346, 1.55282, 1.79899, 2.09682, 2.46112, 2.90126, 3.43971" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0438347, 0.0902453, 0.0994735, 0.110491, 0.123832, 0.13971, 0.158864, 0.181718, 0.209204, 0.242117, 0.281923, 0.329285, 0.386338, 0.454586, 0.537115, 0.635695, 0.753819, 0.895583, 1.06588", \ + "0.0470125, 0.0933907, 0.102589, 0.113693, 0.126981, 0.142908, 0.162008, 0.184934, 0.212328, 0.245452, 0.284942, 0.33249, 0.389602, 0.458075, 0.540068, 0.638404, 0.756831, 0.898754, 1.06898", \ + "0.0508422, 0.0971573, 0.106421, 0.117463, 0.130685, 0.146639, 0.165839, 0.188743, 0.216176, 0.249095, 0.288751, 0.336204, 0.393457, 0.461926, 0.543757, 0.642431, 0.760413, 0.902566, 1.07284", \ + "0.0566307, 0.102949, 0.112211, 0.123182, 0.136518, 0.152443, 0.171591, 0.194445, 0.221999, 0.255017, 0.294598, 0.341956, 0.399157, 0.467293, 0.549882, 0.64822, 0.766539, 0.908293, 1.07859", \ + "0.0649829, 0.11158, 0.120819, 0.131822, 0.145144, 0.161028, 0.180171, 0.202935, 0.230656, 0.263525, 0.303181, 0.350596, 0.407832, 0.476009, 0.558377, 0.656769, 0.775335, 0.916955, 1.08696", \ + "0.0754698, 0.124155, 0.133481, 0.14457, 0.157866, 0.173768, 0.192939, 0.215839, 0.243425, 0.276409, 0.316041, 0.363613, 0.420753, 0.488779, 0.571023, 0.669596, 0.787817, 0.92957, 1.10051", \ + "0.0878861, 0.142284, 0.151785, 0.162956, 0.176555, 0.192472, 0.211663, 0.234879, 0.262314, 0.295309, 0.335111, 0.382871, 0.439677, 0.508195, 0.590195, 0.688828, 0.806934, 0.949332, 1.11973", \ + "0.102314, 0.165402, 0.17613, 0.188671, 0.202703, 0.219509, 0.239042, 0.262223, 0.290489, 0.323404, 0.363538, 0.410939, 0.468435, 0.536656, 0.619294, 0.717733, 0.836122, 0.977794, 1.14825", \ + "0.118592, 0.193652, 0.206212, 0.220204, 0.236722, 0.255272, 0.276828, 0.301809, 0.330481, 0.364313, 0.404421, 0.452946, 0.510185, 0.57904, 0.661475, 0.760623, 0.878806, 1.021, 1.1916", \ + "0.136116, 0.226923, 0.241832, 0.258694, 0.277603, 0.299552, 0.324082, 0.352595, 0.384374, 0.421455, 0.464247, 0.513373, 0.571732, 0.641257, 0.724223, 0.823243, 0.942759, 1.08503, 1.25563", \ + "0.154838, 0.265308, 0.28294, 0.303262, 0.326403, 0.352104, 0.381303, 0.413741, 0.451622, 0.493428, 0.541967, 0.596368, 0.659281, 0.731191, 0.815794, 0.915871, 1.03649, 1.1791, 1.35044", \ + "0.173076, 0.307616, 0.329448, 0.353454, 0.381363, 0.412571, 0.447575, 0.487325, 0.531714, 0.58145, 0.636809, 0.699996, 0.770822, 0.851756, 0.943614, 1.04949, 1.17218, 1.31787, 1.49052", \ + "0.189182, 0.352412, 0.378723, 0.408454, 0.442265, 0.480218, 0.522768, 0.570011, 0.624161, 0.682994, 0.750512, 0.824813, 0.90921, 1.00087, 1.1067, 1.22604, 1.35961, 1.51584, 1.69294" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0310302, 0.0959195, 0.109045, 0.124708, 0.143691, 0.16625, 0.19353, 0.226114, 0.265287, 0.312176, 0.368925, 0.436513, 0.517831, 0.615118, 0.7327, 0.873358, 1.04109, 1.24381, 1.48665", \ + "0.0310638, 0.0959225, 0.109, 0.124731, 0.143633, 0.166332, 0.19353, 0.226167, 0.265186, 0.312361, 0.36874, 0.436514, 0.517557, 0.615549, 0.732448, 0.872685, 1.04164, 1.24381, 1.48682", \ + "0.031149, 0.0958786, 0.108945, 0.12472, 0.143577, 0.166268, 0.19353, 0.226008, 0.265318, 0.312267, 0.368714, 0.436361, 0.517985, 0.615575, 0.732196, 0.873069, 1.0412, 1.24381, 1.48665", \ + "0.0316718, 0.0958994, 0.108998, 0.124672, 0.143586, 0.166249, 0.193529, 0.226061, 0.265379, 0.312393, 0.368549, 0.436343, 0.517586, 0.615119, 0.732629, 0.872598, 1.04152, 1.24381, 1.48665", \ + "0.034002, 0.0960206, 0.10906, 0.124665, 0.143639, 0.166268, 0.193522, 0.226042, 0.265385, 0.31224, 0.368797, 0.436356, 0.517987, 0.615116, 0.732098, 0.872364, 1.04142, 1.24404, 1.48634", \ + "0.0393673, 0.0976013, 0.110207, 0.125445, 0.1439, 0.166296, 0.193528, 0.226119, 0.265321, 0.312368, 0.3687, 0.436494, 0.518023, 0.615161, 0.732425, 0.872935, 1.04166, 1.2435, 1.48736", \ + "0.0475482, 0.102867, 0.114718, 0.129304, 0.147072, 0.168548, 0.194857, 0.226618, 0.265306, 0.312195, 0.36891, 0.436404, 0.517725, 0.615287, 0.732452, 0.873042, 1.04166, 1.24359, 1.48728", \ + "0.0598226, 0.114247, 0.125289, 0.138911, 0.155525, 0.176104, 0.201207, 0.231729, 0.269028, 0.314388, 0.36965, 0.436436, 0.517538, 0.61543, 0.732708, 0.87339, 1.04112, 1.24348, 1.48687", \ + "0.0758899, 0.133068, 0.144446, 0.158016, 0.173904, 0.192543, 0.215908, 0.244687, 0.280264, 0.323656, 0.376604, 0.441391, 0.519752, 0.615769, 0.732434, 0.873381, 1.04191, 1.24349, 1.48665", \ + "0.0970185, 0.159911, 0.172116, 0.185976, 0.202313, 0.221966, 0.245436, 0.272761, 0.304902, 0.345616, 0.395706, 0.457281, 0.532683, 0.624868, 0.737585, 0.874545, 1.0412, 1.2441, 1.48654", \ + "0.124295, 0.197375, 0.209904, 0.224954, 0.242756, 0.263258, 0.288195, 0.316996, 0.349959, 0.389627, 0.437209, 0.49327, 0.564186, 0.651295, 0.759194, 0.890873, 1.05168, 1.24881, 1.48659", \ + "0.160095, 0.247523, 0.262428, 0.278966, 0.29822, 0.320767, 0.347263, 0.377861, 0.413686, 0.455836, 0.50515, 0.561543, 0.628044, 0.709716, 0.809325, 0.933558, 1.08683, 1.27586, 1.50588", \ + "0.206449, 0.31363, 0.330965, 0.350522, 0.373453, 0.398321, 0.427485, 0.461547, 0.501193, 0.546735, 0.599851, 0.659868, 0.732055, 0.812161, 0.909194, 1.02649, 1.16626, 1.34507, 1.56336" \ + ); + } + } + timing () { + related_pin : "Q3"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0690099, 0.147655, 0.163227, 0.181898, 0.204375, 0.231292, 0.263652, 0.302519, 0.349113, 0.405052, 0.472488, 0.553093, 0.650015, 0.766074, 0.905646, 1.07322, 1.27414, 1.5153, 1.80457", \ + "0.0726129, 0.151336, 0.166938, 0.185605, 0.208079, 0.235007, 0.267348, 0.306267, 0.352843, 0.408776, 0.476204, 0.556912, 0.653449, 0.76981, 0.909404, 1.07699, 1.27794, 1.51893, 1.80804", \ + "0.0770378, 0.1558, 0.171396, 0.190093, 0.212595, 0.239524, 0.271871, 0.310801, 0.357367, 0.413377, 0.480715, 0.561457, 0.658276, 0.774267, 0.914033, 1.08148, 1.28228, 1.52335, 1.81188", \ + "0.0837012, 0.16254, 0.178081, 0.196836, 0.219353, 0.246261, 0.278561, 0.317459, 0.364069, 0.419965, 0.487441, 0.568123, 0.665058, 0.781017, 0.920675, 1.08808, 1.28905, 1.53031, 1.81865", \ + "0.0937749, 0.172694, 0.18829, 0.206962, 0.229392, 0.256375, 0.288872, 0.327595, 0.374276, 0.43032, 0.497753, 0.578268, 0.675057, 0.791222, 0.930938, 1.09839, 1.29939, 1.53953, 1.82983", \ + "0.108845, 0.187957, 0.203577, 0.22228, 0.244677, 0.271941, 0.304104, 0.343175, 0.389731, 0.445502, 0.513118, 0.593774, 0.690622, 0.806804, 0.946278, 1.11393, 1.3149, 1.55589, 1.84431", \ + "0.128704, 0.210482, 0.226078, 0.245006, 0.2676, 0.294647, 0.327331, 0.366388, 0.413022, 0.468847, 0.536246, 0.617068, 0.71345, 0.829761, 0.969342, 1.13697, 1.33794, 1.579, 1.86857", \ + "0.153263, 0.243299, 0.259424, 0.278295, 0.30117, 0.328593, 0.361017, 0.4003, 0.447207, 0.503548, 0.570682, 0.65169, 0.748435, 0.86451, 1.00423, 1.17177, 1.37255, 1.61354, 1.90221", \ + "0.183119, 0.287422, 0.305205, 0.325922, 0.349389, 0.377138, 0.410584, 0.450058, 0.497632, 0.554424, 0.622214, 0.703342, 0.801027, 0.916602, 1.05676, 1.22401, 1.42511, 1.6662, 1.95561", \ + "0.219094, 0.342778, 0.362758, 0.386153, 0.413013, 0.444175, 0.480471, 0.521461, 0.569817, 0.627935, 0.696331, 0.778838, 0.877155, 0.99424, 1.1333, 1.30237, 1.50356, 1.74425, 2.03223", \ + "0.262062, 0.410503, 0.434591, 0.461173, 0.492579, 0.528607, 0.568991, 0.615801, 0.669644, 0.731407, 0.802322, 0.886793, 0.986164, 1.10597, 1.24767, 1.41825, 1.61999, 1.86175, 2.14876", \ + "0.315078, 0.493633, 0.52245, 0.554031, 0.590286, 0.632633, 0.679879, 0.733597, 0.796117, 0.865984, 0.946057, 1.03838, 1.1433, 1.26556, 1.41067, 1.58302, 1.78829, 2.03181, 2.32602", \ + "0.378812, 0.594013, 0.628844, 0.667385, 0.711389, 0.760327, 0.817243, 0.879994, 0.952466, 1.03428, 1.12617, 1.2312, 1.35054, 1.48597, 1.64187, 1.81996, 2.02933, 2.27838, 2.57696" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0859357, 0.237916, 0.268733, 0.305715, 0.350375, 0.403497, 0.46803, 0.545052, 0.637715, 0.748908, 0.882746, 1.04326, 1.23593, 1.46603, 1.74429, 2.07701, 2.47726, 2.95672, 3.53249", \ + "0.085935, 0.237963, 0.268659, 0.305711, 0.350368, 0.403818, 0.468184, 0.545073, 0.637715, 0.748908, 0.883056, 1.04281, 1.23531, 1.46606, 1.74343, 2.07705, 2.47729, 2.95642, 3.53172", \ + "0.0859697, 0.237944, 0.268637, 0.305741, 0.350393, 0.403773, 0.467705, 0.545435, 0.637688, 0.749152, 0.882763, 1.04342, 1.23557, 1.46691, 1.74456, 2.07697, 2.47696, 2.95595, 3.53058", \ + "0.0862741, 0.237899, 0.26874, 0.305734, 0.350113, 0.403763, 0.467692, 0.545003, 0.637683, 0.748909, 0.882712, 1.0429, 1.23545, 1.46612, 1.74431, 2.07696, 2.47689, 2.95672, 3.53056", \ + "0.0884521, 0.238034, 0.268694, 0.305751, 0.350344, 0.403717, 0.467719, 0.545064, 0.637889, 0.748915, 0.882745, 1.04292, 1.23535, 1.46607, 1.74418, 2.0765, 2.47583, 2.95519, 3.53138", \ + "0.0942795, 0.238625, 0.269031, 0.305742, 0.35046, 0.403809, 0.467739, 0.5451, 0.637711, 0.748895, 0.882746, 1.04337, 1.23551, 1.46629, 1.74475, 2.07653, 2.47717, 2.95723, 3.53053", \ + "0.104906, 0.242819, 0.272395, 0.308147, 0.351703, 0.404414, 0.467948, 0.544952, 0.637723, 0.749292, 0.882624, 1.04329, 1.23556, 1.46609, 1.74485, 2.07668, 2.47586, 2.95642, 3.53145", \ + "0.121542, 0.253511, 0.281928, 0.316447, 0.358272, 0.40948, 0.471286, 0.546957, 0.638368, 0.749289, 0.882921, 1.04329, 1.23565, 1.46695, 1.74414, 2.0767, 2.47582, 2.95668, 3.53054", \ + "0.142665, 0.273002, 0.300589, 0.33355, 0.374142, 0.423388, 0.483513, 0.556446, 0.645146, 0.753085, 0.884398, 1.04366, 1.23579, 1.46684, 1.74431, 2.07698, 2.47731, 2.95561, 3.53248", \ + "0.170125, 0.305787, 0.332033, 0.363725, 0.402505, 0.449848, 0.507935, 0.578746, 0.664579, 0.768738, 0.896316, 1.05187, 1.23977, 1.46746, 1.74344, 2.077, 2.4773, 2.95626, 3.53051", \ + "0.205157, 0.352461, 0.37882, 0.411953, 0.449731, 0.495623, 0.55101, 0.619188, 0.702119, 0.803625, 0.926709, 1.07713, 1.25973, 1.48223, 1.75229, 2.07995, 2.47757, 2.95546, 3.53054", \ + "0.249088, 0.411675, 0.440998, 0.475827, 0.517566, 0.56425, 0.621532, 0.687313, 0.767026, 0.864866, 0.984243, 1.12968, 1.3064, 1.52255, 1.78424, 2.10403, 2.49065, 2.96158, 3.53171", \ + "0.304403, 0.489077, 0.521314, 0.55894, 0.602132, 0.655935, 0.715952, 0.78752, 0.869464, 0.967879, 1.08321, 1.22202, 1.39452, 1.60188, 1.85744, 2.16661, 2.54353, 3.0009, 3.55694" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0466716, 0.0952016, 0.104908, 0.116474, 0.130402, 0.147161, 0.167259, 0.191276, 0.220183, 0.254678, 0.296486, 0.34623, 0.406177, 0.478172, 0.564436, 0.667924, 0.791512, 0.941191, 1.11939", \ + "0.0498358, 0.0983745, 0.108076, 0.11971, 0.133606, 0.15032, 0.170434, 0.194455, 0.223346, 0.258086, 0.299697, 0.349414, 0.409375, 0.48116, 0.567487, 0.671139, 0.794677, 0.943922, 1.12245", \ + "0.05365, 0.102235, 0.111923, 0.123441, 0.13743, 0.154061, 0.174217, 0.198238, 0.227199, 0.261818, 0.303451, 0.353212, 0.413226, 0.484775, 0.570955, 0.674888, 0.799101, 0.947409, 1.12648", \ + "0.0594105, 0.108004, 0.117635, 0.129144, 0.143133, 0.159775, 0.179884, 0.203904, 0.232718, 0.267458, 0.308981, 0.359054, 0.41866, 0.490594, 0.576742, 0.680577, 0.804285, 0.953917, 1.13194", \ + "0.0678859, 0.116474, 0.126218, 0.13774, 0.151738, 0.168393, 0.188396, 0.212559, 0.2414, 0.276027, 0.317715, 0.367679, 0.427435, 0.499265, 0.585576, 0.688615, 0.813418, 0.961633, 1.1412", \ + "0.0786339, 0.12894, 0.138692, 0.150302, 0.164295, 0.181008, 0.201057, 0.225147, 0.253982, 0.288758, 0.330426, 0.380084, 0.4399, 0.511809, 0.597919, 0.701491, 0.825795, 0.975022, 1.15389", \ + "0.091282, 0.146672, 0.156506, 0.168313, 0.182508, 0.199336, 0.219475, 0.243518, 0.272663, 0.307365, 0.349047, 0.398826, 0.45892, 0.530739, 0.617303, 0.720261, 0.84439, 0.993645, 1.17238", \ + "0.106243, 0.169506, 0.180713, 0.193377, 0.208083, 0.225622, 0.245928, 0.270419, 0.299898, 0.334604, 0.376283, 0.426485, 0.486465, 0.558922, 0.645275, 0.748136, 0.873272, 1.02142, 1.20132", \ + "0.123138, 0.197906, 0.210488, 0.22472, 0.241447, 0.260302, 0.282986, 0.30869, 0.338464, 0.374086, 0.416418, 0.467044, 0.527293, 0.599957, 0.686012, 0.790363, 0.914527, 1.06413, 1.24252", \ + "0.141461, 0.231379, 0.246226, 0.263066, 0.282153, 0.30429, 0.329175, 0.358245, 0.391223, 0.429544, 0.473905, 0.525547, 0.586744, 0.659585, 0.746826, 0.851409, 0.977255, 1.12545, 1.3052", \ + "0.161007, 0.270099, 0.287593, 0.307865, 0.331183, 0.356508, 0.386145, 0.419261, 0.457416, 0.500262, 0.549658, 0.605629, 0.671356, 0.746104, 0.835628, 0.940523, 1.06654, 1.21676, 1.39728", \ + "0.180281, 0.312982, 0.334459, 0.358632, 0.386633, 0.41738, 0.452112, 0.492675, 0.53687, 0.587394, 0.643072, 0.708096, 0.780292, 0.863422, 0.959074, 1.06906, 1.19757, 1.351, 1.53212", \ + "0.197579, 0.358546, 0.384693, 0.414162, 0.447758, 0.485636, 0.528202, 0.575872, 0.629831, 0.688921, 0.756692, 0.831564, 0.916243, 1.00925, 1.11837, 1.24077, 1.37908, 1.54072, 1.72662" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0327627, 0.101018, 0.114989, 0.131559, 0.151662, 0.175766, 0.204693, 0.23931, 0.280958, 0.330573, 0.39075, 0.462667, 0.549092, 0.65281, 0.777184, 0.926344, 1.10416, 1.32032, 1.57748", \ + "0.0328423, 0.101024, 0.114949, 0.131687, 0.151615, 0.175687, 0.204676, 0.239337, 0.280959, 0.331061, 0.39095, 0.462686, 0.549088, 0.652592, 0.777051, 0.926351, 1.10415, 1.3198, 1.57737", \ + "0.0330345, 0.101131, 0.114906, 0.131646, 0.151728, 0.175657, 0.204693, 0.239337, 0.28089, 0.330822, 0.390898, 0.462694, 0.549181, 0.652126, 0.776304, 0.926522, 1.10539, 1.31887, 1.57758", \ + "0.0336483, 0.101132, 0.115048, 0.131565, 0.151627, 0.175739, 0.204702, 0.239355, 0.280701, 0.330868, 0.390786, 0.462857, 0.548601, 0.65253, 0.77681, 0.926291, 1.10427, 1.32015, 1.57732", \ + "0.0360162, 0.101232, 0.115087, 0.131595, 0.151775, 0.175794, 0.204507, 0.239408, 0.281053, 0.330869, 0.390897, 0.462934, 0.548813, 0.652701, 0.777167, 0.925424, 1.1047, 1.31892, 1.57802", \ + "0.0415308, 0.102485, 0.115927, 0.132181, 0.151959, 0.175903, 0.204715, 0.239198, 0.280919, 0.330938, 0.390937, 0.462438, 0.548624, 0.652513, 0.776359, 0.925955, 1.10519, 1.32023, 1.57802", \ + "0.0503716, 0.107037, 0.119844, 0.135453, 0.154554, 0.177653, 0.205704, 0.239742, 0.281127, 0.330677, 0.390957, 0.462694, 0.549081, 0.652668, 0.777146, 0.925427, 1.10435, 1.31974, 1.57763", \ + "0.0623804, 0.117183, 0.129208, 0.143879, 0.16193, 0.184165, 0.210937, 0.243937, 0.283821, 0.332365, 0.391028, 0.462427, 0.548622, 0.65288, 0.777134, 0.92544, 1.10555, 1.31901, 1.57836", \ + "0.0782951, 0.135506, 0.147265, 0.161562, 0.178518, 0.198658, 0.224354, 0.255272, 0.293571, 0.340376, 0.397185, 0.466505, 0.550261, 0.653138, 0.776862, 0.925509, 1.10443, 1.32002, 1.57762", \ + "0.0995659, 0.161934, 0.174242, 0.188289, 0.205631, 0.226422, 0.25127, 0.280654, 0.31571, 0.359926, 0.414144, 0.480455, 0.561463, 0.660512, 0.780346, 0.927166, 1.10525, 1.31914, 1.57803", \ + "0.12705, 0.199748, 0.212506, 0.226904, 0.24504, 0.266325, 0.292385, 0.322041, 0.357759, 0.400447, 0.451558, 0.512473, 0.589646, 0.683742, 0.799909, 0.940981, 1.11345, 1.32233, 1.57802", \ + "0.163234, 0.249922, 0.264665, 0.281101, 0.300749, 0.323305, 0.350613, 0.382014, 0.418965, 0.46375, 0.515617, 0.576734, 0.648317, 0.736175, 0.844906, 0.979285, 1.14376, 1.34716, 1.59341", \ + "0.210352, 0.315856, 0.333026, 0.352644, 0.375491, 0.400724, 0.430379, 0.465257, 0.504504, 0.552609, 0.6069, 0.670439, 0.747824, 0.832195, 0.938531, 1.064, 1.21628, 1.40839, 1.6442" \ + ); + } + } + timing () { + related_pin : "S0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0362663, 0.115557, 0.130984, 0.149407, 0.17159, 0.198178, 0.230165, 0.268604, 0.314865, 0.370405, 0.437079, 0.517017, 0.612702, 0.727769, 0.866144, 1.03217, 1.23117, 1.46971, 1.75584", \ + "0.0393892, 0.118543, 0.133961, 0.152358, 0.174528, 0.201063, 0.233018, 0.271518, 0.317634, 0.372996, 0.439826, 0.519861, 0.615367, 0.730499, 0.868922, 1.03469, 1.2339, 1.47285, 1.75949", \ + "0.0434431, 0.121967, 0.137347, 0.155766, 0.177869, 0.204344, 0.236178, 0.274513, 0.320616, 0.375973, 0.442759, 0.52281, 0.618326, 0.733386, 0.871496, 1.0376, 1.23667, 1.47535, 1.76189", \ + "0.0505253, 0.127195, 0.142538, 0.160875, 0.182929, 0.209208, 0.240939, 0.27913, 0.325093, 0.380438, 0.446978, 0.526898, 0.622331, 0.737471, 0.875689, 1.04187, 1.24074, 1.47957, 1.76522", \ + "0.062743, 0.135739, 0.150888, 0.168966, 0.190762, 0.217102, 0.248289, 0.286219, 0.331842, 0.386776, 0.453124, 0.532885, 0.6281, 0.74308, 0.881217, 1.04719, 1.24606, 1.48418, 1.77159", \ + "0.0796272, 0.150148, 0.164651, 0.182427, 0.203833, 0.22951, 0.260532, 0.298011, 0.343005, 0.397161, 0.462785, 0.542009, 0.637005, 0.751788, 0.889583, 1.05523, 1.2542, 1.49248, 1.77924", \ + "0.100133, 0.174583, 0.188433, 0.205256, 0.225697, 0.250585, 0.281005, 0.317564, 0.361982, 0.415384, 0.479632, 0.55764, 0.651372, 0.765208, 0.902911, 1.06754, 1.26557, 1.5044, 1.79055", \ + "0.12521, 0.215116, 0.228082, 0.243851, 0.263154, 0.286651, 0.315507, 0.350735, 0.393786, 0.44573, 0.509329, 0.585721, 0.677625, 0.789149, 0.924227, 1.08811, 1.28471, 1.5227, 1.80838", \ + "0.156377, 0.272846, 0.288468, 0.305532, 0.324346, 0.346772, 0.373765, 0.406883, 0.447304, 0.497167, 0.558238, 0.632973, 0.723241, 0.832622, 0.964588, 1.12451, 1.31891, 1.5537, 1.83764", \ + "0.195384, 0.343584, 0.364305, 0.386718, 0.411174, 0.438101, 0.466755, 0.498758, 0.537182, 0.584064, 0.641277, 0.712147, 0.799086, 0.905131, 1.03493, 1.19103, 1.38072, 1.60976, 1.8886", \ + "0.244546, 0.431183, 0.457946, 0.487009, 0.518946, 0.553876, 0.591492, 0.63238, 0.67617, 0.723576, 0.778644, 0.845316, 0.926176, 1.02685, 1.15129, 1.30228, 1.48794, 1.71321, 1.98452", \ + "0.309301, 0.53955, 0.57294, 0.610658, 0.65092, 0.696476, 0.745377, 0.797336, 0.856652, 0.918426, 0.984993, 1.05578, 1.13549, 1.22985, 1.34545, 1.48847, 1.66559, 1.88177, 2.14789", \ + "0.395802, 0.674915, 0.716998, 0.763711, 0.815178, 0.871054, 0.933635, 1.00267, 1.07832, 1.15827, 1.24569, 1.33877, 1.43852, 1.54573, 1.66162, 1.7971, 1.96248, 2.16541, 2.41856" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0705549, 0.226408, 0.256954, 0.293306, 0.336711, 0.388609, 0.450733, 0.525236, 0.61484, 0.722247, 0.851235, 1.0064, 1.19171, 1.41467, 1.68312, 2.00414, 2.38926, 2.85292, 3.40735", \ + "0.0697899, 0.22595, 0.256581, 0.293094, 0.336626, 0.388465, 0.450706, 0.525312, 0.614756, 0.722199, 0.851159, 1.00639, 1.1918, 1.4147, 1.68242, 2.00367, 2.3901, 2.85309, 3.40755", \ + "0.07008, 0.225218, 0.255859, 0.292608, 0.336281, 0.388406, 0.450522, 0.525472, 0.614752, 0.722093, 0.851259, 1.00637, 1.19177, 1.41465, 1.68304, 2.00415, 2.38928, 2.85335, 3.40761", \ + "0.072002, 0.223899, 0.254616, 0.291433, 0.335541, 0.387978, 0.450766, 0.525409, 0.614758, 0.722346, 0.851429, 1.00595, 1.19169, 1.41477, 1.68263, 2.00422, 2.38959, 2.8535, 3.40759", \ + "0.0771468, 0.222657, 0.253024, 0.289637, 0.33404, 0.386897, 0.449879, 0.524868, 0.61473, 0.722263, 0.85121, 1.00599, 1.19176, 1.41522, 1.68261, 2.00415, 2.38919, 2.853, 3.40758", \ + "0.0864786, 0.224761, 0.253995, 0.289366, 0.332621, 0.38469, 0.447865, 0.523982, 0.614091, 0.721989, 0.851644, 1.00638, 1.19178, 1.41482, 1.68262, 2.00476, 2.39001, 2.85226, 3.40866", \ + "0.10144, 0.234112, 0.261867, 0.295356, 0.336725, 0.386902, 0.447866, 0.521965, 0.612156, 0.720667, 0.85062, 1.00626, 1.19171, 1.41485, 1.68276, 2.00415, 2.38979, 2.85192, 3.40866", \ + "0.120192, 0.25517, 0.281027, 0.312551, 0.351297, 0.398623, 0.45677, 0.527499, 0.614876, 0.720463, 0.849126, 1.0047, 1.19144, 1.41469, 1.68321, 2.00374, 2.39007, 2.85367, 3.40698", \ + "0.143953, 0.290165, 0.316408, 0.346796, 0.383517, 0.428069, 0.482561, 0.549547, 0.631691, 0.732914, 0.856336, 1.00688, 1.18995, 1.41332, 1.68233, 2.00365, 2.38912, 2.85216, 3.40846", \ + "0.174084, 0.342901, 0.369424, 0.400613, 0.437055, 0.481609, 0.534175, 0.597276, 0.674253, 0.769206, 0.885764, 1.02961, 1.20552, 1.42142, 1.68425, 2.00288, 2.38912, 2.8524, 3.40809", \ + "0.21139, 0.413343, 0.444181, 0.479306, 0.51702, 0.562246, 0.615458, 0.678955, 0.754819, 0.844622, 0.95441, 1.08936, 1.25497, 1.45946, 1.71105, 2.01935, 2.39521, 2.85349, 3.40883", \ + "0.258305, 0.500906, 0.536879, 0.578183, 0.624382, 0.67729, 0.734636, 0.798951, 0.876975, 0.967937, 1.07749, 1.20732, 1.36369, 1.55455, 1.79156, 2.08225, 2.44186, 2.88297, 3.4206", \ + "0.31757, 0.608877, 0.652452, 0.701519, 0.757964, 0.819039, 0.889558, 0.964701, 1.05399, 1.14873, 1.25899, 1.39221, 1.5492, 1.73696, 1.96064, 2.23416, 2.57157, 2.98636, 3.49969" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0239708, 0.0726622, 0.0823892, 0.0939643, 0.107947, 0.124584, 0.144729, 0.168809, 0.197645, 0.232346, 0.273934, 0.32389, 0.383557, 0.455462, 0.541421, 0.64489, 0.769585, 0.918629, 1.09694", \ + "0.0272897, 0.0759613, 0.0856022, 0.0972199, 0.111172, 0.127881, 0.14784, 0.17202, 0.200848, 0.235504, 0.277109, 0.326928, 0.386859, 0.458563, 0.54481, 0.648225, 0.772788, 0.921844, 1.10085", \ + "0.0313014, 0.0798296, 0.0894704, 0.101082, 0.114964, 0.131613, 0.151639, 0.175789, 0.204622, 0.239201, 0.28087, 0.330621, 0.390705, 0.462204, 0.548496, 0.65225, 0.776431, 0.925543, 1.10455", \ + "0.037328, 0.0855883, 0.0952047, 0.106825, 0.120646, 0.137335, 0.157301, 0.181298, 0.21016, 0.244846, 0.286386, 0.336351, 0.396016, 0.46775, 0.553985, 0.657789, 0.781985, 0.930576, 1.10979", \ + "0.0442004, 0.0944113, 0.104067, 0.115584, 0.129318, 0.146035, 0.16588, 0.189949, 0.218751, 0.253162, 0.294742, 0.344415, 0.404412, 0.476265, 0.561961, 0.666055, 0.789718, 0.938634, 1.11813", \ + "0.0517634, 0.107792, 0.11747, 0.129008, 0.142716, 0.159192, 0.179132, 0.203076, 0.231486, 0.265916, 0.307465, 0.357039, 0.416908, 0.488596, 0.574817, 0.67835, 0.80192, 0.951451, 1.1301", \ + "0.0596826, 0.127245, 0.137284, 0.149001, 0.162756, 0.179521, 0.199192, 0.222853, 0.251398, 0.285589, 0.326733, 0.376456, 0.435904, 0.507627, 0.593622, 0.696439, 0.820917, 0.968929, 1.14833", \ + "0.067761, 0.149779, 0.162585, 0.17632, 0.191986, 0.209097, 0.229498, 0.253372, 0.281837, 0.315947, 0.356656, 0.406291, 0.465278, 0.536269, 0.62219, 0.725218, 0.848971, 0.997586, 1.1763", \ + "0.0751636, 0.175354, 0.190298, 0.207212, 0.22604, 0.247746, 0.271044, 0.297745, 0.326993, 0.36142, 0.402559, 0.451496, 0.510191, 0.58121, 0.666489, 0.768685, 0.89217, 1.04028, 1.21866", \ + "0.0803887, 0.202379, 0.220578, 0.241325, 0.264501, 0.289824, 0.318974, 0.350861, 0.386861, 0.426115, 0.469893, 0.520247, 0.579038, 0.650116, 0.735056, 0.836437, 0.957923, 1.10577, 1.28307", \ + "0.0817626, 0.230696, 0.252601, 0.277493, 0.30536, 0.336808, 0.371222, 0.410863, 0.453908, 0.501664, 0.55555, 0.613869, 0.679289, 0.752564, 0.837075, 0.939853, 1.06072, 1.20692, 1.38266", \ + "0.0757107, 0.257155, 0.283444, 0.313871, 0.348507, 0.386233, 0.428562, 0.475465, 0.527841, 0.586333, 0.650107, 0.721812, 0.801155, 0.889266, 0.985914, 1.09233, 1.2157, 1.36356, 1.53816", \ + "0.0565541, 0.276783, 0.310913, 0.346342, 0.389292, 0.434732, 0.485372, 0.543866, 0.606554, 0.676387, 0.755514, 0.84137, 0.93767, 1.04408, 1.16022, 1.29152, 1.43313, 1.59032, 1.77084" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0298626, 0.100961, 0.114968, 0.131646, 0.151759, 0.175752, 0.204697, 0.239481, 0.280958, 0.330944, 0.39089, 0.463008, 0.548969, 0.652741, 0.776267, 0.925331, 1.10538, 1.32023, 1.57757", \ + "0.0295163, 0.100983, 0.114867, 0.131553, 0.151729, 0.17578, 0.204489, 0.239372, 0.280959, 0.330867, 0.390833, 0.462685, 0.549085, 0.652508, 0.776836, 0.925958, 1.10539, 1.32005, 1.57741", \ + "0.0301152, 0.100856, 0.114866, 0.131651, 0.151623, 0.175769, 0.204495, 0.239423, 0.280959, 0.330868, 0.39088, 0.462453, 0.549266, 0.652092, 0.776811, 0.926265, 1.1052, 1.3201, 1.57742", \ + "0.0320655, 0.100293, 0.114561, 0.131494, 0.151567, 0.175793, 0.204487, 0.239165, 0.280757, 0.330905, 0.390855, 0.462899, 0.548732, 0.652113, 0.77681, 0.926362, 1.10533, 1.31908, 1.57803", \ + "0.0360955, 0.100651, 0.114314, 0.130981, 0.151124, 0.175668, 0.204471, 0.239315, 0.281012, 0.330852, 0.390912, 0.46238, 0.549186, 0.652815, 0.776362, 0.926505, 1.10495, 1.31975, 1.57741", \ + "0.0419386, 0.104226, 0.117181, 0.132866, 0.152094, 0.175563, 0.204267, 0.239156, 0.28094, 0.330602, 0.390736, 0.462447, 0.549091, 0.652658, 0.777145, 0.926444, 1.10436, 1.32046, 1.57811", \ + "0.0497845, 0.112851, 0.124836, 0.13978, 0.15783, 0.180021, 0.207121, 0.240242, 0.281052, 0.330604, 0.3905, 0.462907, 0.54907, 0.652818, 0.777036, 0.925489, 1.10507, 1.31896, 1.57813", \ + "0.060549, 0.128836, 0.140588, 0.154247, 0.17123, 0.191598, 0.217767, 0.248558, 0.287092, 0.334281, 0.391816, 0.462782, 0.549154, 0.652509, 0.77701, 0.926237, 1.10522, 1.3201, 1.57829", \ + "0.0746159, 0.152244, 0.164764, 0.179113, 0.195812, 0.21571, 0.238947, 0.268627, 0.304468, 0.348543, 0.403312, 0.469996, 0.552379, 0.652817, 0.776246, 0.926002, 1.10441, 1.31911, 1.57829", \ + "0.093284, 0.182338, 0.196433, 0.213054, 0.231487, 0.252668, 0.277671, 0.305343, 0.339412, 0.380449, 0.431209, 0.494722, 0.571642, 0.666983, 0.78471, 0.928719, 1.10432, 1.31901, 1.57749", \ + "0.117187, 0.222443, 0.238553, 0.257261, 0.277566, 0.302139, 0.329441, 0.361019, 0.396467, 0.437603, 0.486357, 0.543623, 0.616774, 0.706503, 0.816042, 0.952667, 1.11879, 1.32576, 1.57699", \ + "0.148681, 0.274233, 0.292246, 0.314239, 0.339184, 0.36643, 0.398249, 0.4326, 0.473876, 0.519602, 0.571598, 0.631627, 0.701523, 0.783704, 0.88739, 1.01472, 1.17168, 1.36634, 1.60491", \ + "0.190385, 0.341687, 0.362833, 0.388835, 0.41686, 0.448408, 0.484756, 0.526592, 0.573493, 0.625564, 0.683877, 0.751658, 0.830293, 0.916178, 1.01601, 1.13746, 1.28157, 1.46219, 1.68879" \ + ); + } + } + timing () { + related_pin : "S0"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0364422, 0.117339, 0.132876, 0.151468, 0.173788, 0.200529, 0.232695, 0.271467, 0.317993, 0.373917, 0.4413, 0.521874, 0.618917, 0.734973, 0.874493, 1.04221, 1.24296, 1.48418, 1.77236", \ + "0.0396423, 0.120315, 0.135882, 0.154486, 0.176772, 0.203492, 0.235648, 0.274338, 0.320911, 0.376897, 0.44416, 0.524736, 0.621718, 0.737802, 0.8773, 1.04493, 1.2458, 1.48696, 1.77628", \ + "0.0439192, 0.123765, 0.139359, 0.15792, 0.180221, 0.206819, 0.238912, 0.27754, 0.324088, 0.379931, 0.447234, 0.527957, 0.6247, 0.74084, 0.880363, 1.04777, 1.24877, 1.48989, 1.77834", \ + "0.051362, 0.129097, 0.144601, 0.163149, 0.185319, 0.211863, 0.243767, 0.282173, 0.328492, 0.384373, 0.451494, 0.53209, 0.628787, 0.744816, 0.884486, 1.05143, 1.25277, 1.4932, 1.7822", \ + "0.0641128, 0.137694, 0.152947, 0.171225, 0.193368, 0.219666, 0.251306, 0.289365, 0.335278, 0.390765, 0.45773, 0.537991, 0.634738, 0.750456, 0.890162, 1.05704, 1.2584, 1.49943, 1.78788", \ + "0.0814757, 0.152155, 0.16691, 0.184659, 0.20635, 0.232426, 0.263583, 0.301335, 0.346422, 0.401056, 0.467278, 0.547152, 0.643478, 0.759076, 0.8981, 1.06554, 1.26628, 1.50721, 1.79547", \ + "0.1026, 0.176811, 0.190787, 0.207755, 0.228432, 0.253449, 0.284026, 0.320998, 0.365487, 0.419165, 0.483985, 0.562518, 0.65747, 0.772083, 0.910914, 1.07752, 1.27786, 1.51835, 1.80631", \ + "0.128213, 0.218109, 0.231078, 0.246816, 0.266265, 0.289955, 0.318898, 0.354497, 0.397677, 0.449987, 0.513373, 0.590264, 0.682811, 0.795019, 0.931979, 1.09729, 1.29619, 1.53622, 1.82441", \ + "0.159909, 0.277061, 0.292891, 0.309775, 0.328439, 0.350797, 0.377971, 0.411203, 0.451925, 0.502052, 0.563273, 0.637942, 0.728763, 0.838342, 0.970734, 1.13204, 1.32819, 1.56539, 1.85146", \ + "0.199401, 0.349558, 0.370682, 0.392897, 0.417429, 0.444314, 0.472625, 0.504714, 0.543104, 0.589956, 0.647485, 0.718491, 0.805731, 0.912284, 1.04159, 1.19894, 1.38854, 1.62, 1.90093", \ + "0.249259, 0.439031, 0.466088, 0.495216, 0.527064, 0.562919, 0.600401, 0.641179, 0.684969, 0.732062, 0.787021, 0.853568, 0.934997, 1.0359, 1.16014, 1.31215, 1.49791, 1.72232, 1.99356", \ + "0.314812, 0.549249, 0.582949, 0.621167, 0.662491, 0.708437, 0.756827, 0.810751, 0.869679, 0.930985, 0.997703, 1.06792, 1.14744, 1.24191, 1.35804, 1.50141, 1.67861, 1.89517, 2.16083", \ + "0.402969, 0.686432, 0.72925, 0.776942, 0.829456, 0.887328, 0.949995, 1.02063, 1.09629, 1.1776, 1.26506, 1.35766, 1.45844, 1.56386, 1.67896, 1.81505, 1.98034, 2.18382, 2.43677" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.070933, 0.233745, 0.265441, 0.303273, 0.34884, 0.402961, 0.467429, 0.544819, 0.637682, 0.748925, 0.882736, 1.04275, 1.23598, 1.46694, 1.74423, 2.07659, 2.47584, 2.95561, 3.53071", \ + "0.070309, 0.233137, 0.264913, 0.303051, 0.348575, 0.402814, 0.467353, 0.544763, 0.637689, 0.749209, 0.88274, 1.04273, 1.2354, 1.4662, 1.74427, 2.07665, 2.47642, 2.95645, 3.53236", \ + "0.0707292, 0.232438, 0.264377, 0.302305, 0.348101, 0.40224, 0.46752, 0.544699, 0.63815, 0.748898, 0.882621, 1.04288, 1.23554, 1.46695, 1.74479, 2.0779, 2.47581, 2.95656, 3.53056", \ + "0.0728849, 0.230951, 0.263162, 0.301236, 0.346969, 0.401899, 0.467076, 0.544644, 0.637659, 0.749102, 0.883163, 1.04314, 1.23574, 1.46639, 1.7443, 2.0765, 2.47717, 2.95526, 3.5306", \ + "0.078296, 0.229812, 0.261376, 0.299352, 0.34525, 0.400037, 0.465916, 0.544348, 0.637315, 0.749036, 0.882713, 1.0428, 1.23543, 1.46634, 1.74421, 2.0765, 2.47715, 2.95594, 3.53056", \ + "0.0879497, 0.232293, 0.26246, 0.299473, 0.343955, 0.398179, 0.463204, 0.542426, 0.636374, 0.74844, 0.882683, 1.04326, 1.23542, 1.46625, 1.74432, 2.0765, 2.47599, 2.95588, 3.53057", \ + "0.10321, 0.241693, 0.270529, 0.30534, 0.348349, 0.400358, 0.463659, 0.540522, 0.63364, 0.746406, 0.881735, 1.04296, 1.23543, 1.46618, 1.74487, 2.0769, 2.4768, 2.95648, 3.53105", \ + "0.122334, 0.263055, 0.290035, 0.322855, 0.363189, 0.412365, 0.472912, 0.546697, 0.636834, 0.745994, 0.879235, 1.04069, 1.23453, 1.46626, 1.74401, 2.07696, 2.47716, 2.95562, 3.53178", \ + "0.14635, 0.298883, 0.326088, 0.357879, 0.396019, 0.442451, 0.499172, 0.568589, 0.653976, 0.758915, 0.887115, 1.04315, 1.23392, 1.46422, 1.74319, 2.07712, 2.47719, 2.95473, 3.5305", \ + "0.176591, 0.351867, 0.379993, 0.411921, 0.450735, 0.49723, 0.551853, 0.617565, 0.697748, 0.796131, 0.917637, 1.06678, 1.24948, 1.47296, 1.74398, 2.07575, 2.47659, 2.95677, 3.53229", \ + "0.21412, 0.423738, 0.456411, 0.491556, 0.531432, 0.57894, 0.634099, 0.700836, 0.779831, 0.873783, 0.987786, 1.12816, 1.30043, 1.51208, 1.77329, 2.09331, 2.4826, 2.95574, 3.5304", \ + "0.26109, 0.512074, 0.550456, 0.593789, 0.639735, 0.696065, 0.754608, 0.822535, 0.904525, 0.998987, 1.11397, 1.24825, 1.41187, 1.61048, 1.85577, 2.15891, 2.53227, 2.98695, 3.54563", \ + "0.320897, 0.621854, 0.667116, 0.717507, 0.774849, 0.839809, 0.912317, 0.99082, 1.08302, 1.18095, 1.29894, 1.43596, 1.60172, 1.79621, 2.02955, 2.31369, 2.66355, 3.09609, 3.62868" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0255967, 0.0720716, 0.0812785, 0.0922654, 0.105607, 0.12146, 0.140555, 0.163332, 0.190742, 0.223772, 0.263121, 0.310618, 0.367497, 0.435922, 0.517874, 0.616218, 0.733657, 0.875504, 1.04526", \ + "0.0286737, 0.0751413, 0.0843785, 0.0953776, 0.108605, 0.124527, 0.143563, 0.166434, 0.194005, 0.226852, 0.266321, 0.313684, 0.370412, 0.439017, 0.520573, 0.619286, 0.737184, 0.878798, 1.04877", \ + "0.0324948, 0.0787261, 0.0879916, 0.0990082, 0.112245, 0.128058, 0.147111, 0.170116, 0.197397, 0.230309, 0.269854, 0.317336, 0.374056, 0.442225, 0.524449, 0.622487, 0.740763, 0.882275, 1.05202", \ + "0.0383294, 0.0843924, 0.0934726, 0.104504, 0.117662, 0.133516, 0.152588, 0.175335, 0.202821, 0.235626, 0.275152, 0.322357, 0.379389, 0.4478, 0.529682, 0.627737, 0.74543, 0.887151, 1.05701", \ + "0.0450119, 0.0930145, 0.102092, 0.113016, 0.126097, 0.141782, 0.16076, 0.183543, 0.21081, 0.243798, 0.283091, 0.330456, 0.387233, 0.455571, 0.537497, 0.635403, 0.753298, 0.894932, 1.06515", \ + "0.0523828, 0.106122, 0.115211, 0.126105, 0.13915, 0.154754, 0.173564, 0.196311, 0.223233, 0.256098, 0.295301, 0.34254, 0.399202, 0.467596, 0.549068, 0.647669, 0.765336, 0.907025, 1.07687", \ + "0.0600237, 0.124889, 0.134632, 0.145865, 0.159036, 0.17463, 0.193335, 0.215769, 0.242781, 0.275132, 0.314265, 0.36142, 0.417803, 0.485646, 0.56717, 0.665315, 0.782732, 0.924603, 1.09423", \ + "0.0677478, 0.146583, 0.158709, 0.171997, 0.186886, 0.203991, 0.223159, 0.245541, 0.272685, 0.304868, 0.343649, 0.390371, 0.446317, 0.513638, 0.594941, 0.69291, 0.810031, 0.95143, 1.12058", \ + "0.0745648, 0.170804, 0.185175, 0.201496, 0.219654, 0.240226, 0.262998, 0.288659, 0.317075, 0.349669, 0.388806, 0.434811, 0.490657, 0.557551, 0.63831, 0.735134, 0.852231, 0.992945, 1.16164", \ + "0.0792952, 0.196767, 0.213907, 0.23354, 0.255995, 0.280841, 0.308546, 0.338816, 0.374059, 0.411507, 0.454285, 0.502449, 0.557624, 0.625193, 0.705154, 0.800374, 0.91652, 1.05742, 1.2244", \ + "0.0799073, 0.222797, 0.244161, 0.268162, 0.295416, 0.324847, 0.358536, 0.396173, 0.437288, 0.483491, 0.535272, 0.592167, 0.655546, 0.725456, 0.806793, 0.903198, 1.01785, 1.1565, 1.32308", \ + "0.0726777, 0.247727, 0.273489, 0.302206, 0.335362, 0.371286, 0.411759, 0.45716, 0.507629, 0.564017, 0.62581, 0.694911, 0.770193, 0.856171, 0.948175, 1.05203, 1.17059, 1.30835, 1.47468", \ + "0.0523842, 0.265427, 0.29755, 0.331774, 0.372779, 0.41721, 0.465482, 0.52136, 0.581164, 0.649914, 0.72399, 0.808029, 0.900858, 1.00249, 1.11522, 1.24014, 1.37748, 1.53097, 1.70325" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0292973, 0.0956401, 0.108753, 0.12443, 0.143312, 0.165921, 0.193025, 0.225717, 0.264828, 0.311588, 0.368028, 0.435864, 0.517004, 0.614391, 0.731188, 0.87111, 1.03992, 1.24126, 1.48423", \ + "0.028822, 0.0956212, 0.10863, 0.124404, 0.143346, 0.16595, 0.193049, 0.225677, 0.26474, 0.311649, 0.368231, 0.435836, 0.516953, 0.614177, 0.730986, 0.871774, 1.03961, 1.24161, 1.484", \ + "0.0293526, 0.0955884, 0.108728, 0.124398, 0.143312, 0.165929, 0.19314, 0.225704, 0.264687, 0.311756, 0.368212, 0.435746, 0.517099, 0.614439, 0.730898, 0.871485, 1.04014, 1.24127, 1.484", \ + "0.0312596, 0.0950338, 0.108394, 0.124293, 0.143231, 0.165853, 0.193069, 0.225599, 0.264732, 0.311811, 0.367932, 0.435845, 0.516815, 0.614409, 0.731448, 0.871589, 1.03965, 1.24164, 1.48478", \ + "0.0352162, 0.0955293, 0.108369, 0.123932, 0.142766, 0.165831, 0.192966, 0.22575, 0.264691, 0.311575, 0.368098, 0.435567, 0.516679, 0.614402, 0.731373, 0.871677, 1.03934, 1.24162, 1.48447", \ + "0.0408123, 0.099293, 0.111257, 0.126045, 0.143991, 0.165918, 0.192672, 0.2255, 0.264682, 0.311816, 0.367927, 0.435648, 0.516952, 0.614304, 0.731361, 0.871687, 1.04007, 1.24134, 1.48418", \ + "0.0484906, 0.107904, 0.119219, 0.133011, 0.150065, 0.170638, 0.196051, 0.227012, 0.264816, 0.311553, 0.367928, 0.435755, 0.517057, 0.614308, 0.730837, 0.871164, 1.04003, 1.24221, 1.4845", \ + "0.0590048, 0.124287, 0.134948, 0.147843, 0.163661, 0.182968, 0.206819, 0.235743, 0.271824, 0.315772, 0.369901, 0.436092, 0.51662, 0.614406, 0.731488, 0.871408, 1.03923, 1.24166, 1.48483", \ + "0.0728014, 0.146443, 0.158898, 0.1723, 0.188421, 0.207018, 0.228801, 0.256197, 0.289613, 0.33123, 0.38193, 0.444499, 0.521304, 0.615192, 0.730812, 0.871494, 1.04008, 1.24209, 1.48342", \ + "0.0911811, 0.176351, 0.189423, 0.205036, 0.222571, 0.243594, 0.266675, 0.293046, 0.325256, 0.363155, 0.410793, 0.469826, 0.541466, 0.630999, 0.740789, 0.874532, 1.04044, 1.24222, 1.48353", \ + "0.114776, 0.214311, 0.230078, 0.248058, 0.268466, 0.290973, 0.316995, 0.347544, 0.38126, 0.420612, 0.466639, 0.520344, 0.588305, 0.670866, 0.775138, 0.901295, 1.05721, 1.24997, 1.48662", \ + "0.146, 0.265351, 0.282946, 0.303287, 0.327273, 0.352801, 0.38312, 0.41673, 0.455575, 0.498829, 0.550509, 0.607362, 0.672627, 0.751506, 0.84713, 0.964755, 1.11269, 1.29305, 1.51591", \ + "0.187464, 0.330657, 0.353061, 0.376231, 0.403305, 0.433427, 0.467656, 0.507908, 0.55263, 0.602735, 0.657832, 0.723348, 0.797251, 0.879857, 0.97774, 1.08824, 1.22322, 1.39339, 1.60386" \ + ); + } + } + timing () { + related_pin : "S0B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0364422, 0.117339, 0.132876, 0.151468, 0.173788, 0.200529, 0.232695, 0.271467, 0.317993, 0.373917, 0.4413, 0.521875, 0.618917, 0.734973, 0.874493, 1.04221, 1.24304, 1.48419, 1.77346", \ + "0.0396423, 0.120315, 0.135882, 0.154486, 0.176772, 0.203492, 0.235648, 0.274338, 0.320911, 0.376964, 0.44416, 0.524736, 0.621718, 0.737802, 0.8773, 1.04493, 1.2458, 1.48696, 1.77628", \ + "0.0439192, 0.123765, 0.139359, 0.15792, 0.180221, 0.206819, 0.238912, 0.27754, 0.324088, 0.379931, 0.447234, 0.527957, 0.6247, 0.74084, 0.880363, 1.04777, 1.24877, 1.48989, 1.77834", \ + "0.051362, 0.129097, 0.144601, 0.163149, 0.185319, 0.211863, 0.243767, 0.282173, 0.328492, 0.384374, 0.451494, 0.53209, 0.628787, 0.744816, 0.884465, 1.05143, 1.2529, 1.4935, 1.7822", \ + "0.0641128, 0.137694, 0.152947, 0.171225, 0.193368, 0.219666, 0.251306, 0.289365, 0.335278, 0.390765, 0.45773, 0.537991, 0.634738, 0.750456, 0.890162, 1.05704, 1.2584, 1.49943, 1.78788", \ + "0.0814757, 0.152155, 0.16691, 0.184659, 0.20635, 0.232426, 0.263583, 0.301335, 0.346422, 0.401056, 0.467278, 0.547144, 0.643478, 0.759076, 0.8981, 1.06554, 1.26628, 1.50721, 1.79547", \ + "0.1026, 0.176811, 0.190787, 0.207755, 0.228432, 0.253449, 0.284026, 0.320998, 0.365487, 0.419165, 0.483985, 0.562518, 0.65747, 0.772083, 0.910914, 1.07752, 1.27786, 1.51835, 1.80631", \ + "0.128213, 0.218109, 0.231078, 0.246816, 0.266265, 0.289955, 0.318898, 0.354497, 0.397677, 0.449987, 0.513373, 0.590264, 0.682811, 0.79502, 0.931979, 1.09729, 1.29619, 1.53622, 1.82441", \ + "0.159909, 0.277061, 0.292891, 0.309775, 0.328439, 0.350797, 0.377971, 0.411203, 0.451925, 0.502052, 0.563273, 0.637942, 0.728763, 0.838342, 0.970734, 1.13204, 1.32819, 1.56539, 1.85146", \ + "0.199401, 0.349558, 0.370682, 0.392897, 0.417429, 0.444314, 0.472625, 0.504714, 0.543104, 0.589956, 0.647485, 0.718491, 0.805731, 0.912284, 1.04165, 1.19894, 1.38854, 1.62, 1.90093", \ + "0.249259, 0.439031, 0.466088, 0.495216, 0.527064, 0.562919, 0.600401, 0.641179, 0.684969, 0.732062, 0.787021, 0.853568, 0.934998, 1.0359, 1.16031, 1.31215, 1.49791, 1.72232, 1.99356", \ + "0.314812, 0.549249, 0.582949, 0.621167, 0.662491, 0.708437, 0.756827, 0.810751, 0.869679, 0.930985, 0.997703, 1.06792, 1.14744, 1.24191, 1.35804, 1.50141, 1.67861, 1.89517, 2.16083", \ + "0.402969, 0.686432, 0.72925, 0.776942, 0.829456, 0.887328, 0.949995, 1.02063, 1.09629, 1.1776, 1.26506, 1.35766, 1.45844, 1.56386, 1.67896, 1.81505, 1.98034, 2.18382, 2.43677" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.070933, 0.233745, 0.265441, 0.303273, 0.34884, 0.402961, 0.467429, 0.544819, 0.637682, 0.748925, 0.882736, 1.04274, 1.23598, 1.46694, 1.74423, 2.07659, 2.4773, 2.9555, 3.53143", \ + "0.070309, 0.233137, 0.264913, 0.303051, 0.348575, 0.402814, 0.467353, 0.544763, 0.637689, 0.749216, 0.88274, 1.04273, 1.2354, 1.4662, 1.74427, 2.07665, 2.47642, 2.95645, 3.53236", \ + "0.0707292, 0.232438, 0.264377, 0.302305, 0.348101, 0.40224, 0.46752, 0.544699, 0.63815, 0.748898, 0.882621, 1.04288, 1.23554, 1.46695, 1.74479, 2.0779, 2.47581, 2.95656, 3.53056", \ + "0.0728849, 0.230951, 0.263162, 0.301236, 0.346969, 0.401899, 0.467076, 0.544644, 0.637659, 0.749101, 0.883163, 1.04314, 1.23574, 1.46639, 1.74348, 2.0765, 2.47605, 2.95639, 3.5306", \ + "0.078296, 0.229812, 0.261376, 0.299352, 0.34525, 0.400037, 0.465916, 0.544348, 0.637315, 0.749036, 0.882713, 1.0428, 1.23543, 1.46634, 1.74421, 2.0765, 2.47715, 2.95594, 3.53056", \ + "0.0879497, 0.232293, 0.26246, 0.299473, 0.343955, 0.398179, 0.463204, 0.542426, 0.636374, 0.74844, 0.882683, 1.04326, 1.23542, 1.46625, 1.74432, 2.0765, 2.47599, 2.95588, 3.53057", \ + "0.10321, 0.241693, 0.270529, 0.30534, 0.348349, 0.400358, 0.463659, 0.540522, 0.63364, 0.746406, 0.881735, 1.04296, 1.23543, 1.46618, 1.74487, 2.0769, 2.4768, 2.95648, 3.53105", \ + "0.122334, 0.263055, 0.290035, 0.322855, 0.363189, 0.412365, 0.472912, 0.546697, 0.636834, 0.745994, 0.879235, 1.04069, 1.23453, 1.46627, 1.74401, 2.07696, 2.47716, 2.95562, 3.5317", \ + "0.14635, 0.298883, 0.326088, 0.357879, 0.396019, 0.442451, 0.499172, 0.568589, 0.653976, 0.758915, 0.887115, 1.04315, 1.23392, 1.46422, 1.74319, 2.07712, 2.47719, 2.95473, 3.5305", \ + "0.176591, 0.351867, 0.379993, 0.411921, 0.450735, 0.49723, 0.551853, 0.617565, 0.697748, 0.796131, 0.917637, 1.06678, 1.24948, 1.47296, 1.74398, 2.07575, 2.47659, 2.95677, 3.53229", \ + "0.21412, 0.423738, 0.456411, 0.491556, 0.531432, 0.57894, 0.634099, 0.700836, 0.779831, 0.873783, 0.987786, 1.12816, 1.30043, 1.51208, 1.77397, 2.09331, 2.4826, 2.95574, 3.5304", \ + "0.26109, 0.512074, 0.550456, 0.593789, 0.639735, 0.696065, 0.754608, 0.822535, 0.904525, 0.998987, 1.11397, 1.24825, 1.41187, 1.61048, 1.85577, 2.15891, 2.53227, 2.98695, 3.54563", \ + "0.320897, 0.621854, 0.667116, 0.717507, 0.774849, 0.839809, 0.912317, 0.99082, 1.08302, 1.18095, 1.29894, 1.43596, 1.60172, 1.79621, 2.02955, 2.31369, 2.66355, 3.09609, 3.62868" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0255967, 0.0720716, 0.0812785, 0.0922654, 0.105607, 0.12146, 0.140555, 0.163332, 0.190742, 0.223772, 0.263121, 0.310618, 0.367497, 0.435922, 0.517874, 0.616218, 0.733657, 0.875504, 1.04526", \ + "0.0286737, 0.0751413, 0.0843785, 0.0953776, 0.108605, 0.124527, 0.143563, 0.166434, 0.194005, 0.226852, 0.266321, 0.313684, 0.370412, 0.439017, 0.520573, 0.619286, 0.737184, 0.878798, 1.04877", \ + "0.0324948, 0.0787261, 0.0879916, 0.0990082, 0.112245, 0.128058, 0.147111, 0.170116, 0.197397, 0.230309, 0.269854, 0.317336, 0.374056, 0.442225, 0.524449, 0.622487, 0.740763, 0.882275, 1.05202", \ + "0.0383294, 0.0843924, 0.0934726, 0.104504, 0.117662, 0.133516, 0.152588, 0.175335, 0.202821, 0.235626, 0.275152, 0.322357, 0.379389, 0.4478, 0.529682, 0.627737, 0.74543, 0.887149, 1.05701", \ + "0.0450119, 0.0930145, 0.102092, 0.113016, 0.126097, 0.141782, 0.16076, 0.183543, 0.21081, 0.243798, 0.283091, 0.330456, 0.387233, 0.455571, 0.537497, 0.635403, 0.753298, 0.894932, 1.06515", \ + "0.0523828, 0.106122, 0.115211, 0.126105, 0.13915, 0.154754, 0.173564, 0.196311, 0.223233, 0.256098, 0.295301, 0.34254, 0.399202, 0.467596, 0.549068, 0.647669, 0.765336, 0.907066, 1.07687", \ + "0.0600237, 0.124889, 0.134632, 0.145865, 0.159036, 0.17463, 0.193335, 0.215769, 0.242781, 0.275132, 0.314265, 0.36142, 0.417803, 0.485646, 0.56717, 0.665315, 0.782732, 0.924603, 1.09423", \ + "0.0677478, 0.146583, 0.158709, 0.171997, 0.186886, 0.203991, 0.223159, 0.245541, 0.272685, 0.304868, 0.343651, 0.390371, 0.446317, 0.513638, 0.594941, 0.69291, 0.810031, 0.95143, 1.12058", \ + "0.0745648, 0.170804, 0.185175, 0.201496, 0.219654, 0.240226, 0.262998, 0.288659, 0.317075, 0.349669, 0.388806, 0.434811, 0.490657, 0.557551, 0.63831, 0.735134, 0.852231, 0.992945, 1.16164", \ + "0.0792952, 0.196767, 0.213907, 0.23354, 0.255995, 0.280841, 0.308546, 0.338816, 0.374059, 0.411507, 0.454285, 0.502449, 0.557624, 0.625193, 0.705154, 0.800374, 0.91652, 1.05742, 1.2244", \ + "0.0799073, 0.222797, 0.244161, 0.268162, 0.295416, 0.324847, 0.358536, 0.396173, 0.437288, 0.483491, 0.535272, 0.592167, 0.655546, 0.725456, 0.806793, 0.903198, 1.01785, 1.1565, 1.32308", \ + "0.0726777, 0.247727, 0.273489, 0.302206, 0.335362, 0.371286, 0.411759, 0.45716, 0.507629, 0.564017, 0.62581, 0.694911, 0.770193, 0.856171, 0.948175, 1.05203, 1.17059, 1.30835, 1.47468", \ + "0.0523842, 0.265427, 0.29755, 0.331774, 0.372779, 0.41721, 0.465482, 0.52136, 0.581164, 0.649914, 0.72399, 0.808029, 0.900858, 1.00249, 1.11522, 1.24014, 1.37748, 1.53097, 1.70325" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0292974, 0.0956401, 0.108753, 0.12443, 0.143312, 0.165921, 0.193025, 0.225717, 0.264828, 0.311588, 0.368028, 0.435864, 0.517004, 0.614391, 0.731188, 0.87111, 1.03992, 1.24126, 1.48423", \ + "0.028822, 0.0956211, 0.10863, 0.124404, 0.143346, 0.16595, 0.193049, 0.225677, 0.26474, 0.311649, 0.368231, 0.435836, 0.516953, 0.614177, 0.730986, 0.871774, 1.0396, 1.24161, 1.484", \ + "0.0293526, 0.0955884, 0.108728, 0.124398, 0.143312, 0.165929, 0.19314, 0.225704, 0.264688, 0.311756, 0.368212, 0.435746, 0.517099, 0.614439, 0.730898, 0.871485, 1.04014, 1.24127, 1.484", \ + "0.0312596, 0.0950338, 0.108394, 0.124293, 0.143231, 0.165853, 0.193069, 0.225599, 0.264732, 0.311811, 0.367932, 0.435845, 0.516815, 0.614409, 0.731448, 0.871589, 1.03965, 1.24164, 1.48478", \ + "0.0352162, 0.0955293, 0.108369, 0.123932, 0.142766, 0.165832, 0.192966, 0.22575, 0.264691, 0.311575, 0.368098, 0.435567, 0.516679, 0.614402, 0.731373, 0.871677, 1.03934, 1.24162, 1.48447", \ + "0.0408123, 0.099293, 0.111257, 0.126045, 0.143991, 0.165918, 0.192672, 0.2255, 0.264682, 0.311816, 0.367927, 0.435648, 0.516952, 0.614304, 0.731361, 0.870961, 1.04007, 1.24134, 1.48418", \ + "0.0484906, 0.107904, 0.119219, 0.133011, 0.150065, 0.170638, 0.196051, 0.227012, 0.264816, 0.311553, 0.367928, 0.435755, 0.517057, 0.614308, 0.730837, 0.871164, 1.04003, 1.24221, 1.4845", \ + "0.0590048, 0.124287, 0.134948, 0.147843, 0.163661, 0.182968, 0.206819, 0.235743, 0.271824, 0.315772, 0.369901, 0.436092, 0.51662, 0.614406, 0.731488, 0.871408, 1.03923, 1.24166, 1.48483", \ + "0.0728014, 0.146443, 0.158898, 0.1723, 0.188421, 0.207018, 0.228801, 0.256197, 0.289613, 0.33123, 0.38193, 0.444499, 0.521304, 0.615192, 0.730812, 0.871494, 1.04008, 1.24209, 1.48342", \ + "0.091181, 0.176351, 0.189423, 0.205036, 0.222571, 0.243594, 0.266675, 0.293046, 0.325256, 0.363155, 0.410793, 0.469826, 0.541466, 0.630999, 0.740789, 0.874532, 1.04044, 1.24222, 1.48353", \ + "0.114776, 0.214311, 0.230078, 0.248058, 0.268466, 0.290973, 0.316995, 0.347544, 0.38126, 0.420612, 0.466639, 0.520344, 0.588305, 0.670866, 0.775138, 0.901295, 1.05721, 1.24997, 1.48662", \ + "0.146, 0.265351, 0.282946, 0.303287, 0.327274, 0.352801, 0.38312, 0.41673, 0.455575, 0.498829, 0.550509, 0.607362, 0.672627, 0.751494, 0.84713, 0.964755, 1.11269, 1.29305, 1.51591", \ + "0.187464, 0.330657, 0.353061, 0.376231, 0.403305, 0.433427, 0.467656, 0.507908, 0.55263, 0.602735, 0.657832, 0.723348, 0.797251, 0.879857, 0.97774, 1.08824, 1.22322, 1.39339, 1.60386" \ + ); + } + } + timing () { + related_pin : "S0B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0362663, 0.115557, 0.130985, 0.149407, 0.17159, 0.198178, 0.230165, 0.268604, 0.314865, 0.370405, 0.437079, 0.517017, 0.612702, 0.727769, 0.866144, 1.03217, 1.23117, 1.46978, 1.75584", \ + "0.0393892, 0.118543, 0.133961, 0.152358, 0.174528, 0.201063, 0.233018, 0.271518, 0.317634, 0.372996, 0.439826, 0.519861, 0.615367, 0.730499, 0.868922, 1.03469, 1.2339, 1.47285, 1.75948", \ + "0.0434431, 0.121967, 0.137347, 0.155766, 0.177869, 0.204344, 0.236178, 0.274513, 0.320616, 0.375973, 0.442759, 0.52281, 0.618327, 0.733386, 0.871496, 1.0376, 1.23667, 1.47535, 1.76189", \ + "0.0505253, 0.127195, 0.142538, 0.160875, 0.182929, 0.209208, 0.240939, 0.27913, 0.325093, 0.380261, 0.446979, 0.526898, 0.622331, 0.737471, 0.875689, 1.04187, 1.24074, 1.47957, 1.76522", \ + "0.062743, 0.135739, 0.150888, 0.168966, 0.190762, 0.217103, 0.248289, 0.286219, 0.331842, 0.386776, 0.453126, 0.532855, 0.6281, 0.74308, 0.881217, 1.04719, 1.24606, 1.48419, 1.77159", \ + "0.0796272, 0.150148, 0.164651, 0.182427, 0.203833, 0.22951, 0.260532, 0.298011, 0.343005, 0.397161, 0.462785, 0.542009, 0.637005, 0.751701, 0.889583, 1.05523, 1.25446, 1.49207, 1.77849", \ + "0.100077, 0.174583, 0.188433, 0.205256, 0.225697, 0.250585, 0.281005, 0.317564, 0.361982, 0.415384, 0.479632, 0.55764, 0.651372, 0.765208, 0.902911, 1.06754, 1.26557, 1.5044, 1.79055", \ + "0.12521, 0.215116, 0.228082, 0.243851, 0.263154, 0.286651, 0.315507, 0.350735, 0.393786, 0.44573, 0.509329, 0.585721, 0.677625, 0.789149, 0.924183, 1.08811, 1.28471, 1.5227, 1.80838", \ + "0.156377, 0.272846, 0.288468, 0.305532, 0.324346, 0.346772, 0.373765, 0.406883, 0.447304, 0.497167, 0.558238, 0.632973, 0.723241, 0.832622, 0.964588, 1.12451, 1.31891, 1.5537, 1.83764", \ + "0.195384, 0.343584, 0.364305, 0.386718, 0.411174, 0.438101, 0.466755, 0.498758, 0.537182, 0.584064, 0.641277, 0.712147, 0.799086, 0.905131, 1.03493, 1.19103, 1.38072, 1.60976, 1.8886", \ + "0.244546, 0.431183, 0.457946, 0.487009, 0.518946, 0.553876, 0.591492, 0.63238, 0.67617, 0.723576, 0.778644, 0.845316, 0.926176, 1.02685, 1.15129, 1.30228, 1.48794, 1.71321, 1.98452", \ + "0.309301, 0.53955, 0.57294, 0.610658, 0.65092, 0.696476, 0.745377, 0.797336, 0.856652, 0.918426, 0.984993, 1.05578, 1.13549, 1.22985, 1.34545, 1.48847, 1.66559, 1.88177, 2.14789", \ + "0.395802, 0.674915, 0.716998, 0.763711, 0.815178, 0.871054, 0.933635, 1.00267, 1.07832, 1.15827, 1.24569, 1.33877, 1.43852, 1.54573, 1.66162, 1.7971, 1.96248, 2.16541, 2.41856" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0705549, 0.226408, 0.256954, 0.293306, 0.336711, 0.388609, 0.450733, 0.525236, 0.61484, 0.722247, 0.851235, 1.0064, 1.19171, 1.41467, 1.68312, 2.00414, 2.38926, 2.85292, 3.40735", \ + "0.0697899, 0.22595, 0.256581, 0.293094, 0.336626, 0.388465, 0.450706, 0.525312, 0.614756, 0.722199, 0.851159, 1.00639, 1.1918, 1.4147, 1.68242, 2.00367, 2.3901, 2.85309, 3.40755", \ + "0.07008, 0.225213, 0.255859, 0.292608, 0.336281, 0.388406, 0.450522, 0.525472, 0.614752, 0.722093, 0.85126, 1.00637, 1.19177, 1.41465, 1.68304, 2.00415, 2.38927, 2.85335, 3.40761", \ + "0.072002, 0.223899, 0.254616, 0.291433, 0.335541, 0.387978, 0.450766, 0.525409, 0.614758, 0.722346, 0.851429, 1.00595, 1.19169, 1.41477, 1.68263, 2.00421, 2.38959, 2.8535, 3.40759", \ + "0.0771468, 0.222657, 0.253024, 0.289637, 0.33404, 0.386897, 0.449879, 0.524868, 0.61473, 0.722263, 0.85121, 1.00599, 1.19176, 1.41522, 1.68261, 2.00415, 2.38919, 2.853, 3.40758", \ + "0.0864786, 0.224761, 0.253995, 0.289366, 0.332621, 0.38469, 0.447865, 0.523982, 0.614091, 0.722001, 0.851644, 1.00638, 1.19178, 1.41482, 1.68262, 2.00476, 2.39001, 2.85226, 3.40866", \ + "0.10144, 0.234112, 0.261854, 0.295356, 0.336725, 0.386902, 0.447866, 0.521965, 0.612156, 0.720667, 0.85062, 1.00626, 1.19171, 1.41485, 1.68276, 2.00415, 2.38979, 2.85192, 3.40866", \ + "0.120192, 0.25517, 0.281027, 0.312551, 0.351297, 0.398623, 0.45677, 0.527499, 0.614876, 0.720463, 0.849107, 1.0047, 1.19144, 1.41469, 1.68321, 2.00374, 2.39007, 2.85367, 3.40698", \ + "0.143953, 0.290165, 0.316408, 0.346796, 0.383517, 0.428069, 0.482561, 0.549547, 0.631691, 0.732914, 0.856336, 1.00688, 1.18995, 1.41332, 1.68233, 2.00365, 2.38912, 2.85216, 3.40846", \ + "0.174084, 0.342901, 0.369424, 0.400613, 0.437055, 0.481609, 0.534175, 0.597276, 0.674253, 0.769206, 0.885764, 1.02961, 1.20552, 1.42142, 1.68425, 2.00288, 2.38912, 2.8524, 3.40809", \ + "0.21139, 0.413343, 0.444181, 0.479306, 0.51702, 0.562246, 0.615458, 0.678955, 0.754819, 0.844622, 0.95441, 1.08936, 1.25497, 1.45946, 1.71105, 2.01935, 2.39521, 2.85349, 3.40883", \ + "0.258305, 0.500906, 0.536879, 0.578183, 0.624382, 0.67729, 0.734636, 0.798951, 0.876975, 0.967937, 1.07749, 1.20732, 1.36369, 1.55455, 1.79156, 2.08225, 2.44186, 2.88297, 3.4206", \ + "0.31757, 0.608877, 0.652452, 0.701519, 0.757964, 0.819039, 0.889558, 0.964701, 1.05399, 1.14873, 1.25899, 1.39221, 1.5492, 1.73696, 1.96064, 2.23416, 2.57157, 2.98636, 3.49969" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0239708, 0.0726622, 0.0823892, 0.0939643, 0.107947, 0.124584, 0.144729, 0.168809, 0.197645, 0.232346, 0.273934, 0.32389, 0.383557, 0.455462, 0.541421, 0.64489, 0.769585, 0.918629, 1.09694", \ + "0.0272897, 0.0759613, 0.0856022, 0.0972199, 0.111172, 0.127881, 0.14784, 0.17202, 0.200848, 0.235504, 0.277109, 0.326928, 0.386859, 0.458563, 0.54481, 0.648225, 0.772788, 0.921844, 1.10085", \ + "0.0313014, 0.0798296, 0.0894704, 0.101082, 0.114964, 0.131613, 0.151639, 0.175789, 0.204622, 0.239201, 0.28087, 0.330621, 0.390705, 0.462204, 0.548496, 0.65225, 0.776431, 0.925543, 1.10455", \ + "0.0373274, 0.0855883, 0.0952047, 0.106825, 0.120641, 0.137335, 0.157301, 0.181298, 0.21016, 0.244846, 0.286386, 0.336351, 0.396016, 0.46775, 0.553985, 0.657789, 0.781985, 0.930576, 1.10979", \ + "0.0442004, 0.0944113, 0.104067, 0.115584, 0.129318, 0.146035, 0.16588, 0.189949, 0.218751, 0.253162, 0.294742, 0.344415, 0.404412, 0.476265, 0.561961, 0.666055, 0.789718, 0.938634, 1.11813", \ + "0.0517634, 0.107792, 0.11747, 0.129008, 0.142716, 0.159192, 0.179132, 0.203076, 0.231486, 0.265916, 0.307465, 0.357039, 0.416908, 0.488596, 0.574817, 0.67835, 0.80192, 0.951451, 1.1301", \ + "0.0596826, 0.127245, 0.137284, 0.149001, 0.162756, 0.179521, 0.199192, 0.222853, 0.251398, 0.285589, 0.326733, 0.376456, 0.435904, 0.507627, 0.593622, 0.696439, 0.820917, 0.968929, 1.14833", \ + "0.067761, 0.149779, 0.162585, 0.17632, 0.191986, 0.209097, 0.229498, 0.253372, 0.281837, 0.315947, 0.356656, 0.406292, 0.465278, 0.536269, 0.62219, 0.725218, 0.848971, 0.997586, 1.1763", \ + "0.0751636, 0.175354, 0.190298, 0.207212, 0.22604, 0.247746, 0.271044, 0.297745, 0.326993, 0.36142, 0.402559, 0.451496, 0.510191, 0.58121, 0.666488, 0.768685, 0.89217, 1.04028, 1.21866", \ + "0.0803887, 0.202379, 0.220578, 0.241325, 0.264501, 0.289824, 0.318974, 0.350861, 0.386861, 0.426115, 0.469893, 0.520247, 0.579038, 0.650116, 0.735056, 0.836437, 0.957923, 1.10613, 1.28307", \ + "0.0817626, 0.230696, 0.252601, 0.277493, 0.30536, 0.336808, 0.371222, 0.410863, 0.453908, 0.501664, 0.55555, 0.613869, 0.679289, 0.752564, 0.837075, 0.939853, 1.06072, 1.20692, 1.38266", \ + "0.0757107, 0.257155, 0.283444, 0.313871, 0.348507, 0.386233, 0.428562, 0.475465, 0.527841, 0.586333, 0.650107, 0.721812, 0.801155, 0.889266, 0.985914, 1.09233, 1.2157, 1.36356, 1.53816", \ + "0.0565541, 0.276783, 0.310913, 0.346342, 0.389292, 0.434732, 0.485372, 0.543866, 0.606554, 0.676387, 0.755514, 0.84137, 0.93767, 1.04408, 1.16022, 1.29152, 1.43313, 1.59032, 1.77084" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0298626, 0.100961, 0.114968, 0.131646, 0.151759, 0.175752, 0.204697, 0.239481, 0.280958, 0.330944, 0.39089, 0.463008, 0.548969, 0.652741, 0.776267, 0.925331, 1.10538, 1.32023, 1.57757", \ + "0.0295163, 0.100983, 0.114867, 0.131553, 0.151729, 0.17578, 0.204489, 0.239372, 0.280959, 0.330867, 0.390833, 0.462685, 0.549085, 0.652508, 0.776836, 0.925958, 1.10539, 1.32005, 1.57741", \ + "0.0301152, 0.100856, 0.114866, 0.131651, 0.151623, 0.175769, 0.204495, 0.239423, 0.280959, 0.330868, 0.39088, 0.462453, 0.549266, 0.652092, 0.776811, 0.926265, 1.1052, 1.3201, 1.57742", \ + "0.0320648, 0.100293, 0.114561, 0.131494, 0.151557, 0.175793, 0.204487, 0.239165, 0.280757, 0.330905, 0.390855, 0.462899, 0.548732, 0.652113, 0.77681, 0.926362, 1.10533, 1.31908, 1.57803", \ + "0.0360955, 0.100651, 0.114314, 0.130981, 0.151124, 0.175668, 0.204471, 0.239315, 0.281012, 0.330852, 0.390912, 0.46238, 0.549186, 0.652815, 0.776362, 0.926505, 1.10495, 1.31975, 1.57741", \ + "0.0419386, 0.104226, 0.117181, 0.132866, 0.152094, 0.175563, 0.204267, 0.239156, 0.28094, 0.330602, 0.390736, 0.462447, 0.549091, 0.652658, 0.777145, 0.926444, 1.10436, 1.32046, 1.57811", \ + "0.0497845, 0.112851, 0.124836, 0.13978, 0.15783, 0.180021, 0.207121, 0.240242, 0.281052, 0.330604, 0.3905, 0.462907, 0.54907, 0.652818, 0.777036, 0.925489, 1.10507, 1.31896, 1.57813", \ + "0.060549, 0.128836, 0.140588, 0.154247, 0.17123, 0.191598, 0.217767, 0.248558, 0.287092, 0.334281, 0.391816, 0.462783, 0.549154, 0.652509, 0.77701, 0.926237, 1.10522, 1.3201, 1.57829", \ + "0.0746159, 0.152244, 0.164764, 0.179113, 0.195812, 0.21571, 0.238947, 0.268627, 0.304468, 0.348543, 0.403312, 0.469996, 0.552379, 0.652817, 0.776246, 0.926002, 1.10441, 1.31911, 1.57829", \ + "0.093284, 0.182338, 0.196433, 0.213054, 0.231487, 0.252668, 0.277671, 0.305343, 0.339412, 0.380449, 0.431209, 0.494722, 0.571642, 0.666983, 0.78471, 0.928719, 1.10432, 1.31902, 1.57749", \ + "0.117187, 0.222443, 0.238553, 0.257261, 0.277566, 0.302139, 0.329441, 0.361019, 0.396467, 0.437603, 0.486357, 0.543623, 0.616774, 0.706503, 0.816042, 0.952667, 1.11879, 1.32576, 1.57699", \ + "0.148681, 0.274233, 0.292246, 0.314239, 0.339184, 0.36643, 0.398249, 0.4326, 0.473876, 0.519602, 0.571598, 0.631627, 0.701523, 0.783704, 0.88739, 1.01472, 1.17168, 1.36634, 1.60491", \ + "0.190385, 0.341687, 0.362833, 0.388835, 0.41686, 0.448408, 0.484756, 0.526592, 0.573493, 0.625564, 0.683877, 0.751658, 0.830293, 0.916178, 1.01601, 1.13746, 1.28157, 1.46219, 1.68879" \ + ); + } + } + timing () { + related_pin : "S1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0372655, 0.116927, 0.132418, 0.150909, 0.173186, 0.199872, 0.231971, 0.270712, 0.317042, 0.372786, 0.439638, 0.519727, 0.615843, 0.731506, 0.870257, 1.03658, 1.23655, 1.47613, 1.76344", \ + "0.0403178, 0.119816, 0.135296, 0.15385, 0.176085, 0.20272, 0.234832, 0.27344, 0.319803, 0.375413, 0.442436, 0.522688, 0.618568, 0.734021, 0.872918, 1.03896, 1.23941, 1.47874, 1.76654", \ + "0.0443263, 0.12322, 0.138663, 0.157169, 0.179343, 0.205895, 0.237992, 0.276416, 0.3228, 0.378378, 0.445366, 0.525603, 0.621498, 0.736938, 0.875826, 1.04217, 1.24213, 1.4817, 1.76823", \ + "0.0513355, 0.128452, 0.143855, 0.162228, 0.184286, 0.210774, 0.242622, 0.280912, 0.326999, 0.38256, 0.449435, 0.529639, 0.625729, 0.741147, 0.879801, 1.04639, 1.24536, 1.48565, 1.77321", \ + "0.0636114, 0.136884, 0.151931, 0.170228, 0.192154, 0.218453, 0.250008, 0.287893, 0.333747, 0.388789, 0.45554, 0.5354, 0.631164, 0.746881, 0.885297, 1.05162, 1.25141, 1.49091, 1.77757", \ + "0.0805247, 0.151142, 0.165744, 0.183435, 0.205039, 0.230873, 0.262021, 0.299632, 0.344827, 0.399381, 0.465027, 0.544596, 0.640028, 0.755248, 0.893465, 1.05924, 1.25919, 1.49866, 1.78617", \ + "0.101147, 0.175499, 0.189403, 0.206283, 0.226854, 0.251879, 0.282215, 0.319043, 0.363576, 0.417331, 0.481889, 0.560135, 0.654139, 0.768566, 0.906282, 1.07145, 1.271, 1.50988, 1.79652", \ + "0.126438, 0.216077, 0.229073, 0.244808, 0.264164, 0.287734, 0.316619, 0.351807, 0.395242, 0.447379, 0.511014, 0.587685, 0.680341, 0.792086, 0.927561, 1.09232, 1.29004, 1.52846, 1.81465", \ + "0.157787, 0.273662, 0.289529, 0.306538, 0.325402, 0.347782, 0.374835, 0.407942, 0.448654, 0.498591, 0.559965, 0.634752, 0.72538, 0.834733, 0.967661, 1.12814, 1.32326, 1.55914, 1.84352", \ + "0.196944, 0.344566, 0.365623, 0.387983, 0.4123, 0.439214, 0.467838, 0.49994, 0.538356, 0.58517, 0.642596, 0.713914, 0.800814, 0.907442, 1.0372, 1.1944, 1.38386, 1.61456, 1.89449", \ + "0.246186, 0.432913, 0.459275, 0.488293, 0.520229, 0.555136, 0.592697, 0.633439, 0.67744, 0.724918, 0.780233, 0.846462, 0.927771, 1.02847, 1.1533, 1.30565, 1.49084, 1.71692, 1.98917", \ + "0.311338, 0.541123, 0.574469, 0.611828, 0.652311, 0.697817, 0.74677, 0.799054, 0.857955, 0.919703, 0.986368, 1.05744, 1.1369, 1.23155, 1.34753, 1.49097, 1.66829, 1.88489, 2.15215", \ + "0.39843, 0.676487, 0.718102, 0.764994, 0.816391, 0.872791, 0.935462, 1.00432, 1.07997, 1.15886, 1.24744, 1.34035, 1.44029, 1.54729, 1.66346, 1.79912, 1.96487, 2.16829, 2.42138" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.070499, 0.226951, 0.257437, 0.293875, 0.33753, 0.389482, 0.451743, 0.526575, 0.615904, 0.72371, 0.852751, 1.00748, 1.19369, 1.41694, 1.68498, 2.00665, 2.39405, 2.85706, 3.41195", \ + "0.0697931, 0.226359, 0.257273, 0.293536, 0.337357, 0.389412, 0.451797, 0.526285, 0.615899, 0.723534, 0.852848, 1.00813, 1.19364, 1.4171, 1.68502, 2.00701, 2.39415, 2.8573, 3.41392", \ + "0.0700755, 0.225787, 0.25654, 0.293038, 0.337008, 0.389244, 0.451752, 0.526313, 0.615923, 0.723481, 0.852669, 1.00774, 1.19373, 1.41712, 1.68573, 2.00663, 2.39403, 2.85697, 3.41263", \ + "0.0720218, 0.224202, 0.255177, 0.292013, 0.336275, 0.388748, 0.451281, 0.52634, 0.616099, 0.723564, 0.852829, 1.00772, 1.19404, 1.41695, 1.68573, 2.00685, 2.39304, 2.85792, 3.41319", \ + "0.077159, 0.223113, 0.253548, 0.290076, 0.334656, 0.38765, 0.450611, 0.526048, 0.615606, 0.723475, 0.852743, 1.00763, 1.19373, 1.41694, 1.68492, 2.00724, 2.394, 2.85797, 3.41252", \ + "0.0864489, 0.225434, 0.254598, 0.289892, 0.333353, 0.385451, 0.448646, 0.524741, 0.615528, 0.72349, 0.85251, 1.0079, 1.19375, 1.41684, 1.6854, 2.00695, 2.39391, 2.85706, 3.41396", \ + "0.101364, 0.23451, 0.262244, 0.295792, 0.337058, 0.387475, 0.44859, 0.523097, 0.613212, 0.721791, 0.852226, 1.00784, 1.19373, 1.41686, 1.68544, 2.00728, 2.39387, 2.85608, 3.4125", \ + "0.120137, 0.255332, 0.281318, 0.312976, 0.351722, 0.399127, 0.457564, 0.528262, 0.615787, 0.721368, 0.850256, 1.00639, 1.19369, 1.41682, 1.68497, 2.00768, 2.394, 2.85801, 3.41326", \ + "0.143894, 0.290297, 0.316588, 0.347082, 0.383785, 0.428611, 0.483097, 0.549948, 0.632529, 0.733691, 0.857461, 1.00887, 1.19235, 1.41566, 1.68532, 2.00765, 2.39401, 2.85702, 3.41355", \ + "0.174083, 0.342867, 0.369586, 0.400813, 0.43731, 0.482007, 0.534533, 0.597847, 0.674875, 0.769917, 0.886839, 1.03092, 1.20731, 1.42292, 1.68582, 2.00558, 2.39278, 2.85659, 3.41351", \ + "0.211265, 0.413743, 0.444298, 0.479265, 0.517183, 0.562487, 0.615673, 0.67926, 0.755193, 0.845341, 0.955523, 1.09055, 1.25624, 1.46097, 1.71404, 2.02198, 2.39745, 2.85701, 3.41357", \ + "0.258187, 0.500952, 0.536832, 0.578324, 0.624545, 0.677494, 0.734936, 0.799317, 0.877456, 0.96821, 1.07839, 1.20808, 1.36509, 1.55674, 1.79229, 2.08567, 2.44515, 2.88569, 3.42567", \ + "0.317416, 0.608898, 0.652567, 0.701603, 0.758109, 0.819252, 0.889777, 0.965069, 1.05427, 1.14789, 1.25963, 1.39346, 1.55022, 1.73836, 1.96214, 2.23621, 2.57427, 2.98971, 3.50394" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0240383, 0.0727763, 0.0824718, 0.0940756, 0.108064, 0.12473, 0.144835, 0.16883, 0.197785, 0.232238, 0.273975, 0.323905, 0.38367, 0.455668, 0.541947, 0.64558, 0.769687, 0.918017, 1.09762", \ + "0.0274, 0.0760849, 0.0856761, 0.0973328, 0.11129, 0.127992, 0.14799, 0.172153, 0.200945, 0.235671, 0.277202, 0.326988, 0.387041, 0.458652, 0.545297, 0.64852, 0.772879, 0.921947, 1.10041", \ + "0.0313804, 0.0798861, 0.0895527, 0.101087, 0.115047, 0.131794, 0.151778, 0.175875, 0.204745, 0.239359, 0.280954, 0.330929, 0.390827, 0.462282, 0.548586, 0.652106, 0.776298, 0.924894, 1.1045", \ + "0.0373992, 0.0856804, 0.0952958, 0.10689, 0.120769, 0.137366, 0.157424, 0.181425, 0.210417, 0.244927, 0.286542, 0.336426, 0.396069, 0.467739, 0.554045, 0.657801, 0.781641, 0.93063, 1.10993", \ + "0.0442843, 0.0944961, 0.104133, 0.115667, 0.129452, 0.14606, 0.166076, 0.189967, 0.218789, 0.253161, 0.29482, 0.34475, 0.404408, 0.47634, 0.562056, 0.665955, 0.789598, 0.938437, 1.11822", \ + "0.051842, 0.107864, 0.117523, 0.128992, 0.142807, 0.159298, 0.179196, 0.203041, 0.23163, 0.266005, 0.307634, 0.357336, 0.416924, 0.48894, 0.574526, 0.677952, 0.802419, 0.95104, 1.1302", \ + "0.0597661, 0.127347, 0.137392, 0.149119, 0.162795, 0.179615, 0.199312, 0.223014, 0.251521, 0.285706, 0.326736, 0.376547, 0.436028, 0.507794, 0.593682, 0.696845, 0.820831, 0.969026, 1.14793", \ + "0.0678496, 0.149904, 0.162684, 0.176417, 0.192084, 0.209263, 0.229586, 0.253404, 0.281918, 0.315908, 0.356764, 0.406272, 0.465133, 0.536555, 0.62232, 0.725251, 0.848923, 0.997464, 1.17615", \ + "0.0752513, 0.175415, 0.190303, 0.207436, 0.226106, 0.247855, 0.270899, 0.297835, 0.326739, 0.361511, 0.402801, 0.452042, 0.510571, 0.581731, 0.666119, 0.768762, 0.892547, 1.04023, 1.2184", \ + "0.0806207, 0.202447, 0.220668, 0.241031, 0.264544, 0.290255, 0.319153, 0.351011, 0.386958, 0.426247, 0.469735, 0.520323, 0.579111, 0.650166, 0.735124, 0.836458, 0.958031, 1.10691, 1.28322", \ + "0.0818968, 0.230788, 0.252719, 0.277523, 0.305479, 0.33693, 0.371579, 0.410944, 0.454017, 0.50177, 0.555664, 0.614008, 0.679045, 0.752531, 0.837192, 0.939949, 1.06079, 1.20647, 1.38255", \ + "0.0757575, 0.257293, 0.283542, 0.313933, 0.348598, 0.385696, 0.42866, 0.475349, 0.527807, 0.586311, 0.650299, 0.721598, 0.801271, 0.889308, 0.986239, 1.09248, 1.21579, 1.36307, 1.53839", \ + "0.0566005, 0.277015, 0.310275, 0.346448, 0.389399, 0.434847, 0.485465, 0.543895, 0.606553, 0.67706, 0.755587, 0.841104, 0.937885, 1.04421, 1.16038, 1.29244, 1.43469, 1.59168, 1.77111" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0298796, 0.100978, 0.114965, 0.131597, 0.15178, 0.175677, 0.204705, 0.239311, 0.280994, 0.330593, 0.390833, 0.46279, 0.548983, 0.652733, 0.777128, 0.926275, 1.10538, 1.31883, 1.57817", \ + "0.0295337, 0.100999, 0.114916, 0.131661, 0.151732, 0.17578, 0.204529, 0.239377, 0.280959, 0.330983, 0.390833, 0.462411, 0.549187, 0.652507, 0.777267, 0.926175, 1.10538, 1.32032, 1.57777", \ + "0.0301375, 0.100786, 0.114915, 0.131526, 0.151626, 0.175865, 0.204642, 0.239471, 0.280959, 0.330982, 0.390853, 0.462884, 0.549259, 0.652107, 0.776812, 0.925565, 1.10511, 1.3189, 1.57792", \ + "0.0320922, 0.100325, 0.114573, 0.131498, 0.151572, 0.175646, 0.204528, 0.23931, 0.280881, 0.330939, 0.390857, 0.462838, 0.548687, 0.652124, 0.776811, 0.926244, 1.10444, 1.31975, 1.57804", \ + "0.0361282, 0.100664, 0.114324, 0.130988, 0.151152, 0.175669, 0.204639, 0.239316, 0.280929, 0.330637, 0.390821, 0.462824, 0.549074, 0.652814, 0.776361, 0.926315, 1.10427, 1.31896, 1.57751", \ + "0.0419621, 0.104245, 0.117184, 0.132894, 0.152124, 0.175572, 0.204277, 0.239158, 0.280935, 0.330819, 0.390875, 0.462911, 0.54909, 0.652643, 0.776374, 0.925962, 1.10533, 1.31995, 1.57812", \ + "0.0497652, 0.112868, 0.124838, 0.139789, 0.157743, 0.179976, 0.207104, 0.240246, 0.281045, 0.33061, 0.390491, 0.462833, 0.549286, 0.652811, 0.777041, 0.926222, 1.10523, 1.31896, 1.57651", \ + "0.0605218, 0.128851, 0.140602, 0.154225, 0.171258, 0.191673, 0.217768, 0.24859, 0.287108, 0.334134, 0.391832, 0.462795, 0.548603, 0.652656, 0.777019, 0.926226, 1.10522, 1.31901, 1.57804", \ + "0.0746992, 0.152277, 0.164717, 0.17887, 0.195854, 0.215725, 0.238716, 0.268638, 0.304075, 0.348552, 0.403488, 0.470243, 0.552042, 0.653509, 0.776311, 0.926004, 1.10511, 1.31889, 1.57788", \ + "0.0931218, 0.182367, 0.196558, 0.213344, 0.231577, 0.252875, 0.277677, 0.30537, 0.339428, 0.380119, 0.430961, 0.494704, 0.571656, 0.666976, 0.784725, 0.928708, 1.10432, 1.32027, 1.57752", \ + "0.117165, 0.222449, 0.23854, 0.257011, 0.277723, 0.302173, 0.329323, 0.361034, 0.396503, 0.437593, 0.486572, 0.543658, 0.61635, 0.70659, 0.816062, 0.952669, 1.11869, 1.32495, 1.57697", \ + "0.148707, 0.274493, 0.291915, 0.314275, 0.339194, 0.366769, 0.398245, 0.432765, 0.473992, 0.51971, 0.571572, 0.63171, 0.70155, 0.784014, 0.887555, 1.0147, 1.17157, 1.3657, 1.60488", \ + "0.190372, 0.340826, 0.363546, 0.388837, 0.416767, 0.448585, 0.484774, 0.526654, 0.573565, 0.625125, 0.683908, 0.751987, 0.828437, 0.916323, 1.01606, 1.13692, 1.28299, 1.46329, 1.68901" \ + ); + } + } + timing () { + related_pin : "S1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0362324, 0.117174, 0.132716, 0.151288, 0.173629, 0.200328, 0.232544, 0.271277, 0.317831, 0.373962, 0.441141, 0.521837, 0.618683, 0.734813, 0.87439, 1.04189, 1.24279, 1.48397, 1.77335", \ + "0.0394837, 0.120211, 0.135754, 0.154327, 0.17663, 0.203347, 0.235454, 0.274155, 0.320758, 0.376807, 0.444031, 0.524597, 0.621298, 0.737611, 0.877301, 1.04477, 1.2457, 1.48679, 1.77525", \ + "0.0437584, 0.123609, 0.139241, 0.157808, 0.180048, 0.206703, 0.238814, 0.277389, 0.323983, 0.379917, 0.44712, 0.527719, 0.624577, 0.740585, 0.880213, 1.04778, 1.24864, 1.48981, 1.77854", \ + "0.0512442, 0.129017, 0.14448, 0.163017, 0.1852, 0.211757, 0.243614, 0.282064, 0.328379, 0.384231, 0.451334, 0.531997, 0.628523, 0.744693, 0.884355, 1.05182, 1.25279, 1.49388, 1.7824", \ + "0.0640087, 0.137504, 0.152816, 0.171123, 0.193312, 0.219589, 0.251234, 0.289243, 0.335267, 0.390671, 0.457647, 0.538016, 0.634638, 0.750437, 0.889704, 1.05748, 1.25823, 1.49935, 1.78772", \ + "0.0813639, 0.1521, 0.166788, 0.184567, 0.206269, 0.232346, 0.263507, 0.301266, 0.34638, 0.400973, 0.467187, 0.547007, 0.64307, 0.758899, 0.898056, 1.06551, 1.26621, 1.50706, 1.79515", \ + "0.102495, 0.176718, 0.190736, 0.207663, 0.228355, 0.253443, 0.283946, 0.320836, 0.365493, 0.419028, 0.48393, 0.562415, 0.657385, 0.771946, 0.910693, 1.0775, 1.27784, 1.51831, 1.8064", \ + "0.12808, 0.217985, 0.231003, 0.24673, 0.266196, 0.289879, 0.318835, 0.354416, 0.397603, 0.449949, 0.513413, 0.590157, 0.682807, 0.795032, 0.931872, 1.0972, 1.29625, 1.53524, 1.82356", \ + "0.159774, 0.276961, 0.292739, 0.309637, 0.328345, 0.350664, 0.3779, 0.41109, 0.451878, 0.502023, 0.56331, 0.637837, 0.728686, 0.838313, 0.970688, 1.13191, 1.3282, 1.56539, 1.85152", \ + "0.199269, 0.349448, 0.370582, 0.392784, 0.417322, 0.444143, 0.472567, 0.504622, 0.542996, 0.589875, 0.647467, 0.718477, 0.805628, 0.912212, 1.04164, 1.19893, 1.38849, 1.61977, 1.90089", \ + "0.249201, 0.438903, 0.465963, 0.494977, 0.526938, 0.56278, 0.600099, 0.64121, 0.684857, 0.731957, 0.786936, 0.853508, 0.934912, 1.03575, 1.16024, 1.31208, 1.49792, 1.72181, 1.99359", \ + "0.314672, 0.549104, 0.582857, 0.621038, 0.662385, 0.708288, 0.756705, 0.81063, 0.86955, 0.93085, 0.9977, 1.06781, 1.14739, 1.24188, 1.35791, 1.50136, 1.67821, 1.89558, 2.16084", \ + "0.402772, 0.685985, 0.729101, 0.776812, 0.829331, 0.887169, 0.949858, 1.02053, 1.09635, 1.17758, 1.26499, 1.35752, 1.45834, 1.56376, 1.67886, 1.81499, 1.9804, 2.18379, 2.43668" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.070766, 0.23356, 0.265277, 0.303324, 0.348863, 0.403045, 0.467418, 0.544796, 0.637699, 0.749559, 0.882728, 1.04337, 1.23557, 1.46695, 1.74466, 2.07672, 2.47709, 2.9555, 3.53049", \ + "0.0701936, 0.233117, 0.264996, 0.303035, 0.348492, 0.402553, 0.467695, 0.544936, 0.63769, 0.749194, 0.882737, 1.04327, 1.23562, 1.46604, 1.7438, 2.07671, 2.47712, 2.95655, 3.53055", \ + "0.0706289, 0.232376, 0.264253, 0.302334, 0.347843, 0.402212, 0.467134, 0.544695, 0.63772, 0.749027, 0.882705, 1.04335, 1.2358, 1.46603, 1.7443, 2.07646, 2.47583, 2.95636, 3.53117", \ + "0.0727885, 0.230875, 0.262942, 0.301332, 0.346927, 0.401729, 0.467035, 0.544645, 0.637629, 0.749095, 0.882804, 1.04327, 1.23545, 1.46636, 1.74485, 2.0766, 2.47713, 2.95516, 3.53048", \ + "0.0781992, 0.229853, 0.261243, 0.299325, 0.345233, 0.400008, 0.465802, 0.544152, 0.637302, 0.749008, 0.882778, 1.04312, 1.23544, 1.46637, 1.7434, 2.07667, 2.47717, 2.95589, 3.53053", \ + "0.087842, 0.232228, 0.262408, 0.299345, 0.343943, 0.398214, 0.463179, 0.542519, 0.636326, 0.748432, 0.88268, 1.04325, 1.2353, 1.46638, 1.7435, 2.07702, 2.47712, 2.95591, 3.53073", \ + "0.103047, 0.241649, 0.270512, 0.305298, 0.348191, 0.400251, 0.463629, 0.540438, 0.63379, 0.746272, 0.882, 1.04295, 1.23544, 1.46639, 1.74445, 2.07698, 2.47584, 2.95675, 3.53053", \ + "0.122286, 0.262964, 0.290015, 0.322806, 0.363142, 0.412324, 0.472924, 0.546686, 0.637008, 0.745952, 0.879526, 1.04087, 1.23446, 1.46597, 1.74401, 2.07665, 2.47583, 2.95643, 3.53051", \ + "0.146279, 0.298839, 0.325939, 0.357774, 0.395964, 0.442356, 0.499124, 0.56846, 0.654038, 0.759151, 0.887085, 1.04313, 1.23392, 1.46421, 1.74319, 2.07685, 2.47587, 2.95529, 3.53057", \ + "0.176512, 0.351746, 0.379865, 0.411766, 0.450724, 0.497109, 0.551833, 0.617515, 0.69775, 0.796101, 0.917591, 1.0669, 1.24949, 1.47302, 1.74448, 2.07539, 2.4766, 2.95677, 3.53235", \ + "0.21393, 0.423622, 0.456274, 0.491226, 0.53097, 0.57889, 0.633884, 0.700883, 0.779813, 0.873731, 0.987764, 1.1281, 1.3002, 1.5119, 1.77382, 2.09305, 2.4828, 2.95637, 3.53061", \ + "0.261011, 0.512013, 0.549592, 0.593686, 0.63963, 0.695847, 0.754506, 0.822427, 0.904416, 0.999023, 1.11403, 1.24825, 1.41175, 1.61036, 1.85615, 2.15888, 2.53181, 2.98924, 3.54557", \ + "0.320933, 0.621369, 0.666996, 0.717417, 0.774731, 0.839675, 0.912205, 0.991317, 1.08305, 1.18228, 1.29881, 1.43586, 1.6019, 1.79609, 2.02951, 2.31375, 2.66367, 3.09634, 3.62866" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0254436, 0.07207, 0.0812918, 0.0923557, 0.105685, 0.121583, 0.140689, 0.16359, 0.191113, 0.224083, 0.263707, 0.311244, 0.368275, 0.436844, 0.518805, 0.61718, 0.735926, 0.877118, 1.04809", \ + "0.028536, 0.0751387, 0.0844104, 0.0954276, 0.108731, 0.124693, 0.143771, 0.166701, 0.194194, 0.227152, 0.266806, 0.314338, 0.371493, 0.439585, 0.521879, 0.62069, 0.73875, 0.880643, 1.05105", \ + "0.0323846, 0.0788296, 0.0880464, 0.099035, 0.112399, 0.128254, 0.147423, 0.170339, 0.197792, 0.230709, 0.270325, 0.317802, 0.375047, 0.443469, 0.525419, 0.623772, 0.742531, 0.883833, 1.05436", \ + "0.0382337, 0.0843902, 0.0935781, 0.104608, 0.11779, 0.133733, 0.152816, 0.175684, 0.203203, 0.236035, 0.275547, 0.323038, 0.380236, 0.448739, 0.530691, 0.629155, 0.747434, 0.889694, 1.05955", \ + "0.0449269, 0.0929814, 0.102102, 0.113127, 0.126185, 0.141979, 0.160953, 0.183754, 0.211214, 0.244245, 0.28365, 0.33102, 0.388057, 0.456354, 0.538665, 0.637261, 0.755521, 0.897413, 1.0671", \ + "0.0522889, 0.106126, 0.115224, 0.126152, 0.139228, 0.154983, 0.173856, 0.196465, 0.223565, 0.256535, 0.295941, 0.343385, 0.400045, 0.468441, 0.550469, 0.648834, 0.767102, 0.908601, 1.07936", \ + "0.0599369, 0.124878, 0.134649, 0.145954, 0.159031, 0.174787, 0.193475, 0.216067, 0.243094, 0.275557, 0.31495, 0.361973, 0.418544, 0.486506, 0.568442, 0.666788, 0.784558, 0.926335, 1.09632", \ + "0.0677154, 0.14662, 0.158786, 0.172077, 0.186974, 0.204086, 0.223363, 0.245781, 0.272979, 0.305146, 0.344076, 0.390914, 0.44691, 0.514681, 0.596161, 0.694476, 0.81174, 0.953521, 1.12301", \ + "0.0745345, 0.170853, 0.185237, 0.201336, 0.219662, 0.240332, 0.26299, 0.288872, 0.316982, 0.350003, 0.389202, 0.435388, 0.491324, 0.558434, 0.638915, 0.73671, 0.853941, 0.994942, 1.16411", \ + "0.0792729, 0.196756, 0.213947, 0.23405, 0.256186, 0.280926, 0.308711, 0.339154, 0.374371, 0.411896, 0.454794, 0.502843, 0.558287, 0.626024, 0.706311, 0.801529, 0.918679, 1.05883, 1.2272", \ + "0.079807, 0.222876, 0.244259, 0.268063, 0.295694, 0.324991, 0.358795, 0.396297, 0.437633, 0.4843, 0.535786, 0.592664, 0.656296, 0.726158, 0.807432, 0.904813, 1.01936, 1.15847, 1.32565", \ + "0.0726513, 0.2478, 0.273808, 0.302403, 0.335538, 0.371733, 0.412123, 0.457513, 0.508002, 0.564413, 0.625896, 0.695187, 0.77105, 0.85703, 0.949074, 1.05319, 1.17212, 1.31021, 1.4768", \ + "0.0523648, 0.264775, 0.2976, 0.332544, 0.373107, 0.41754, 0.465709, 0.52169, 0.581656, 0.650477, 0.724672, 0.80879, 0.901719, 1.00374, 1.11687, 1.24146, 1.37907, 1.53259, 1.70535" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0292589, 0.0958599, 0.108971, 0.124708, 0.143615, 0.166327, 0.193425, 0.226213, 0.26529, 0.312298, 0.368635, 0.436642, 0.517654, 0.615153, 0.73281, 0.872946, 1.04191, 1.24402, 1.48585", \ + "0.0287962, 0.0958162, 0.108959, 0.124727, 0.143619, 0.166278, 0.193532, 0.226113, 0.265286, 0.312166, 0.368969, 0.436333, 0.517713, 0.615134, 0.732702, 0.872646, 1.04143, 1.24381, 1.48663", \ + "0.0293215, 0.0957334, 0.108913, 0.124659, 0.143592, 0.166268, 0.193499, 0.226061, 0.265247, 0.312236, 0.368706, 0.436517, 0.517615, 0.615422, 0.73224, 0.873078, 1.04196, 1.24425, 1.48721", \ + "0.0312533, 0.0952065, 0.108622, 0.124601, 0.143617, 0.166259, 0.193417, 0.226117, 0.265422, 0.312156, 0.368625, 0.436683, 0.517617, 0.615627, 0.732809, 0.87277, 1.04149, 1.24431, 1.48728", \ + "0.0352065, 0.0956807, 0.108535, 0.124108, 0.143079, 0.166046, 0.193394, 0.226109, 0.265314, 0.312175, 0.368698, 0.436444, 0.518067, 0.615591, 0.732223, 0.87324, 1.04201, 1.24396, 1.48702", \ + "0.0408386, 0.0993788, 0.111462, 0.126204, 0.144177, 0.166263, 0.193124, 0.225913, 0.265175, 0.312318, 0.36863, 0.436349, 0.517964, 0.615152, 0.732629, 0.873345, 1.04181, 1.24349, 1.48634", \ + "0.0484864, 0.108001, 0.119445, 0.133169, 0.15028, 0.170899, 0.196361, 0.227448, 0.265518, 0.311956, 0.368755, 0.43656, 0.517835, 0.615655, 0.732528, 0.873343, 1.04112, 1.24365, 1.48735", \ + "0.0589512, 0.124377, 0.135051, 0.148016, 0.163579, 0.183113, 0.207078, 0.236224, 0.27238, 0.316178, 0.370355, 0.436851, 0.517507, 0.615581, 0.732727, 0.873389, 1.04167, 1.24349, 1.48635", \ + "0.0727306, 0.146549, 0.158723, 0.172398, 0.188649, 0.207202, 0.229098, 0.25661, 0.289592, 0.33165, 0.382273, 0.44502, 0.522035, 0.616768, 0.732301, 0.873432, 1.04193, 1.24404, 1.48634", \ + "0.0911373, 0.176468, 0.189575, 0.205309, 0.222789, 0.243769, 0.267004, 0.293358, 0.325632, 0.363324, 0.411398, 0.470412, 0.542245, 0.631954, 0.741927, 0.876047, 1.04247, 1.24351, 1.4867", \ + "0.114784, 0.21501, 0.230424, 0.248221, 0.268662, 0.290882, 0.317096, 0.347765, 0.381714, 0.421721, 0.466701, 0.520966, 0.588984, 0.673045, 0.775722, 0.90293, 1.05888, 1.25148, 1.48909", \ + "0.146015, 0.265505, 0.283103, 0.303692, 0.327604, 0.353499, 0.383452, 0.416969, 0.455548, 0.499305, 0.550887, 0.607682, 0.673248, 0.752403, 0.847332, 0.965882, 1.11419, 1.29466, 1.51778", \ + "0.187453, 0.331419, 0.352572, 0.376452, 0.403802, 0.433618, 0.467964, 0.508333, 0.552617, 0.60346, 0.658549, 0.72395, 0.798159, 0.880864, 0.977903, 1.08925, 1.2236, 1.39473, 1.60588" \ + ); + } + } + timing () { + related_pin : "S1B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0362324, 0.117174, 0.132716, 0.151288, 0.173629, 0.200328, 0.232544, 0.271277, 0.317831, 0.373962, 0.441141, 0.521837, 0.618683, 0.734815, 0.87439, 1.04189, 1.24279, 1.48397, 1.77335", \ + "0.039471, 0.120211, 0.135754, 0.154327, 0.17663, 0.203347, 0.235454, 0.274155, 0.320758, 0.376807, 0.444031, 0.524597, 0.621298, 0.737611, 0.877301, 1.04477, 1.2457, 1.48679, 1.77525", \ + "0.0437584, 0.123609, 0.139241, 0.157808, 0.180048, 0.206703, 0.238814, 0.277389, 0.323983, 0.379844, 0.44712, 0.527719, 0.624576, 0.740585, 0.880213, 1.04778, 1.24864, 1.48981, 1.77854", \ + "0.0512442, 0.129017, 0.14448, 0.163017, 0.1852, 0.211757, 0.243614, 0.282064, 0.328379, 0.384231, 0.451334, 0.531997, 0.628523, 0.744692, 0.884355, 1.05182, 1.25266, 1.49387, 1.78237", \ + "0.0640087, 0.137504, 0.152816, 0.171123, 0.193312, 0.219589, 0.251234, 0.289243, 0.335267, 0.390671, 0.457647, 0.538016, 0.634638, 0.750437, 0.889704, 1.05748, 1.25823, 1.49935, 1.78772", \ + "0.0813639, 0.1521, 0.166788, 0.184567, 0.206269, 0.232346, 0.263507, 0.301266, 0.34638, 0.400973, 0.467187, 0.547007, 0.64307, 0.758899, 0.898056, 1.06551, 1.26621, 1.50706, 1.79515", \ + "0.102495, 0.176718, 0.190736, 0.207663, 0.228355, 0.253443, 0.283946, 0.320836, 0.365493, 0.419028, 0.48393, 0.562415, 0.657385, 0.771946, 0.910693, 1.07751, 1.27784, 1.51831, 1.8064", \ + "0.12808, 0.217985, 0.231003, 0.24673, 0.266196, 0.289879, 0.318835, 0.354416, 0.397603, 0.449949, 0.513413, 0.590157, 0.682807, 0.795032, 0.931873, 1.0972, 1.29625, 1.53524, 1.82356", \ + "0.159774, 0.276961, 0.292739, 0.309637, 0.328345, 0.350664, 0.3779, 0.41109, 0.451878, 0.502022, 0.563309, 0.637837, 0.728686, 0.838313, 0.970688, 1.13191, 1.3282, 1.56539, 1.85143", \ + "0.199269, 0.349448, 0.370582, 0.392784, 0.417322, 0.444143, 0.472567, 0.504622, 0.542996, 0.589875, 0.647467, 0.718477, 0.805628, 0.912212, 1.04164, 1.19893, 1.38849, 1.61977, 1.90089", \ + "0.249201, 0.438903, 0.465963, 0.494977, 0.526938, 0.56278, 0.600099, 0.64121, 0.684857, 0.731957, 0.786936, 0.853508, 0.934913, 1.03575, 1.16024, 1.31208, 1.49793, 1.72181, 1.99359", \ + "0.314672, 0.549104, 0.582857, 0.621038, 0.662384, 0.708288, 0.756705, 0.81063, 0.86955, 0.93085, 0.997699, 1.06781, 1.14739, 1.24188, 1.35791, 1.50136, 1.67821, 1.89558, 2.16084", \ + "0.402772, 0.685984, 0.729101, 0.776812, 0.829331, 0.887169, 0.949858, 1.02053, 1.09635, 1.17758, 1.26499, 1.35752, 1.45834, 1.56376, 1.67886, 1.81499, 1.9804, 2.18379, 2.43668" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.070766, 0.23356, 0.265277, 0.303324, 0.348866, 0.403045, 0.467418, 0.544796, 0.637699, 0.749559, 0.882728, 1.04337, 1.23557, 1.46695, 1.74466, 2.07672, 2.47709, 2.9555, 3.53049", \ + "0.0701931, 0.233117, 0.264996, 0.303035, 0.348492, 0.402553, 0.467695, 0.544936, 0.637688, 0.749194, 0.882737, 1.04327, 1.23562, 1.46604, 1.7438, 2.07671, 2.47712, 2.95655, 3.53055", \ + "0.0706289, 0.232376, 0.264253, 0.302334, 0.347843, 0.402212, 0.467134, 0.544695, 0.63772, 0.749188, 0.882703, 1.04335, 1.2358, 1.46603, 1.7443, 2.07646, 2.47583, 2.95636, 3.53117", \ + "0.0727885, 0.230875, 0.262942, 0.301332, 0.346927, 0.401729, 0.467035, 0.544645, 0.637629, 0.749095, 0.882804, 1.04327, 1.23545, 1.46636, 1.74485, 2.07659, 2.47715, 2.9552, 3.53051", \ + "0.0781992, 0.229853, 0.261243, 0.299325, 0.345233, 0.400008, 0.465802, 0.544152, 0.637303, 0.749008, 0.882778, 1.04312, 1.23544, 1.46637, 1.7434, 2.07667, 2.47717, 2.95589, 3.53053", \ + "0.087842, 0.232228, 0.262408, 0.299345, 0.343943, 0.398214, 0.463179, 0.542519, 0.636326, 0.748432, 0.88268, 1.04325, 1.2353, 1.46638, 1.7435, 2.07702, 2.47712, 2.9559, 3.53073", \ + "0.103047, 0.241649, 0.270512, 0.305298, 0.348191, 0.400251, 0.463629, 0.540438, 0.63379, 0.746272, 0.882, 1.04295, 1.23544, 1.46639, 1.74445, 2.07698, 2.47584, 2.95675, 3.53053", \ + "0.122286, 0.262964, 0.290015, 0.322806, 0.363142, 0.412324, 0.472924, 0.546686, 0.637008, 0.745952, 0.879526, 1.04087, 1.23446, 1.46597, 1.74401, 2.07665, 2.47583, 2.95643, 3.53051", \ + "0.146279, 0.298839, 0.325939, 0.357774, 0.395964, 0.442356, 0.499124, 0.56846, 0.654038, 0.75907, 0.887085, 1.04313, 1.23392, 1.46421, 1.74319, 2.07685, 2.47587, 2.95529, 3.53051", \ + "0.176512, 0.351746, 0.379865, 0.411766, 0.450724, 0.497109, 0.551833, 0.617515, 0.69775, 0.796101, 0.917591, 1.0669, 1.24949, 1.47302, 1.74448, 2.07539, 2.4766, 2.95677, 3.53235", \ + "0.21393, 0.423622, 0.456274, 0.491226, 0.53097, 0.57889, 0.633884, 0.700883, 0.779813, 0.873731, 0.987764, 1.1281, 1.30074, 1.5119, 1.77382, 2.09305, 2.48281, 2.95637, 3.53061", \ + "0.261011, 0.512013, 0.549592, 0.593686, 0.63963, 0.695847, 0.754506, 0.822427, 0.904416, 0.999023, 1.11403, 1.24825, 1.41175, 1.61036, 1.85615, 2.15888, 2.53181, 2.98924, 3.54557", \ + "0.320933, 0.621368, 0.666996, 0.717417, 0.774731, 0.839675, 0.912205, 0.991317, 1.08305, 1.18228, 1.29881, 1.43586, 1.6019, 1.79609, 2.02951, 2.31375, 2.66367, 3.09634, 3.62866" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0254436, 0.07207, 0.0812918, 0.0923557, 0.105685, 0.121583, 0.140689, 0.16359, 0.191113, 0.224083, 0.263707, 0.311244, 0.368275, 0.436844, 0.518804, 0.61718, 0.735926, 0.877118, 1.04809", \ + "0.028536, 0.0751387, 0.0844104, 0.0954276, 0.108731, 0.124693, 0.143771, 0.166701, 0.194194, 0.227152, 0.266806, 0.314338, 0.371493, 0.439585, 0.521879, 0.62069, 0.73875, 0.880643, 1.05105", \ + "0.0323846, 0.0788294, 0.0880464, 0.099035, 0.112399, 0.128254, 0.147423, 0.170339, 0.197792, 0.230709, 0.270325, 0.317802, 0.375047, 0.443469, 0.525419, 0.623772, 0.742531, 0.883833, 1.0547", \ + "0.0382337, 0.0843902, 0.0935781, 0.104608, 0.11779, 0.133733, 0.152816, 0.175684, 0.203203, 0.236035, 0.275547, 0.323038, 0.380236, 0.448739, 0.530691, 0.629155, 0.747434, 0.889694, 1.05955", \ + "0.0449269, 0.0929814, 0.102102, 0.113127, 0.126185, 0.141979, 0.160953, 0.183754, 0.211214, 0.244245, 0.28365, 0.33102, 0.388057, 0.456354, 0.538665, 0.637261, 0.755521, 0.897413, 1.0671", \ + "0.0522889, 0.106126, 0.115224, 0.126152, 0.139228, 0.154983, 0.173856, 0.196465, 0.223565, 0.256535, 0.295941, 0.343385, 0.400045, 0.46844, 0.550469, 0.648834, 0.767102, 0.909239, 1.07936", \ + "0.0599369, 0.124878, 0.134649, 0.145954, 0.159031, 0.174787, 0.193475, 0.216067, 0.243094, 0.275557, 0.31495, 0.361973, 0.418544, 0.486506, 0.568442, 0.666788, 0.784558, 0.926335, 1.09632", \ + "0.0677154, 0.14662, 0.158786, 0.172077, 0.186974, 0.204086, 0.223363, 0.245781, 0.272979, 0.305146, 0.344076, 0.390914, 0.44691, 0.514681, 0.596161, 0.694476, 0.81174, 0.953521, 1.12301", \ + "0.0745345, 0.170853, 0.185237, 0.201336, 0.219662, 0.240332, 0.26299, 0.288872, 0.316982, 0.350003, 0.389202, 0.435388, 0.491324, 0.558434, 0.638915, 0.73671, 0.853941, 0.994942, 1.16411", \ + "0.0792729, 0.196756, 0.213947, 0.23405, 0.256186, 0.280926, 0.308711, 0.339154, 0.374371, 0.411896, 0.454794, 0.502843, 0.558287, 0.626024, 0.706311, 0.801529, 0.918679, 1.05883, 1.2272", \ + "0.079807, 0.222876, 0.244259, 0.268063, 0.295694, 0.324991, 0.358795, 0.396297, 0.437633, 0.4843, 0.535786, 0.592664, 0.656296, 0.726158, 0.807432, 0.904813, 1.01936, 1.15847, 1.32565", \ + "0.0726513, 0.2478, 0.273808, 0.302403, 0.335538, 0.371733, 0.412123, 0.457513, 0.508002, 0.564413, 0.625896, 0.695187, 0.77105, 0.85703, 0.949074, 1.05319, 1.17212, 1.31021, 1.4768", \ + "0.0523648, 0.264775, 0.2976, 0.332544, 0.373107, 0.41754, 0.465709, 0.52169, 0.581656, 0.650477, 0.724672, 0.80879, 0.901719, 1.00372, 1.11687, 1.24146, 1.37907, 1.53259, 1.70535" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0292589, 0.0958599, 0.108971, 0.124708, 0.143615, 0.166327, 0.193425, 0.226213, 0.26529, 0.312403, 0.368635, 0.436642, 0.517645, 0.615153, 0.73281, 0.872946, 1.04191, 1.24402, 1.48585", \ + "0.0287962, 0.0958162, 0.108959, 0.124727, 0.143619, 0.166278, 0.193532, 0.226113, 0.265286, 0.312166, 0.368969, 0.436333, 0.517713, 0.615134, 0.732702, 0.872646, 1.04143, 1.24381, 1.48663", \ + "0.0293215, 0.0957334, 0.108913, 0.124659, 0.143592, 0.166268, 0.193499, 0.226061, 0.265247, 0.312236, 0.368706, 0.436517, 0.517615, 0.615422, 0.73224, 0.873078, 1.04196, 1.24425, 1.48721", \ + "0.0312533, 0.0952065, 0.108622, 0.124601, 0.143617, 0.166259, 0.193417, 0.226117, 0.265422, 0.31215, 0.368625, 0.436683, 0.517617, 0.615627, 0.732809, 0.87277, 1.04149, 1.24431, 1.48728", \ + "0.0352065, 0.0956807, 0.108535, 0.124108, 0.143079, 0.166046, 0.193394, 0.226109, 0.265314, 0.312175, 0.368633, 0.436444, 0.518067, 0.615591, 0.732223, 0.87324, 1.04201, 1.24396, 1.48702", \ + "0.0408386, 0.0993788, 0.111462, 0.126204, 0.144177, 0.166263, 0.193124, 0.225913, 0.265175, 0.312318, 0.36863, 0.436349, 0.517964, 0.615152, 0.732622, 0.873345, 1.04181, 1.24349, 1.48634", \ + "0.0484865, 0.108001, 0.119445, 0.133169, 0.15028, 0.170899, 0.196361, 0.227448, 0.265518, 0.311956, 0.368755, 0.43656, 0.517835, 0.615655, 0.732528, 0.873343, 1.04112, 1.24365, 1.48735", \ + "0.0589512, 0.124377, 0.135051, 0.148016, 0.163579, 0.183113, 0.207078, 0.236224, 0.27238, 0.316178, 0.370355, 0.436851, 0.517507, 0.615581, 0.732727, 0.873389, 1.04167, 1.24349, 1.48635", \ + "0.0727306, 0.146549, 0.158723, 0.172398, 0.188649, 0.207202, 0.229098, 0.25661, 0.289592, 0.33165, 0.382273, 0.44502, 0.522035, 0.616768, 0.732301, 0.873432, 1.04193, 1.24404, 1.48634", \ + "0.0911373, 0.176468, 0.189575, 0.205309, 0.222789, 0.243769, 0.267004, 0.293358, 0.325632, 0.363324, 0.411398, 0.470412, 0.542245, 0.631954, 0.741927, 0.876047, 1.04247, 1.24351, 1.4867", \ + "0.114784, 0.21501, 0.230424, 0.248221, 0.268662, 0.290882, 0.317096, 0.347765, 0.381714, 0.421721, 0.466701, 0.520966, 0.588984, 0.673045, 0.775722, 0.90293, 1.05888, 1.25148, 1.48909", \ + "0.146015, 0.265505, 0.283103, 0.303692, 0.327604, 0.353499, 0.383452, 0.416969, 0.455548, 0.499305, 0.550887, 0.607682, 0.673248, 0.752403, 0.847332, 0.965882, 1.11419, 1.29466, 1.51778", \ + "0.187453, 0.331419, 0.352572, 0.376452, 0.403802, 0.433618, 0.467964, 0.508333, 0.552617, 0.60346, 0.658549, 0.72395, 0.798159, 0.880864, 0.977903, 1.08925, 1.2236, 1.39473, 1.60588" \ + ); + } + } + timing () { + related_pin : "S1B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0372655, 0.116927, 0.132418, 0.150909, 0.173186, 0.199872, 0.231971, 0.270712, 0.317042, 0.372786, 0.439638, 0.519727, 0.615843, 0.731506, 0.870257, 1.03658, 1.23655, 1.47613, 1.76344", \ + "0.0403178, 0.119816, 0.135296, 0.15385, 0.176085, 0.20272, 0.234832, 0.27344, 0.319803, 0.375413, 0.442436, 0.522688, 0.618568, 0.734021, 0.872918, 1.03896, 1.23941, 1.47874, 1.76654", \ + "0.0443263, 0.12322, 0.138663, 0.157169, 0.179343, 0.205895, 0.237992, 0.276416, 0.3228, 0.378378, 0.445366, 0.525603, 0.621498, 0.736938, 0.875826, 1.04217, 1.24213, 1.4817, 1.76823", \ + "0.0513355, 0.128452, 0.143855, 0.162228, 0.184286, 0.210774, 0.242622, 0.280912, 0.326999, 0.38256, 0.449435, 0.529639, 0.625729, 0.741147, 0.879801, 1.0464, 1.24536, 1.48565, 1.77316", \ + "0.0636114, 0.136884, 0.151931, 0.170228, 0.192154, 0.218453, 0.250008, 0.287893, 0.333747, 0.388789, 0.45554, 0.535401, 0.631164, 0.746881, 0.885299, 1.05184, 1.25141, 1.49091, 1.77757", \ + "0.0805247, 0.151142, 0.165744, 0.183435, 0.205039, 0.230873, 0.262021, 0.299632, 0.344827, 0.399381, 0.465027, 0.544596, 0.640028, 0.755248, 0.893465, 1.05924, 1.25919, 1.49866, 1.78617", \ + "0.101147, 0.175499, 0.189403, 0.206283, 0.226854, 0.251879, 0.282215, 0.319043, 0.363576, 0.417331, 0.481889, 0.560135, 0.654139, 0.768566, 0.906282, 1.07145, 1.271, 1.50988, 1.79754", \ + "0.126438, 0.216077, 0.229073, 0.244808, 0.264164, 0.287734, 0.316619, 0.351807, 0.395242, 0.447379, 0.511014, 0.587685, 0.680341, 0.792086, 0.927561, 1.09232, 1.29004, 1.52846, 1.81465", \ + "0.157787, 0.273662, 0.289529, 0.306538, 0.325402, 0.347782, 0.374835, 0.407942, 0.448654, 0.498591, 0.559965, 0.634752, 0.72538, 0.834733, 0.967661, 1.12814, 1.32326, 1.55914, 1.84352", \ + "0.196944, 0.344566, 0.365623, 0.387983, 0.4123, 0.439214, 0.467838, 0.49994, 0.538356, 0.58517, 0.642596, 0.713914, 0.800814, 0.907442, 1.0372, 1.1944, 1.38386, 1.61456, 1.89449", \ + "0.246186, 0.432913, 0.459275, 0.488293, 0.520229, 0.555136, 0.592697, 0.633439, 0.67744, 0.724918, 0.780233, 0.846462, 0.927771, 1.02847, 1.1533, 1.30565, 1.49084, 1.71692, 1.98917", \ + "0.311338, 0.541123, 0.574469, 0.611828, 0.652311, 0.697817, 0.74677, 0.799054, 0.857955, 0.919703, 0.986368, 1.05744, 1.1369, 1.23155, 1.34753, 1.49098, 1.66829, 1.88489, 2.15215", \ + "0.39843, 0.676487, 0.718102, 0.764994, 0.816391, 0.872791, 0.935462, 1.00432, 1.07997, 1.15886, 1.24744, 1.34035, 1.44029, 1.54729, 1.66346, 1.79912, 1.96487, 2.16829, 2.42138" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.070499, 0.226951, 0.257437, 0.293875, 0.33753, 0.389482, 0.451743, 0.526575, 0.615904, 0.72371, 0.852751, 1.00748, 1.19369, 1.41694, 1.68498, 2.00665, 2.39405, 2.85706, 3.41195", \ + "0.0697931, 0.226359, 0.257273, 0.293536, 0.337357, 0.389412, 0.451797, 0.526285, 0.615899, 0.723534, 0.852848, 1.00813, 1.19364, 1.4171, 1.68502, 2.00701, 2.39415, 2.8573, 3.41392", \ + "0.0700755, 0.225787, 0.25654, 0.293038, 0.337008, 0.389244, 0.451752, 0.526313, 0.615923, 0.723481, 0.852669, 1.00772, 1.19373, 1.41712, 1.68573, 2.00663, 2.39403, 2.85697, 3.41263", \ + "0.0720218, 0.224202, 0.255177, 0.292013, 0.336275, 0.388749, 0.451281, 0.52634, 0.616099, 0.723564, 0.852829, 1.00772, 1.19404, 1.41695, 1.68573, 2.00692, 2.39304, 2.85792, 3.41417", \ + "0.077159, 0.223113, 0.253548, 0.290076, 0.334656, 0.38765, 0.450611, 0.526048, 0.615606, 0.723475, 0.852743, 1.00763, 1.19373, 1.41694, 1.68492, 2.00776, 2.394, 2.85797, 3.41252", \ + "0.0864489, 0.225434, 0.254598, 0.289892, 0.333353, 0.385451, 0.448646, 0.524741, 0.615528, 0.72349, 0.85251, 1.0079, 1.19375, 1.41684, 1.6854, 2.00695, 2.39391, 2.85706, 3.41396", \ + "0.101364, 0.23451, 0.262244, 0.295792, 0.337058, 0.387475, 0.44859, 0.523097, 0.613212, 0.721791, 0.852226, 1.00784, 1.19373, 1.41686, 1.68544, 2.00728, 2.39387, 2.85608, 3.41367", \ + "0.120137, 0.255332, 0.281318, 0.312976, 0.351722, 0.399127, 0.457564, 0.528262, 0.615787, 0.721368, 0.850256, 1.00639, 1.19369, 1.41682, 1.68497, 2.00768, 2.394, 2.85801, 3.41326", \ + "0.143894, 0.290297, 0.316588, 0.347081, 0.383785, 0.428611, 0.483097, 0.549948, 0.632529, 0.733691, 0.857461, 1.00887, 1.19235, 1.41566, 1.68532, 2.00765, 2.39401, 2.85702, 3.41355", \ + "0.174083, 0.342867, 0.369586, 0.400813, 0.43731, 0.482007, 0.534533, 0.597847, 0.674875, 0.769917, 0.886839, 1.03092, 1.20731, 1.42292, 1.68582, 2.00558, 2.39278, 2.85659, 3.41351", \ + "0.211265, 0.413743, 0.444298, 0.479265, 0.517183, 0.562487, 0.615673, 0.67926, 0.755193, 0.845341, 0.955523, 1.09055, 1.25624, 1.46097, 1.71404, 2.02198, 2.39745, 2.85701, 3.41357", \ + "0.258187, 0.500952, 0.536832, 0.578324, 0.624545, 0.677494, 0.734936, 0.799317, 0.877456, 0.96821, 1.07842, 1.20808, 1.36509, 1.55674, 1.79229, 2.08567, 2.44515, 2.88569, 3.42567", \ + "0.317416, 0.608898, 0.652567, 0.701603, 0.758109, 0.819252, 0.889777, 0.965069, 1.05427, 1.14789, 1.25963, 1.39346, 1.55022, 1.73836, 1.96214, 2.23621, 2.57427, 2.98971, 3.50394" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0240383, 0.0727763, 0.0824718, 0.0940758, 0.108064, 0.12473, 0.144835, 0.16883, 0.197785, 0.232238, 0.273975, 0.323905, 0.38367, 0.455668, 0.541947, 0.64558, 0.769687, 0.918017, 1.09762", \ + "0.0274, 0.0760849, 0.0856761, 0.0973328, 0.11129, 0.127992, 0.14799, 0.172153, 0.200945, 0.235671, 0.277202, 0.326988, 0.387041, 0.458652, 0.545297, 0.64852, 0.772879, 0.921947, 1.10041", \ + "0.0313804, 0.079886, 0.0895527, 0.101087, 0.115047, 0.131794, 0.151778, 0.175875, 0.204745, 0.239359, 0.280954, 0.330929, 0.390827, 0.462282, 0.548586, 0.652106, 0.776298, 0.924894, 1.1045", \ + "0.0373992, 0.0856804, 0.0952958, 0.10689, 0.120769, 0.137366, 0.157424, 0.181425, 0.210417, 0.244927, 0.286542, 0.336426, 0.396069, 0.467739, 0.554045, 0.657801, 0.781641, 0.93063, 1.10993", \ + "0.0442843, 0.0944961, 0.104133, 0.115667, 0.129452, 0.14606, 0.166076, 0.189967, 0.218789, 0.253161, 0.294844, 0.34475, 0.404408, 0.47634, 0.562056, 0.665955, 0.789598, 0.938437, 1.11822", \ + "0.051842, 0.107864, 0.117523, 0.128992, 0.142807, 0.159298, 0.179196, 0.203041, 0.23163, 0.266005, 0.307634, 0.357336, 0.416924, 0.488941, 0.574526, 0.677952, 0.802419, 0.95104, 1.1302", \ + "0.0597661, 0.127347, 0.137392, 0.149119, 0.162795, 0.179615, 0.199312, 0.223014, 0.251521, 0.285706, 0.326736, 0.376547, 0.436028, 0.507794, 0.593682, 0.696845, 0.820831, 0.969026, 1.14793", \ + "0.0678496, 0.149904, 0.162684, 0.176417, 0.192084, 0.209263, 0.229586, 0.253404, 0.281918, 0.315908, 0.356764, 0.406272, 0.465133, 0.536555, 0.62232, 0.725251, 0.848923, 0.997464, 1.17615", \ + "0.0752513, 0.175415, 0.190303, 0.207436, 0.226106, 0.247855, 0.270899, 0.297835, 0.326739, 0.361511, 0.402801, 0.452042, 0.510571, 0.581731, 0.666119, 0.768762, 0.892547, 1.04023, 1.2184", \ + "0.0806207, 0.202447, 0.220668, 0.241031, 0.264544, 0.290255, 0.319153, 0.351011, 0.386958, 0.426247, 0.469735, 0.520323, 0.579111, 0.650166, 0.735124, 0.836458, 0.958031, 1.10689, 1.28322", \ + "0.0818968, 0.230788, 0.252719, 0.277523, 0.305479, 0.33693, 0.371579, 0.410944, 0.454017, 0.50177, 0.555664, 0.614008, 0.679045, 0.752531, 0.837192, 0.939949, 1.06079, 1.20647, 1.38255", \ + "0.0757575, 0.257293, 0.283542, 0.313933, 0.348598, 0.385696, 0.42866, 0.475349, 0.527807, 0.586311, 0.650299, 0.721598, 0.801271, 0.889308, 0.986239, 1.09248, 1.21579, 1.36307, 1.53839", \ + "0.0566005, 0.277015, 0.310275, 0.346448, 0.389399, 0.434847, 0.485465, 0.543895, 0.606553, 0.67706, 0.755587, 0.841104, 0.937887, 1.0442, 1.16038, 1.29244, 1.43469, 1.59168, 1.77111" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0298796, 0.100978, 0.114965, 0.131597, 0.15178, 0.175677, 0.204705, 0.239311, 0.280994, 0.330593, 0.390833, 0.46279, 0.548983, 0.652733, 0.777128, 0.926275, 1.10538, 1.31883, 1.57817", \ + "0.0295337, 0.100999, 0.114916, 0.131661, 0.151732, 0.17578, 0.204529, 0.239377, 0.280959, 0.330983, 0.390833, 0.462411, 0.549187, 0.652507, 0.777267, 0.926175, 1.10538, 1.32032, 1.57777", \ + "0.0301375, 0.100785, 0.114915, 0.131526, 0.151626, 0.175865, 0.204642, 0.239471, 0.280959, 0.330982, 0.390853, 0.462884, 0.549259, 0.652107, 0.776812, 0.925565, 1.10511, 1.3189, 1.57792", \ + "0.0320922, 0.100325, 0.114573, 0.131498, 0.151572, 0.175646, 0.204528, 0.23931, 0.280881, 0.330939, 0.390857, 0.462838, 0.548687, 0.652124, 0.776811, 0.926244, 1.10444, 1.31975, 1.57804", \ + "0.0361282, 0.100664, 0.114324, 0.130988, 0.151152, 0.175669, 0.204639, 0.239316, 0.280929, 0.330637, 0.390835, 0.462824, 0.549074, 0.652814, 0.776361, 0.926316, 1.10427, 1.31896, 1.57751", \ + "0.0419621, 0.104245, 0.117184, 0.132894, 0.152124, 0.175572, 0.204277, 0.239158, 0.280935, 0.330819, 0.390875, 0.462911, 0.54909, 0.652538, 0.776374, 0.925962, 1.10533, 1.31995, 1.57812", \ + "0.0497652, 0.112868, 0.124838, 0.139789, 0.157743, 0.179976, 0.207104, 0.240246, 0.281045, 0.33061, 0.390491, 0.462833, 0.549286, 0.652811, 0.777041, 0.926222, 1.10523, 1.31896, 1.57651", \ + "0.0605218, 0.128851, 0.140602, 0.154225, 0.171258, 0.191673, 0.217768, 0.24859, 0.287108, 0.334134, 0.391832, 0.462795, 0.548603, 0.652653, 0.777019, 0.926226, 1.10522, 1.31901, 1.57804", \ + "0.0746992, 0.152277, 0.164717, 0.17887, 0.195854, 0.215725, 0.238716, 0.268638, 0.304075, 0.348552, 0.403488, 0.470244, 0.552042, 0.653508, 0.776311, 0.926004, 1.10511, 1.31889, 1.57788", \ + "0.0931218, 0.182367, 0.196558, 0.213344, 0.231577, 0.252875, 0.277677, 0.30537, 0.339428, 0.380119, 0.430961, 0.494704, 0.571656, 0.666976, 0.784725, 0.928708, 1.10432, 1.32025, 1.57752", \ + "0.117165, 0.222449, 0.23854, 0.257011, 0.277723, 0.302173, 0.329323, 0.361034, 0.396503, 0.437593, 0.486572, 0.543658, 0.61635, 0.70659, 0.816062, 0.952669, 1.11869, 1.32495, 1.57697", \ + "0.148707, 0.274493, 0.291915, 0.314275, 0.339194, 0.366769, 0.398245, 0.432765, 0.473992, 0.51971, 0.571572, 0.63171, 0.70155, 0.784014, 0.887555, 1.0147, 1.17157, 1.3657, 1.60488", \ + "0.190372, 0.340826, 0.363546, 0.388837, 0.416767, 0.448585, 0.484774, 0.526654, 0.573565, 0.625125, 0.683908, 0.751987, 0.828422, 0.916319, 1.01606, 1.13692, 1.28299, 1.46329, 1.68901" \ + ); + } + } + timing () { + related_pin : "S2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0364422, 0.117339, 0.132876, 0.151468, 0.173788, 0.200529, 0.232695, 0.271467, 0.317993, 0.373917, 0.4413, 0.521875, 0.618917, 0.734973, 0.874493, 1.04221, 1.24304, 1.48419, 1.77346", \ + "0.0396423, 0.120315, 0.135882, 0.154486, 0.176772, 0.203492, 0.235648, 0.274338, 0.320911, 0.376964, 0.44416, 0.524736, 0.621718, 0.737802, 0.8773, 1.04493, 1.2458, 1.48696, 1.77628", \ + "0.0439192, 0.123765, 0.139359, 0.15792, 0.180221, 0.206819, 0.238912, 0.27754, 0.324088, 0.379931, 0.447234, 0.527957, 0.6247, 0.74084, 0.880363, 1.04777, 1.24877, 1.48989, 1.77834", \ + "0.051362, 0.129097, 0.144601, 0.163149, 0.185319, 0.211863, 0.243767, 0.282173, 0.328492, 0.384374, 0.451494, 0.53209, 0.628787, 0.744816, 0.884465, 1.05143, 1.2529, 1.4935, 1.7822", \ + "0.0641128, 0.137694, 0.152947, 0.171225, 0.193368, 0.219666, 0.251306, 0.289365, 0.335278, 0.390765, 0.45773, 0.537991, 0.634738, 0.750456, 0.890162, 1.05704, 1.2584, 1.49943, 1.78788", \ + "0.0814757, 0.152155, 0.16691, 0.184659, 0.20635, 0.232426, 0.263583, 0.301335, 0.346422, 0.401056, 0.467278, 0.547144, 0.643478, 0.759076, 0.8981, 1.06554, 1.26628, 1.50721, 1.79547", \ + "0.1026, 0.176811, 0.190787, 0.207755, 0.228432, 0.253449, 0.284026, 0.320998, 0.365487, 0.419165, 0.483985, 0.562518, 0.65747, 0.772083, 0.910914, 1.07752, 1.27786, 1.51835, 1.80631", \ + "0.128213, 0.218109, 0.231078, 0.246816, 0.266265, 0.289955, 0.318898, 0.354497, 0.397677, 0.449987, 0.513373, 0.590264, 0.682811, 0.79502, 0.931979, 1.09729, 1.29619, 1.53622, 1.82441", \ + "0.159909, 0.277061, 0.292891, 0.309775, 0.328439, 0.350797, 0.377971, 0.411203, 0.451925, 0.502052, 0.563273, 0.637942, 0.728763, 0.838342, 0.970734, 1.13204, 1.32819, 1.56539, 1.85146", \ + "0.199401, 0.349558, 0.370682, 0.392897, 0.417429, 0.444314, 0.472625, 0.504714, 0.543104, 0.589956, 0.647485, 0.718491, 0.805731, 0.912284, 1.04165, 1.19894, 1.38854, 1.62, 1.90093", \ + "0.249259, 0.439031, 0.466088, 0.495216, 0.527064, 0.562919, 0.600401, 0.641179, 0.684969, 0.732062, 0.787021, 0.853568, 0.934998, 1.0359, 1.16031, 1.31215, 1.49791, 1.72232, 1.99356", \ + "0.314812, 0.549249, 0.582949, 0.621167, 0.662491, 0.708437, 0.756827, 0.810751, 0.869679, 0.930985, 0.997703, 1.06792, 1.14744, 1.24191, 1.35804, 1.50141, 1.67861, 1.89517, 2.16083", \ + "0.402969, 0.686432, 0.72925, 0.776942, 0.829456, 0.887328, 0.949995, 1.02063, 1.09629, 1.1776, 1.26506, 1.35766, 1.45844, 1.56386, 1.67896, 1.81505, 1.98034, 2.18382, 2.43677" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.070933, 0.233745, 0.265441, 0.303273, 0.34884, 0.402961, 0.467429, 0.544819, 0.637682, 0.748925, 0.882736, 1.04274, 1.23598, 1.46694, 1.74423, 2.07659, 2.4773, 2.9555, 3.53143", \ + "0.070309, 0.233137, 0.264913, 0.303051, 0.348575, 0.402814, 0.467353, 0.544763, 0.637689, 0.749216, 0.88274, 1.04273, 1.2354, 1.4662, 1.74427, 2.07665, 2.47642, 2.95645, 3.53236", \ + "0.0707292, 0.232438, 0.264377, 0.302305, 0.348101, 0.40224, 0.46752, 0.544699, 0.63815, 0.748898, 0.882621, 1.04288, 1.23554, 1.46695, 1.74479, 2.0779, 2.47581, 2.95656, 3.53056", \ + "0.0728849, 0.230951, 0.263162, 0.301236, 0.346969, 0.401899, 0.467076, 0.544644, 0.637659, 0.749101, 0.883163, 1.04314, 1.23574, 1.46639, 1.74348, 2.0765, 2.47605, 2.95639, 3.5306", \ + "0.078296, 0.229812, 0.261376, 0.299352, 0.34525, 0.400037, 0.465916, 0.544348, 0.637315, 0.749036, 0.882713, 1.0428, 1.23543, 1.46634, 1.74421, 2.0765, 2.47715, 2.95594, 3.53056", \ + "0.0879497, 0.232293, 0.26246, 0.299473, 0.343955, 0.398179, 0.463204, 0.542426, 0.636374, 0.74844, 0.882683, 1.04326, 1.23542, 1.46625, 1.74432, 2.0765, 2.47599, 2.95588, 3.53057", \ + "0.10321, 0.241693, 0.270529, 0.30534, 0.348349, 0.400358, 0.463659, 0.540522, 0.63364, 0.746406, 0.881735, 1.04296, 1.23543, 1.46618, 1.74487, 2.0769, 2.4768, 2.95648, 3.53105", \ + "0.122334, 0.263055, 0.290035, 0.322855, 0.363189, 0.412365, 0.472912, 0.546697, 0.636834, 0.745994, 0.879235, 1.04069, 1.23453, 1.46627, 1.74401, 2.07696, 2.47716, 2.95562, 3.5317", \ + "0.14635, 0.298883, 0.326088, 0.357879, 0.396019, 0.442451, 0.499172, 0.568589, 0.653976, 0.758915, 0.887115, 1.04315, 1.23392, 1.46422, 1.74319, 2.07712, 2.47719, 2.95473, 3.5305", \ + "0.176591, 0.351867, 0.379993, 0.411921, 0.450735, 0.49723, 0.551853, 0.617565, 0.697748, 0.796131, 0.917637, 1.06678, 1.24948, 1.47296, 1.74398, 2.07575, 2.47659, 2.95677, 3.53229", \ + "0.21412, 0.423738, 0.456411, 0.491556, 0.531432, 0.57894, 0.634099, 0.700836, 0.779831, 0.873783, 0.987786, 1.12816, 1.30043, 1.51208, 1.77397, 2.09331, 2.4826, 2.95574, 3.5304", \ + "0.26109, 0.512074, 0.550456, 0.593789, 0.639735, 0.696065, 0.754608, 0.822535, 0.904525, 0.998987, 1.11397, 1.24825, 1.41187, 1.61048, 1.85577, 2.15891, 2.53227, 2.98695, 3.54563", \ + "0.320897, 0.621854, 0.667116, 0.717507, 0.774849, 0.839809, 0.912317, 0.99082, 1.08302, 1.18095, 1.29894, 1.43596, 1.60172, 1.79621, 2.02955, 2.31369, 2.66355, 3.09609, 3.62868" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0254436, 0.07207, 0.0812918, 0.0923557, 0.105685, 0.121583, 0.140689, 0.16359, 0.191113, 0.224083, 0.263707, 0.311244, 0.368275, 0.436844, 0.518804, 0.61718, 0.735926, 0.877118, 1.04809", \ + "0.028536, 0.0751387, 0.0844104, 0.0954276, 0.108731, 0.124693, 0.143771, 0.166701, 0.194194, 0.227152, 0.266806, 0.314338, 0.371493, 0.439585, 0.521879, 0.62069, 0.73875, 0.880643, 1.05105", \ + "0.0323846, 0.0788294, 0.0880464, 0.099035, 0.112399, 0.128254, 0.147423, 0.170339, 0.197792, 0.230709, 0.270325, 0.317802, 0.375047, 0.443469, 0.525419, 0.623772, 0.742531, 0.883833, 1.0547", \ + "0.0382337, 0.0843902, 0.0935781, 0.104608, 0.11779, 0.133733, 0.152816, 0.175684, 0.203203, 0.236035, 0.275547, 0.323038, 0.380236, 0.448739, 0.530691, 0.629155, 0.747434, 0.889694, 1.05955", \ + "0.0449269, 0.0929814, 0.102102, 0.113127, 0.126185, 0.141979, 0.160953, 0.183754, 0.211214, 0.244245, 0.28365, 0.33102, 0.388057, 0.456354, 0.538665, 0.637261, 0.755521, 0.897413, 1.0671", \ + "0.0522889, 0.106126, 0.115224, 0.126152, 0.139228, 0.154983, 0.173856, 0.196465, 0.223565, 0.256535, 0.295941, 0.343385, 0.400045, 0.46844, 0.550469, 0.648834, 0.767102, 0.909239, 1.07936", \ + "0.0599369, 0.124878, 0.134649, 0.145954, 0.159031, 0.174787, 0.193475, 0.216067, 0.243094, 0.275557, 0.31495, 0.361973, 0.418544, 0.486506, 0.568442, 0.666788, 0.784558, 0.926335, 1.09632", \ + "0.0677154, 0.14662, 0.158786, 0.172077, 0.186974, 0.204086, 0.223363, 0.245781, 0.272979, 0.305146, 0.344076, 0.390914, 0.44691, 0.514681, 0.596161, 0.694476, 0.81174, 0.953521, 1.12301", \ + "0.0745345, 0.170853, 0.185237, 0.201336, 0.219662, 0.240332, 0.26299, 0.288872, 0.316982, 0.350003, 0.389202, 0.435388, 0.491324, 0.558434, 0.638915, 0.73671, 0.853941, 0.994942, 1.16411", \ + "0.0792729, 0.196756, 0.213947, 0.23405, 0.256186, 0.280926, 0.308711, 0.339154, 0.374371, 0.411896, 0.454794, 0.502843, 0.558287, 0.626024, 0.706311, 0.801529, 0.918679, 1.05883, 1.2272", \ + "0.079807, 0.222876, 0.244259, 0.268063, 0.295694, 0.324991, 0.358795, 0.396297, 0.437633, 0.4843, 0.535786, 0.592664, 0.656296, 0.726158, 0.807432, 0.904813, 1.01936, 1.15847, 1.32565", \ + "0.0726513, 0.2478, 0.273808, 0.302403, 0.335538, 0.371733, 0.412123, 0.457513, 0.508002, 0.564413, 0.625896, 0.695187, 0.77105, 0.85703, 0.949074, 1.05319, 1.17212, 1.31021, 1.4768", \ + "0.0523648, 0.264775, 0.2976, 0.332544, 0.373107, 0.41754, 0.465709, 0.52169, 0.581656, 0.650477, 0.724672, 0.80879, 0.901719, 1.00372, 1.11687, 1.24146, 1.37907, 1.53259, 1.70535" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0294579, 0.0958977, 0.108989, 0.124704, 0.143645, 0.166225, 0.193455, 0.226097, 0.265291, 0.312249, 0.368717, 0.436584, 0.517856, 0.615552, 0.732444, 0.872692, 1.04201, 1.24342, 1.4872", \ + "0.0289072, 0.0958168, 0.108999, 0.124701, 0.143617, 0.166295, 0.193403, 0.226122, 0.265251, 0.312239, 0.368839, 0.436259, 0.518025, 0.615122, 0.732443, 0.873301, 1.04121, 1.24323, 1.48598", \ + "0.0294023, 0.0958061, 0.108972, 0.12466, 0.143663, 0.166271, 0.193531, 0.226168, 0.265231, 0.312239, 0.368709, 0.436348, 0.51783, 0.61556, 0.732523, 0.872693, 1.04196, 1.24349, 1.48721", \ + "0.0312849, 0.0952994, 0.108711, 0.124608, 0.143532, 0.166317, 0.193366, 0.226151, 0.265377, 0.312308, 0.368627, 0.43622, 0.517622, 0.615584, 0.732469, 0.872807, 1.04166, 1.24432, 1.4857", \ + "0.0352336, 0.0956876, 0.108544, 0.124167, 0.143153, 0.166094, 0.193354, 0.226015, 0.265319, 0.312326, 0.368885, 0.436345, 0.517726, 0.615289, 0.732714, 0.873299, 1.04195, 1.24438, 1.48562", \ + "0.0407996, 0.09933, 0.111422, 0.126181, 0.144157, 0.166213, 0.193081, 0.225812, 0.265194, 0.312332, 0.36887, 0.436722, 0.51763, 0.61529, 0.732823, 0.87298, 1.04191, 1.24431, 1.48736", \ + "0.0483397, 0.108024, 0.119221, 0.133206, 0.150137, 0.170895, 0.196166, 0.227499, 0.265571, 0.312219, 0.36877, 0.436531, 0.517839, 0.61529, 0.7325, 0.872585, 1.04162, 1.2438, 1.48576", \ + "0.0587516, 0.123995, 0.135092, 0.14788, 0.163536, 0.183038, 0.20705, 0.235978, 0.272092, 0.316216, 0.370275, 0.436799, 0.517674, 0.615062, 0.73253, 0.873254, 1.04154, 1.2444, 1.48623", \ + "0.0726876, 0.146355, 0.158359, 0.17208, 0.188298, 0.206922, 0.228748, 0.256445, 0.289761, 0.331522, 0.382429, 0.444685, 0.522075, 0.616424, 0.732153, 0.87286, 1.04165, 1.2443, 1.48635", \ + "0.091018, 0.176197, 0.189334, 0.204874, 0.222686, 0.243626, 0.266747, 0.293511, 0.325348, 0.363104, 0.41116, 0.470169, 0.542258, 0.631789, 0.741451, 0.876033, 1.04236, 1.24353, 1.48684", \ + "0.114642, 0.214525, 0.230151, 0.247864, 0.26803, 0.290967, 0.317094, 0.347104, 0.381858, 0.421671, 0.466733, 0.520791, 0.589046, 0.671939, 0.775352, 0.902943, 1.05881, 1.25233, 1.48867", \ + "0.145823, 0.265129, 0.282621, 0.303472, 0.327337, 0.353079, 0.382863, 0.41636, 0.455168, 0.499036, 0.551027, 0.607744, 0.673751, 0.752625, 0.846383, 0.965804, 1.1141, 1.29494, 1.51779", \ + "0.187108, 0.331394, 0.352505, 0.375932, 0.403572, 0.433873, 0.46855, 0.508495, 0.552377, 0.602572, 0.658276, 0.723838, 0.797253, 0.879833, 0.978428, 1.08913, 1.22317, 1.39383, 1.60584" \ + ); + } + } + timing () { + related_pin : "S2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0360842, 0.115812, 0.131318, 0.149805, 0.172078, 0.198737, 0.230916, 0.26946, 0.315878, 0.371491, 0.438327, 0.518499, 0.614586, 0.730193, 0.868986, 1.03509, 1.23537, 1.47423, 1.76252", \ + "0.0392595, 0.118795, 0.134271, 0.152789, 0.175046, 0.201649, 0.233743, 0.272274, 0.318712, 0.374468, 0.44134, 0.521505, 0.617417, 0.73302, 0.87173, 1.03786, 1.2383, 1.47771, 1.76452", \ + "0.0433339, 0.122308, 0.137739, 0.156215, 0.17838, 0.204965, 0.236939, 0.275422, 0.321882, 0.37743, 0.444152, 0.524203, 0.620335, 0.736032, 0.874724, 1.04122, 1.24107, 1.48073, 1.76777", \ + "0.050475, 0.127531, 0.142898, 0.161309, 0.183447, 0.209902, 0.241738, 0.279939, 0.326161, 0.381747, 0.448333, 0.528531, 0.624787, 0.739941, 0.878892, 1.04541, 1.24493, 1.4846, 1.77203", \ + "0.0627343, 0.136021, 0.151194, 0.169376, 0.191253, 0.217613, 0.249059, 0.287083, 0.332932, 0.387973, 0.454585, 0.534455, 0.6302, 0.745486, 0.88427, 1.05071, 1.25046, 1.48983, 1.77666", \ + "0.0795611, 0.150382, 0.164948, 0.18277, 0.204278, 0.230085, 0.261208, 0.298767, 0.344035, 0.398302, 0.464098, 0.543575, 0.63908, 0.754335, 0.89249, 1.05873, 1.25758, 1.49772, 1.78519", \ + "0.100004, 0.174738, 0.188637, 0.205532, 0.226122, 0.250984, 0.281626, 0.318228, 0.362872, 0.416417, 0.480962, 0.559011, 0.65329, 0.767723, 0.905407, 1.07093, 1.26954, 1.50842, 1.79642", \ + "0.125202, 0.215212, 0.228265, 0.244044, 0.26339, 0.287035, 0.315983, 0.351082, 0.394577, 0.446803, 0.510461, 0.586946, 0.679384, 0.791301, 0.926932, 1.0914, 1.28917, 1.52753, 1.81363", \ + "0.156244, 0.272941, 0.288567, 0.305662, 0.324496, 0.34699, 0.374055, 0.407214, 0.447805, 0.497944, 0.55923, 0.633918, 0.724801, 0.834508, 0.966972, 1.12739, 1.32253, 1.55819, 1.84317", \ + "0.195371, 0.344033, 0.36443, 0.386868, 0.411343, 0.438221, 0.466961, 0.499059, 0.53762, 0.58451, 0.641953, 0.712899, 0.799953, 0.906707, 1.03675, 1.19435, 1.38313, 1.61427, 1.8937", \ + "0.244531, 0.431266, 0.458047, 0.487257, 0.518738, 0.554034, 0.591712, 0.632705, 0.676506, 0.723945, 0.779213, 0.845837, 0.927194, 1.0281, 1.15275, 1.30493, 1.48993, 1.71629, 1.98836", \ + "0.309249, 0.539641, 0.573045, 0.610427, 0.651126, 0.696617, 0.745559, 0.798016, 0.856917, 0.917755, 0.985017, 1.05628, 1.13593, 1.23071, 1.34661, 1.48998, 1.66791, 1.88498, 2.1514", \ + "0.395832, 0.675034, 0.716294, 0.763859, 0.815447, 0.871269, 0.934065, 1.0029, 1.07864, 1.15867, 1.2462, 1.33913, 1.43916, 1.54647, 1.6626, 1.79835, 1.96422, 2.16752, 2.42133" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0698542, 0.226705, 0.257248, 0.29373, 0.337363, 0.389446, 0.451857, 0.526289, 0.615934, 0.723338, 0.85286, 1.00758, 1.19375, 1.41697, 1.68556, 2.00705, 2.39355, 2.85629, 3.41343", \ + "0.0692564, 0.226126, 0.25701, 0.293442, 0.337243, 0.389361, 0.451822, 0.526327, 0.616106, 0.723882, 0.852674, 1.00831, 1.19374, 1.41696, 1.685, 2.00692, 2.39436, 2.85766, 3.41246", \ + "0.0696166, 0.225511, 0.256237, 0.292873, 0.336893, 0.389155, 0.451669, 0.526289, 0.616324, 0.723667, 0.852666, 1.00779, 1.19373, 1.41698, 1.68501, 2.00768, 2.39398, 2.85662, 3.41335", \ + "0.0716534, 0.223968, 0.25507, 0.291811, 0.336113, 0.388708, 0.451371, 0.52608, 0.615893, 0.723846, 0.852656, 1.00801, 1.19402, 1.41697, 1.68525, 2.00773, 2.394, 2.85732, 3.41228", \ + "0.0767458, 0.222883, 0.25333, 0.289912, 0.334443, 0.387521, 0.450579, 0.525771, 0.615913, 0.723724, 0.852672, 1.00807, 1.1937, 1.4171, 1.6849, 2.00768, 2.39402, 2.85746, 3.41278", \ + "0.0859404, 0.225216, 0.254382, 0.289985, 0.333154, 0.385476, 0.448558, 0.524631, 0.615419, 0.723558, 0.852649, 1.00794, 1.19377, 1.41731, 1.68494, 2.00672, 2.39304, 2.85706, 3.41346", \ + "0.100509, 0.234282, 0.261966, 0.29586, 0.336979, 0.387041, 0.448654, 0.522776, 0.613173, 0.722166, 0.851712, 1.00789, 1.19377, 1.41686, 1.68493, 2.00654, 2.39304, 2.85638, 3.41225", \ + "0.119417, 0.255083, 0.281122, 0.312855, 0.351663, 0.399023, 0.457506, 0.528178, 0.615993, 0.721411, 0.85035, 1.00634, 1.19371, 1.41691, 1.68492, 2.00768, 2.39399, 2.85774, 3.41188", \ + "0.143279, 0.290126, 0.316227, 0.346787, 0.38367, 0.428414, 0.482895, 0.550031, 0.632378, 0.733819, 0.856868, 1.00863, 1.19237, 1.41565, 1.68544, 2.00765, 2.3932, 2.8579, 3.41459", \ + "0.173466, 0.342862, 0.368951, 0.400257, 0.436809, 0.481572, 0.534385, 0.597729, 0.674789, 0.769939, 0.886742, 1.03046, 1.20678, 1.4226, 1.68595, 2.00665, 2.39277, 2.85728, 3.41395", \ + "0.21066, 0.412486, 0.443575, 0.478785, 0.516301, 0.561422, 0.615498, 0.679048, 0.754704, 0.845156, 0.955119, 1.09031, 1.25628, 1.46095, 1.71366, 2.02225, 2.39772, 2.85767, 3.41207", \ + "0.258081, 0.500621, 0.536324, 0.577927, 0.62408, 0.676751, 0.734208, 0.798844, 0.876863, 0.96776, 1.07774, 1.20762, 1.36532, 1.55583, 1.79327, 2.08494, 2.44521, 2.88624, 3.4259", \ + "0.317137, 0.608115, 0.6523, 0.702071, 0.757631, 0.818656, 0.889346, 0.96463, 1.05385, 1.14863, 1.25909, 1.39292, 1.55001, 1.73806, 1.96217, 2.23595, 2.57415, 2.98958, 3.50286" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0240383, 0.0727763, 0.0824718, 0.0940758, 0.108064, 0.12473, 0.144835, 0.16883, 0.197785, 0.232238, 0.273975, 0.323905, 0.38367, 0.455668, 0.541947, 0.64558, 0.769687, 0.918017, 1.09762", \ + "0.0274, 0.0760849, 0.0856761, 0.0973328, 0.11129, 0.127992, 0.14799, 0.172153, 0.200945, 0.235671, 0.277202, 0.326988, 0.387041, 0.458652, 0.545297, 0.64852, 0.772879, 0.921947, 1.10041", \ + "0.0313804, 0.079886, 0.0895527, 0.101087, 0.115047, 0.131794, 0.151778, 0.175875, 0.204745, 0.239359, 0.280954, 0.330929, 0.390827, 0.462282, 0.548586, 0.652106, 0.776298, 0.924894, 1.1045", \ + "0.0373992, 0.0856804, 0.0952958, 0.10689, 0.120769, 0.137366, 0.157424, 0.181425, 0.210417, 0.244927, 0.286542, 0.336426, 0.396069, 0.467739, 0.554045, 0.657801, 0.781641, 0.93063, 1.10993", \ + "0.0442843, 0.0944961, 0.104133, 0.115667, 0.129452, 0.14606, 0.166076, 0.189967, 0.218789, 0.253161, 0.294844, 0.34475, 0.404408, 0.47634, 0.562056, 0.665955, 0.789598, 0.938437, 1.11822", \ + "0.051842, 0.107864, 0.117523, 0.128992, 0.142807, 0.159298, 0.179196, 0.203041, 0.23163, 0.266005, 0.307634, 0.357336, 0.416924, 0.488941, 0.574526, 0.677952, 0.802419, 0.95104, 1.1302", \ + "0.0597661, 0.127347, 0.137392, 0.149119, 0.162795, 0.179615, 0.199312, 0.223014, 0.251521, 0.285706, 0.326736, 0.376547, 0.436028, 0.507794, 0.593682, 0.696845, 0.820831, 0.969026, 1.14793", \ + "0.0678496, 0.149904, 0.162684, 0.176417, 0.192084, 0.209263, 0.229586, 0.253404, 0.281918, 0.315908, 0.356764, 0.406272, 0.465133, 0.536555, 0.62232, 0.725251, 0.848923, 0.997464, 1.17615", \ + "0.0752513, 0.175415, 0.190303, 0.207436, 0.226106, 0.247855, 0.270899, 0.297835, 0.326739, 0.361511, 0.402801, 0.452042, 0.510571, 0.581731, 0.666119, 0.768762, 0.892547, 1.04023, 1.2184", \ + "0.0806207, 0.202447, 0.220668, 0.241031, 0.264544, 0.290255, 0.319153, 0.351011, 0.386958, 0.426247, 0.469735, 0.520323, 0.579111, 0.650166, 0.735124, 0.836458, 0.958031, 1.10689, 1.28322", \ + "0.0818968, 0.230788, 0.252719, 0.277523, 0.305479, 0.33693, 0.371579, 0.410944, 0.454017, 0.50177, 0.555664, 0.614008, 0.679045, 0.752531, 0.837192, 0.939949, 1.06079, 1.20647, 1.38255", \ + "0.0757575, 0.257293, 0.283542, 0.313933, 0.348598, 0.385696, 0.42866, 0.475349, 0.527807, 0.586311, 0.650299, 0.721598, 0.801271, 0.889308, 0.986239, 1.09248, 1.21579, 1.36307, 1.53839", \ + "0.0566005, 0.277015, 0.310275, 0.346448, 0.389399, 0.434847, 0.485465, 0.543895, 0.606553, 0.67706, 0.755587, 0.841104, 0.937887, 1.0442, 1.16038, 1.29244, 1.43469, 1.59168, 1.77111" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0298796, 0.100978, 0.114965, 0.131597, 0.15178, 0.175677, 0.204705, 0.239311, 0.280994, 0.330593, 0.390833, 0.46279, 0.548983, 0.652733, 0.777128, 0.926275, 1.10538, 1.31883, 1.57817", \ + "0.0295337, 0.100999, 0.114916, 0.131661, 0.151732, 0.17578, 0.204529, 0.239377, 0.280959, 0.330983, 0.390833, 0.462411, 0.549187, 0.652507, 0.777267, 0.926175, 1.10538, 1.32032, 1.57777", \ + "0.0301375, 0.100785, 0.114915, 0.131526, 0.151626, 0.175865, 0.204642, 0.239471, 0.280959, 0.330982, 0.390853, 0.462884, 0.549259, 0.652107, 0.776812, 0.925565, 1.10511, 1.3189, 1.57792", \ + "0.0320922, 0.100325, 0.114573, 0.131498, 0.151572, 0.175646, 0.204528, 0.23931, 0.280881, 0.330939, 0.390857, 0.462838, 0.548687, 0.652124, 0.776811, 0.926244, 1.10444, 1.31975, 1.57804", \ + "0.0361282, 0.100664, 0.114324, 0.130988, 0.151152, 0.175669, 0.204639, 0.239316, 0.280929, 0.330637, 0.390835, 0.462824, 0.549074, 0.652814, 0.776361, 0.926316, 1.10427, 1.31896, 1.57751", \ + "0.0419621, 0.104245, 0.117184, 0.132894, 0.152124, 0.175572, 0.204277, 0.239158, 0.280935, 0.330819, 0.390875, 0.462911, 0.54909, 0.652538, 0.776374, 0.925962, 1.10533, 1.31995, 1.57812", \ + "0.0497652, 0.112868, 0.124838, 0.139789, 0.157743, 0.179976, 0.207104, 0.240246, 0.281045, 0.33061, 0.390491, 0.462833, 0.549286, 0.652811, 0.777041, 0.926222, 1.10523, 1.31896, 1.57651", \ + "0.0605218, 0.128851, 0.140602, 0.154225, 0.171258, 0.191673, 0.217768, 0.24859, 0.287108, 0.334134, 0.391832, 0.462795, 0.548603, 0.652653, 0.777019, 0.926226, 1.10522, 1.31901, 1.57804", \ + "0.0746992, 0.152277, 0.164717, 0.17887, 0.195854, 0.215725, 0.238716, 0.268638, 0.304075, 0.348552, 0.403488, 0.470244, 0.552042, 0.653508, 0.776311, 0.926004, 1.10511, 1.31889, 1.57788", \ + "0.0931218, 0.182367, 0.196558, 0.213344, 0.231577, 0.252875, 0.277677, 0.30537, 0.339428, 0.380119, 0.430961, 0.494704, 0.571656, 0.666976, 0.784725, 0.928708, 1.10432, 1.32025, 1.57752", \ + "0.117165, 0.222449, 0.23854, 0.257011, 0.277723, 0.302173, 0.329323, 0.361034, 0.396503, 0.437593, 0.486572, 0.543658, 0.61635, 0.70659, 0.816062, 0.952669, 1.11869, 1.32495, 1.57697", \ + "0.148707, 0.274493, 0.291915, 0.314275, 0.339194, 0.366769, 0.398245, 0.432765, 0.473992, 0.51971, 0.571572, 0.63171, 0.70155, 0.784014, 0.887555, 1.0147, 1.17157, 1.3657, 1.60488", \ + "0.190372, 0.340826, 0.363546, 0.388837, 0.416767, 0.448585, 0.484774, 0.526654, 0.573565, 0.625125, 0.683908, 0.751987, 0.828422, 0.916319, 1.01606, 1.13692, 1.28299, 1.46329, 1.68901" \ + ); + } + } + timing () { + related_pin : "S2B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0360842, 0.115812, 0.131318, 0.149805, 0.172078, 0.198737, 0.230916, 0.26946, 0.315878, 0.371491, 0.438327, 0.518499, 0.614586, 0.730193, 0.868986, 1.03509, 1.23537, 1.47423, 1.76252", \ + "0.0392595, 0.118795, 0.134271, 0.152789, 0.175046, 0.201649, 0.233743, 0.272274, 0.318712, 0.374468, 0.44134, 0.521505, 0.617417, 0.73302, 0.87173, 1.03786, 1.2383, 1.47745, 1.76452", \ + "0.0433339, 0.122308, 0.137739, 0.156215, 0.17838, 0.204965, 0.236939, 0.275422, 0.321882, 0.37743, 0.444152, 0.52421, 0.620335, 0.736032, 0.874724, 1.04122, 1.24107, 1.48073, 1.76777", \ + "0.050475, 0.127531, 0.142898, 0.161309, 0.183447, 0.209902, 0.241737, 0.279939, 0.326162, 0.381747, 0.448333, 0.528531, 0.624787, 0.739941, 0.878892, 1.04541, 1.24493, 1.4846, 1.77203", \ + "0.0627343, 0.136021, 0.151194, 0.169376, 0.191253, 0.217613, 0.249059, 0.287083, 0.332932, 0.387973, 0.454585, 0.534455, 0.6302, 0.745486, 0.88427, 1.05071, 1.25048, 1.48983, 1.77666", \ + "0.0795611, 0.150382, 0.164948, 0.18277, 0.204278, 0.230085, 0.261208, 0.298767, 0.344035, 0.398302, 0.464098, 0.543575, 0.63908, 0.754335, 0.89249, 1.05873, 1.25758, 1.49772, 1.78522", \ + "0.100004, 0.174738, 0.188637, 0.205532, 0.226122, 0.250984, 0.28163, 0.318228, 0.362872, 0.416417, 0.480962, 0.559011, 0.65329, 0.767723, 0.905407, 1.07092, 1.26954, 1.50842, 1.79658", \ + "0.125202, 0.215212, 0.228265, 0.244044, 0.26339, 0.287035, 0.315983, 0.351082, 0.394577, 0.446803, 0.510461, 0.586943, 0.679384, 0.791301, 0.926932, 1.0914, 1.28917, 1.52753, 1.81363", \ + "0.156244, 0.272941, 0.288567, 0.305662, 0.324496, 0.34699, 0.374055, 0.407214, 0.447805, 0.497944, 0.55923, 0.633918, 0.724801, 0.834508, 0.966972, 1.12739, 1.32253, 1.55819, 1.84317", \ + "0.195371, 0.344033, 0.36443, 0.386868, 0.411807, 0.438221, 0.466961, 0.499059, 0.53762, 0.58451, 0.641953, 0.712899, 0.799953, 0.906707, 1.03675, 1.19433, 1.38313, 1.61427, 1.8937", \ + "0.244531, 0.431266, 0.458047, 0.487257, 0.518738, 0.554034, 0.591712, 0.632705, 0.676506, 0.723945, 0.779213, 0.845837, 0.927194, 1.0281, 1.15275, 1.30493, 1.48993, 1.71629, 1.98836", \ + "0.309249, 0.539641, 0.573045, 0.610427, 0.651126, 0.696617, 0.745559, 0.798016, 0.856917, 0.917755, 0.985017, 1.05628, 1.13593, 1.23071, 1.34661, 1.48998, 1.66794, 1.88498, 2.15141", \ + "0.395832, 0.675034, 0.716294, 0.763859, 0.815447, 0.871269, 0.934065, 1.0029, 1.07864, 1.15867, 1.2462, 1.33913, 1.43916, 1.54647, 1.6626, 1.79835, 1.96422, 2.16752, 2.42133" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0698542, 0.226705, 0.257248, 0.29373, 0.337363, 0.389446, 0.451857, 0.526289, 0.615934, 0.723338, 0.85286, 1.00758, 1.19375, 1.41697, 1.68556, 2.00705, 2.39355, 2.85629, 3.41343", \ + "0.0692564, 0.226126, 0.25701, 0.293442, 0.337243, 0.389361, 0.451822, 0.526327, 0.616106, 0.723882, 0.852674, 1.00832, 1.19374, 1.41696, 1.685, 2.00692, 2.39436, 2.85739, 3.41246", \ + "0.0696166, 0.225511, 0.256237, 0.292873, 0.336893, 0.389155, 0.451669, 0.526289, 0.616324, 0.723667, 0.852666, 1.0077, 1.19373, 1.41698, 1.68501, 2.00768, 2.39398, 2.85662, 3.41335", \ + "0.0716534, 0.223968, 0.25507, 0.291811, 0.336113, 0.388708, 0.451375, 0.52608, 0.615893, 0.723846, 0.852656, 1.00801, 1.19402, 1.41697, 1.68525, 2.00773, 2.394, 2.85731, 3.41228", \ + "0.0767458, 0.222883, 0.25333, 0.289912, 0.334443, 0.387521, 0.450579, 0.525771, 0.615913, 0.723724, 0.852672, 1.00807, 1.1937, 1.4171, 1.6849, 2.00768, 2.39404, 2.85746, 3.41278", \ + "0.0859404, 0.225216, 0.254382, 0.289985, 0.333154, 0.385476, 0.448558, 0.524631, 0.615419, 0.723558, 0.852649, 1.00794, 1.19377, 1.41731, 1.68494, 2.00672, 2.39304, 2.85706, 3.41396", \ + "0.100509, 0.234282, 0.261966, 0.29586, 0.336979, 0.387041, 0.448689, 0.522776, 0.613173, 0.722166, 0.851712, 1.00789, 1.19377, 1.41686, 1.68493, 2.00659, 2.39304, 2.85638, 3.41343", \ + "0.119417, 0.255083, 0.281122, 0.312855, 0.351663, 0.399023, 0.457506, 0.528178, 0.615993, 0.721411, 0.85035, 1.00631, 1.19371, 1.41691, 1.68492, 2.00768, 2.39399, 2.85774, 3.41188", \ + "0.143279, 0.290126, 0.316227, 0.346787, 0.38367, 0.428414, 0.482895, 0.550031, 0.632378, 0.733819, 0.856868, 1.00863, 1.19237, 1.41565, 1.68544, 2.00765, 2.3932, 2.8579, 3.41459", \ + "0.173466, 0.342862, 0.368951, 0.400257, 0.437245, 0.481572, 0.534385, 0.597729, 0.674789, 0.769939, 0.886742, 1.03046, 1.20678, 1.4226, 1.68595, 2.00664, 2.39277, 2.85728, 3.41395", \ + "0.21066, 0.412486, 0.443575, 0.478785, 0.516301, 0.561422, 0.615498, 0.679047, 0.754704, 0.845156, 0.955119, 1.09031, 1.25628, 1.46095, 1.71366, 2.02225, 2.39772, 2.85767, 3.41207", \ + "0.258081, 0.500621, 0.536324, 0.577927, 0.62408, 0.676751, 0.734208, 0.798844, 0.876863, 0.96776, 1.07774, 1.20762, 1.36532, 1.55583, 1.79327, 2.08494, 2.44527, 2.88624, 3.42696", \ + "0.317137, 0.608115, 0.6523, 0.702071, 0.757631, 0.818656, 0.889346, 0.96463, 1.05385, 1.14863, 1.25909, 1.39292, 1.55001, 1.73806, 1.96217, 2.23595, 2.57415, 2.98958, 3.50286" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0240383, 0.0727763, 0.0824718, 0.0940756, 0.108064, 0.12473, 0.144835, 0.16883, 0.197785, 0.232238, 0.273975, 0.323905, 0.38367, 0.455668, 0.541947, 0.64558, 0.769687, 0.918017, 1.09762", \ + "0.0274, 0.0760849, 0.0856761, 0.0973328, 0.11129, 0.127992, 0.14799, 0.172153, 0.200945, 0.235671, 0.277202, 0.326988, 0.387041, 0.458652, 0.545297, 0.64852, 0.772879, 0.921947, 1.10041", \ + "0.0313804, 0.0798861, 0.0895527, 0.101087, 0.115047, 0.131794, 0.151778, 0.175875, 0.204745, 0.239359, 0.280954, 0.330929, 0.390827, 0.462282, 0.548586, 0.652106, 0.776298, 0.924894, 1.1045", \ + "0.0373992, 0.0856804, 0.0952958, 0.10689, 0.120769, 0.137366, 0.157424, 0.181425, 0.210417, 0.244927, 0.286542, 0.336426, 0.396069, 0.467739, 0.554045, 0.657801, 0.781641, 0.93063, 1.10993", \ + "0.0442843, 0.0944961, 0.104133, 0.115667, 0.129452, 0.14606, 0.166076, 0.189967, 0.218789, 0.253161, 0.29482, 0.34475, 0.404408, 0.47634, 0.562056, 0.665955, 0.789598, 0.938437, 1.11822", \ + "0.051842, 0.107864, 0.117523, 0.128992, 0.142807, 0.159298, 0.179196, 0.203041, 0.23163, 0.266005, 0.307634, 0.357336, 0.416924, 0.48894, 0.574526, 0.677952, 0.802419, 0.95104, 1.1302", \ + "0.0597661, 0.127347, 0.137392, 0.149119, 0.162795, 0.179615, 0.199312, 0.223014, 0.251521, 0.285706, 0.326736, 0.376547, 0.436028, 0.507794, 0.593682, 0.696845, 0.820831, 0.969026, 1.14793", \ + "0.0678496, 0.149904, 0.162684, 0.176417, 0.192084, 0.209263, 0.229586, 0.253404, 0.281918, 0.315908, 0.356764, 0.406272, 0.465133, 0.536555, 0.62232, 0.725251, 0.848923, 0.997464, 1.17615", \ + "0.0752513, 0.175415, 0.190303, 0.207436, 0.226106, 0.247855, 0.270899, 0.297835, 0.326739, 0.361511, 0.402801, 0.452042, 0.510571, 0.581731, 0.666119, 0.768762, 0.892547, 1.04023, 1.2184", \ + "0.0806207, 0.202447, 0.220668, 0.241031, 0.264544, 0.290255, 0.319153, 0.351011, 0.386958, 0.426247, 0.469735, 0.520323, 0.579111, 0.650166, 0.735124, 0.836458, 0.958031, 1.10691, 1.28322", \ + "0.0818968, 0.230788, 0.252719, 0.277523, 0.305479, 0.33693, 0.371579, 0.410944, 0.454017, 0.50177, 0.555664, 0.614008, 0.679045, 0.752531, 0.837192, 0.939949, 1.06079, 1.20647, 1.38255", \ + "0.0757575, 0.257293, 0.283542, 0.313933, 0.348598, 0.385696, 0.42866, 0.475349, 0.527807, 0.586311, 0.650299, 0.721598, 0.801271, 0.889308, 0.986239, 1.09248, 1.21579, 1.36307, 1.53839", \ + "0.0566005, 0.277015, 0.310275, 0.346448, 0.389399, 0.434847, 0.485465, 0.543895, 0.606553, 0.67706, 0.755587, 0.841104, 0.937885, 1.04421, 1.16038, 1.29244, 1.43469, 1.59168, 1.77111" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0298796, 0.100978, 0.114965, 0.131597, 0.15178, 0.175677, 0.204705, 0.239311, 0.280994, 0.330593, 0.390833, 0.46279, 0.548983, 0.652733, 0.777128, 0.926275, 1.10538, 1.31883, 1.57817", \ + "0.0295337, 0.100999, 0.114916, 0.131661, 0.151732, 0.17578, 0.204529, 0.239377, 0.280959, 0.330983, 0.390833, 0.462411, 0.549187, 0.652507, 0.777267, 0.926175, 1.10538, 1.32032, 1.57777", \ + "0.0301375, 0.100786, 0.114915, 0.131526, 0.151626, 0.175865, 0.204642, 0.239471, 0.280959, 0.330982, 0.390853, 0.462884, 0.549259, 0.652107, 0.776812, 0.925565, 1.10511, 1.3189, 1.57792", \ + "0.0320922, 0.100325, 0.114573, 0.131498, 0.151572, 0.175646, 0.204528, 0.23931, 0.280881, 0.330939, 0.390857, 0.462838, 0.548687, 0.652124, 0.776811, 0.926244, 1.10444, 1.31975, 1.57804", \ + "0.0361282, 0.100664, 0.114324, 0.130988, 0.151152, 0.175669, 0.204639, 0.239316, 0.280929, 0.330637, 0.390821, 0.462824, 0.549074, 0.652814, 0.776361, 0.926315, 1.10427, 1.31896, 1.57751", \ + "0.0419621, 0.104245, 0.117184, 0.132894, 0.152124, 0.175572, 0.204277, 0.239158, 0.280935, 0.330819, 0.390875, 0.462911, 0.54909, 0.652643, 0.776374, 0.925962, 1.10533, 1.31995, 1.57812", \ + "0.0497652, 0.112868, 0.124838, 0.139789, 0.157743, 0.179976, 0.207104, 0.240246, 0.281045, 0.33061, 0.390491, 0.462833, 0.549286, 0.652811, 0.777041, 0.926222, 1.10523, 1.31896, 1.57651", \ + "0.0605218, 0.128851, 0.140602, 0.154225, 0.171258, 0.191673, 0.217768, 0.24859, 0.287108, 0.334134, 0.391832, 0.462795, 0.548603, 0.652656, 0.777019, 0.926226, 1.10522, 1.31901, 1.57804", \ + "0.0746992, 0.152277, 0.164717, 0.17887, 0.195854, 0.215725, 0.238716, 0.268638, 0.304075, 0.348552, 0.403488, 0.470243, 0.552042, 0.653509, 0.776311, 0.926004, 1.10511, 1.31889, 1.57788", \ + "0.0931218, 0.182367, 0.196558, 0.213344, 0.231577, 0.252875, 0.277677, 0.30537, 0.339428, 0.380119, 0.430961, 0.494704, 0.571656, 0.666976, 0.784725, 0.928708, 1.10432, 1.32027, 1.57752", \ + "0.117165, 0.222449, 0.23854, 0.257011, 0.277723, 0.302173, 0.329323, 0.361034, 0.396503, 0.437593, 0.486572, 0.543658, 0.61635, 0.70659, 0.816062, 0.952669, 1.11869, 1.32495, 1.57697", \ + "0.148707, 0.274493, 0.291915, 0.314275, 0.339194, 0.366769, 0.398245, 0.432765, 0.473992, 0.51971, 0.571572, 0.63171, 0.70155, 0.784014, 0.887555, 1.0147, 1.17157, 1.3657, 1.60488", \ + "0.190372, 0.340826, 0.363546, 0.388837, 0.416767, 0.448585, 0.484774, 0.526654, 0.573565, 0.625125, 0.683908, 0.751987, 0.828437, 0.916323, 1.01606, 1.13692, 1.28299, 1.46329, 1.68901" \ + ); + } + } + timing () { + related_pin : "S2B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0364422, 0.117339, 0.132876, 0.151468, 0.173788, 0.200529, 0.232695, 0.271467, 0.317993, 0.373917, 0.4413, 0.521874, 0.618917, 0.734973, 0.874493, 1.04221, 1.24296, 1.48418, 1.77236", \ + "0.0396423, 0.120315, 0.135882, 0.154486, 0.176772, 0.203492, 0.235648, 0.274338, 0.320911, 0.376897, 0.44416, 0.524736, 0.621718, 0.737802, 0.8773, 1.04493, 1.2458, 1.48696, 1.77628", \ + "0.0439192, 0.123765, 0.139359, 0.15792, 0.180221, 0.206819, 0.238912, 0.27754, 0.324088, 0.379931, 0.447234, 0.527957, 0.6247, 0.74084, 0.880363, 1.04777, 1.24877, 1.48989, 1.77834", \ + "0.051362, 0.129097, 0.144601, 0.163149, 0.185319, 0.211863, 0.243767, 0.282173, 0.328492, 0.384373, 0.451494, 0.53209, 0.628787, 0.744816, 0.884486, 1.05143, 1.25277, 1.4932, 1.7822", \ + "0.0641128, 0.137694, 0.152947, 0.171225, 0.193368, 0.219666, 0.251306, 0.289365, 0.335278, 0.390765, 0.45773, 0.537991, 0.634738, 0.750456, 0.890162, 1.05704, 1.2584, 1.49943, 1.78788", \ + "0.0814757, 0.152155, 0.16691, 0.184659, 0.20635, 0.232426, 0.263583, 0.301335, 0.346422, 0.401056, 0.467278, 0.547152, 0.643478, 0.759076, 0.8981, 1.06554, 1.26628, 1.50721, 1.79547", \ + "0.1026, 0.176811, 0.190787, 0.207755, 0.228432, 0.253449, 0.284026, 0.320998, 0.365487, 0.419165, 0.483985, 0.562518, 0.65747, 0.772083, 0.910914, 1.07752, 1.27786, 1.51835, 1.80631", \ + "0.128213, 0.218109, 0.231078, 0.246816, 0.266265, 0.289955, 0.318898, 0.354497, 0.397677, 0.449987, 0.513373, 0.590264, 0.682811, 0.795019, 0.931979, 1.09729, 1.29619, 1.53622, 1.82441", \ + "0.159909, 0.277061, 0.292891, 0.309775, 0.328439, 0.350797, 0.377971, 0.411203, 0.451925, 0.502052, 0.563273, 0.637942, 0.728763, 0.838342, 0.970734, 1.13204, 1.32819, 1.56539, 1.85146", \ + "0.199401, 0.349558, 0.370682, 0.392897, 0.417429, 0.444314, 0.472625, 0.504714, 0.543104, 0.589956, 0.647485, 0.718491, 0.805731, 0.912284, 1.04159, 1.19894, 1.38854, 1.62, 1.90093", \ + "0.249259, 0.439031, 0.466088, 0.495216, 0.527064, 0.562919, 0.600401, 0.641179, 0.684969, 0.732062, 0.787021, 0.853568, 0.934997, 1.0359, 1.16014, 1.31215, 1.49791, 1.72232, 1.99356", \ + "0.314812, 0.549249, 0.582949, 0.621167, 0.662491, 0.708437, 0.756827, 0.810751, 0.869679, 0.930985, 0.997703, 1.06792, 1.14744, 1.24191, 1.35804, 1.50141, 1.67861, 1.89517, 2.16083", \ + "0.402969, 0.686432, 0.72925, 0.776942, 0.829456, 0.887328, 0.949995, 1.02063, 1.09629, 1.1776, 1.26506, 1.35766, 1.45844, 1.56386, 1.67896, 1.81505, 1.98034, 2.18382, 2.43677" \ + ); + } + rise_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.070933, 0.233745, 0.265441, 0.303273, 0.34884, 0.402961, 0.467429, 0.544819, 0.637682, 0.748925, 0.882736, 1.04275, 1.23598, 1.46694, 1.74423, 2.07659, 2.47584, 2.95561, 3.53071", \ + "0.070309, 0.233137, 0.264913, 0.303051, 0.348575, 0.402814, 0.467353, 0.544763, 0.637689, 0.749209, 0.88274, 1.04273, 1.2354, 1.4662, 1.74427, 2.07665, 2.47642, 2.95645, 3.53236", \ + "0.0707292, 0.232438, 0.264377, 0.302305, 0.348101, 0.40224, 0.46752, 0.544699, 0.63815, 0.748898, 0.882621, 1.04288, 1.23554, 1.46695, 1.74479, 2.0779, 2.47581, 2.95656, 3.53056", \ + "0.0728849, 0.230951, 0.263162, 0.301236, 0.346969, 0.401899, 0.467076, 0.544644, 0.637659, 0.749102, 0.883163, 1.04314, 1.23574, 1.46639, 1.7443, 2.0765, 2.47717, 2.95526, 3.5306", \ + "0.078296, 0.229812, 0.261376, 0.299352, 0.34525, 0.400037, 0.465916, 0.544348, 0.637315, 0.749036, 0.882713, 1.0428, 1.23543, 1.46634, 1.74421, 2.0765, 2.47715, 2.95594, 3.53056", \ + "0.0879497, 0.232293, 0.26246, 0.299473, 0.343955, 0.398179, 0.463204, 0.542426, 0.636374, 0.74844, 0.882683, 1.04326, 1.23542, 1.46625, 1.74432, 2.0765, 2.47599, 2.95588, 3.53057", \ + "0.10321, 0.241693, 0.270529, 0.30534, 0.348349, 0.400358, 0.463659, 0.540522, 0.63364, 0.746406, 0.881735, 1.04296, 1.23543, 1.46618, 1.74487, 2.0769, 2.4768, 2.95648, 3.53105", \ + "0.122334, 0.263055, 0.290035, 0.322855, 0.363189, 0.412365, 0.472912, 0.546697, 0.636834, 0.745994, 0.879235, 1.04069, 1.23453, 1.46626, 1.74401, 2.07696, 2.47716, 2.95562, 3.53178", \ + "0.14635, 0.298883, 0.326088, 0.357879, 0.396019, 0.442451, 0.499172, 0.568589, 0.653976, 0.758915, 0.887115, 1.04315, 1.23392, 1.46422, 1.74319, 2.07712, 2.47719, 2.95473, 3.5305", \ + "0.176591, 0.351867, 0.379993, 0.411921, 0.450735, 0.49723, 0.551853, 0.617565, 0.697748, 0.796131, 0.917637, 1.06678, 1.24948, 1.47296, 1.74398, 2.07575, 2.47659, 2.95677, 3.53229", \ + "0.21412, 0.423738, 0.456411, 0.491556, 0.531432, 0.57894, 0.634099, 0.700836, 0.779831, 0.873783, 0.987786, 1.12816, 1.30043, 1.51208, 1.77329, 2.09331, 2.4826, 2.95574, 3.5304", \ + "0.26109, 0.512074, 0.550456, 0.593789, 0.639735, 0.696065, 0.754608, 0.822535, 0.904525, 0.998987, 1.11397, 1.24825, 1.41187, 1.61048, 1.85577, 2.15891, 2.53227, 2.98695, 3.54563", \ + "0.320897, 0.621854, 0.667116, 0.717507, 0.774849, 0.839809, 0.912317, 0.99082, 1.08302, 1.18095, 1.29894, 1.43596, 1.60172, 1.79621, 2.02955, 2.31369, 2.66355, 3.09609, 3.62868" \ + ); + } + cell_fall (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0254436, 0.07207, 0.0812918, 0.0923557, 0.105685, 0.121583, 0.140689, 0.16359, 0.191113, 0.224083, 0.263707, 0.311244, 0.368275, 0.436844, 0.518805, 0.61718, 0.735926, 0.877118, 1.04809", \ + "0.028536, 0.0751387, 0.0844104, 0.0954276, 0.108731, 0.124693, 0.143771, 0.166701, 0.194194, 0.227152, 0.266806, 0.314338, 0.371493, 0.439585, 0.521879, 0.62069, 0.73875, 0.880643, 1.05105", \ + "0.0323846, 0.0788296, 0.0880464, 0.099035, 0.112399, 0.128254, 0.147423, 0.170339, 0.197792, 0.230709, 0.270325, 0.317802, 0.375047, 0.443469, 0.525419, 0.623772, 0.742531, 0.883833, 1.05436", \ + "0.0382337, 0.0843902, 0.0935781, 0.104608, 0.11779, 0.133733, 0.152816, 0.175684, 0.203203, 0.236035, 0.275547, 0.323038, 0.380236, 0.448739, 0.530691, 0.629155, 0.747434, 0.889694, 1.05955", \ + "0.0449269, 0.0929814, 0.102102, 0.113127, 0.126185, 0.141979, 0.160953, 0.183754, 0.211214, 0.244245, 0.28365, 0.33102, 0.388057, 0.456354, 0.538665, 0.637261, 0.755521, 0.897413, 1.0671", \ + "0.0522889, 0.106126, 0.115224, 0.126152, 0.139228, 0.154983, 0.173856, 0.196465, 0.223565, 0.256535, 0.295941, 0.343385, 0.400045, 0.468441, 0.550469, 0.648834, 0.767102, 0.908601, 1.07936", \ + "0.0599369, 0.124878, 0.134649, 0.145954, 0.159031, 0.174787, 0.193475, 0.216067, 0.243094, 0.275557, 0.31495, 0.361973, 0.418544, 0.486506, 0.568442, 0.666788, 0.784558, 0.926335, 1.09632", \ + "0.0677154, 0.14662, 0.158786, 0.172077, 0.186974, 0.204086, 0.223363, 0.245781, 0.272979, 0.305146, 0.344076, 0.390914, 0.44691, 0.514681, 0.596161, 0.694476, 0.81174, 0.953521, 1.12301", \ + "0.0745345, 0.170853, 0.185237, 0.201336, 0.219662, 0.240332, 0.26299, 0.288872, 0.316982, 0.350003, 0.389202, 0.435388, 0.491324, 0.558434, 0.638915, 0.73671, 0.853941, 0.994942, 1.16411", \ + "0.0792729, 0.196756, 0.213947, 0.23405, 0.256186, 0.280926, 0.308711, 0.339154, 0.374371, 0.411896, 0.454794, 0.502843, 0.558287, 0.626024, 0.706311, 0.801529, 0.918679, 1.05883, 1.2272", \ + "0.079807, 0.222876, 0.244259, 0.268063, 0.295694, 0.324991, 0.358795, 0.396297, 0.437633, 0.4843, 0.535786, 0.592664, 0.656296, 0.726158, 0.807432, 0.904813, 1.01936, 1.15847, 1.32565", \ + "0.0726513, 0.2478, 0.273808, 0.302403, 0.335538, 0.371733, 0.412123, 0.457513, 0.508002, 0.564413, 0.625896, 0.695187, 0.77105, 0.85703, 0.949074, 1.05319, 1.17212, 1.31021, 1.4768", \ + "0.0523648, 0.264775, 0.2976, 0.332544, 0.373107, 0.41754, 0.465709, 0.52169, 0.581656, 0.650477, 0.724672, 0.80879, 0.901719, 1.00374, 1.11687, 1.24146, 1.37907, 1.53259, 1.70535" \ + ); + } + fall_transition (delay_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0294579, 0.0958977, 0.108989, 0.124704, 0.143645, 0.166225, 0.193455, 0.226097, 0.265291, 0.312249, 0.368717, 0.436584, 0.517856, 0.615552, 0.732446, 0.872692, 1.04201, 1.24342, 1.4872", \ + "0.0289072, 0.0958168, 0.108999, 0.124701, 0.143617, 0.166295, 0.193403, 0.226122, 0.265251, 0.312239, 0.368839, 0.436259, 0.518025, 0.615122, 0.732443, 0.873301, 1.04121, 1.24323, 1.48598", \ + "0.0294023, 0.0958061, 0.108972, 0.12466, 0.143663, 0.166271, 0.193531, 0.226168, 0.265231, 0.312239, 0.368709, 0.436348, 0.51783, 0.61556, 0.732523, 0.872693, 1.04196, 1.24349, 1.48664", \ + "0.0312849, 0.0952994, 0.108711, 0.124608, 0.143532, 0.166317, 0.193371, 0.226151, 0.265377, 0.312308, 0.368627, 0.43622, 0.517622, 0.615584, 0.732469, 0.872807, 1.04166, 1.24432, 1.4857", \ + "0.0352336, 0.0956876, 0.108544, 0.124167, 0.143153, 0.166094, 0.193354, 0.226015, 0.265319, 0.312335, 0.368885, 0.436345, 0.517726, 0.615289, 0.732714, 0.873299, 1.04195, 1.24438, 1.48562", \ + "0.0407996, 0.09933, 0.111422, 0.126181, 0.144157, 0.166213, 0.193081, 0.225812, 0.265194, 0.312332, 0.36887, 0.436722, 0.51763, 0.615291, 0.732823, 0.872982, 1.04191, 1.2435, 1.48736", \ + "0.0483397, 0.108024, 0.119221, 0.133206, 0.150137, 0.170895, 0.196166, 0.227499, 0.265571, 0.312219, 0.36877, 0.436531, 0.517839, 0.61529, 0.7325, 0.872585, 1.04162, 1.2438, 1.48576", \ + "0.0587516, 0.123995, 0.135092, 0.14788, 0.163536, 0.183038, 0.20705, 0.235978, 0.272092, 0.316216, 0.370274, 0.436799, 0.517674, 0.615062, 0.73253, 0.873254, 1.04154, 1.2444, 1.48623", \ + "0.0726876, 0.146355, 0.158359, 0.17208, 0.188298, 0.206922, 0.228748, 0.256445, 0.289761, 0.331522, 0.382429, 0.444685, 0.522075, 0.616424, 0.732153, 0.87286, 1.04165, 1.2443, 1.48635", \ + "0.091018, 0.176197, 0.189334, 0.204874, 0.222686, 0.243626, 0.266747, 0.293511, 0.325348, 0.363104, 0.41116, 0.470169, 0.542258, 0.631789, 0.741451, 0.876033, 1.04236, 1.24352, 1.48684", \ + "0.114642, 0.214525, 0.230151, 0.247864, 0.26803, 0.290967, 0.317094, 0.347104, 0.381858, 0.421671, 0.466733, 0.520791, 0.589046, 0.671939, 0.775352, 0.902943, 1.05881, 1.25233, 1.48867", \ + "0.145823, 0.265129, 0.282621, 0.303472, 0.327337, 0.353079, 0.382863, 0.41636, 0.455168, 0.499036, 0.551027, 0.607744, 0.673751, 0.752625, 0.846383, 0.965804, 1.1141, 1.29494, 1.51779", \ + "0.187108, 0.331394, 0.352505, 0.375932, 0.403572, 0.433873, 0.46855, 0.508495, 0.552377, 0.602572, 0.658276, 0.723838, 0.797253, 0.879849, 0.978428, 1.08913, 1.22317, 1.39383, 1.60584" \ + ); + } + } + internal_power () { + related_pin : "Q1"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0189857, 0.0189454, 0.0189399, 0.018918, 0.0189137, 0.0188942, 0.0188476, 0.0188326, 0.0187749, 0.0187631, 0.0186861, 0.0186719, 0.0186038, 0.0185687, 0.0185632, 0.01855, 0.0185075, 0.0184981, 0.0183565", \ + "0.0188782, 0.0188624, 0.0188541, 0.0188445, 0.0188425, 0.0188359, 0.0187897, 0.0187599, 0.0187408, 0.0187121, 0.0186432, 0.018618, 0.0185617, 0.018487, 0.0185147, 0.0184413, 0.0183621, 0.0184581, 0.0182899", \ + "0.0187853, 0.0188095, 0.0188106, 0.0187866, 0.0187762, 0.0187621, 0.0187376, 0.0187026, 0.0186843, 0.0186385, 0.0186236, 0.0185781, 0.0184731, 0.0184742, 0.0184264, 0.0184922, 0.018382, 0.0184255, 0.0183363", \ + "0.0186752, 0.0187498, 0.0187458, 0.0187383, 0.0187529, 0.018729, 0.0187055, 0.0186842, 0.0186896, 0.0185911, 0.0185741, 0.0184881, 0.0184276, 0.0184553, 0.0184588, 0.0183997, 0.0183443, 0.0183699, 0.0182621", \ + "0.0185714, 0.0187013, 0.018676, 0.0186539, 0.0186697, 0.0186378, 0.0186414, 0.0186306, 0.0185538, 0.0185326, 0.01851, 0.0184665, 0.0184847, 0.0183671, 0.018377, 0.018386, 0.0184017, 0.01827, 0.0182567", \ + "0.018506, 0.018581, 0.0185926, 0.0185715, 0.0185711, 0.0186176, 0.0185752, 0.0185329, 0.0185143, 0.0184632, 0.0184652, 0.0183509, 0.0183592, 0.0184123, 0.0183628, 0.0183887, 0.0183536, 0.0182938, 0.0183087", \ + "0.018478, 0.0185629, 0.0185242, 0.0185188, 0.0185193, 0.0184894, 0.0185265, 0.0185034, 0.0184566, 0.0184398, 0.0184136, 0.0182912, 0.0183599, 0.0183212, 0.0182521, 0.0182075, 0.0182825, 0.018126, 0.0182717", \ + "0.0185009, 0.0185343, 0.018525, 0.0185067, 0.0185295, 0.0185249, 0.0185036, 0.0183934, 0.0183613, 0.0183788, 0.0182548, 0.0182895, 0.0182687, 0.0182098, 0.0182485, 0.0181943, 0.0181104, 0.0181537, 0.018134", \ + "0.0186429, 0.0185481, 0.0185639, 0.0185882, 0.0185571, 0.0184846, 0.0184706, 0.0184603, 0.0184541, 0.0183718, 0.0183264, 0.0181702, 0.0182494, 0.0181189, 0.018354, 0.0180413, 0.018075, 0.0181821, 0.0180651", \ + "0.0189025, 0.0187224, 0.0187489, 0.0186382, 0.0186373, 0.0186351, 0.0186304, 0.0185883, 0.0184542, 0.0184699, 0.018375, 0.0183992, 0.0183275, 0.0183196, 0.0178957, 0.0182685, 0.0177563, 0.0179738, 0.0177613", \ + "0.01936, 0.0191048, 0.0189815, 0.0189768, 0.0189026, 0.0189134, 0.0187403, 0.0187307, 0.0187081, 0.0187381, 0.0185468, 0.0184609, 0.0183284, 0.0183039, 0.0181592, 0.0183211, 0.0181451, 0.0179249, 0.0177161", \ + "0.0202057, 0.0197032, 0.0196438, 0.0196233, 0.0194008, 0.0194342, 0.0193939, 0.0191194, 0.0192354, 0.0189419, 0.0188835, 0.0188585, 0.0188483, 0.0185887, 0.0184532, 0.0183072, 0.0182144, 0.0179131, 0.0182714", \ + "0.0215613, 0.0208097, 0.0206679, 0.0206867, 0.0205742, 0.0203477, 0.0203582, 0.0200836, 0.0198744, 0.019804, 0.0195209, 0.0193605, 0.0192345, 0.0191386, 0.0192806, 0.0189854, 0.0190438, 0.0183829, 0.0184149" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00468951, 0.00469083, 0.00469293, 0.00468896, 0.00468891, 0.00468622, 0.0046959, 0.00469385, 0.00469057, 0.00469112, 0.0046887, 0.0046903, 0.00468625, 0.00468602, 0.00469181, 0.00469072, 0.00469188, 0.0046927, 0.00469342", \ + "0.00459042, 0.00460303, 0.00460335, 0.00460385, 0.00460466, 0.00460529, 0.0046051, 0.00460627, 0.0046054, 0.00460566, 0.00460735, 0.004609, 0.00460814, 0.00460886, 0.00460898, 0.0046106, 0.00461295, 0.00461391, 0.00461487", \ + "0.00452312, 0.00454105, 0.00454475, 0.00454773, 0.00454689, 0.00454852, 0.00455162, 0.00455128, 0.00455522, 0.00455463, 0.00455363, 0.00455562, 0.0045584, 0.00455794, 0.00455881, 0.00456055, 0.00456193, 0.00456248, 0.00456279", \ + "0.00443797, 0.00449143, 0.00449075, 0.004494, 0.00449628, 0.00450289, 0.00450028, 0.00450513, 0.00450876, 0.00451493, 0.00451608, 0.00451278, 0.00451411, 0.00451404, 0.00451681, 0.00452323, 0.00452426, 0.00452636, 0.00452777", \ + "0.00434853, 0.00443534, 0.00444351, 0.00444462, 0.00445497, 0.00445994, 0.00446083, 0.00446444, 0.00446855, 0.0044731, 0.00447767, 0.0044804, 0.00448372, 0.00448295, 0.00448144, 0.00449064, 0.00448825, 0.00449357, 0.0044899", \ + "0.00427372, 0.00439155, 0.00439461, 0.00441242, 0.00442147, 0.00442512, 0.00442776, 0.00443576, 0.00444223, 0.00444627, 0.00445074, 0.00445439, 0.0044609, 0.00446138, 0.00446559, 0.00447299, 0.00447608, 0.00447818, 0.00448192", \ + "0.00420404, 0.00435408, 0.00436754, 0.0043874, 0.00440324, 0.00440668, 0.00441662, 0.0044217, 0.00443538, 0.00444069, 0.0044511, 0.00445636, 0.00446163, 0.00446827, 0.00446901, 0.00447652, 0.00448295, 0.00448967, 0.00448863", \ + "0.00416164, 0.00433227, 0.00435554, 0.00437176, 0.00438282, 0.00440234, 0.00442229, 0.0044282, 0.00445075, 0.00446888, 0.00447185, 0.00448919, 0.00449123, 0.00449935, 0.00451177, 0.0045135, 0.00451979, 0.00452348, 0.00453078", \ + "0.00418749, 0.00435959, 0.00438148, 0.00440259, 0.00442251, 0.00444035, 0.00446162, 0.00447922, 0.00449522, 0.00451232, 0.00452357, 0.00453729, 0.00454837, 0.00456404, 0.00457234, 0.00458158, 0.00459, 0.00459939, 0.00460375", \ + "0.0043209, 0.00445286, 0.0044655, 0.00449448, 0.00451033, 0.00454651, 0.00456161, 0.00458231, 0.00460405, 0.00462234, 0.00465173, 0.00466356, 0.00468243, 0.00468914, 0.00470184, 0.00470926, 0.00472498, 0.0047332, 0.0047388", \ + "0.00467978, 0.00468705, 0.00472514, 0.00472882, 0.00474275, 0.00475437, 0.00476944, 0.00478049, 0.00481419, 0.00482787, 0.00484734, 0.00485965, 0.00488553, 0.00490436, 0.00492341, 0.00493325, 0.00494955, 0.00495629, 0.00496568", \ + "0.00538354, 0.00518764, 0.00518124, 0.00516172, 0.00516597, 0.00517469, 0.005175, 0.00519052, 0.00518867, 0.0052052, 0.00521796, 0.00523326, 0.00524342, 0.00525107, 0.00526504, 0.00528948, 0.00530275, 0.00531845, 0.00532706", \ + "0.00665568, 0.00611547, 0.00607556, 0.00603292, 0.00599858, 0.00595727, 0.00593688, 0.00590072, 0.00589234, 0.00587863, 0.00586527, 0.00586223, 0.0058628, 0.00584951, 0.00586316, 0.00586679, 0.00587125, 0.00587404, 0.00588084" \ + ); + } + } + internal_power () { + related_pin : "Q2"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0191409, 0.0191132, 0.0190967, 0.0190717, 0.019058, 0.0190266, 0.01902, 0.0189652, 0.0189148, 0.0188931, 0.0188463, 0.0187768, 0.018741, 0.0186971, 0.0187234, 0.0187513, 0.0185626, 0.0186639, 0.0187225", \ + "0.0190325, 0.0190334, 0.0190275, 0.0190052, 0.0189905, 0.0189853, 0.0189585, 0.0189178, 0.0188728, 0.0188523, 0.0188162, 0.0187804, 0.0187359, 0.0186972, 0.0186175, 0.01871, 0.0186942, 0.0186491, 0.0186021", \ + "0.0189447, 0.0189682, 0.0189473, 0.0189295, 0.0189425, 0.0189179, 0.0189037, 0.0188665, 0.0188282, 0.0188051, 0.0187705, 0.018701, 0.0186663, 0.0186576, 0.0186197, 0.018557, 0.0186601, 0.0185773, 0.0184123", \ + "0.018837, 0.0188967, 0.0189005, 0.0188776, 0.0188977, 0.0188773, 0.0188513, 0.0188181, 0.0187632, 0.0187202, 0.0186875, 0.0186974, 0.0186269, 0.0185572, 0.0186155, 0.0185997, 0.0185016, 0.0185818, 0.0184637", \ + "0.0187249, 0.0188291, 0.0188229, 0.0188142, 0.0187917, 0.0188005, 0.0188054, 0.0187741, 0.0187361, 0.0187305, 0.0186897, 0.0186443, 0.0185984, 0.0185064, 0.0184924, 0.0185212, 0.0185491, 0.0185382, 0.0183987", \ + "0.0186721, 0.0187273, 0.0187505, 0.0187403, 0.0187137, 0.0187603, 0.018691, 0.0186652, 0.0186546, 0.0185947, 0.0186659, 0.0185743, 0.0184616, 0.0184544, 0.0184154, 0.0184571, 0.0184441, 0.0184532, 0.0184088", \ + "0.0186279, 0.0187041, 0.0186855, 0.0186949, 0.0186936, 0.0186867, 0.0186573, 0.0185979, 0.0186768, 0.0185421, 0.0185662, 0.0184542, 0.0184056, 0.0185108, 0.0184519, 0.0184658, 0.0184199, 0.0183634, 0.01843", \ + "0.0186584, 0.018699, 0.0187044, 0.0187001, 0.0186668, 0.0186573, 0.0185906, 0.0186082, 0.0185501, 0.0185245, 0.0184447, 0.0184492, 0.0183476, 0.0183057, 0.0183554, 0.0183058, 0.0181979, 0.0182415, 0.018146", \ + "0.0187777, 0.0187034, 0.0187022, 0.018793, 0.0186698, 0.0186312, 0.018644, 0.0186289, 0.0185663, 0.0185621, 0.0184809, 0.0184042, 0.0183745, 0.0184326, 0.0183844, 0.0183497, 0.0183469, 0.0181657, 0.0182682", \ + "0.0190233, 0.0188685, 0.0188623, 0.0188266, 0.0188137, 0.0187669, 0.0188273, 0.0187497, 0.018623, 0.0185545, 0.0184274, 0.0184751, 0.01835, 0.0183453, 0.0180084, 0.0181841, 0.0180894, 0.01807, 0.0181612", \ + "0.019497, 0.0192453, 0.0191614, 0.0191565, 0.0190038, 0.0190652, 0.018914, 0.0188739, 0.0188598, 0.0188434, 0.0187705, 0.0185795, 0.0183918, 0.0184793, 0.0182926, 0.0182944, 0.0180417, 0.0182315, 0.0176348", \ + "0.0203511, 0.0198776, 0.0197826, 0.0197475, 0.0195486, 0.0195802, 0.0194611, 0.0192445, 0.0193431, 0.019097, 0.0189595, 0.0189966, 0.0190319, 0.0187053, 0.0187059, 0.0184643, 0.0182589, 0.0183429, 0.0181286", \ + "0.0217059, 0.0209417, 0.0208004, 0.0207841, 0.0206585, 0.0204431, 0.0204004, 0.020253, 0.0200218, 0.0199789, 0.0196707, 0.0194428, 0.0193807, 0.0192516, 0.0194254, 0.0192326, 0.0189491, 0.0185911, 0.0183415" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00478563, 0.00479155, 0.00479199, 0.00478945, 0.0047897, 0.00479407, 0.00479101, 0.0047904, 0.00478933, 0.0047886, 0.00478976, 0.00478723, 0.00478784, 0.00478764, 0.00479084, 0.004787, 0.00479181, 0.00479213, 0.00479282", \ + "0.00469155, 0.00469844, 0.00469896, 0.00470468, 0.00470288, 0.00470404, 0.00470322, 0.00470614, 0.0047049, 0.00470686, 0.00470633, 0.00470696, 0.00470774, 0.00470728, 0.00471108, 0.00471007, 0.00471186, 0.00471243, 0.00471217", \ + "0.00461571, 0.00463533, 0.00464023, 0.00464412, 0.00464123, 0.00464201, 0.00464622, 0.00464674, 0.00464757, 0.00464762, 0.00465092, 0.0046513, 0.00465264, 0.00465338, 0.00465469, 0.00465597, 0.00465714, 0.00465778, 0.00466019", \ + "0.00453066, 0.0045823, 0.00458296, 0.00458864, 0.00459084, 0.00459269, 0.00459831, 0.0046009, 0.00460165, 0.00460354, 0.0046063, 0.00461194, 0.00460952, 0.00460938, 0.00461233, 0.00461347, 0.00461821, 0.00461592, 0.0046173", \ + "0.00444131, 0.00453148, 0.0045355, 0.00454172, 0.00454852, 0.00455185, 0.00455419, 0.00455573, 0.00456199, 0.00456677, 0.00456854, 0.00456508, 0.00457122, 0.00457011, 0.00457511, 0.0045788, 0.0045819, 0.00457998, 0.00458767", \ + "0.00437383, 0.0044829, 0.00449033, 0.00450079, 0.00451296, 0.00451458, 0.00452309, 0.00452332, 0.00453258, 0.00453958, 0.00454271, 0.00454735, 0.00455005, 0.00455355, 0.00455744, 0.00455955, 0.00456386, 0.00456734, 0.00457339", \ + "0.00429708, 0.00444595, 0.00446024, 0.0044766, 0.00449008, 0.00449686, 0.00450257, 0.00451344, 0.00452478, 0.00453107, 0.00454014, 0.00454657, 0.00455051, 0.00455619, 0.00456132, 0.00456825, 0.00457197, 0.00457492, 0.0045764", \ + "0.00425359, 0.00442375, 0.00444929, 0.00446228, 0.0044721, 0.00449011, 0.00450706, 0.00452257, 0.0045401, 0.00455096, 0.0045618, 0.00457525, 0.00458636, 0.00458779, 0.00459846, 0.00460117, 0.00460912, 0.00461377, 0.00461789", \ + "0.00428638, 0.00444752, 0.0044747, 0.00448945, 0.00451259, 0.00453179, 0.00454549, 0.00456985, 0.00458139, 0.00460361, 0.00461531, 0.0046276, 0.00463903, 0.00464967, 0.00465865, 0.00467133, 0.00467503, 0.00468431, 0.00469127", \ + "0.00440538, 0.00453384, 0.00456156, 0.00458141, 0.00460042, 0.00462598, 0.00464568, 0.00467157, 0.00468953, 0.00470615, 0.00473522, 0.0047466, 0.00476714, 0.00477379, 0.0047852, 0.00479507, 0.00481055, 0.00481507, 0.00482868", \ + "0.00476789, 0.00477586, 0.00479239, 0.00481972, 0.00482816, 0.00484582, 0.00485742, 0.00487077, 0.00489602, 0.00491766, 0.0049303, 0.00495098, 0.00496952, 0.00499234, 0.00500673, 0.00501874, 0.00503544, 0.00503793, 0.00505184", \ + "0.00546509, 0.00528221, 0.00526825, 0.00525091, 0.00525205, 0.00526444, 0.00525642, 0.00527923, 0.00528427, 0.00529364, 0.00530458, 0.00532154, 0.00532901, 0.00533537, 0.00535346, 0.00537452, 0.00538459, 0.00540058, 0.00540642", \ + "0.00672841, 0.00620079, 0.00615802, 0.0061112, 0.00608547, 0.00605092, 0.00602025, 0.00597932, 0.00598494, 0.00596582, 0.0059517, 0.00594397, 0.00594789, 0.00593504, 0.00594622, 0.00594308, 0.0059552, 0.00595939, 0.00596592" \ + ); + } + } + internal_power () { + related_pin : "Q3"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.0199771, 0.019935, 0.0199178, 0.0199019, 0.0198826, 0.0198385, 0.0198332, 0.0197787, 0.0197382, 0.019687, 0.0196667, 0.0196116, 0.0196294, 0.0195029, 0.0195625, 0.0195141, 0.0195184, 0.0194796, 0.0194768", \ + "0.0198742, 0.0198732, 0.0198507, 0.0198405, 0.0198142, 0.0198114, 0.019781, 0.0197436, 0.0196849, 0.0196337, 0.01963, 0.0195155, 0.0195, 0.0194523, 0.0194622, 0.0194898, 0.0194715, 0.0194413, 0.0193732", \ + "0.0197984, 0.0198202, 0.0197973, 0.0197929, 0.0197851, 0.0197541, 0.0197033, 0.0197299, 0.0196592, 0.0196336, 0.0195846, 0.0195682, 0.0195025, 0.0195004, 0.0194802, 0.0194313, 0.0194069, 0.019301, 0.0192427", \ + "0.0196976, 0.0197501, 0.0197304, 0.0197311, 0.0197199, 0.0196974, 0.0196343, 0.0196264, 0.0195771, 0.019528, 0.0195162, 0.0194559, 0.0194341, 0.0193578, 0.019445, 0.0193605, 0.0193601, 0.0193297, 0.0191746", \ + "0.019593, 0.0196774, 0.0196533, 0.0196541, 0.0196348, 0.0196137, 0.019599, 0.0195681, 0.0195408, 0.0195103, 0.0195018, 0.0194165, 0.0194041, 0.019291, 0.0193676, 0.019256, 0.0192325, 0.01914, 0.0192233", \ + "0.0195211, 0.0195936, 0.0195763, 0.0195698, 0.0195517, 0.0195951, 0.0195018, 0.0195351, 0.0194957, 0.0194037, 0.0194394, 0.0193905, 0.0193159, 0.0193292, 0.0193485, 0.0192538, 0.0193097, 0.0192884, 0.0190842", \ + "0.0194828, 0.0195145, 0.0194935, 0.0195118, 0.0194952, 0.0194983, 0.0194901, 0.0194934, 0.0194656, 0.0193858, 0.0193618, 0.019399, 0.0192145, 0.0192221, 0.0192707, 0.0192083, 0.0191094, 0.0191975, 0.0192034", \ + "0.019517, 0.0194992, 0.0195288, 0.0194975, 0.0194686, 0.0194895, 0.0193744, 0.0194076, 0.0193481, 0.0193603, 0.0192703, 0.0193008, 0.0191899, 0.0191915, 0.0191753, 0.019113, 0.0189714, 0.0191052, 0.0189441", \ + "0.0196233, 0.0195224, 0.0195567, 0.0196072, 0.0195407, 0.0194817, 0.019498, 0.0193985, 0.0193778, 0.0193352, 0.0192466, 0.0192219, 0.019356, 0.0190882, 0.0192614, 0.0191084, 0.0191288, 0.0190287, 0.0190949", \ + "0.0198588, 0.019737, 0.0196922, 0.0196685, 0.0196352, 0.0196317, 0.0196933, 0.0196025, 0.0194556, 0.0194384, 0.0192585, 0.0193047, 0.0192685, 0.0191569, 0.0187696, 0.019108, 0.019122, 0.0189016, 0.0186079", \ + "0.0203177, 0.0201014, 0.0200332, 0.0199576, 0.0198811, 0.0199799, 0.0197597, 0.0197273, 0.0196853, 0.0196564, 0.0194696, 0.0193713, 0.0192111, 0.0193135, 0.0191091, 0.0192887, 0.0190689, 0.0188763, 0.0183219", \ + "0.0211698, 0.0207462, 0.0206723, 0.0204829, 0.0204553, 0.0203521, 0.0203805, 0.0200604, 0.0201091, 0.0199371, 0.0198363, 0.019864, 0.019821, 0.0195088, 0.0195017, 0.0192959, 0.0190628, 0.0187076, 0.0192137", \ + "0.0225085, 0.021761, 0.0217179, 0.0216355, 0.0215131, 0.0212021, 0.0211496, 0.0209948, 0.0209214, 0.0208466, 0.0203746, 0.0203032, 0.0202819, 0.0201543, 0.020247, 0.020039, 0.0195869, 0.0192666, 0.0194434" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00503061, 0.0050262, 0.00502647, 0.00502643, 0.00502569, 0.00503012, 0.00502833, 0.00502655, 0.00502696, 0.00502223, 0.00502569, 0.00502753, 0.00502285, 0.00502635, 0.00502588, 0.00502299, 0.00502404, 0.00502612, 0.00502475", \ + "0.00493619, 0.00494312, 0.00494566, 0.00494739, 0.00494952, 0.0049476, 0.00494978, 0.00494897, 0.00494761, 0.0049513, 0.00494959, 0.00494855, 0.00494896, 0.00495134, 0.0049493, 0.00495429, 0.00495275, 0.00495159, 0.00495147", \ + "0.00485997, 0.00488116, 0.00488965, 0.00488075, 0.00488961, 0.00488413, 0.00488741, 0.00488712, 0.0048885, 0.00489025, 0.00489198, 0.00488968, 0.00489066, 0.00489213, 0.00489153, 0.00489538, 0.00489592, 0.004896, 0.00489882", \ + "0.00478092, 0.00482523, 0.00482131, 0.0048204, 0.00482604, 0.00482872, 0.00482759, 0.00482979, 0.00483189, 0.0048343, 0.00483953, 0.00483667, 0.00484148, 0.00483948, 0.00484163, 0.0048449, 0.0048461, 0.00484991, 0.00484448", \ + "0.00469281, 0.00475999, 0.00476291, 0.00477124, 0.00477633, 0.00477541, 0.00477834, 0.00478151, 0.00479199, 0.00479251, 0.00479063, 0.0047956, 0.00479906, 0.00479648, 0.00480223, 0.00480508, 0.00480859, 0.00480849, 0.00480896", \ + "0.00461795, 0.00470958, 0.00471646, 0.00472569, 0.00474205, 0.00474337, 0.00474863, 0.00475164, 0.00475381, 0.0047679, 0.00476602, 0.00477467, 0.0047763, 0.00477809, 0.0047848, 0.00478238, 0.00478676, 0.00478556, 0.0047897", \ + "0.00452765, 0.00467695, 0.00469073, 0.00469628, 0.00471173, 0.00472616, 0.0047263, 0.00473761, 0.00473935, 0.00474617, 0.0047574, 0.00476049, 0.00476763, 0.00476819, 0.00477665, 0.00477892, 0.00478385, 0.00478305, 0.00478556", \ + "0.00450604, 0.00464926, 0.00467568, 0.00469347, 0.00470263, 0.00471681, 0.00473538, 0.00474111, 0.00476348, 0.00476779, 0.00477492, 0.00478153, 0.00478758, 0.00480025, 0.00480617, 0.0048177, 0.00481794, 0.00482041, 0.00482651", \ + "0.00451962, 0.00467749, 0.00469563, 0.00470986, 0.00472494, 0.00474851, 0.0047689, 0.00478409, 0.00479606, 0.00481509, 0.00481996, 0.00483444, 0.0048463, 0.00486072, 0.00486399, 0.00487687, 0.00488692, 0.00489142, 0.00489263", \ + "0.0046488, 0.00476675, 0.004789, 0.00480317, 0.00483439, 0.00484754, 0.00486677, 0.00488498, 0.00490758, 0.00492019, 0.00494278, 0.00495722, 0.0049731, 0.00497896, 0.00499715, 0.0050036, 0.00501962, 0.00502185, 0.00502789", \ + "0.00498295, 0.00500666, 0.00500901, 0.00503336, 0.00505429, 0.00505663, 0.00507207, 0.00510284, 0.00511487, 0.00513607, 0.00515038, 0.00516463, 0.00518225, 0.00519776, 0.00522021, 0.00522661, 0.00523575, 0.00524598, 0.00525304", \ + "0.00566723, 0.0055036, 0.00548058, 0.00548312, 0.00548078, 0.00548006, 0.0054956, 0.00549736, 0.00550558, 0.00551956, 0.00552493, 0.00553778, 0.00554261, 0.00555515, 0.00557473, 0.00559179, 0.00560114, 0.00561477, 0.00562193", \ + "0.00691637, 0.00640976, 0.00637451, 0.00633139, 0.00630124, 0.00626893, 0.00624263, 0.00620925, 0.00620828, 0.00620273, 0.00617452, 0.00618058, 0.00618155, 0.00617212, 0.00617921, 0.00617063, 0.00617792, 0.00619095, 0.00619307" \ + ); + } + } + internal_power () { + related_pin : "S0"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.009729, 0.00971829, 0.00971041, 0.00970002, 0.00968038, 0.00965452, 0.00962775, 0.00960561, 0.0095688, 0.0095367, 0.00949067, 0.00945901, 0.00937602, 0.00936793, 0.00938305, 0.00931143, 0.00922966, 0.00922462, 0.00916509", \ + "0.00968758, 0.00971096, 0.00970805, 0.00970679, 0.00967067, 0.0096484, 0.0096381, 0.00960629, 0.00956671, 0.00953771, 0.00949641, 0.00947431, 0.00937458, 0.00937099, 0.00930889, 0.00930927, 0.0093364, 0.00931436, 0.00919451", \ + "0.00965472, 0.00969187, 0.00968169, 0.00968909, 0.00965955, 0.00964462, 0.00960505, 0.00960325, 0.00955677, 0.00950349, 0.00949281, 0.00945991, 0.00940392, 0.00932635, 0.00938845, 0.00936669, 0.00927628, 0.00931066, 0.00916963", \ + "0.00962398, 0.00968771, 0.00966051, 0.00964406, 0.00964469, 0.00963268, 0.009621, 0.00958451, 0.00953408, 0.00952995, 0.00948618, 0.00940789, 0.00935496, 0.00937693, 0.00937783, 0.00935589, 0.00926548, 0.00930908, 0.00918165", \ + "0.00961379, 0.00963403, 0.00962293, 0.00961674, 0.00963056, 0.00960404, 0.0096009, 0.00953967, 0.00953082, 0.00950101, 0.00940419, 0.00940285, 0.00933984, 0.00935335, 0.00927937, 0.00933159, 0.00918898, 0.0092915, 0.00919303", \ + "0.00963972, 0.00961562, 0.00959696, 0.00958675, 0.00958588, 0.00957731, 0.00955165, 0.00955924, 0.00947686, 0.00945455, 0.00942221, 0.00937315, 0.00932976, 0.00926893, 0.00924013, 0.00930783, 0.00926674, 0.00916681, 0.00925403", \ + "0.00975033, 0.00964521, 0.00964305, 0.00960433, 0.00959749, 0.00957314, 0.00955255, 0.00949478, 0.00948773, 0.0094099, 0.0093678, 0.00935587, 0.00924246, 0.00924589, 0.00929269, 0.00924177, 0.0092419, 0.00914063, 0.0092641", \ + "0.00997171, 0.00977006, 0.00975095, 0.00970247, 0.00967172, 0.00960327, 0.00958252, 0.00951079, 0.00952686, 0.00943083, 0.00943339, 0.00928279, 0.00929772, 0.00924912, 0.00928747, 0.00917823, 0.00914704, 0.00920854, 0.00902987", \ + "0.0103571, 0.00993373, 0.00994696, 0.00988622, 0.00985588, 0.00984519, 0.00975354, 0.00967711, 0.00961823, 0.00947421, 0.00944224, 0.00936955, 0.00924786, 0.00921907, 0.00912997, 0.00906123, 0.00908206, 0.00904212, 0.00915244", \ + "0.0109971, 0.0103925, 0.0103818, 0.010307, 0.0101659, 0.0101535, 0.0100579, 0.0100137, 0.00992175, 0.00980161, 0.00963864, 0.00957295, 0.00941274, 0.00951463, 0.00935515, 0.00912063, 0.0089404, 0.00900033, 0.00900249", \ + "0.0119558, 0.0112583, 0.0111444, 0.0111095, 0.0108836, 0.0108003, 0.0106569, 0.0105281, 0.0104161, 0.0102506, 0.0102396, 0.00994825, 0.0098163, 0.00969607, 0.00962011, 0.00956091, 0.00935323, 0.0093098, 0.0090838", \ + "0.0135153, 0.0126573, 0.0125577, 0.012364, 0.0121968, 0.0119993, 0.0118234, 0.0114991, 0.0114099, 0.0111169, 0.0109882, 0.0107417, 0.0106447, 0.0104521, 0.0101837, 0.00986547, 0.00958741, 0.00957486, 0.00936844", \ + "0.0158788, 0.0148193, 0.0147121, 0.0144187, 0.0142876, 0.0140334, 0.0138325, 0.0133803, 0.0134352, 0.0128148, 0.0124047, 0.0121178, 0.0118157, 0.0115709, 0.0113854, 0.011239, 0.0108661, 0.0103561, 0.0101445" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00521386, 0.00529037, 0.00529259, 0.00529505, 0.00530094, 0.00530326, 0.00530677, 0.00530752, 0.00530959, 0.00531464, 0.00531295, 0.00531546, 0.00531786, 0.0053214, 0.00532449, 0.00532415, 0.00532696, 0.00532869, 0.00532961", \ + "0.00518818, 0.00530526, 0.00530926, 0.00531548, 0.00531978, 0.00532577, 0.00532919, 0.00533559, 0.00533961, 0.0053396, 0.00534423, 0.0053443, 0.00534798, 0.00535158, 0.00535396, 0.00535771, 0.00535817, 0.00536232, 0.0053626", \ + "0.00516219, 0.00530642, 0.00531887, 0.00532971, 0.00533451, 0.00534038, 0.00534785, 0.00535605, 0.00536016, 0.00536312, 0.00536683, 0.00537098, 0.00537373, 0.00537739, 0.00538118, 0.0053821, 0.00538571, 0.00538844, 0.00538949", \ + "0.00511669, 0.00530976, 0.00531797, 0.00533361, 0.00534137, 0.00535168, 0.00536384, 0.00536972, 0.00537718, 0.0053815, 0.00538713, 0.00539405, 0.00539805, 0.00540181, 0.00540511, 0.00540927, 0.00541296, 0.00541629, 0.00541745", \ + "0.00504805, 0.00529576, 0.00531016, 0.00532533, 0.00533806, 0.00534903, 0.00536422, 0.00537433, 0.00538586, 0.00539716, 0.00540284, 0.00541067, 0.00541452, 0.00542233, 0.00542608, 0.00543225, 0.00543818, 0.00543821, 0.0054443", \ + "0.0049712, 0.00525911, 0.00527874, 0.00529848, 0.00532012, 0.00533505, 0.00535242, 0.00537311, 0.00537931, 0.00539402, 0.00540675, 0.00541837, 0.00542158, 0.00543105, 0.00543582, 0.00544485, 0.00545062, 0.00545603, 0.00546212", \ + "0.00488061, 0.005202, 0.00522624, 0.00525806, 0.00528273, 0.00530432, 0.0053253, 0.00534571, 0.00536344, 0.00537987, 0.00539862, 0.00541021, 0.0054191, 0.00542973, 0.00544215, 0.00545025, 0.00545327, 0.00546307, 0.00547007", \ + "0.00480256, 0.00512925, 0.00516612, 0.00519528, 0.00522221, 0.00525406, 0.00528484, 0.00530839, 0.00533407, 0.00535318, 0.00537655, 0.00539278, 0.00541047, 0.00541569, 0.00542912, 0.00544447, 0.00545008, 0.00546081, 0.0054693", \ + "0.00475752, 0.00506421, 0.0050984, 0.00512548, 0.00516212, 0.00519976, 0.00522867, 0.00526111, 0.0052897, 0.00531633, 0.0053448, 0.00536175, 0.00538244, 0.00539916, 0.00541738, 0.00542614, 0.00544331, 0.00545239, 0.00546037", \ + "0.00482085, 0.0050157, 0.00504053, 0.00507028, 0.00510659, 0.00514908, 0.00518129, 0.0052061, 0.00524941, 0.00526848, 0.00530217, 0.00533314, 0.00534955, 0.00537736, 0.00539893, 0.00541009, 0.00542676, 0.00544388, 0.00544951", \ + "0.0050452, 0.0050294, 0.00504052, 0.00507526, 0.00511278, 0.00512883, 0.0051579, 0.0051889, 0.00522415, 0.00525544, 0.00528306, 0.00530948, 0.00533543, 0.00535969, 0.00538038, 0.00539964, 0.00541728, 0.00542987, 0.00544684", \ + "0.00573635, 0.00519773, 0.00519651, 0.00518531, 0.00519791, 0.00520747, 0.00521755, 0.00524032, 0.0052594, 0.00528128, 0.00531246, 0.00532608, 0.00534517, 0.00536976, 0.00538204, 0.00539986, 0.00542182, 0.00543348, 0.00544722", \ + "0.00735938, 0.00561423, 0.00557233, 0.00552395, 0.00551031, 0.005476, 0.00545084, 0.0054523, 0.00544335, 0.0054391, 0.00543427, 0.00543944, 0.00544462, 0.00545779, 0.00545977, 0.0054663, 0.00547441, 0.00548104, 0.00549196" \ + ); + } + } + internal_power () { + related_pin : "S0B"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.009729, 0.00971829, 0.00971041, 0.00970002, 0.00968036, 0.00965452, 0.00962775, 0.00960561, 0.0095688, 0.0095367, 0.00949067, 0.00945901, 0.00937602, 0.00936793, 0.00938305, 0.00931143, 0.00922966, 0.00922462, 0.00916549", \ + "0.00968758, 0.00971096, 0.00970805, 0.00970677, 0.00967067, 0.0096484, 0.0096381, 0.00960629, 0.00956671, 0.00953771, 0.00949635, 0.00947431, 0.00937494, 0.00937099, 0.00930889, 0.00930927, 0.0093364, 0.00931436, 0.00919451", \ + "0.00965472, 0.00969491, 0.00968169, 0.00968908, 0.00965955, 0.00964462, 0.00960505, 0.00960327, 0.00955677, 0.00951638, 0.00948111, 0.00945991, 0.0094041, 0.00932635, 0.00938791, 0.00936687, 0.00925162, 0.00931066, 0.00916963", \ + "0.00962398, 0.00968774, 0.00966051, 0.00964406, 0.00964469, 0.00963268, 0.009621, 0.00958421, 0.00953408, 0.00952995, 0.00948629, 0.00940789, 0.00935496, 0.00937693, 0.00937783, 0.00935589, 0.00926548, 0.00930908, 0.00918165", \ + "0.00961379, 0.00963403, 0.00962293, 0.00961674, 0.00963056, 0.00960404, 0.00960088, 0.00953967, 0.00953082, 0.00950101, 0.00940419, 0.00940285, 0.00933984, 0.00935335, 0.00927937, 0.00933159, 0.00918898, 0.0092915, 0.00919303", \ + "0.00963972, 0.00961562, 0.00959696, 0.00958675, 0.00958588, 0.00957731, 0.00955165, 0.00955924, 0.00947686, 0.00946274, 0.00942221, 0.00937315, 0.00932976, 0.00926893, 0.00924013, 0.00930783, 0.00926674, 0.00916681, 0.00925403", \ + "0.00975033, 0.00964521, 0.00964485, 0.00960433, 0.00959749, 0.00957317, 0.00955255, 0.00949478, 0.00948773, 0.0094099, 0.0093678, 0.00935587, 0.00924246, 0.00924589, 0.00929269, 0.00924177, 0.00924208, 0.00914063, 0.0092641", \ + "0.00997171, 0.00977006, 0.00975095, 0.00970247, 0.00967172, 0.00960327, 0.00958252, 0.00951079, 0.00952686, 0.00943083, 0.00943276, 0.00928279, 0.00929772, 0.00924912, 0.00928747, 0.00917823, 0.00914704, 0.00920854, 0.00902987", \ + "0.0103571, 0.00993373, 0.00994696, 0.00988622, 0.00985588, 0.00984519, 0.00975354, 0.00967711, 0.00961825, 0.00947421, 0.00944224, 0.00936955, 0.00924786, 0.00921907, 0.00912997, 0.00906123, 0.00908206, 0.00904212, 0.00915244", \ + "0.0109971, 0.0103925, 0.0103818, 0.010307, 0.0101659, 0.0101535, 0.0100579, 0.0100137, 0.00992175, 0.00980161, 0.00963864, 0.00957295, 0.00941274, 0.00951463, 0.00935515, 0.00912063, 0.0089404, 0.00900033, 0.00900213", \ + "0.0119558, 0.0112583, 0.0111444, 0.0111095, 0.0108836, 0.0108003, 0.0106569, 0.0105281, 0.0104161, 0.0102506, 0.0102396, 0.00994825, 0.0098163, 0.00969607, 0.00962011, 0.00956091, 0.00935323, 0.0093098, 0.0090838", \ + "0.0135153, 0.0126573, 0.0125577, 0.012364, 0.0121968, 0.0119993, 0.0118234, 0.0114991, 0.0114099, 0.0111169, 0.0109882, 0.0107417, 0.0106447, 0.0104521, 0.0101837, 0.00986547, 0.00958741, 0.00957486, 0.00936844", \ + "0.0158805, 0.0148193, 0.0147121, 0.0144187, 0.0142876, 0.0140334, 0.0138325, 0.0133803, 0.0134352, 0.0128148, 0.0124047, 0.0121178, 0.0118157, 0.0115709, 0.0113854, 0.011239, 0.0108661, 0.0103561, 0.0101445" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00521386, 0.00529037, 0.00529259, 0.00529505, 0.00530094, 0.00530326, 0.00530677, 0.00530752, 0.00530959, 0.00531464, 0.00531295, 0.00531546, 0.00531786, 0.00532144, 0.00532449, 0.00532415, 0.00532696, 0.00532869, 0.00532961", \ + "0.00518818, 0.00530526, 0.00530926, 0.00531548, 0.00531978, 0.00532577, 0.00532919, 0.00533559, 0.00533961, 0.0053396, 0.00534423, 0.0053443, 0.00534798, 0.00535158, 0.00535396, 0.00535771, 0.00535817, 0.00536232, 0.0053626", \ + "0.00516219, 0.00530642, 0.00531887, 0.00532971, 0.00533451, 0.00534038, 0.00534785, 0.00535605, 0.00536016, 0.00536312, 0.00536683, 0.00537098, 0.00537373, 0.00537739, 0.00538118, 0.0053821, 0.00538571, 0.00538844, 0.00538949", \ + "0.00511669, 0.00530976, 0.00531797, 0.00533361, 0.00534137, 0.00535168, 0.00536385, 0.00536972, 0.00537718, 0.0053815, 0.00538713, 0.00539405, 0.00539805, 0.00540181, 0.00540511, 0.00540927, 0.00541296, 0.00541685, 0.00541745", \ + "0.00504805, 0.00529576, 0.00531016, 0.00532533, 0.00533806, 0.00534903, 0.00536422, 0.00537433, 0.00538586, 0.00539716, 0.00540284, 0.00541067, 0.00541452, 0.00542233, 0.00542608, 0.00543225, 0.00543818, 0.00543821, 0.0054443", \ + "0.0049712, 0.00525911, 0.00527874, 0.00529848, 0.00532012, 0.00533505, 0.00535242, 0.00537311, 0.00537931, 0.00539402, 0.00540675, 0.00541838, 0.00542158, 0.00543105, 0.00543582, 0.00544485, 0.00545062, 0.00545734, 0.00546211", \ + "0.00488061, 0.005202, 0.00522624, 0.00525806, 0.00528273, 0.00530432, 0.0053253, 0.00534571, 0.00536344, 0.00537987, 0.00539863, 0.00541021, 0.0054191, 0.00542973, 0.00544215, 0.00545025, 0.00545327, 0.00546307, 0.00547007", \ + "0.00480256, 0.00512925, 0.00516612, 0.00519528, 0.00522221, 0.00525406, 0.00528484, 0.00530839, 0.00533407, 0.00535318, 0.00537656, 0.00539278, 0.00541047, 0.00541569, 0.00542912, 0.00544447, 0.00545008, 0.00546081, 0.0054693", \ + "0.00475752, 0.00506421, 0.0050984, 0.00512548, 0.00516212, 0.00519976, 0.00522868, 0.00526111, 0.0052897, 0.00531633, 0.0053448, 0.00536175, 0.00538244, 0.00539916, 0.00541738, 0.00542614, 0.00544331, 0.00545239, 0.00546037", \ + "0.00482082, 0.0050157, 0.00504053, 0.00507028, 0.00510659, 0.00514908, 0.00518129, 0.0052061, 0.00524941, 0.00526848, 0.00530217, 0.00533314, 0.00534955, 0.00537736, 0.00539893, 0.00541009, 0.00542676, 0.00544389, 0.00544951", \ + "0.0050452, 0.0050294, 0.00504052, 0.00507526, 0.00511277, 0.00512883, 0.0051579, 0.0051889, 0.00522415, 0.00525544, 0.00528306, 0.00530948, 0.00533543, 0.00535969, 0.00538038, 0.00539964, 0.00541728, 0.00542987, 0.00544684", \ + "0.00573635, 0.00519773, 0.00519651, 0.00518531, 0.00519791, 0.00520747, 0.00521755, 0.00524032, 0.0052594, 0.00528128, 0.00531245, 0.00532608, 0.00534517, 0.00536976, 0.00538204, 0.00539986, 0.00542182, 0.00543348, 0.00544722", \ + "0.00735938, 0.00561423, 0.00557233, 0.00552395, 0.00551031, 0.005476, 0.00545084, 0.0054523, 0.00544335, 0.0054391, 0.00543427, 0.00543944, 0.00544462, 0.00545779, 0.00545977, 0.0054663, 0.00547441, 0.00548104, 0.00549196" \ + ); + } + } + internal_power () { + related_pin : "S1"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00972714, 0.00971643, 0.00970855, 0.00969816, 0.0096785, 0.00965265, 0.00962589, 0.00960374, 0.00956693, 0.00953484, 0.0094888, 0.00945714, 0.00937415, 0.00936606, 0.00938119, 0.00930956, 0.0092278, 0.00922276, 0.00916362", \ + "0.00968628, 0.00970967, 0.00970676, 0.00970547, 0.00966938, 0.0096471, 0.00963681, 0.009605, 0.00956541, 0.00953642, 0.00949506, 0.00947301, 0.00937364, 0.00936969, 0.0093076, 0.00930797, 0.00933511, 0.00931306, 0.00919322", \ + "0.00965383, 0.00969401, 0.00968079, 0.00968818, 0.00965865, 0.00964372, 0.00960416, 0.00960237, 0.00955588, 0.00951549, 0.00948021, 0.00945901, 0.0094032, 0.00932545, 0.00938702, 0.00936597, 0.00925073, 0.00930977, 0.00916873", \ + "0.00962333, 0.00968709, 0.00965986, 0.00964341, 0.00964404, 0.00963203, 0.00962035, 0.00958356, 0.00953343, 0.0095293, 0.00948564, 0.00940724, 0.00935431, 0.00937628, 0.00937718, 0.00935524, 0.00926483, 0.00930843, 0.009181", \ + "0.00961292, 0.00963316, 0.00962206, 0.00961587, 0.00962969, 0.00960318, 0.00960001, 0.00953881, 0.00952995, 0.00950014, 0.00940332, 0.00940198, 0.00933897, 0.00935248, 0.00927851, 0.00933072, 0.00918811, 0.00929063, 0.00919216", \ + "0.00963897, 0.00961487, 0.00959622, 0.009586, 0.00958514, 0.00957657, 0.0095509, 0.0095585, 0.00947611, 0.009462, 0.00942147, 0.0093724, 0.00932901, 0.00926818, 0.00923939, 0.00930708, 0.009266, 0.00916607, 0.00925329", \ + "0.00974959, 0.00964447, 0.00964411, 0.00960359, 0.00959675, 0.00957244, 0.00955181, 0.00949405, 0.00948699, 0.00940916, 0.00936706, 0.00935513, 0.00924172, 0.00924515, 0.00929196, 0.00924103, 0.00924134, 0.00913989, 0.00926336", \ + "0.00997109, 0.00976944, 0.00975033, 0.00970185, 0.00967111, 0.00960266, 0.0095819, 0.00951017, 0.00952624, 0.00943021, 0.00943214, 0.00928217, 0.0092971, 0.00924851, 0.00928686, 0.00917761, 0.00914643, 0.00920792, 0.00902926", \ + "0.0103566, 0.00993322, 0.00994645, 0.0098857, 0.00985537, 0.00984467, 0.00975302, 0.00967659, 0.00961773, 0.00947369, 0.00944173, 0.00936903, 0.00924734, 0.00921855, 0.00912946, 0.00906071, 0.00908155, 0.00904161, 0.00915192", \ + "0.0109963, 0.0103918, 0.0103811, 0.0103063, 0.0101652, 0.0101528, 0.0100571, 0.010013, 0.00992103, 0.00980088, 0.00963792, 0.00957222, 0.00941201, 0.0095139, 0.00935443, 0.0091199, 0.00893967, 0.0089996, 0.0090014", \ + "0.011955, 0.0112575, 0.0111437, 0.0111088, 0.0108829, 0.0107996, 0.0106561, 0.0105274, 0.0104153, 0.0102499, 0.0102389, 0.00994753, 0.00981558, 0.00969535, 0.00961939, 0.00956019, 0.00935252, 0.00930908, 0.00908308", \ + "0.0135145, 0.0126564, 0.0125569, 0.0123632, 0.012196, 0.0119985, 0.0118226, 0.0114983, 0.0114091, 0.0111161, 0.0109874, 0.0107409, 0.0106438, 0.0104512, 0.0101829, 0.00986465, 0.0095866, 0.00957404, 0.00936762", \ + "0.0158798, 0.0148186, 0.0147114, 0.014418, 0.0142868, 0.0140327, 0.0138317, 0.0133796, 0.0134345, 0.012814, 0.012404, 0.012117, 0.011815, 0.0115702, 0.0113846, 0.0112383, 0.0108653, 0.0103553, 0.0101437" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00527794, 0.00535173, 0.00535603, 0.00535988, 0.00536384, 0.00536677, 0.00536844, 0.00537172, 0.00537317, 0.0053773, 0.00537755, 0.00538044, 0.00538043, 0.00538479, 0.0053839, 0.00538821, 0.00538839, 0.00539008, 0.00539327", \ + "0.00525138, 0.00536603, 0.0053726, 0.00537596, 0.00538071, 0.00538803, 0.00539383, 0.0053954, 0.00540023, 0.00540246, 0.00540473, 0.00540719, 0.00541007, 0.00541129, 0.00541467, 0.00541782, 0.00542128, 0.00542174, 0.00542511", \ + "0.00522391, 0.00537088, 0.00537846, 0.00538693, 0.00539678, 0.00540073, 0.00540848, 0.00541511, 0.00542008, 0.0054235, 0.00542713, 0.00543206, 0.00543665, 0.00543662, 0.00543948, 0.0054426, 0.0054494, 0.0054481, 0.00545125", \ + "0.00517781, 0.00536683, 0.00537904, 0.00539316, 0.00540118, 0.00541116, 0.00542264, 0.00542937, 0.00543731, 0.00544315, 0.00544736, 0.00545129, 0.00545797, 0.00546075, 0.00546712, 0.00546995, 0.00547095, 0.00547669, 0.00547745", \ + "0.00511155, 0.00535154, 0.00536429, 0.00538508, 0.00539525, 0.00541118, 0.00542085, 0.00543247, 0.00544306, 0.00545688, 0.00545775, 0.00546709, 0.00547461, 0.0054796, 0.00548318, 0.00549026, 0.00549395, 0.0054973, 0.00550028", \ + "0.00503694, 0.00531853, 0.00533702, 0.0053568, 0.00537725, 0.00539604, 0.00541267, 0.00543021, 0.00543674, 0.00545076, 0.00546357, 0.00547423, 0.00548037, 0.00548996, 0.00549588, 0.00550244, 0.00550731, 0.005511, 0.00551633", \ + "0.00494948, 0.00526073, 0.00528484, 0.00532023, 0.00533946, 0.00536398, 0.00538296, 0.0054042, 0.00542065, 0.00543777, 0.00545405, 0.00546438, 0.00547425, 0.00548815, 0.00550163, 0.00550629, 0.00550939, 0.00551916, 0.00552687", \ + "0.00487541, 0.00519116, 0.00522933, 0.00525628, 0.00528115, 0.00531266, 0.00534663, 0.00537029, 0.00539405, 0.0054094, 0.00543524, 0.00544784, 0.00546583, 0.00547417, 0.00548488, 0.00549674, 0.00550761, 0.00551679, 0.00552435", \ + "0.00483009, 0.00512314, 0.0051568, 0.00518306, 0.0052203, 0.00525942, 0.00528593, 0.00532069, 0.00534411, 0.00537603, 0.00540179, 0.00542001, 0.00543788, 0.00545614, 0.00546942, 0.00548646, 0.00549886, 0.00550862, 0.00551824", \ + "0.00489288, 0.00507761, 0.00510064, 0.00514148, 0.00517319, 0.00520866, 0.00524317, 0.00526987, 0.00531016, 0.00532774, 0.00536165, 0.00538989, 0.00540822, 0.00543473, 0.00545558, 0.00546443, 0.00548693, 0.00549607, 0.0055078", \ + "0.00511589, 0.00509448, 0.00511053, 0.00513412, 0.00518566, 0.00519039, 0.00522222, 0.00525259, 0.00528663, 0.0053205, 0.00534343, 0.00536926, 0.00539399, 0.00541566, 0.00543665, 0.00546099, 0.00547355, 0.00548406, 0.0055047", \ + "0.00580927, 0.0052671, 0.00526318, 0.0052539, 0.00526949, 0.00527828, 0.00528399, 0.00530832, 0.00532337, 0.00534833, 0.00536824, 0.00538418, 0.0054036, 0.00543066, 0.00544122, 0.00545881, 0.00548099, 0.00549209, 0.0055045", \ + "0.00743816, 0.00567971, 0.00564461, 0.00560283, 0.00557493, 0.00554692, 0.00551829, 0.00552362, 0.00550613, 0.00550792, 0.00549365, 0.00549999, 0.00550719, 0.00552046, 0.00552137, 0.00552679, 0.00553213, 0.00553827, 0.00555001" \ + ); + } + } + internal_power () { + related_pin : "S1B"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00972719, 0.00971648, 0.0097086, 0.00969821, 0.00967857, 0.00965271, 0.00962594, 0.0096038, 0.00956699, 0.0095349, 0.00948886, 0.0094572, 0.00937421, 0.00936612, 0.00938124, 0.00930962, 0.00922785, 0.00922281, 0.00916328", \ + "0.00968647, 0.00970986, 0.00970694, 0.00970568, 0.00966957, 0.00964729, 0.00963699, 0.00960519, 0.0095656, 0.0095366, 0.0094953, 0.0094732, 0.00937347, 0.00936988, 0.00930778, 0.00930816, 0.00933529, 0.00931325, 0.00919341", \ + "0.00965382, 0.00969096, 0.00968078, 0.00968819, 0.00965865, 0.00964371, 0.00960415, 0.00960235, 0.00955587, 0.00950259, 0.0094919, 0.009459, 0.00940301, 0.00932544, 0.00938755, 0.00936578, 0.00927538, 0.00930976, 0.00916872", \ + "0.00962296, 0.00968669, 0.0096595, 0.00964304, 0.00964367, 0.00963167, 0.00961999, 0.0095835, 0.00953306, 0.00952894, 0.00948517, 0.00940687, 0.00935394, 0.00937591, 0.00937682, 0.00935487, 0.00926447, 0.00930806, 0.00918064", \ + "0.00961317, 0.00963342, 0.00962231, 0.00961613, 0.00962994, 0.00960343, 0.00960028, 0.00953906, 0.0095302, 0.0095004, 0.00940358, 0.00940223, 0.00933922, 0.00935273, 0.00927876, 0.00933097, 0.00918837, 0.00929088, 0.00919241", \ + "0.00963897, 0.00961487, 0.00959621, 0.009586, 0.00958514, 0.00957657, 0.0095509, 0.0095585, 0.00947611, 0.00945381, 0.00942146, 0.0093724, 0.00932901, 0.00926818, 0.00923939, 0.00930708, 0.009266, 0.00916606, 0.00925329", \ + "0.00974961, 0.00964449, 0.00964233, 0.00960361, 0.00959677, 0.00957241, 0.00955182, 0.00949406, 0.009487, 0.00940917, 0.00936707, 0.00935514, 0.00924173, 0.00924516, 0.00929197, 0.00924104, 0.00924118, 0.0091399, 0.00926337", \ + "0.009971, 0.00976935, 0.00975024, 0.00970176, 0.00967101, 0.00960256, 0.00958181, 0.00951008, 0.00952615, 0.00943012, 0.00943268, 0.00928208, 0.00929701, 0.00924842, 0.00928676, 0.00917752, 0.00914633, 0.00920783, 0.00902916", \ + "0.0103565, 0.00993314, 0.00994637, 0.00988563, 0.00985529, 0.0098446, 0.00975295, 0.00967652, 0.00961764, 0.00947362, 0.00944166, 0.00936896, 0.00924727, 0.00921848, 0.00912938, 0.00906064, 0.00908147, 0.00904153, 0.00915185", \ + "0.0109963, 0.0103918, 0.0103811, 0.0103063, 0.0101652, 0.0101528, 0.0100571, 0.010013, 0.00992103, 0.00980088, 0.00963792, 0.00957222, 0.00941201, 0.0095139, 0.00935443, 0.0091199, 0.00893968, 0.0089996, 0.00900176", \ + "0.011955, 0.0112575, 0.0111437, 0.0111088, 0.0108829, 0.0107996, 0.0106561, 0.0105274, 0.0104153, 0.0102499, 0.0102389, 0.00994753, 0.00981558, 0.00969535, 0.00961939, 0.00956019, 0.00935252, 0.00930908, 0.00908308", \ + "0.0135146, 0.0126565, 0.012557, 0.0123633, 0.0121961, 0.0119985, 0.0118227, 0.0114984, 0.0114091, 0.0111162, 0.0109875, 0.0107409, 0.0106439, 0.0104513, 0.0101829, 0.00986472, 0.00958667, 0.00957411, 0.00936769", \ + "0.0158779, 0.0148185, 0.0147112, 0.0144178, 0.0142867, 0.0140326, 0.0138316, 0.0133794, 0.0134344, 0.0128139, 0.0124038, 0.0121169, 0.0118149, 0.0115701, 0.0113845, 0.0112382, 0.0108652, 0.0103552, 0.0101436" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00527788, 0.00535168, 0.00535597, 0.00535983, 0.00536379, 0.00536671, 0.00536838, 0.00537167, 0.00537309, 0.00537725, 0.00537749, 0.00538038, 0.00538037, 0.00538473, 0.00538386, 0.00538815, 0.00538835, 0.00539002, 0.00539321", \ + "0.00525119, 0.00536584, 0.00537241, 0.00537577, 0.00538052, 0.00538784, 0.00539364, 0.00539521, 0.00540004, 0.00540227, 0.00540454, 0.005407, 0.00540988, 0.00541111, 0.00541449, 0.00541763, 0.00542109, 0.00542155, 0.00542492", \ + "0.00522391, 0.00537088, 0.00537847, 0.00538694, 0.00539678, 0.00540074, 0.00540849, 0.00541512, 0.00542008, 0.00542351, 0.00542714, 0.00543207, 0.00543666, 0.00543663, 0.00543948, 0.00544261, 0.00544941, 0.00544811, 0.00545087", \ + "0.00517818, 0.00536719, 0.0053794, 0.00539353, 0.00540155, 0.00541152, 0.00542212, 0.00542973, 0.00543767, 0.00544351, 0.00544772, 0.00545165, 0.00545834, 0.00546111, 0.00546749, 0.00547031, 0.00547132, 0.00547705, 0.00547782", \ + "0.00511129, 0.00535129, 0.00536403, 0.00538482, 0.00539499, 0.00541092, 0.0054206, 0.00543222, 0.00544281, 0.00545651, 0.0054575, 0.00546684, 0.00547436, 0.00547935, 0.00548292, 0.00549001, 0.0054937, 0.00549705, 0.00550003", \ + "0.00503694, 0.00531854, 0.00533702, 0.0053568, 0.00537725, 0.00539605, 0.00541267, 0.00543021, 0.00543674, 0.00545076, 0.00546358, 0.00547423, 0.00548037, 0.00549004, 0.00549588, 0.00550246, 0.00550732, 0.00551365, 0.00551633", \ + "0.00494947, 0.00526072, 0.00528482, 0.00532021, 0.00533945, 0.00536396, 0.00538294, 0.00540418, 0.00542063, 0.00543775, 0.00545404, 0.00546437, 0.00547424, 0.00548814, 0.00550162, 0.00550628, 0.00550937, 0.00551915, 0.00552685", \ + "0.00487551, 0.00519125, 0.00522943, 0.00525637, 0.00528124, 0.00531276, 0.00534672, 0.00537038, 0.00539415, 0.00540949, 0.00543497, 0.00544794, 0.00546592, 0.00547427, 0.00548497, 0.00549684, 0.0055077, 0.00551688, 0.00552444", \ + "0.00483016, 0.00512321, 0.00515688, 0.00518313, 0.00522037, 0.00525949, 0.005286, 0.00532076, 0.00534419, 0.0053761, 0.00540187, 0.00542009, 0.00543795, 0.00545621, 0.0054695, 0.00548653, 0.00549893, 0.00550869, 0.00551831", \ + "0.00489288, 0.00507761, 0.00510064, 0.00514148, 0.00517319, 0.00520865, 0.00524317, 0.00526987, 0.00531015, 0.00532774, 0.00536164, 0.00538989, 0.00540821, 0.00543473, 0.00545558, 0.00546443, 0.00548693, 0.00549607, 0.00550779", \ + "0.00511588, 0.00509448, 0.00511053, 0.00513412, 0.00518566, 0.00519039, 0.00522222, 0.00525259, 0.00528663, 0.00532049, 0.00534343, 0.00536926, 0.00539399, 0.00541566, 0.00543665, 0.00546098, 0.00547355, 0.00548405, 0.0055047", \ + "0.0058092, 0.00526703, 0.00526311, 0.00525383, 0.00526942, 0.00527821, 0.00528392, 0.00530825, 0.0053233, 0.00534826, 0.00536817, 0.00538411, 0.00540353, 0.00543059, 0.00544115, 0.00545874, 0.00548092, 0.00549202, 0.00550442", \ + "0.00743828, 0.00567984, 0.00564473, 0.00560296, 0.00557506, 0.00554705, 0.00551841, 0.00552375, 0.00550625, 0.00550804, 0.00549378, 0.00550011, 0.00550732, 0.0055205, 0.00552149, 0.00552692, 0.00553226, 0.00553839, 0.00555014" \ + ); + } + } + internal_power () { + related_pin : "S2"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00938305, 0.0093529, 0.00934311, 0.00932846, 0.00930819, 0.00928355, 0.00925691, 0.00923044, 0.00918449, 0.0091668, 0.00911595, 0.00907542, 0.00900881, 0.0089874, 0.00896922, 0.00900938, 0.00890935, 0.00891004, 0.00879968", \ + "0.00936573, 0.00936374, 0.00934956, 0.00933651, 0.00932377, 0.00930513, 0.00928261, 0.00923948, 0.00920125, 0.00916277, 0.00912656, 0.00909083, 0.00902584, 0.00901199, 0.00893586, 0.00899221, 0.00890648, 0.00889457, 0.00892339", \ + "0.00934327, 0.00935628, 0.00933617, 0.00933191, 0.00933223, 0.00929648, 0.00928157, 0.00923339, 0.00920704, 0.00914737, 0.00914437, 0.00904876, 0.00903399, 0.00899224, 0.00899638, 0.00900612, 0.00895144, 0.00894563, 0.00877528", \ + "0.00932809, 0.00932601, 0.00933071, 0.00931553, 0.00931697, 0.0092854, 0.0092833, 0.0092525, 0.00917448, 0.00917368, 0.0091573, 0.00905906, 0.00900973, 0.00901496, 0.00891974, 0.00901516, 0.00892151, 0.00891089, 0.00882011", \ + "0.00932756, 0.00932226, 0.00932074, 0.00929118, 0.00929319, 0.00929282, 0.00924748, 0.00920355, 0.00920296, 0.00911355, 0.00915472, 0.00911095, 0.00900816, 0.00897487, 0.0089567, 0.00891891, 0.00890915, 0.00885245, 0.00899398", \ + "0.00936079, 0.00933913, 0.00931822, 0.00930537, 0.00928607, 0.00924985, 0.00922566, 0.00919389, 0.00913177, 0.00912482, 0.00908519, 0.00899791, 0.00900312, 0.00900943, 0.00893402, 0.00895437, 0.00900239, 0.00884531, 0.0088207", \ + "0.00946524, 0.00935581, 0.00934348, 0.00930338, 0.00928844, 0.00925264, 0.00926319, 0.00920366, 0.0091628, 0.0091143, 0.00905575, 0.00905833, 0.00895932, 0.00894907, 0.00893144, 0.00896475, 0.00887075, 0.00889583, 0.00891181", \ + "0.00968862, 0.00946817, 0.00946057, 0.00941857, 0.00938974, 0.00930988, 0.00931026, 0.00923782, 0.00920434, 0.00912582, 0.00906681, 0.00897665, 0.00895162, 0.00884381, 0.00890015, 0.00895345, 0.008777, 0.00886326, 0.00890862", \ + "0.0100587, 0.00968585, 0.00965341, 0.0096019, 0.00955573, 0.00956359, 0.00945495, 0.00939295, 0.00928432, 0.00918998, 0.00911709, 0.00909816, 0.00894443, 0.00894749, 0.00885192, 0.00875132, 0.00885099, 0.00880032, 0.00890361", \ + "0.0106731, 0.0100935, 0.0100609, 0.00997799, 0.00987022, 0.00985193, 0.00977309, 0.00964448, 0.00961638, 0.00948339, 0.00935848, 0.00928045, 0.00917118, 0.00904753, 0.00904952, 0.00887619, 0.00879461, 0.00878091, 0.00870829", \ + "0.011641, 0.0109676, 0.0108266, 0.0108022, 0.0105757, 0.0104436, 0.0103548, 0.0102278, 0.0100651, 0.00996082, 0.00982669, 0.00975204, 0.00951318, 0.0094275, 0.00937135, 0.00913304, 0.0090106, 0.00898392, 0.00876232", \ + "0.0131576, 0.0123307, 0.0121756, 0.0121038, 0.0118559, 0.0117065, 0.0114997, 0.0112028, 0.011122, 0.0107772, 0.0106762, 0.0103722, 0.0103734, 0.0101817, 0.00987682, 0.00959099, 0.00935038, 0.00910509, 0.00901668", \ + "0.0154723, 0.0144611, 0.014334, 0.0141257, 0.0139634, 0.0137023, 0.0134509, 0.0130079, 0.012992, 0.012513, 0.0120219, 0.0118053, 0.0114637, 0.0112934, 0.0110807, 0.0108211, 0.0105603, 0.0100645, 0.00975707" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00527786, 0.00535166, 0.00535595, 0.00535981, 0.00536377, 0.00536669, 0.00536836, 0.00537165, 0.00537307, 0.00537723, 0.00537747, 0.00538036, 0.00538035, 0.00538472, 0.00538384, 0.00538814, 0.00538833, 0.00539001, 0.00539319", \ + "0.00525126, 0.00536592, 0.00537248, 0.00537584, 0.0053806, 0.00538791, 0.00539371, 0.00539528, 0.00540012, 0.00540235, 0.00540462, 0.00540707, 0.00540995, 0.00541118, 0.00541456, 0.00541771, 0.00542117, 0.00542163, 0.005425", \ + "0.00522406, 0.00537102, 0.00537861, 0.00538708, 0.00539693, 0.00540088, 0.00540863, 0.00541526, 0.00542023, 0.00542366, 0.00542729, 0.00543222, 0.0054368, 0.00543678, 0.00543963, 0.00544275, 0.00544956, 0.00544826, 0.00545102", \ + "0.00517789, 0.0053669, 0.00537912, 0.00539324, 0.00540126, 0.00541123, 0.00542184, 0.00542945, 0.00543739, 0.00544323, 0.00544744, 0.00545137, 0.00545805, 0.00546082, 0.0054672, 0.00547003, 0.00547103, 0.00547677, 0.00547753", \ + "0.00511128, 0.00535128, 0.00536402, 0.00538481, 0.00539498, 0.00541091, 0.00542058, 0.00543221, 0.0054428, 0.0054565, 0.00545749, 0.00546682, 0.00547435, 0.00547933, 0.00548291, 0.00548999, 0.00549369, 0.00549704, 0.00550002", \ + "0.00503698, 0.00531858, 0.00533706, 0.00535684, 0.00537729, 0.00539608, 0.00541271, 0.00543025, 0.00543678, 0.0054508, 0.00546361, 0.00547427, 0.00548041, 0.00549008, 0.00549592, 0.00550249, 0.00550735, 0.00551369, 0.00551637", \ + "0.00494947, 0.00526072, 0.00528482, 0.00532022, 0.00533945, 0.00536397, 0.00538294, 0.00540418, 0.00542064, 0.00543775, 0.00545404, 0.00546437, 0.00547424, 0.00548814, 0.00550162, 0.00550628, 0.00550937, 0.00551915, 0.00552685", \ + "0.00487556, 0.00519131, 0.00522948, 0.00525643, 0.00528129, 0.00531281, 0.00534678, 0.00537044, 0.0053942, 0.00540954, 0.00543502, 0.00544799, 0.00546597, 0.00547432, 0.00548502, 0.00549689, 0.00550775, 0.00551694, 0.00552449", \ + "0.00483016, 0.00512321, 0.00515688, 0.00518313, 0.00522037, 0.00525949, 0.005286, 0.00532076, 0.00534419, 0.0053761, 0.00540187, 0.00542009, 0.00543795, 0.00545621, 0.0054695, 0.00548653, 0.00549893, 0.00550869, 0.00551831", \ + "0.00489288, 0.00507761, 0.00510064, 0.00514148, 0.00517319, 0.00520865, 0.00524317, 0.00526987, 0.00531015, 0.00532774, 0.00536164, 0.00538989, 0.00540821, 0.00543473, 0.00545558, 0.00546443, 0.00548693, 0.00549607, 0.00550779", \ + "0.00511589, 0.00509448, 0.00511053, 0.00513412, 0.00518566, 0.00519039, 0.00522222, 0.00525259, 0.00528663, 0.00532049, 0.00534343, 0.00536926, 0.00539399, 0.00541566, 0.00543665, 0.00546099, 0.00547355, 0.00548406, 0.0055047", \ + "0.0058092, 0.00526703, 0.00526311, 0.00525383, 0.00526942, 0.00527821, 0.00528392, 0.00530825, 0.0053233, 0.00534826, 0.00536817, 0.00538411, 0.00540353, 0.00543059, 0.00544115, 0.00545874, 0.00548092, 0.00549202, 0.00550442", \ + "0.00743816, 0.00567971, 0.00564461, 0.00560283, 0.00557493, 0.00554692, 0.00551828, 0.00552362, 0.00550612, 0.00550791, 0.00549365, 0.00549999, 0.00550719, 0.00552038, 0.00552136, 0.00552679, 0.00553213, 0.00553827, 0.00555001" \ + ); + } + } + internal_power () { + related_pin : "S2B"; + related_pg_pin : VPWR; + rise_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00938341, 0.00935325, 0.0093435, 0.00932881, 0.00930854, 0.0092839, 0.00925726, 0.0092308, 0.00918484, 0.00916715, 0.0091163, 0.00907577, 0.00900808, 0.00898775, 0.00896958, 0.00900973, 0.00890952, 0.00890766, 0.00880004", \ + "0.00936572, 0.00936373, 0.00934955, 0.0093365, 0.00932376, 0.00930512, 0.0092826, 0.00923948, 0.00920124, 0.00916276, 0.0091266, 0.00909082, 0.00902583, 0.00901198, 0.00893585, 0.0089922, 0.00890648, 0.00889457, 0.00892582", \ + "0.00934315, 0.00935615, 0.00933605, 0.00933178, 0.0093321, 0.00929636, 0.00928144, 0.00923327, 0.00920691, 0.00914724, 0.00914424, 0.00904863, 0.0090344, 0.00899211, 0.00899625, 0.00900599, 0.00895132, 0.0089455, 0.00877515", \ + "0.00932803, 0.00932594, 0.00933065, 0.00931547, 0.00931691, 0.00928589, 0.00928323, 0.00925243, 0.00917442, 0.00920066, 0.00915723, 0.00905899, 0.00900966, 0.00901453, 0.00891968, 0.00901509, 0.00892145, 0.00891083, 0.00882004", \ + "0.00932763, 0.00932234, 0.00932081, 0.00929125, 0.00929327, 0.00928493, 0.00924735, 0.00920363, 0.00920303, 0.00911364, 0.00914929, 0.0091103, 0.00900823, 0.00897494, 0.00895677, 0.00891898, 0.00890922, 0.00885321, 0.00899387", \ + "0.00936078, 0.00934438, 0.00931822, 0.00930537, 0.00928606, 0.00924985, 0.00922566, 0.00919388, 0.00913177, 0.00912482, 0.00908517, 0.00899791, 0.00900312, 0.00891349, 0.00893401, 0.00895437, 0.0090085, 0.00894835, 0.00899244", \ + "0.00947138, 0.0093559, 0.00934357, 0.00930346, 0.00928852, 0.00925272, 0.00926327, 0.00920374, 0.00916288, 0.00911439, 0.00905584, 0.00905842, 0.00895941, 0.00894916, 0.00893134, 0.00896484, 0.00887083, 0.00889592, 0.0089119", \ + "0.00968866, 0.00946821, 0.00946061, 0.00941861, 0.00938978, 0.00930992, 0.0093103, 0.00923786, 0.00920438, 0.00912587, 0.00906685, 0.00897669, 0.00895166, 0.00884385, 0.00891946, 0.00895133, 0.00877704, 0.0088633, 0.00890809", \ + "0.0100587, 0.00968585, 0.00965342, 0.0096019, 0.00955573, 0.0095636, 0.00945495, 0.00939296, 0.00928433, 0.00918999, 0.00911709, 0.00909816, 0.00894443, 0.0089475, 0.00885192, 0.00875132, 0.00885099, 0.00880032, 0.00890362", \ + "0.0106731, 0.0100935, 0.0100609, 0.00997798, 0.00987021, 0.00985192, 0.00977308, 0.00964447, 0.00961637, 0.00948338, 0.00935847, 0.00928044, 0.00917117, 0.00904752, 0.00904951, 0.00887618, 0.0087946, 0.00878091, 0.00870828", \ + "0.011641, 0.0109676, 0.0108266, 0.0108022, 0.0105757, 0.0104436, 0.0103548, 0.0102281, 0.0100651, 0.00996082, 0.00982668, 0.00975204, 0.00951317, 0.0094275, 0.00937135, 0.00913304, 0.0090106, 0.00898391, 0.00876231", \ + "0.0131576, 0.0123307, 0.0121756, 0.0121037, 0.0118559, 0.0117065, 0.0114997, 0.0112028, 0.011122, 0.0107772, 0.0106762, 0.0103722, 0.0103734, 0.0101817, 0.00987682, 0.00959099, 0.00935038, 0.00910509, 0.00901667", \ + "0.0154723, 0.0144611, 0.0142977, 0.0141257, 0.0139634, 0.0137024, 0.013451, 0.0130079, 0.012992, 0.012513, 0.0120219, 0.0118054, 0.0114637, 0.0112934, 0.0110807, 0.0108211, 0.0105604, 0.0100645, 0.00975709" \ + ); + } + fall_power (power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + index_2 ("1e-08, 0.00985, 0.01182, 0.01418, 0.01702, 0.02042, 0.0245, 0.0294, 0.03528, 0.04233, 0.0508, 0.06096, 0.07315, 0.08778, 0.10534, 0.12641, 0.15169, 0.18203, 0.21844"); + values ( \ + "0.00527822, 0.00535201, 0.00535631, 0.00536016, 0.00536413, 0.00536705, 0.00536872, 0.005372, 0.00537345, 0.00537758, 0.00537783, 0.00538072, 0.00538071, 0.00538507, 0.00538418, 0.00538849, 0.00538867, 0.00539036, 0.00539355", \ + "0.00525126, 0.00536591, 0.00537247, 0.00537584, 0.00538059, 0.0053879, 0.00539371, 0.00539528, 0.00540011, 0.00540234, 0.00540461, 0.00540707, 0.00540994, 0.00541117, 0.00541455, 0.0054177, 0.00542116, 0.00542162, 0.00542499", \ + "0.00522393, 0.0053709, 0.00537849, 0.00538695, 0.0053968, 0.00540076, 0.00540851, 0.00541514, 0.0054201, 0.00542353, 0.00542716, 0.00543209, 0.00543668, 0.00543665, 0.0054395, 0.00544263, 0.00544943, 0.00544813, 0.00545127", \ + "0.00517783, 0.00536684, 0.00537905, 0.00539318, 0.0054012, 0.00541117, 0.00542266, 0.00542938, 0.00543732, 0.00544316, 0.00544737, 0.0054513, 0.00545799, 0.00546076, 0.00546714, 0.00546996, 0.00547097, 0.0054767, 0.00547747", \ + "0.00511135, 0.00535135, 0.0053641, 0.00538489, 0.00539506, 0.00541099, 0.00542066, 0.00543228, 0.00544287, 0.00545669, 0.00545756, 0.0054669, 0.00547442, 0.00547941, 0.00548298, 0.00549007, 0.00549376, 0.00549711, 0.00550009", \ + "0.00503698, 0.00531857, 0.00533706, 0.00535684, 0.00537729, 0.00539608, 0.00541271, 0.00543025, 0.00543678, 0.0054508, 0.00546361, 0.00547426, 0.0054804, 0.00549, 0.00549592, 0.00550248, 0.00550735, 0.00551103, 0.00551637", \ + "0.00494956, 0.00526081, 0.00528491, 0.0053203, 0.00533953, 0.00536405, 0.00538303, 0.00540427, 0.00542072, 0.00543784, 0.00545412, 0.00546446, 0.00547432, 0.00548823, 0.0055017, 0.00550637, 0.00550946, 0.00551923, 0.00552694", \ + "0.0048756, 0.00519135, 0.00522952, 0.00525647, 0.00528134, 0.00531285, 0.00534682, 0.00537048, 0.00539424, 0.00540958, 0.00543543, 0.00544803, 0.00546601, 0.00547436, 0.00548506, 0.00549693, 0.00550779, 0.00551698, 0.00552453", \ + "0.00483016, 0.00512322, 0.00515688, 0.00518313, 0.00522037, 0.0052595, 0.005286, 0.00532076, 0.00534419, 0.00537611, 0.00540187, 0.00542009, 0.00543796, 0.00545621, 0.0054695, 0.00548653, 0.00549894, 0.0055087, 0.00551831", \ + "0.00489287, 0.0050776, 0.00510063, 0.00514147, 0.00517318, 0.00520865, 0.00524316, 0.00526986, 0.00531015, 0.00532773, 0.00536163, 0.00538988, 0.0054082, 0.00543472, 0.00545557, 0.00546442, 0.00548692, 0.00549605, 0.00550778", \ + "0.00511588, 0.00509447, 0.00511052, 0.00513412, 0.00518566, 0.00519038, 0.00522221, 0.00525259, 0.00528663, 0.00532049, 0.00534342, 0.00536925, 0.00539398, 0.00541566, 0.00543664, 0.00546098, 0.00547355, 0.00548405, 0.00550469", \ + "0.0058092, 0.00526702, 0.00526311, 0.00525383, 0.00526941, 0.0052782, 0.00528392, 0.00530824, 0.0053233, 0.00534826, 0.00536817, 0.0053841, 0.00540353, 0.00543058, 0.00544114, 0.00545874, 0.00548092, 0.00549202, 0.00550442", \ + "0.00743818, 0.00567973, 0.00564463, 0.00560285, 0.00557495, 0.00554694, 0.00551831, 0.00552364, 0.00550615, 0.00550794, 0.00549367, 0.00550001, 0.00550721, 0.00552048, 0.00552139, 0.00552681, 0.00553215, 0.00553829, 0.00555003" \ + ); + } + } + } + pin (Q1) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00261837; + rise_capacitance : 0.00261837; + rise_capacitance_range (0.00221947, 0.00261837); + fall_capacitance : 0.0026148; + fall_capacitance_range (0.00210301, 0.0026148); + internal_power () { + when : "(Q2 * Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * !Z) + (Q2 * Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * !Z) + (Q2 * !Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * !Z) + (Q2 * !Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * Z) + (!Q2 * Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * Z) + (!Q2 * Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * !Z) + (!Q2 * !Q3 * !S0 * S0B * S1 * !S1B * !S2 * S2B * Z) + (!Q2 * !Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * Z)"; + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-0.00093626, -0.00111335, -0.00124066, -0.00136362, -0.00147725, -0.00154596, -0.0015652, -0.00150397, -0.00132764, -0.000969999, -0.000325726, 0.000746693, 0.00247464" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "0.00821494, 0.00805975, 0.00795801, 0.00790164, 0.00788427, 0.00789846, 0.00795386, 0.00809164, 0.00831256, 0.00871265, 0.00938274, 0.0104817, 0.0122305" \ + ); + } + } + } + pin (Q2) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00259262; + rise_capacitance : 0.00259262; + rise_capacitance_range (0.00220715, 0.00259262); + fall_capacitance : 0.00259051; + fall_capacitance_range (0.00209191, 0.00259051); + internal_power () { + when : "(Q1 * Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * !Z) + (Q1 * Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * !Z) + (Q1 * !Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * !Z) + (Q1 * !Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * Z) + (!Q1 * Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * Z) + (!Q1 * Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * !Z) + (!Q1 * !Q3 * S0 * !S0B * !S1 * S1B * !S2 * S2B * Z) + (!Q1 * !Q3 * !S0 * S0B * !S1 * S1B * S2 * !S2B * Z)"; + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-0.000823479, -0.000997126, -0.00112859, -0.00125056, -0.00136139, -0.00144264, -0.00146386, -0.00140972, -0.00124008, -0.000891633, -0.000265708, 0.000797685, 0.00251061" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "0.00849982, 0.00833824, 0.00824068, 0.0081623, 0.00814432, 0.00815715, 0.00821825, 0.00834669, 0.00855909, 0.00895798, 0.00961642, 0.0106998, 0.0124271" \ + ); + } + } + } + pin (Q3) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00257448; + rise_capacitance : 0.00257448; + rise_capacitance_range (0.00219356, 0.00257448); + fall_capacitance : 0.00257093; + fall_capacitance_range (0.00209068, 0.00257093); + internal_power () { + when : "(Q1 * Q2 * S0 * !S0B * !S1 * S1B * !S2 * S2B * !Z) + (Q1 * Q2 * !S0 * S0B * S1 * !S1B * !S2 * S2B * !Z) + (Q1 * !Q2 * S0 * !S0B * !S1 * S1B * !S2 * S2B * !Z) + (Q1 * !Q2 * !S0 * S0B * S1 * !S1B * !S2 * S2B * Z) + (!Q1 * Q2 * S0 * !S0B * !S1 * S1B * !S2 * S2B * Z) + (!Q1 * Q2 * !S0 * S0B * S1 * !S1B * !S2 * S2B * !Z) + (!Q1 * !Q2 * S0 * !S0B * !S1 * S1B * !S2 * S2B * Z) + (!Q1 * !Q2 * !S0 * S0B * S1 * !S1B * !S2 * S2B * Z)"; + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-0.000482993, -0.000630926, -0.000759136, -0.000887407, -0.00100262, -0.00110685, -0.00115398, -0.00114548, -0.00101665, -0.000727277, -0.000167872, 0.000813919, 0.00242923" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "0.0110244, 0.0108633, 0.0107346, 0.0106347, 0.0105839, 0.0105819, 0.0106273, 0.0107201, 0.010901, 0.011257, 0.0118902, 0.0128803, 0.0144943" \ + ); + } + } + } + pin (S0) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00125666; + rise_capacitance : 0.00125666; + rise_capacitance_range (0.000486212, 0.00125666); + fall_capacitance : 0.00125541; + fall_capacitance_range (0.000480901, 0.00125541); + internal_power () { + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (S0B) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00149094; + rise_capacitance : 0.00149094; + rise_capacitance_range (0.000763583, 0.00149094); + fall_capacitance : 0.00148598; + fall_capacitance_range (0.000722635, 0.00148598); + internal_power () { + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (S1) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00124371; + rise_capacitance : 0.00124371; + rise_capacitance_range (0.000499477, 0.00124371); + fall_capacitance : 0.00124309; + fall_capacitance_range (0.000501217, 0.00124309); + internal_power () { + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-1.86457e-06, -1.2963e-06, -8.95852e-07, -6.50372e-07, -8.68384e-07, -7.44314e-07, -7.37542e-07, -6.1507e-07, -5.15957e-07, -7.27142e-07, -7.19308e-07, -8.18992e-07, -7.48022e-07" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "1.86457e-06, 1.2963e-06, 8.95852e-07, 6.50372e-07, 8.68384e-07, 7.44314e-07, 7.37542e-07, 6.1507e-07, 5.15957e-07, 7.27142e-07, 7.19308e-07, 8.18992e-07, 7.48022e-07" \ + ); + } + } + } + pin (S1B) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00148926; + rise_capacitance : 0.00148926; + rise_capacitance_range (0.000777659, 0.00148926); + fall_capacitance : 0.00148607; + fall_capacitance_range (0.000732948, 0.00148607); + internal_power () { + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "1.80916e-06, 1.10864e-06, 9.03632e-07, 1.01554e-06, 6.15506e-07, 7.46276e-07, 7.24334e-07, 7.08863e-07, 5.89073e-07, 7.25275e-07, 7.18682e-07, 7.47428e-07, 8.72839e-07" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-1.80916e-06, -1.10864e-06, -9.03632e-07, -1.01554e-06, -6.15506e-07, -7.46276e-07, -7.24334e-07, -7.08863e-07, -5.89073e-07, -7.25275e-07, -7.18682e-07, -7.47428e-07, -8.72839e-07" \ + ); + } + } + } + pin (S2) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.00221587; + rise_capacitance : 0.00221587; + rise_capacitance_range (0.00118739, 0.00221587); + fall_capacitance : 0.00221488; + fall_capacitance_range (0.00118686, 0.00221488); + internal_power () { + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "1.79107e-06, 1.18285e-06, 1.04996e-06, 7.2986e-07, 6.0395e-07, 7.84594e-07, 7.25671e-07, 7.60433e-07, 5.89109e-07, 7.25342e-07, 7.18858e-07, 7.47478e-07, 7.45804e-07" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-1.79107e-06, -1.18285e-06, -1.04996e-06, -7.2986e-07, -6.0395e-07, -7.84594e-07, -7.25671e-07, -7.60433e-07, -5.89109e-07, -7.25342e-07, -7.18858e-07, -7.47478e-07, -7.45804e-07" \ + ); + } + } + } + pin (S2B) { + direction : input; + related_ground_pin : VGND; + related_power_pin : VPWR; + max_transition : 1.5; + capacitance : 0.0023964; + rise_capacitance : 0.0023964; + rise_capacitance_range (0.00110896, 0.0023964); + fall_capacitance : 0.00238786; + fall_capacitance_range (0.00110835, 0.00238786); + internal_power () { + related_pg_pin : VPWR; + rise_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "-2.1458e-06, -1.17406e-06, -9.21821e-07, -6.66203e-07, -6.77467e-07, -7.81453e-07, -8.11958e-07, -8.02648e-07, -5.91895e-07, -7.16068e-07, -7.15105e-07, -7.45061e-07, -7.67939e-07" \ + ); + } + fall_power (passive_power_template_13x19) { + index_1 ("0.01, 0.01735, 0.02602, 0.03903, 0.05855, 0.08782, 0.13172, 0.19757, 0.29634, 0.44449, 0.6667, 1, 1.5"); + values ( \ + "2.1458e-06, 1.17406e-06, 9.21821e-07, 6.66203e-07, 6.77467e-07, 7.81453e-07, 8.11958e-07, 8.02648e-07, 5.91895e-07, 7.16068e-07, 7.15105e-07, 7.45061e-07, 7.67939e-07" \ + ); + } + } + } + } +} From 17cb17cd5db44cf8719f896577a13555e661115f Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 3 Apr 2021 17:15:09 -0600 Subject: [PATCH 46/51] [Script] Update report timing script for SOFA CHD --- SNPS_PT/SCRIPT/report_timing_cb.tcl | 17 ++++++++++++----- SNPS_PT/SCRIPT/report_timing_clb.tcl | 17 ++++++++++++----- SNPS_PT/SCRIPT/report_timing_io.tcl | 14 ++++++++++---- SNPS_PT/SCRIPT/report_timing_sb.tcl | 17 ++++++++++++----- 4 files changed, 46 insertions(+), 19 deletions(-) diff --git a/SNPS_PT/SCRIPT/report_timing_cb.tcl b/SNPS_PT/SCRIPT/report_timing_cb.tcl index ea32e88..df59639 100644 --- a/SNPS_PT/SCRIPT/report_timing_cb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_cb.tcl @@ -6,9 +6,9 @@ ################################## # Define environment variables # -set DEVICE_NAME "SOFA_HD" +#set DEVICE_NAME "SOFA_HD" #set DEVICE_NAME "QLSOFA_HD" -#set DEVICE_NAME "SOFA_CHD" +set DEVICE_NAME "SOFA_CHD" set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; @@ -30,9 +30,13 @@ set_app_var svr_enable_vpp true # Enable reporting ALL the timing paths even those are NOT constrained set_app_var timing_report_unconstrained_paths true -set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" - -set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" +if {"SOFA_CHD" == ${DEVICE_NAME}} { + set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm ${SKYWATER_PDK_HOME}/../../LIB" + set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db sky130_uuopenfpga_cc_hd_tt_025C_1v80.lib" +} else { + set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" + set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" +} set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" @@ -49,6 +53,9 @@ foreach DESIGN_NAME ${DESIGN_NAMES} { ################################## # Read timing libraries read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" + if {"SOFA_CHD" == ${DEVICE_NAME}} { + read_lib "${SKYWATER_PDK_HOME}/../../LIB/sky130_uuopenfpga_cc_hd__tt_025C_1v80.lib" + } ################################## # Read post-PnR netlists diff --git a/SNPS_PT/SCRIPT/report_timing_clb.tcl b/SNPS_PT/SCRIPT/report_timing_clb.tcl index f983c50..b106e70 100644 --- a/SNPS_PT/SCRIPT/report_timing_clb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_clb.tcl @@ -6,9 +6,9 @@ ################################## # Define environment variables # -set DEVICE_NAME "SOFA_HD" +#set DEVICE_NAME "SOFA_HD" #set DEVICE_NAME "QLSOFA_HD" -#set DEVICE_NAME "SOFA_CHD" +set DEVICE_NAME "SOFA_CHD" set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; @@ -30,9 +30,13 @@ set_app_var svr_enable_vpp true # Enable reporting ALL the timing paths even those are NOT constrained set_app_var timing_report_unconstrained_paths tr -set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" - -set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" +if {"SOFA_CHD" == ${DEVICE_NAME}} { + set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm ${SKYWATER_PDK_HOME}/../../LIB" + set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db sky130_uuopenfpga_cc_hd_tt_025C_1v80.lib" +} else { + set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" + set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" +} set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" @@ -44,6 +48,9 @@ remove_lib -all ################################## # Read timing libraries read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" +if {"SOFA_CHD" == ${DEVICE_NAME}} { + read_lib "${SKYWATER_PDK_HOME}/../../LIB/sky130_uuopenfpga_cc_hd__tt_025C_1v80.lib" +} ################################## # Read post-PnR netlists diff --git a/SNPS_PT/SCRIPT/report_timing_io.tcl b/SNPS_PT/SCRIPT/report_timing_io.tcl index 095a3a8..42100f4 100644 --- a/SNPS_PT/SCRIPT/report_timing_io.tcl +++ b/SNPS_PT/SCRIPT/report_timing_io.tcl @@ -23,7 +23,6 @@ if {"SOFA_HD" == ${DEVICE_NAME}} { set SDC_HOME "../../SDC/k4_N8_reset_softadder_caravel_io_FPGA_12x12_customhd_cc"; } - set TIMING_REPORT_HOME "../TIMING_REPORTS/"; # Enable preprocessing in Verilog parser @@ -31,9 +30,13 @@ set_app_var svr_enable_vpp true # Enable reporting ALL the timing paths even those are NOT constrained set_app_var timing_report_unconstrained_paths tr -set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" - -set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" +if {"SOFA_CHD" == ${DEVICE_NAME}} { + set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm ${SKYWATER_PDK_HOME}/../../LIB" + set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db sky130_uuopenfpga_cc_hd_tt_025C_1v80.lib" +} else { + set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" + set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" +} set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" @@ -45,6 +48,9 @@ remove_lib -all ################################## # Read timing libraries read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" +if {"SOFA_CHD" == ${DEVICE_NAME}} { + read_lib "${SKYWATER_PDK_HOME}/../../LIB/sky130_uuopenfpga_cc_hd__tt_025C_1v80.lib" +} ################################## # Read post-PnR netlists diff --git a/SNPS_PT/SCRIPT/report_timing_sb.tcl b/SNPS_PT/SCRIPT/report_timing_sb.tcl index 72a8c72..b8ae9ff 100644 --- a/SNPS_PT/SCRIPT/report_timing_sb.tcl +++ b/SNPS_PT/SCRIPT/report_timing_sb.tcl @@ -6,9 +6,9 @@ ################################## # Define environment variables -set DEVICE_NAME "SOFA_HD" +#set DEVICE_NAME "SOFA_HD" #set DEVICE_NAME "QLSOFA_HD" -#set DEVICE_NAME "SOFA_CHD" +set DEVICE_NAME "SOFA_CHD" set SKYWATER_PDK_HOME "../../PDK/skywater-pdk"; @@ -29,9 +29,13 @@ set_app_var svr_enable_vpp true # Enable reporting ALL the timing paths even those are NOT constrained set_app_var timing_report_unconstrained_paths tr -set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" - -set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" +if {"SOFA_CHD" == ${DEVICE_NAME}} { + set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm ${SKYWATER_PDK_HOME}/../../LIB" + set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db sky130_uuopenfpga_cc_hd_tt_025C_1v80.lib" +} else { + set search_path ". * ${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm" + set link_path "* sky130_fd_sc_hd__tt_025C_1v80.db" +} set FPGA_NETLIST_FILES "fpga_top_icv_in_design.pt.v" @@ -49,6 +53,9 @@ foreach DESIGN_NAME ${DESIGN_NAMES} { ################################## # Read timing libraries read_db "${SKYWATER_PDK_HOME}/vendor/synopsys/PlaceRoute/sky130_fd_sc_hd/db_nldm/sky130_fd_sc_hd__tt_025C_1v80.db" + if {"SOFA_CHD" == ${DEVICE_NAME}} { + read_lib "${SKYWATER_PDK_HOME}/../../LIB/sky130_uuopenfpga_cc_hd__tt_025C_1v80.lib" + } ################################## # Read post-PnR netlists From 2bbce2b92f8a2878a65e7ceb9d7d96b795048031 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 3 Apr 2021 17:46:53 -0600 Subject: [PATCH 47/51] [Arch] Update timing for CHD --- ..._skywater130nm_chd_timing_tt_025C_1v80.yml | 32 +++++++++---------- 1 file changed, 16 insertions(+), 16 deletions(-) diff --git a/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml b/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml index a7eb774..5ad0129 100644 --- a/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml +++ b/ARCH/timing_annotation/k4_frac_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm_chd_timing_tt_025C_1v80.yml @@ -1,7 +1,7 @@ -L1_SB_MUX_DELAY: 1.44e-9 -L2_SB_MUX_DELAY: 1.44e-9 -L4_SB_MUX_DELAY: 1.44e-9 -CB_MUX_DELAY: 1.38e-9 +L1_SB_MUX_DELAY: 0.81e-9 +L2_SB_MUX_DELAY: 0.81e-9 +L4_SB_MUX_DELAY: 0.81e-9 +CB_MUX_DELAY: 0.57e-9 L1_WIRE_R: 100 L1_WIRE_C: 1e-12 L2_WIRE_R: 100 @@ -12,15 +12,15 @@ INPAD_DELAY: 0.11e-9 OUTPAD_DELAY: 0.11e-9 FF_T_SETUP: 0.39e-9 FF_T_CLK2Q: 0.43e-9 -LUT_OUT0_TO_FF_D_DELAY: 1.14e-9 -LUT_OUT1_TO_FF_D_DELAY: 0.56e-9 -LUT_OUT0_TO_FLE_OUT_DELAY: 0.89e-9 -FF0_Q_TO_FLE_OUT_DELAY: 0.88e-9 -LUT_OUT1_TO_FLE_OUT_DELAY: 0.78e-9 -FF1_Q_TO_FLE_OUT_DELAY: 0.89e-9 -LUT3_DELAY: 2.31e-9 -LUT3_OUT_TO_FLE_OUT_DELAY: 2.03e-9 -LUT4_DELAY: 2.6e-9 -LUT4_OUT_TO_FLE_OUT_DELAY: 2.03e-9 -REGIN_TO_FF0_DELAY: 1.12e-9 -FF0_TO_FF1_DELAY: 0.56e-9 +LUT_OUT0_TO_FF_D_DELAY: 0.32e-9 +LUT_OUT1_TO_FF_D_DELAY: 0.16e-9 +LUT_OUT0_TO_FLE_OUT_DELAY: 0.65e-9 +FF0_Q_TO_FLE_OUT_DELAY: 0.48e-9 +LUT_OUT1_TO_FLE_OUT_DELAY: 0.47e-9 +FF1_Q_TO_FLE_OUT_DELAY: 0.37e-9 +LUT3_DELAY: 0.86e-9 +LUT3_OUT_TO_FLE_OUT_DELAY: 0.65e-9 +LUT4_DELAY: 1.20e-9 +LUT4_OUT_TO_FLE_OUT_DELAY: 0.66e-9 +REGIN_TO_FF0_DELAY: 0.15e-9 +FF0_TO_FF1_DELAY: 0.16e-9 From 9266b0fd1f4a667ae40e6a2da5d081415449a6d0 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 3 Apr 2021 17:47:55 -0600 Subject: [PATCH 48/51] [Doc] Update SOFA CHD timing in documentation --- .../datasheet/sofa_chd/sofa_chd_timing.rst | 48 +++++++++---------- 1 file changed, 24 insertions(+), 24 deletions(-) diff --git a/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst b/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst index d48623a..7cce48e 100644 --- a/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst +++ b/DOC/source/datasheet/sofa_chd/sofa_chd_timing.rst @@ -25,47 +25,47 @@ The path delays in :numref:`fig_sofa_chd_fle_arch_timing` are listed in :numref: +-------------------------+------------------------------+ | Path / Delay | TT (unit: ns) | +=========================+==============================+ - | in0 -> LUT3_out[0] | 0.85 | + | in0 -> LUT3_out[0] | 0.86 | +-------------------------+------------------------------+ - | in1 -> LUT3_out[0] | 0.57 | + | in1 -> LUT3_out[0] | 0.58 | +-------------------------+------------------------------+ - | in2 -> B | 0.60 | + | in2 -> B | 0.16 | +-------------------------+------------------------------+ | B -> LUT3_out[0] | 0.32 | +-------------------------+------------------------------+ - | in0 -> LUT3_out[1] | 0.90 | + | in0 -> LUT3_out[1] | 0.91 | +-------------------------+------------------------------+ - | in1 -> LUT3_out[1] | 0.62 | + | in1 -> LUT3_out[1] | 0.63 | +-------------------------+------------------------------+ - | B -> LUT3_out[1] | 0.33 | + | B -> LUT3_out[1] | 0.34 | +-------------------------+------------------------------+ - | in0 -> LUT4_out | 1.17 | + | in0 -> LUT4_out | 1.20 | +-------------------------+------------------------------+ - | in1 -> LUT4_out | 0.89 | + | in1 -> LUT4_out | 0.92 | +-------------------------+------------------------------+ - | in2 -> LUT4_out | 1.21 | + | in2 -> LUT4_out | 0.78 | +-------------------------+------------------------------+ - | in3 -> LUT4_out | 0.79 | + | in3 -> LUT4_out | 0.52 | +-------------------------+------------------------------+ - | LUT3_out[0] -> A | 0.56 | + | LUT3_out[0] -> A | 0.17 | +-------------------------+------------------------------+ - | LUT4_out[0] -> A | 0.58 | + | LUT4_out[0] -> A | 0.18 | +-------------------------+------------------------------+ - | A -> out[0] | 0.88 | + | A -> out[0] | 0.48 | +-------------------------+------------------------------+ - | A -> FF[0] | 0.56 | + | A -> FF[0] | 0.15 | +-------------------------+------------------------------+ - | FF[0] -> out[0] | 0.88 | + | FF[0] -> out[0] | 0.48 | +-------------------------+------------------------------+ - | LUT3_out[1] -> out[1] | 0.89 | + | LUT3_out[1] -> out[1] | 0.47 | +-------------------------+------------------------------+ - | LUT3_out[1] -> FF[1] | 0.56 | + | LUT3_out[1] -> FF[1] | 0.16 | +-------------------------+------------------------------+ - | FF[1] -> out[1] | 0.89 | + | FF[1] -> out[1] | 0.37 | +-------------------------+------------------------------+ - | regin -> FF[0] | 0.58 | + | regin -> FF[0] | 0.15 | +-------------------------+------------------------------+ - | FF[0] -> FF[1] | 0.56 | + | FF[0] -> FF[1] | 0.16 | +-------------------------+------------------------------+ @@ -90,12 +90,12 @@ The path delays in :numref:`fig_sofa_hd_routing_arch` are listed in :numref:`tab +---------------------------+------------------------------+ | Path / Delay | TT (unit: ns) | +===========================+==============================+ - | A -> B | 1.44 | + | A -> B | 0.81 | +---------------------------+------------------------------+ - | A -> C | 1.44 | + | A -> C | 0.81 | +---------------------------+------------------------------+ - | A -> D | 1.44 | + | A -> D | 0.81 | +---------------------------+------------------------------+ - | B -> E | 1.38 | + | B -> E | 0.57 | +---------------------------+------------------------------+ From 3a472b0db03fb36467a6fd003f47845a2d3ace76 Mon Sep 17 00:00:00 2001 From: Ganesh Gore Date: Sat, 3 Apr 2021 17:54:59 -0600 Subject: [PATCH 49/51] [Flow] Adding Makefile for running task --- .gitignore | 1 + .../config/task_simulation.conf | 22 ++++++--- FPGA1212_QLSOFA_HD_PNR/Makefile | 40 +++++++++++++++ FPGA1212_QLSOFA_HD_PNR/config.sh | 4 +- .../config/task_simulation.conf | 22 ++++++--- FPGA1212_SOFA_CHD_PNR/Makefile | 40 +++++++++++++++ .../config/task_simulation.conf | 22 ++++++--- FPGA1212_SOFA_HD_PNR/Makefile | 40 +++++++++++++++ README.md | 49 ++++++++++++++----- 9 files changed, 201 insertions(+), 39 deletions(-) create mode 100644 FPGA1212_QLSOFA_HD_PNR/Makefile create mode 100644 FPGA1212_SOFA_CHD_PNR/Makefile create mode 100644 FPGA1212_SOFA_HD_PNR/Makefile diff --git a/.gitignore b/.gitignore index fc48497..171fc07 100644 --- a/.gitignore +++ b/.gitignore @@ -11,3 +11,4 @@ **/SRC**/*_tb.v **/SDC/**/*.sdc !**/SDC/**/disable_configure_ports.sdc +*/runOpenFPGA diff --git a/FPGA1212_QLSOFA_HD_PNR/FPGA1212_QLSOFA_HD_task/config/task_simulation.conf b/FPGA1212_QLSOFA_HD_PNR/FPGA1212_QLSOFA_HD_task/config/task_simulation.conf index 0f65eca..66d79ea 100644 --- a/FPGA1212_QLSOFA_HD_PNR/FPGA1212_QLSOFA_HD_task/config/task_simulation.conf +++ b/FPGA1212_QLSOFA_HD_PNR/FPGA1212_QLSOFA_HD_task/config/task_simulation.conf @@ -1,4 +1,4 @@ -# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + # = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = # Configuration file for running experiments # = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = # timeout_each_job : FPGA Task script splits fpga flow into multiple jobs @@ -13,21 +13,27 @@ spice_output=false verilog_output=true timeout_each_job = 20*60 fpga_flow=vpr_blif -openfpga_shell_template=${PATH:TASK_DIR}/openfpga_flow/tasks/FPGA22_MODULAR_task/generate_testbench.openfpga -openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/arch/openfpga_arch.xml +arch_variable_file=${PATH:TASK_DIR}/design_variables.yml + + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:TASK_DIR}/generate_fabric.openfpga +openfpga_arch_file=${PATH:TASK_DIR}/arch/openfpga_arch.xml openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml -external_fabric_key_file=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/arch/fabric_key.xml +external_fabric_key_file=${PATH:TASK_DIR}/arch/fabric_key.xml +openfpga_vpr_device_layout=12x12 +openfpga_vpr_route_chan_width=60 [ARCHITECTURES] -arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/arch/vpr_arch.xml +arch0=${PATH:TASK_DIR}/arch/vpr_arch.xml [BENCHMARKS] -bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/micro_benchmark/and.blif +bench0=${PATH:TASK_DIR}/micro_benchmark/and.blif [SYNTHESIS_PARAM] bench0_top = top -bench0_act = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/micro_benchmark/and.act -bench0_verilog = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/micro_benchmark/and.v +bench0_act = ${PATH:TASK_DIR}/micro_benchmark/and.act +bench0_verilog = ${PATH:TASK_DIR}/micro_benchmark/and.v [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] vpr_fpga_verilog_formal_verification_top_netlist= diff --git a/FPGA1212_QLSOFA_HD_PNR/Makefile b/FPGA1212_QLSOFA_HD_PNR/Makefile new file mode 100644 index 0000000..d0ddbe8 --- /dev/null +++ b/FPGA1212_QLSOFA_HD_PNR/Makefile @@ -0,0 +1,40 @@ +########################################################################################## +########################################################################################## + +SHELL=bash +PYTHON_EXEC=python3.8 +RERUN = 0 +TB = top +OPTIONS = + +.SILENT: +.ONESHELL: + +runOpenFPGA: + SECONDS=0 + source config.sh + # ===================== Check Tools ===================== + which python3.8 > /dev/null + if [ $$? -eq 1 ]; then + echo "xxxxxxxx Python version 3.8 is required xxxxxxxx"; exit; + fi + + # =================== Clean Previous Run ================================= + rm -f $${OPENFPGA_PATH}/openfpga_flow/tasks/$${TASK_DIR_NAME} + (cd ./$${TASK_DIR_NAME}/config && rm -f task.conf && cp task_simulation.conf task.conf) + + # ===================== Generate Netlist ================================= + (currDir=$${PWD} && cd $$OPENFPGA_PATH && source openfpga.sh && cd $$currDir && + run-task $${TASK_DIR_NAME} --remove_run_dir all + run-task $${TASK_DIR_NAME} ${OPTIONS}) + + if [ $$? -eq 1 ]; then + echo "X X X X X X Failed to generate netlist X X X X X X"; exit; + fi + + duration=$$SECONDS + date > runOpenFPGA + echo "$$(($$duration / 60)) minutes and $$(($$duration % 60)) seconds elapsed." >> runOpenFPGA + +clean: + rm -rf runOpenFPGA \ No newline at end of file diff --git a/FPGA1212_QLSOFA_HD_PNR/config.sh b/FPGA1212_QLSOFA_HD_PNR/config.sh index 3253ebc..521023a 100644 --- a/FPGA1212_QLSOFA_HD_PNR/config.sh +++ b/FPGA1212_QLSOFA_HD_PNR/config.sh @@ -3,8 +3,8 @@ # = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = export PROJ_NAME=FPGA1212_QLSOFA_HD # Project Name -export FPGA_SIZE_X=12 # Grid X Size -export FPGA_SIZE_Y=12 # Grid Y Size +export FPGA_SIZE_X=12 # Grid X Size +export FPGA_SIZE_Y=12 # Grid Y Size # Design Style [hier/flat], mostly hier export DESIGN_STYLE=hier export TECHNOLOGY="skywater" diff --git a/FPGA1212_SOFA_CHD_PNR/FPGA1212_SOFA_CHD_task/config/task_simulation.conf b/FPGA1212_SOFA_CHD_PNR/FPGA1212_SOFA_CHD_task/config/task_simulation.conf index 0f65eca..66d79ea 100644 --- a/FPGA1212_SOFA_CHD_PNR/FPGA1212_SOFA_CHD_task/config/task_simulation.conf +++ b/FPGA1212_SOFA_CHD_PNR/FPGA1212_SOFA_CHD_task/config/task_simulation.conf @@ -1,4 +1,4 @@ -# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + # = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = # Configuration file for running experiments # = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = # timeout_each_job : FPGA Task script splits fpga flow into multiple jobs @@ -13,21 +13,27 @@ spice_output=false verilog_output=true timeout_each_job = 20*60 fpga_flow=vpr_blif -openfpga_shell_template=${PATH:TASK_DIR}/openfpga_flow/tasks/FPGA22_MODULAR_task/generate_testbench.openfpga -openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/arch/openfpga_arch.xml +arch_variable_file=${PATH:TASK_DIR}/design_variables.yml + + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:TASK_DIR}/generate_fabric.openfpga +openfpga_arch_file=${PATH:TASK_DIR}/arch/openfpga_arch.xml openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml -external_fabric_key_file=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/arch/fabric_key.xml +external_fabric_key_file=${PATH:TASK_DIR}/arch/fabric_key.xml +openfpga_vpr_device_layout=12x12 +openfpga_vpr_route_chan_width=60 [ARCHITECTURES] -arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/arch/vpr_arch.xml +arch0=${PATH:TASK_DIR}/arch/vpr_arch.xml [BENCHMARKS] -bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/micro_benchmark/and.blif +bench0=${PATH:TASK_DIR}/micro_benchmark/and.blif [SYNTHESIS_PARAM] bench0_top = top -bench0_act = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/micro_benchmark/and.act -bench0_verilog = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/micro_benchmark/and.v +bench0_act = ${PATH:TASK_DIR}/micro_benchmark/and.act +bench0_verilog = ${PATH:TASK_DIR}/micro_benchmark/and.v [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] vpr_fpga_verilog_formal_verification_top_netlist= diff --git a/FPGA1212_SOFA_CHD_PNR/Makefile b/FPGA1212_SOFA_CHD_PNR/Makefile new file mode 100644 index 0000000..d0ddbe8 --- /dev/null +++ b/FPGA1212_SOFA_CHD_PNR/Makefile @@ -0,0 +1,40 @@ +########################################################################################## +########################################################################################## + +SHELL=bash +PYTHON_EXEC=python3.8 +RERUN = 0 +TB = top +OPTIONS = + +.SILENT: +.ONESHELL: + +runOpenFPGA: + SECONDS=0 + source config.sh + # ===================== Check Tools ===================== + which python3.8 > /dev/null + if [ $$? -eq 1 ]; then + echo "xxxxxxxx Python version 3.8 is required xxxxxxxx"; exit; + fi + + # =================== Clean Previous Run ================================= + rm -f $${OPENFPGA_PATH}/openfpga_flow/tasks/$${TASK_DIR_NAME} + (cd ./$${TASK_DIR_NAME}/config && rm -f task.conf && cp task_simulation.conf task.conf) + + # ===================== Generate Netlist ================================= + (currDir=$${PWD} && cd $$OPENFPGA_PATH && source openfpga.sh && cd $$currDir && + run-task $${TASK_DIR_NAME} --remove_run_dir all + run-task $${TASK_DIR_NAME} ${OPTIONS}) + + if [ $$? -eq 1 ]; then + echo "X X X X X X Failed to generate netlist X X X X X X"; exit; + fi + + duration=$$SECONDS + date > runOpenFPGA + echo "$$(($$duration / 60)) minutes and $$(($$duration % 60)) seconds elapsed." >> runOpenFPGA + +clean: + rm -rf runOpenFPGA \ No newline at end of file diff --git a/FPGA1212_SOFA_HD_PNR/FPGA1212_SOFA_HD_task/config/task_simulation.conf b/FPGA1212_SOFA_HD_PNR/FPGA1212_SOFA_HD_task/config/task_simulation.conf index 0f65eca..7f44b87 100644 --- a/FPGA1212_SOFA_HD_PNR/FPGA1212_SOFA_HD_task/config/task_simulation.conf +++ b/FPGA1212_SOFA_HD_PNR/FPGA1212_SOFA_HD_task/config/task_simulation.conf @@ -1,4 +1,4 @@ -# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + # = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = # Configuration file for running experiments # = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = # timeout_each_job : FPGA Task script splits fpga flow into multiple jobs @@ -13,21 +13,27 @@ spice_output=false verilog_output=true timeout_each_job = 20*60 fpga_flow=vpr_blif -openfpga_shell_template=${PATH:TASK_DIR}/openfpga_flow/tasks/FPGA22_MODULAR_task/generate_testbench.openfpga -openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/arch/openfpga_arch.xml +arch_variable_file=${PATH:TASK_DIR}/design_variables.yml + + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:TASK_DIR}/generate_fabric.openfpga +openfpga_arch_file=${PATH:TASK_DIR}/arch/openfpga_arch.xml openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml -external_fabric_key_file=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/arch/fabric_key.xml +external_fabric_key_file=${PATH:TASK_DIR}/arch/fabric_key.xml +openfpga_vpr_device_layout=12x12 +openfpga_vpr_route_chan_width=40 [ARCHITECTURES] -arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/arch/vpr_arch.xml +arch0=${PATH:TASK_DIR}/arch/vpr_arch.xml [BENCHMARKS] -bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/micro_benchmark/and.blif +bench0=${PATH:TASK_DIR}/micro_benchmark/and.blif [SYNTHESIS_PARAM] bench0_top = top -bench0_act = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/micro_benchmark/and.act -bench0_verilog = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/FPGA22_MODULAR_task/micro_benchmark/and.v +bench0_act = ${PATH:TASK_DIR}/micro_benchmark/and.act +bench0_verilog = ${PATH:TASK_DIR}/micro_benchmark/and.v [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] vpr_fpga_verilog_formal_verification_top_netlist= diff --git a/FPGA1212_SOFA_HD_PNR/Makefile b/FPGA1212_SOFA_HD_PNR/Makefile new file mode 100644 index 0000000..d0ddbe8 --- /dev/null +++ b/FPGA1212_SOFA_HD_PNR/Makefile @@ -0,0 +1,40 @@ +########################################################################################## +########################################################################################## + +SHELL=bash +PYTHON_EXEC=python3.8 +RERUN = 0 +TB = top +OPTIONS = + +.SILENT: +.ONESHELL: + +runOpenFPGA: + SECONDS=0 + source config.sh + # ===================== Check Tools ===================== + which python3.8 > /dev/null + if [ $$? -eq 1 ]; then + echo "xxxxxxxx Python version 3.8 is required xxxxxxxx"; exit; + fi + + # =================== Clean Previous Run ================================= + rm -f $${OPENFPGA_PATH}/openfpga_flow/tasks/$${TASK_DIR_NAME} + (cd ./$${TASK_DIR_NAME}/config && rm -f task.conf && cp task_simulation.conf task.conf) + + # ===================== Generate Netlist ================================= + (currDir=$${PWD} && cd $$OPENFPGA_PATH && source openfpga.sh && cd $$currDir && + run-task $${TASK_DIR_NAME} --remove_run_dir all + run-task $${TASK_DIR_NAME} ${OPTIONS}) + + if [ $$? -eq 1 ]; then + echo "X X X X X X Failed to generate netlist X X X X X X"; exit; + fi + + duration=$$SECONDS + date > runOpenFPGA + echo "$$(($$duration / 60)) minutes and $$(($$duration % 60)) seconds elapsed." >> runOpenFPGA + +clean: + rm -rf runOpenFPGA \ No newline at end of file diff --git a/README.md b/README.md index 5640f1c..0586ab2 100644 --- a/README.md +++ b/README.md @@ -1,7 +1,7 @@ # SOFA [![linux_build](https://github.com/LNIS-Projects/skywater-openfpga/workflows/linux_build/badge.svg)](https://github.com/LNIS-Projects/skywater-openfpga/actions) [![Documentation Status](https://readthedocs.org/projects/skywater-openfpga/badge/?version=latest)](https://skywater-openfpga.readthedocs.io/en/latest/?badge=latest) - + ## Introduction SOFA (**S**kywater **O**pensource **F**PG**A**s) are a series of open-source FPGA IPs using the open-source [Skywater 130nm PDK](https://github.com/google/skywater-pdk) and [OpenFPGA](https://github.com/lnis-uofu/OpenFPGA) framework. @@ -21,24 +21,47 @@ This repository provide the following support for the eFPGA IPs ## Quick Start +To run the user flow using SOFA repository you need to have OpenFPGA installed. +Please visit https://github.com/lnis-uofu/OpenFPGA#compilation for OpenFPGA installaton. + ```bash -#Clone the repository and go inside it -git clone https://github.com/LNIS-Projects/skywater-openfpga.git -python3 SCRIPT/repo_setup.py --openfpga_root_path ${OPENFPGA_PROJECT_DIRECTORY} +export OPENFPGA_PATH= + +# Clone the SOFA repository +git clone https://github.com/lnis-uofu/SOFA.git + +# ======== Goto specific design ======== +# FPGA1212_SOFA_CHD_PNR +# FPGA1212_QLSOFA_HD_PNR +# FPGA1212_SOFA_HD_PNR +cd FPGA1212_QLSOFA_HD_PNR + +# ======== Run example OpenFPGA Task ======== +make runOpenFPGA + +# ======== To view the results ======== +cat FPGA1212_QLSOFA_HD_task/latest/task_result.csv + +# ======== To view detailed log ======== +cat codeopen FPGA1212_QLSOFA_HD_task/latest/vpr_arch/top/MIN_ROUTE_CHAN_WIDTH/**/openfpgashell.log + ``` ---- +### To bechmark your own design +Copy your verilog file `FPGA1212_QLSOFA_HD_task/micro_benchmark` directory +and modify `FPGA1212_QLSOFA_HD_task/config/task_simulation.conf` file. + +Details of different paramters can be found [Configure run_fpga_task](https://openfpga.readthedocs.io/en/latest/manual/openfpga_flow/run_fpga_task/) -* If you have openfpga repository cloned at the same level of this project, you can simple call ```bash - python3 SCRIPT/repo_setup.py -``` - -Otherwise, you should provide full path using the option _--openfpga\_root\_path_ +cd FPGA1212_QLSOFA_HD_PNR +vi FPGA1212_QLSOFA_HD_task/config/task_simulation.conf +``` +--- ## Chip Gallery -You can find a chip gallery in the online documentation. +You can find a chip gallery in the online documentation ## Directory Organization @@ -49,7 +72,7 @@ You can find a chip gallery in the online documentation. - **HDL**: Hardware description netlists for the FPGA fabrics - **SDC**: design constraints - **SCRIPT**: Scripts to setup, run OpenFPGA etc. - - **TESTBENCH**: Verilog testbenches generated by OpenFPGA + - **TESTBENCH**: Verilog testbenches generated by OpenFPGA - **PDK**: Technology files linked from skywater opensource pdk - **SNPS\_ICC2**: workspace of Synopsys IC Compiler 2 Keep a README inside the folder about the ICC2 version and how-to-use. @@ -57,7 +80,7 @@ You can find a chip gallery in the online documentation. --- -* Note: +* Note: - Please **ONLY** place folders under this directory. README should be the **ONLY** file under this directory - Each EDA tool should have **independent** workspace in separated directories From 845c4fada5ebe3491e703abb179c099b61b93db2 Mon Sep 17 00:00:00 2001 From: Ganesh Gore Date: Sat, 3 Apr 2021 18:20:23 -0600 Subject: [PATCH 50/51] [CICD] Adding docker based regression --- .github/workflows/buildDocker.yml | 50 +++++++++++++++++++++++++++++++ 1 file changed, 50 insertions(+) create mode 100644 .github/workflows/buildDocker.yml diff --git a/.github/workflows/buildDocker.yml b/.github/workflows/buildDocker.yml new file mode 100644 index 0000000..607dcc2 --- /dev/null +++ b/.github/workflows/buildDocker.yml @@ -0,0 +1,50 @@ +# ############################################################################## +# TODO: Add verification task after the netlist modification +################################################################################ + +name: Architecture XML Regression + +# Run CI on push on each branch +on: + push: + pull_request: + +jobs: + generate_netlist: + name: Architecture development + runs-on: ubuntu-18.04 + container: ghcr.io/lnis-uofu/openfpga-master:latest + strategy: + fail-fast: false + matrix: + config: + - name: "FPGA1212_QLSOFA_HD" + - name: "FPGA1212_SOFA_CHD" + - name: "FPGA1212_SOFA_HD" + steps: + - name: Runner workspace path + run: | + echo "Cleaning up previous run" + rm -rf "${{ github.workspace }}" + mkdir -p "${{ github.workspace }}" + - name: Checkout OpenFPGA-ArcticPro3 repo + uses: actions/checkout@v2 + - name: Detect changes + uses: technote-space/get-diff-action@v4 + with: + PATTERNS: | + ${{ matrix.config.name }}_PNR/*_task/** + - name: Running benchmark + shell: bash + if: ${{ env.GIT_DIFF || (github.event_name == 'pull_request' && github.ref == 'refs/heads/master') }} + run: | + ${PYTHON_EXEC} -m pip install -r requirements.txt + cat ${{ matrix.config.name }}_PNR/${{ matrix.config.name }}_task/config/task_simulation.conf + cd ${{ matrix.config.name }}_PNR && make clean runOpenFPGA + - name: Upload artifact + uses: actions/upload-artifact@v2 + if: ${{ failure() }} + with: + name: failed_${{matrix.config.name}}_regression_log + retention-days: 1 + path: "${{ matrix.config.name }}_PNR/*_task/latest/*.log" From 15b64a68aa73915f9093480bed4d83d5cdb53080 Mon Sep 17 00:00:00 2001 From: Ganesh Gore Date: Sat, 3 Apr 2021 18:31:19 -0600 Subject: [PATCH 51/51] [CICD] Shortened name for CI quick view --- .github/workflows/buildDocker.yml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/.github/workflows/buildDocker.yml b/.github/workflows/buildDocker.yml index 607dcc2..0a721c9 100644 --- a/.github/workflows/buildDocker.yml +++ b/.github/workflows/buildDocker.yml @@ -2,7 +2,7 @@ # TODO: Add verification task after the netlist modification ################################################################################ -name: Architecture XML Regression +name: Arch XML Regression # Run CI on push on each branch on: @@ -11,7 +11,7 @@ on: jobs: generate_netlist: - name: Architecture development + name: Arch development runs-on: ubuntu-18.04 container: ghcr.io/lnis-uofu/openfpga-master:latest strategy:

    e+O5S z352hk&%J_$lDt|%tg6HYJ8(Aal(c`McK3y_c$YVCZaW|?(FI&gq*dF$LVU4;rs~n6 zct(+*wq1(e4OVSh#+vQ3IGYklVoEq^nFm?3egR8Y`g8D*DB9(dNtakg#jPbNJ%WM{ z8EpS%AA8@6ihQ|{z&Fb|@pv(@uh%mFhA&65_cCwxL@7cUf~%#hn=M7)+-j=Ij0AfF zII`24%#b{eMTD_6XfwHw9uW5O1RK6t!V1Cgst+M-{BV$MuY+X2aX7E{A~Ip0kn1t7 zXI{e3-kQBjr_yk1Db){#QgUlBp?jsE#}qJm)?6O6Kjmm!3fs#zvh`siTN{H3Z#lrh z=RxG$n#JL`mF(QIjJ2y25=$pEEC`=jjvS0VKv3v$VvcQP`*u&ZZ;(QM_AqI;Zj<`> zKI@;xFz?4BEcpH)OFzW1|79qVAEI$Pw3wxvL?s_u&-^7b$jV72@?Z%2_HQRME0KVC zGuXU!4Uv&y%nz7N;e{lgy)0#!VB6P!D(;iKnIYFq_HtqIbWf(aIkRxiY}{QPm_OH^ zv{YScYx~ezJB<8fI|8PUV&Otpw(Z)3skJNG`ljgUs-mK1poY4k7G`?7T*?fgrP7tg z`hgi)WEYE8 z&Lc4+k^Ny|tlhAc{0oIUK!F%#^R*F!xnH zVUITv*S?R4=B+})2boZu%0O5^;Ck9hnjzW!q^>%Ljb%Fi2E_T?_tetDLqw?hcZPa`L-fkpPy37IjK z%5w{7tsKVlmnzb3JBnR(%<$U8?K>?@^Iy)f%4a0Bl;e@Oka@3o38!N5A_TBhzUck}JG*VKsIFosjn7B9=_^o5t++^lh z`*R_FEe~%`;ZaR*uH^S4>vRy6_g}Jc&wl2vT1jwJD7ym#MZq6shHF&^Zjxn9>%ewDUve{^Evfu4buyJSQoZ|`7_sZBKRIN z&EwcDZCGRBUY=DA=jCG+4(~CgAhKFonrvb-kCX7Cg^e#u@c(dw*>5vg|0ay}ucF0Y z^9XD?g|Yuy_Qr>^Z(AHkH>Ls{?cwS56+Cb4EIHSk@GXnjy}XEdYgX{!(QBd~ zp2s0$6DwZdW#0FPS^PGRb#HcYrgjz$|r=PHFJ)!6;rWXkjayr<7)(rg)XW-@usbi93L;ODy- z?@0mp`!8ns@^vEhvxKPT5fgoi)vE&8u{D;0f*UMZDzZ2GJi#H61k7C`jyxukZH*93 zEVmzjV$q8-);$X$x+aJf1zT8qQIt}94$JSv5t9^7{@GI2cpa7AcO`X+i+O)vmA9=b zLctmVSAO)z5FR zAon!e%VP<7Gmq6RDOfG_=T_By+(#ZDZB7-rdyV+AzB^B<`%#q8c$qcwAgiDD%TZeZrH=&=*yzW{>jScSK0MuF~@5*6MbbHp(3%5)*oT#sXgr8 z7|W@RH&|h@l><|E(UQ8ISNF#7w5}UxL#C1(bd!bC){6?e$(*Iqp10JI`QR!G3W8Yj z>>~d6uQ1`8W`f>Nrm1Bf%O;9C+;RjvzKhF}0b#Ur_GQ$#>HqV=&Xi40?``H&7 z&Zf1ZwioZ>*!r6+Fqu#BfdJm!@Ze2dKd$8V<=l~I?iM{`?V5dTSQkd75Pxd&1yThQ zTet0C<*Id}9u9WkEq_!Po&jWJ~HO1q&IFN(qPje#c0@=~+%ut(8()zW0xHFemQfO+cz94qHw7&eav(S(HVX5F%_tX~nuiR?st+g-hp>nUf>Z?iiak2Xnr#h*|Syu`eiu)oVAie&cqs za?i6Ta4(^eLDGx9W`Ae_yCo?j-;0EPvqjX%3ReGckVS=i*tl^wyLRW`wB!V{_C%0- zC7e4I)4Bf4oPAk71cVi^{6HSN!w;~;cRpn~S2>^dGpl1S5MDczo$t1?`OD3${=At* zKOban4-*%#-xiC~VempsP>VDp*{|7E$6k=kr;+aidiI3{g)(B%V3P#iA>mx-Vq@d_CdMwh;PaF$dqy zBI?s7W+$#;O?WIWvo~=dp^AwM53)9LKY6$IQ&QiQ;H&8iAjqI8zZuQbsVb@lgZ>EbSnn zjaxUfYTYW*&*hMoe2$FNOoD@>n7LpUTla4zJ*$+&)3;a=Dc8RbVe^|{_PyE5zPHPz zqu7n_v0bd#7e!WjNGOY!Ehi*AT$Imjg2F;N@_F%+1)MKP=TYkiHm=`= z_ml}t_nF5uZy}y(vqh-Pla5LV%6A&m{bfv7Uj6Zr&dSHvfg?vvxqID;2R9rE+@p?} z>DZ1RN$TlnqN4oJQAq7)X&f>ZFH?S-HJWc>XU zvRcFpQB2eQ-K6q3$uVnTN`FqD2xHZ9KSDz`5V&s*yLT<;V&Q2PEu2j8<#ghr16j6s z29Xh4*}8Qe(GhDYzkHwYJ&7F8@}r>LlQU1J6aUEiFhZQ{Fvf@^38|{H+|-@7mD#@c|aU z-NpTJ1-A|B+6D!MxG&VUj{KJW^?7SIcJ~g5&ueu6K`BZMa&`Wf+Wb@Y&^bd zME@UcnEm(|4U^`+SL9Lt}}vHe>yI^SK!=9eaBe}0bUw(Vq;-(~Tt z*<@7Q<$T>PF4avXvvC1eYXXRQGl$gI>bz`Rfx}K8TtBqo^3|7E{@Q}}uXix|$4xYT zl6LslRu;9@uqbv5K}XlK$bX(l^#>dZJ5K1)1?05ZajC_R)TSv!Jr#0l4J0IUI|;|b zShr|~B*G331_yE^d_QYL)?mJWA9Fr`kHt^lqyJkII=|{^i0Y6cZ zo5GfnpPS6NwBzLEo+318y{M*n9E{q*vZa0`B}EYtzKM*)C<-$p$t~JUcGW70=X`S8 zyhv|zAmyzmVb|Ry?)#X%V;Qq=Uc~RiJM2GxK>Mc_^nQDQ zPSh-9%~yw6{^bE_o5ET1b%@B~l`Itby87Ea>?v_2FK;FpA^|Tnxl_=*n#{bp+)H<) zA>M@glP)yMT7_xO#AQt5c>4x6eB8ynH?gezDuZR80$K6>8g{(j%vMop$DVIzbG!y8 zFME)9(SwSH`P?gB#O(|puAH%#S6i;9yO5K#f|GZo;*?Az_RV(IyPZM>+llk9oBoBhv}@0_+1S2~9ym)$vYeiBzod}*y-Nm;={ic_ai znCi)ubN=#v3TcI|#HP>TYS~5-pZKx#yS1X4_7e7H6?>lTVEg+}w!Gdg6@3R=65Y98 zyPVKCGm0xVbLo~TEscX{sggFOsTa2%_u|guPPE*&=4{do5^JO#dc21P?-w!e$3$km zEMmu(QG`5MPsr;nY`M9F@+w~v_h`~mvzX$B<)r7%AgFyEDVcseDw|75gVh zPv(hI@|`r5C37Y-Z~A!795735-B=e!PKX0XFcpb^!j{yXI_TcEVc^rMTo1Cf@oQPK? zJ5_mPI+=$0VO%^rp6wF?i1#_j4#z1(`!8Ylgq55QUr6L}Epi_Da_WU4ryfZaYm_$d zsXbdi%xBq8GuhuVm)N}7TM5ygPVm)`T+kFn{dwnR( zvn2VJ72(e}2=VSC?ByDwU(YA%-2_R3$s|8s!IKK8-Ddc-7d6ugg_MpdjcA>pZm8e)#Aw(}uJy}D@yGd++x1C+D zPZIiiDKSD4@y%s! z;p|~U&gB|$?&=uM=j)RkZ`1KxrlM?XP74N$^G(S~F%aL6;Yo`caXSnMnl9@*iIQ=S zCc=3a+hicFOPll;6lz+#5yZy*?aS=T4|o5HT*>h;&)O9?um7 zuMObP5nE23)Z$W>n#Zhm(AI6i*+Hq^0Q#n(I69u%Vl<_aNFUM^SNoDAjj{&{i{49M_Yk%3j>N)`P0s z186B9LHUhA)RhjUrD8ahfHXi#@^7;mb(^GuTH?!B>`zi7ejJ5oss z6r!@HG}DN(3kI~-S@5`aG-b6q)K%+qziBu{PX}}Pks9Zo8d6fPO-<22YOfFERm%w4 z>pD|)y^q{)MdM|u@R@d0iF&F@x8Y-nDUHR3lvX-W)?~`{W()2J*sJS@iz0C1`g0>L z))%($OtNMoK84cX>AylhJI^-(m7eI*TMTx&Mq zZi5bY8uhvMWDvzqhH*=LQCcyG=DUMwzcHHj+XH!4qaq+4M0u7}!VCW5OGldXWu$3Q zad|XP9|*{+MslNVEH!oGX{Z$7HXC#Pu@;3-M{uXL50zzoB*ft!?;)P#Qkzh9!L!DGz{Zziz=6&4W{_9tW#sigA#pO z8wPOiRu`T%^piRJaxL3{2St9|Pci33o+s6r8nl;a(QsYtyRS}JktTI_Bu4kuXu77x z!?F?FE$z+qb}h1>n2`BgkD@jq%bH<4s#l?|xhsuzBWb-ilDfLwP@%Dh5+` zcMK1%4WYSw7!CIa(I|MUF6~2ORTmyM58(FYvD`1Tp(5RqC+DWnkT{E~D=yrUcz#(t zjt^J6akWXGi|yJ}H}$2s)r!0IR#aAxp-gait!+Gq>tsIh$KUUC=HZp@G!*%BH+cp% zB`%bmv!Ok27LOIoUHgIu#e<}PYfm75O-QC&BP%*XcJXf>i-R8UU43FVFBWe?)3 zh9T59b?PA9_Bs_&NL_hZ-ixP|J!vifqGKP;4aQ-5zL&mZ=o>3$dP<*QOIEqry6A+>qNROL*e`nrrVGitAm;aREpy=(}L z6@96>+n4f^KD1Sipz79e%C8Tntz58uZIrArh^I|mX%IVVi!8a5sooKT_9`P$q~0uC zq`|Ct6L9o&Va~$!xOzxSxL^qrJtnhgkvoaUrx6$C#GYLySlH^KYNUyV!EhlYT~%}q zbTKs=MAGT0ga%p>vdfcY!fZ}1&dgi96sHN3Sv+qkv!+>)mF&dhCRLt_qZF_{tX1K0 zLnj^!I3Cvtfa|+TvVS4Rzu-m77jj&JU)zO8HQnUA5SJW(RHGd4%A=+(wAOc)D%_Qa zjopRddhkrZ@pvAxJQJ|L zsQ!ZIk~}YD%~rYhnN;-OZ+GQW*%!1o^`x!7J2f(IdzDoEGP$owhRpd&K0mMef>)KD zsg!lfn`K{(-Ds9-^te)mm$iL)QYSXniR}&Dg)DlDN|61^9^Q&_cvT~FHgx4xx$@eH zr()Bm2VMB^pex_q?aaFeokhXOe#D;V6_VJp_FJ*@ape#m3UN0!h*4UY+H3H5G*-LY)%q_(GNI+KI+>?g3FC-~s z4vFbQA*W|TfX^!g>{Y#J5j$F&g=Fsbm%R<=(>)bFOZEOJzIY>hZx{c+69Ri#CO&WM zN1gcWQDtAgY?OUT+fm=%Sz5uKytv-v{lZ@o|W}q%XLpB4vn(!Hxi3?g0EWfi?X)j`$oY;o2>QbL3h5q*HwJ0 zLe+EGOLad!-s#N~DTGxmVt0cOcca9#syDCddh?>9C$GeZPb+)K+PwwGU3nw8`Y3*T zDC<70?Z(S$iM{yxo%ryr#I{x`^n$}yA)_`0&ozBzzJX$AAIYK4Qt%~DWbeu^w;w6_ zBFB_{KCA5|In=!)wok+d4{Q3K zVxx-8DZUbaJ(3tG^FEa8p49gczy5jM6WPn-2LmMM1QQ}UTJFmG3N4nXqOO>LPtMx6Lg!1Y)N&!L6z40l^@$Kjlgw4$*h3uJNq{NUu~{4~!T3@V?3K)4SJRs+3E&IG zkrL#m_hd*A6sh~_K_{uET|0h;-`voLdu_6|1pciAPXWm1dw<&bQf&KpU+$CSYE=la zT$Fh@eqOyG5$fQ^hYr9#3Vj z&xJUjh>zOK1%ze2c_~TxSk`M1a&B(z+Oel*#UJ9&Ht}nlB*L>w@rU?F`P?dMRN2o9 zNfxDYHcRrf3V2#&e=Rcq!^#0tDELTEDob6M|Ag(Pl;#9ZQ`#J;^!?pF$uBchDP;Fp67!i5^wUbYwpNscBzL>S`gx74BV?xRx1#_^fp{*y zdL(NpB=A~@?M>NG!A4i{g_11YL@|pEvWIp_r0NXN*eHpr z6x_#3GRl4*iBCT$c8VR1g4^0UQDjd$$sUxVDEpFvq+sxIhr$sh@nDeHC-@Q!KU6R; z_PmsPpH@oo5He_N?aPtHB_jzT zRjWQe#0OG!S3a9U&zMVUPm@U<(%){!Vm zk|;nD5X+iRWW12iuf+bxGLQ1NXKg}|?E>aDnd7yPgQ8{|l`1IUeWlzZ$6MvP7KPAc z{&p!IFYb5cgH-Sr3NguC3L)2t(yDkWg+T03{+{hiiN#k!{x9YJ4uvdpw2O}#1?X)e z;~xtFDrDC|DssOdTV$XAlkrE3f!RwUR%bYL74rR|B z1R(P(@0FOpm)G_tvE$!rSRn!h124tChmz>6;>&0CeFZy0o(dVs-k!*4l6Be?g)Fa% z@7v_QW>MrytlkL`eiF=nE6My?jw={YzSd|FLVhZw*- zf`O+}q}mjfD{AhQQoy7oFFZSr)(b*<_Xks$JdW}^GuX4o7kdW>R<2)ywY?>4R`0>x zLq}RRZ&66b?AoP|oueD$wXM<8)knqB&IEf~bL=gLa4~-_Cyp4Ce0mjgXDwx4SUA43 z77`Pg!4ywFGS2x5X*p4ptxwGr8&VHSt0eMI0orF#1#fN-mFg%JT!`dZ`A`XLKOqFE z{p^r_E8iq=a%-zXb;b6=Fj-F~8Q`ipw$FI7j9y1uu7 zrMFbV0a6JEQqwq;imCwu+WJdow*X68I{{+7v<6jTW2LOoST8MwNZQw>!^B^Mcvae8 z)Xo3_b${w*PYq&ky)yniSI4}{8XY$OKkw^h?RxP?Yt1l`&O@bb8`2@^t7VSThkZJp z#?;EX$~}MWTbb*x_jNK)Rcqf4yQ-DfX7Q!iT&4I?+B!v!e|dML#BD6~_eRrDHiovl z!-Tj-NL+?XL6E&PD!!GW_@U#yGIw8DRXh5xm0|? z?&Et>Y=vNJYKDkigQS)3CqsNAL$O8ipECaPXN&l)RYr>vbLGqEdRe1J))3`XUpG_~ z@K9>XC0@0H$=ZQ}gJFM;25H&rm7#q8_iKZKGi6@EnqXSV4aLWe3ckfBjS8j}9Q-wu zYya2Je~tgwXThb+(;#hMy(q~h@x_yh!MwWJpZ8_`g{1mZC9SoB^Hy22L2|maW{?!# zp@Lbtwo0xm9VEqe0Qbdr)lI{BFCBqWoGL}(Kddn0Ua}@n9vE_DzX2Hu(^xRik`Yh+@;dNvtdT}(;oWQ+$Slc*?_?e29mJpJju_kJ&Bd|2B+<#jVJkB9!Cykl6Nkb!ot~HI`6`*OIoy+nNX4`01)G9i4&!pJCfSJwT*)^gHE{%mSy~h(s&P5Pl!OEml5%W0kz_ztf*a`({@jjT&!fYe zsY_lqUB}V-RUBztPWxsCzj>tQkh^gC2?6X~jzS>CO zyLDpEf8F!{dyf6@H;V0>WxdUW%Q&FCzTCh8xp#ltGLBwfO;*}2l2Z1Nlo?Dy@*Wa% z_7i)48waXF*!Ogo%)5y_GSA*G|NZ)3KJRFD0US0TFd`2&|t$;HxDBf3=GJUoMe(7K$&Iv-ABf z)_)3S%~$)_{%$YPZF@xCT739&8!=C|bEauG8TWT{>e@ykZmeXx*cREoiv!Ph5%F@njLk&8+C=E< zbrSE@?E4@#$=-rxZ_1uR->xD|#&+5Jj`uq_+OnIxo4dGDD0Anm;6&?Q!Q2kEzTZla zyzYOy{m<7o+XzzL|9k9zv++-VyA#EV|H;^|{M_K> z8V)~OM?(90&fQ%`(S=16XD*~De;IiNbIHr|A}z^++$?J{Gfc?Ovm!asl=HchNK11f zp}>ULTvN{Fnn}wxP`aJY)QQq=km6M(+|W`wnv^3$$q%O=ES#arIZ;9pVSyYvewJN9 zk(@q#hUmyZZruqWD{G9%M}3+fjHdFcNOVOOwsz%qSOA$Qb?jh0Ol~)rT)olc6DdP?OJ^FWxhxv4y^674*1WNtYWH47pZM z@8C3yQ|_XbRE*Z;+nBt2%Ba`XsD0DM$d{F7<+J8jk5GNx&iGetjDORP)`usk zK5az%^D9(ewPW=9CB`+a7?d<(e7O#rymB;S3Nbu#6a531(LPbkh+|odJ$H%G6?N#n ze}n3?b~N8TL;dYzRKI-2h>wpM_0jIRHFCgL&m;nLQVEGPWGtwL2P>U5S{nW(0C*J6kiN`a}U$!4OreP z#lGk^V^Ysy&|1mR*Y{BSu7U9{%h36%POwyp-VZHeM+KT6Yfyb#fzIdWjDP(Yt&feU zzPv6zD;J;Dp!xnw#%=0e=&;Q1PGCFYazZrj>6Z|XJjut$Qd+`z-iML_ZV+=3W z;*eH{edt~6wqC(?!+Cs{9KqUo1|Bo~u%76F`;7URPn?bOyrt;+O~GQ(EKEK9S?o8J z%JZ7iR(9$5F7u&u8&x;@@wjRbmr{+mS?n)rXcdQIP7-l2f@3Gsi3mT-@e>=lQZSvo zGzWI>9LEG#ThydoQ`b^OMMFyuBYi8(jQUYjG?9!fV{)_Sav(IC&D$agJCMM}wJ}5s z%bhwUiYCdDyI03kdqa<^%foryB7`L4T3KIqZu@c)#l$=J@VZrw$F-Zxy?U4B59;u&ufi?!D$}E{;(zL%5KjfZ*|(W- ztPuYb7x6h>%#74Brk%Wj%ic652BtD8G@Z$jIk;{;j@PaexNbjz{k}w|CR}53$_?C- zui&0qj7y3*JM$JE`DM7JU&k$}2-l>GxFue~OU}7R=VHI^6wX1Ha9fkcq}`WsTbGOT z#*0i`pN+?sEaoLw;&=2C-Z`bXEB9pI#VfOviHTS7Opwn>mxaiRn2;hP^)l`mB}~k? zh3nZOJkoFAo^wZRxq)}uEheYlWJ-D|UP2`9LMR?dH}DX9y|V8yCG8I0$1mb>>VnuP zb|x0#B13uY*pK+cJ+lPQ%xkj#HM#yOlM{>a&b*D+rTe&_yC`cH%Du9ej2n1fxQDA; z~n{ie?FaMmvUGpwyr2X$C3-FthkcOlIv&W zn$!3e1>=AF5I)5x@T+XL_OzYZ)fsr?p27FlMJ8RzW^(BjCSA^EW@#}~N(xy}m5=MW{md;($FDe*rS}T( z&N|GbOUH1_4`rnNx92Vi?ZCN0;%uCiax3;&tUXbL#~Qmvfo%AeTv5AqqRB%4X-3t&3wdCvj}b{ zTl2J`2al95+MBy^ujC79m3wnFSC@N#V3&}HXJ*?mV38-xq8W* zy@6w~u+>LZR~H?_k*H|v>0zK_j)`6$%5EKkl3Ms2gb^!5%^wN0R`(Vns<2f4>ldR;pznjPfT zmV0$JJdktcjdDz`z1d{TwTE_GZ*$;Qt0VVXovCbaq@~S^h8kyTT3xs&=jz)Xr0=)l zQH?dtl~&YN*;Cu<#eI3LskNcH!$w(8)-02`?>5P3mNjIpnmT(P)F|&|e)(Lg*w*Sq zX_F&0jT5M@mG^ZH+--B>X1gOLZFbyjvysnYugs&^uZ(;3wqm=o7sY;=v%#8*CJQ-c zExn#x22-NQv700Wt+8}`}aL!SI7Qkbj&BmmAUV<$Y{2uREFZOyLEDXgM-ZD zz>RuSF0>ny|HzC>t>!$am%UfniXTiUYc`V@=yJbVm$C*;Dq0OFZP5DnXRVIU<&E0h zuhyidSzF?$O?9KL_{@;%1|9L0w)jU*WD%q2=uNpaDFZ-{nlVi1}l#6}$1$(#aEx6aL#K?*| ziC1;4kz8*?MU5V{O(s;<>QE`~D{J)xOJc9AtISu~piXVO7L|>v)XF|9Y7D4Ra4m6C z;#uAiS3B{G{hx9DZ-x?o1@j$4VqR5aL(6?DnyPIj4o+0oJ5g6Hc~m9&*5JXthn|!@ zaTWjhQgSm=gh(b{?s<4lxr?!P9u^DJvGPnLa?&UEXddTol7kRYe;(c&z{^Sv$}SC~ zt)eeEXY{#TFqPfArn7fXFdH^YyDlPU&8i?mLKjeUehNt^Y}mP517mZ2QAh@V{xDKg zcN~TWni%Q!B{g9h#}3;N7df5aeUbRjoloF_aApV0CMtXnXA`A=Jfp$=5?$Kv>2mLa z@*}J+yilHA6%M1!`7jOrplI|9c8-uXW@sTphFru}?IQc;?&WrlF;AZKrs1gyb&o_@ zHUIhKLwQ2Ub zyi%T|C{GtEl_w>gc`mQd<$mRu^8T-Dl-HMX>}kcH@0H&%{WV_7cwH~=<@{@DMHO4# zDNiYs>*VtbIj20ad8=G2B>YCPPloao>#ysTITag}CpmAF@oyWH$HVPncfE`X*-zbL z`7HA(b1P44nq??YvXs3&Ri29c+l~$!We#O1^D3{(Ixm$cDsrvz6h-mHL*+@Od{&;q zC_{PrqwG_$ufra>=CA#C9FzN%r)OR-I{<(0gD zr}(F)OUKU%nq*z&$yb~BT6w~${GLjAlGpLrTwb5c`Hr8GC^pG8%8x9SpOq+2=$7Ur3_`3sFvaXUJir+f$EXR~{ ziVr({D(^cmC-0Td9lrkS^S@t}V;xwK*N*ctXS?jHQPxqObWoc%TmwkA0e*|w!jVa9=#KWpS zT+GrSC)<+91G6}NGJ&A5og6=tNNC70&Yamq>CIUb=Gd}(w<-=3Y&!lk0bL{IJ0u-d zjC96fVce5z1s)xL?vZdNfWXiQ!ee$378y=xL;}&_v0T5rg8X!2DoWI7xTDUcw4SuJ zb(6}|m9}dmxaS(f@O z#-mCd>YI(JF4f`DT^*Y4YH;V$C@P9ID8HdDfHkD@juy2Y1Uj6m;t@hVQnhc47sVq4 zc}I`7+fs>cji&bI7^=!txo~9^t@rhKd`+9W0(Gjd=+h|9esFU zY11Z^w&sR5rI$uhb9*c`Wn*Zq)urO5HdQ4$+&DjmCRwB9whpbg#|y#f^7w%|&3DGq za7CB;>xPtH8%xFIaRNSb+VAR9TQG*_x3p-#C92|vD$QkDJZshwUyY{mzP5Z8Rd8tx zm9o#~o9eVwYK#A*Iv0wME{>y3j=d-oAD4=K)#D@y&1kr<%iZE(9s8~;v81$cJgpVt z+j6PQ^1kh=9&aC*(poZ7Y#qzXa!XntXmhJAwcc}>>KHRn#YDo?7+X{)j1O0g(_a&z&8 zIV}>WrrQRRtd=~!XUyXpvX5ddv2h$_SH@C(UxVsOU0Osvv{x7l*@@!1If|MJMU5DX z+A-i!l_7OkRk?d{1ZBmeXeyET%f70`?@vU%wp=r$;gXKT%~tkpM7iL%wMLt_qH^l5 zkD~FGe7+-!r*H&~Me5X-jHgMG?C~vCp5J$&x=i+3GES0L67aT};Kf*QB?|1eJ|*Jw z%3FFg+%=}`f|e*dYg$`Pd2nA8;C(e-h>ss#*W-TS7*XFcPpu`lFGvnux1vEXauq>^U)1My!KYxSsZx|&ndDZbmJ}ROkEI&imh~HMif@bLnoH^uAAMS?1qb(r(Jp&$ zDi9*VjJY(3th{xc$hksBMj~11Ih;(%BJbRJ?p)o#jRG^- z+&EgwM^biqxPYr?N7ZS#Hjta1$9dTAKd4c^L80j>mbvc0+kX{v%tP_-ewVo_&)M6j zgo5d*6vgc!C)%4ksq;CXzJ^Pw>xD43QdqEtl5-1qk~Nz<$&4YGetdOvDW#`o zb0%a4Sw~lKIewmy+jL5kW>V6)p5hAuRGpo|)f7L{PC9WT*Mke`lc>G6l;U$UNj)@^ z^Lw4BNO9uXm3drG@aJy9DjpnP&z+O=xs)`8ihLij#fPXf(jMli#9A4|ng%eA; zmArrpM`w^7Go8yvy=lCqGs8jk4Ba683sfdUi2YX-l~f zJ&9`x^C`W!n34S2}oSW$Z+=zGQ$>klS#;)S(kyR8PaO1}DW!%kO!-b@Il4vUh z@N>A3Fqh=G018jfk@FIpI3wOwP31xHA|m%rBmd-LnlDQ1&bU#WF_WwL68rN@xqNyd zw@%Am4*PH=)|2bWQz=NFB~^DRC27mKpE8Tf2`=2onN4xpI#6wf~Ioy z#ANQJ%ph0%a4{!<^1J&ejhf5t5PzFWda}-XaV={aZPyo5oZw5!A;Hz@x#S%4 z;(@GHoHCU=xjvMIO3coMktngecw#2^(^pV>ew|>!hf-PpO4@WXj#_gke;UQf(>WhM zk-7_8#J1HWB?*3yx$)}GHi?VGCq#UhwTk;Uc5^nwjoRGlTs!W~xi~Mf1rOP&o|G5Q z~*8Szr~b z$5)d(?F!LL<0V1GAtz=LA2NsIK{GiU%4=aQu)jm!e&G7~{@`Ko_zLW>Y9+RC;hC>7kB1zP*BqEO!a23uy-@ke}$!fnZna zv*y!SIE9-@E?kjuP7?Z7q!5~bFQ2q*H+%pg$+^|pXBLlWzn z5aY=xdlHU&apuTeZssi}Gscp;=`NJzOe8VJkyA0=oKKoX_Tfo9$eY03Y+Eu8dlMJz zN9O6(q{atuEyGO+%8SCJsa#I>Cq+mpT@tBq*HrEwo=cGc_VziCj( zaHZmkRP{P-9wdv3J-nId{q9^!v?XbmGgpp;a999VoU@9=0|De7vm-0sQ&i+a3Nv(Q zzGlV4s|MUJ8qV1x%76N>g~YJQ+&$w(X@WBsBynSdCX#(-J}FU?1p|K6N`a`(@!(3b zwItyLk@{{V9CjundV-LFSI2*LkbBylq=UYk691go=O~54i~79jLSj>-$W0?l`4<#U zcu^56#VOvKL%|a$K0lA#w5cS#S;?8*wltOcir-9lAo+45!%ozN3pIr{+)bN6V}Z^ID9c;om-Ewz&+#YYoDFqlrW6%fP?$T3{H#f23NlU#-Xjmkf8QFvd&!jNTm;B@jgaqm0A{Ddx^Q`U9Ke1>l z8q5v%v%Kl?FPg^P=Bm|sR@ofH$tHxQHred9y2gIW zqZbe#wup5bd^x&(6)B;c2#XK^?U>GkBP*qa-ArWUa)P$cCNpUphYv0z`_x+YiM^+G zF67YGrJR=g(?S-L9OcJ(Q9=p(g}8!N5EZ_Jn5ZSBp4?8<&b6Y_0@=BC1wp$*S-);S zLEH9nW}PU+T>(UHp3Jd>i=_f?=Ip7x#LK#$Z zG>-0=$BE!AL`BUc^uT<=BONKbWh%fDuwT&RYLW$+IewgsUPIdcP3&0iB$${*^7<7d ztqbE&_(o1iF*&nuC8zhr5wd+dS&7l?UN)06+qQ6M)e2HXJzTwD&#iMp*2%LuwPz~( zH;6A!C30-fJ~H=<+6!Jo!p=pU3E#=l;0X4uT}=Frt;DULO^Ogr+JTuQ9$U(pvzv$r zohMR%DLL^%@<#(i=`18Ja5o_vcM&BqN)Deva?o<3wyr09$13)2nR|xN%}VM^=jhJhY9}U8_mkw}#*q(?ww}=33?|GQww(v3n^O zqc)QowU!g&>x8HkTs|2}Lc~nsVrLT^DLzPy7gAhAddf;prfwxSc{4YUO2LR<%!#Zm zq$KU(bka^v9$LxyP=E3d&fsK12)pBVl9Rnd$UK1bv}HnIf(gm1(*?^oeqP8fW3Ch} zc}-lwQ7LL+XLoS$;%@dAts=B=F()t2BxHXq?xuIxJM9jsQ&I@ENN1n<4T7{Xh<1u* z>C{#Dcr9eA&wQ3UEhSICjtJGmlt^)UT+@}u9e>iKFN%AVwD1~Yi#268W^p`jC0o{P zB{X(*_N7VQ+ z+?Q2*1BjAD2;AsHSl|ZsZeK)Z)>LwZi5p4{d3fEC%3M_*Hg)d!^0oD{w0e_H@T|u_ zX&ri-D;8JSXcB|H@iJDr9_7fa<0LIvL)nIHB+NL4bN{Q%(YwneqqCTq=3!%4fSch} zY>ltts-4G1i(-~p-jeq>aMvwjlEnqgEep{yE5=Iq3c*&lh|n*@$G8X+yK9(REOflZ9P3Qx>!pfqg}7)YFwwFAJ(FBCx`?~sO{SWZFwOL;oWG2fK@o^06O!LEn^i)1Ft zIZxvo*oq&#E$%SeuoxfRLMG@G;%asY2jftQg&QxP4&>=$Q+8~dhll=N=G&ZOy+tss zQM0)lGm&+kyX06f{^oJ4Te6tgm}U68rsHXv%ru)g0vyf|V7HiqD)Gqyz{T{gta}xIiO+1KJT`e;XO{VSCY#>IMeh>R4Nej7a-EfWSMW41VWMFnQ}xrC zsh`Fo)ARV~|v)rbNX@+@%gLJG6b1@M6jr9uf zl9p_~RvFX!-sZ^E6I@(7lhaE!a%6fA@iys1*aWh`YXN@K7UShJhox?d$u_KJ$KXhA zq*(L3vHPEY?ov61rdxw)ukFo+bR%iOeK>k>EwKj<3gHUbho53sNIKz%r5!DtNS2V< zzMW&SF*89;!vr0DEos@bOwiIYMbEGoRherzz1)VIx8|_zv=QMaoe4YPLQv8af)6=z zHc^L|?MB>5*XQZIQPf`V*YTw2b)^aoR|j%9;4tmu|4HqbJ6sXR#@HNZ{-pWLw~Azq z&Kbfzw^O=0ik!KHSa-gULyvD6*ZBo1DsT8g4h`W<~ceMYUz-_h;z2YOw9W^C85X9+m@?DufJA{=pgE_V%l;i#f zx#yom_UvE|EZ#@luI-{~_p@=uS|OBpCYjx0<-#!b?N-#oCEV3^vT;%xYbO=4W%Yjc zZaFPPK9|zVF1&8)$)`I3#O~B5=Cm^#md+w}U9c#+FaoBRV9~dP18YyPYe^nfotv3q z8cT4{LfkAf@pZ@&#WsVZYiE(UC5Dx5n>iD|k_T0K+`cpigRa4hQF+3k?te(kzd@_> z*SPfg4ps5t81Ydb@kJN$%lNK8VX5*Fr|$1qKKSpLsQf6`{Kn8uzcQ@z_l)iIL9Y3O z{+<7zN7rxZ-R*n9#qW&k^gB9Teq@07Z?Mdx+W8a4DqnGE&_CJN_ZM_zO>LF$F_jqU zs=PzD(Xe_Cnom)r6XRWWu1|ozQVB66L$9ho++JwVR-l7 z86)u?tMV58PVeR1XTkD!689e&+5K+}lNf8s+FG5yV~p6;UDj0X{y!KjShwu*GtRQ_ z>DflG?II?#aH7xf6MUB?>cg* zv-qo`zLTR&g&^ zt_4t>E4;GJhzT}UsA(#X{e_UU)eX_tGm#$d3-Y5D6FSqEYqje+`(`mI?O~j3*}R?N)wK?XZvR6P`7>X1c}@=j*q|OlNWFd+XZ^%jasDs?LSL1i z8Q1MQw0nI|zb@aSBB1Re1m!*IC;Y|;5Oh8;*63^0tll%)?Mp^De~YQk59sNBVu;y0 zR1LplwB7d%asP+&PRU4CJ(G|j&w(C{Z#4t>uM1(3bu+CD-^{eHko?C;n0 zH&ldVdJEXpdj1`Q9)Ih&W_-`L^yvHwmF^!I(DNhP1P}#1er4)_@6hP=BkEm#rOy|? zGN#ub7H^Tdk_`R2|3+_>cGfP4<9+KAA~(4(chMp)Uh?OvRH@5rcXQV^hl1(b zxtTVV^Et*`ysAk;s=26|Xr?=!C*`~i+1LA%ax93+`muQF9_Qfhb(GxHrM%RNb>6Pz zhHa$&f*mzETbSp$n8nKld|A4bW}9;IZ~)8K-C*c|Fmf+WqxiyR%zMPLZnh5>EBmv2 zk+h=Ac5&+ziRLw?6b2t-Q~Xqb@ukZwPt)>+6*t{*BS-n;vE z^zZc*{e`$SyGY!6eot?ix4#V4FJ23=z2n%>e-hm9NA$YLeR98vke05>YjnH5m34oj zx7;_l=O03fAJP8eGp14)ZNKHg1-$P|G;FF5ZdVP87SvRj`@QTWB!K5h~Kau{24R3cZAxn z^wX3=Hu@{fhQGyNwAec8XNIeN$0)VWj2-t8osnOmJ6worj9^_=j>|Q}$Nns9{K_B= zDSG3-$Fc9vc=xE{%+#wCtSFEU;wE!?ykKjuIwFnEu*7=-zJAm3@b_b$`x3G(8rd@@ ziOY!-dC}ab(Pl$Ni1r++fK``@LC{wiwX zN5)Du&=$orK!~Vw*Wc;f<+l!kvhMd$9Q_&9v0pQG{9F2KzoU=30B49)zhPfVKn3V> ze)x!=>7(`)14l{~9`+>;eIBu6)NfL$KBFN3>MCkQrOVfhl1gj&#g848YJ^lQjn4m) z%J!>N^4}RH6?cRHez=@dmujQi{TB|14V(IZk}CBYt6oA>qUy%<{t*YM%>AXRsmQTD zk~r!;M0trKFzzW;zQ;F0NFRlezLEWX&0bmOirnYh?<>@L{K)vu|Dfj=e`i!LAu-v% zs(`wWkagc4zlkl6BM6=YRFjR0sM#Km**N4EQ3KzIy7^5izmSp?F5OOF3xGU`B`+kS>kXIOWSJa8btef~;Vm+{{5QeCq z@lsF>zYyCxL3gpSUq8WS2UdPy&w&5LPhvX0$A1Vu{v;$@6;Z!3#`XA5x(J>}kN8&N z*oJvmQDUO($4O!7(&_JF_dn(O514m*#X_BLSZMkUR@%=n(0Yrm^;hVbzr|Sn9ok0U zFxE+mtLb-Gn!J$$_=?d^uNY_k4mDdL7!x5ijgJ`Vy=J7PVA4T~w!ud%^zf_YhA~}b3`$MYV@1l@?=%{*XJtRrH{m$SXKheFL z1X+M+CDq5M%TJQ79xv#3Tc=~6*X4*Pt-&@8%Z@9Bni+}z}!uUMOEgUD^==% zR63(huSC84&KIJ@y2uzI70y!B$+)hc#HK$%*GquZz4PBO?D|i!S>&!H#>ig3qAT+p z70_?!{WCp9!C3bA9d)r;kci=f;y<=*OK4PFzXd zg_~h4Tm25A{Of@i3Vf(4T1aKaK_-mePUwtGf)-xIbZ`V0QV&skbvi+_Em$!jP3-!b z?!7a}yAs0X8%v2^e}Mdm{oK0c#j?4v>|C&unj5AB`G!**mPA#VIVTPzuxrD7ZeCFc zDZisF9o$REUWivmVrM1s)DVTFC!{^Fx5Qu6$C%!K6J_%a6S{w9-JpL-(o4%B?b-;5 z`*2Z|`tsRC{4}cT-$liUFT4GRv|T@(*7wH_Cv$5;$2$4&h{4x{hjp;^m#Rl&&Uw>YcaWs+_!yEW=r zq+WxmMgz_|)p)BmGkJI=)Aa9Rs`^^6^gR=%)T7?(G$v9&4SIbR9Q{mZv1z0f6+@L5 zvftMn9R3U2hf6{2--capQS*bpqR)tTSoHslPCvo%(9et-Dhj^;dxj7GK#x)H7&7cL z{Rc^*?f)evGK>d*&ER3b&~w;ts124jv+oCV2fRgnpcK!cKQUm)2l@{dB9l3EWL}M7 zLR^D?X7r#>^dI($9wR?8P>6ZlfL3${e#yA*Pgt(oPPBF%OS(Q}w)lU?fCeJ0jvNXd$JX+qa!AJyi=WjhKa%duONn`;?{t!O`f|E{F6+M8 zM^M8Cf}0}P{c=5*+MGy@o=TwWYz{4-!$b#DjBSii*D*uI$jUHZeM47K zCkohxeDMdJq{D%Q?>CeB#TB@a%sH%Z||3Pn& z%)JDpUx=bn6(Slha(cA1NqUmV{X73Es_I{&g8oy8?mxuQKM^$a_m1zc2KWBEB>KPT zEXrhHzkgvQL^Gz-XCawiB{}|wC^EUP$G=eP`XADti?o+2*{}aU(3UmQgkbgyfen&l zHbRKnQfak2|0fn-d`CZ-qqC^IF=CUZT%#sx%2q15diS5CJ^f88=06xL$+4mH4;09r z*7o^;fspGES*MQ>vW`@F{hm_cyNO&^zF+J913iS0mM)9ngS5{vi@jOvzlfC6*4!yk z;g`EJc)2i?Cwl^DDKVuWN0YKrLy^)BY@EBDfLUv}Q6|0pDR-jQXB5p zvD1iM;Y9uOPOM+Em*ADFJJj>l6g8gSGv{%WI!k>vb1ZZ{WtY}s*d-q=@$HaaLOfCo zRE3a?MNMjTk_so;lRe`S~yE^R3?`qIWK zZGCScrascX^_Jo_zUwza`xkw?{U@W9xO9HQu^~SbE9zBM=9%G`&FKx(N!}5} zvEXIw-8O|o(Hn>h+d$0zDP*2@AUSFhxkr6ivSKezmWN3U_vKXR3@!)G<#ya|*3Mc) znh^iR*p-~v>dEm4C%oNK=`At#Usi`^zYvT>ZE5!r_1^0zQN*J9#YQ8ErDfO8B#H7m zE$z6Dw2W4YqL!Fm>DM+?JVyVm8_v6MM^1{;+qlOWlt)9XGEu;1Yu!d!~3PG?a=(#DSO{yY5yKRvpuOLFKikQ>) zBzF&O5=Atu2L4nf4&9Oi`2F`^gmWa*@(tn@s_bkjV>4r~$vkiZGa43pNavyjRl>D`A&wdpOO z)D$3&7YS`Q{BM}{Q51vn#j*6!qFywm@(k$nFZw9J6tF2ONL`3QRr-Gosh;*iM#E&j zPNHyBRQ@Fr|6lma=cs;v7lrg*q`uN3{zu0c-1|R-6#ihORE9n>{~&28J4vuR2|&ik ze99MyJp}Y4Wz`)8ZGUacF(WGmXAVaqTB>fib5LK`zK6= z9LIP6Spf8lknZpFmvb{^FVUg`7E8rb)KFh(TYAd8Y62$p-ajzBn-Hq_bcg_|Z|6!@ zEeYa7-BKc!Sg>UFa&pqPk)Pzu&9LR%pO(p4hj9ciJ9I zk$CT5!@}c?=zEsqv67_6!>}K8l+Ba3aWZ8B{(i}Xq+GjyWcA>G z3ONaxbor6NUwqF{X>pY=tF?N{dueI=3TgLKS~QjSf|EDW&P)76ZS|2P9V_wIk(T%1 z$lusE;Cm?$KQhbVJSWynq9T0}wURuyAB?BI#hELYOsJ|J!0VSPG~F4>t0%n)JYqx8 z-ord9H>9L!0B>vc_^!s8^KqkjU*DCl>iSY~Q3`U|FxD05OB zzGa-Wjw)i$sGffaZa;{!|Ar)K_s(_t9aB+;`hv%Pg4^DroONaY0|Y~zL`@Cs^GAoh zBf3bt-buOwDZu^Y^AJ(*#?lHJOYs~e1m0EJPz}isBRMx-)VjLheuNNUKPiO0#IG8H zS(DCxNXH-rQmz{z9Z7e=vWkpR-D{Ytdz__Sq0F5a!tM#N#7zh!(0MO=9gh%gkwUcf zS(Z)OhmWY9HPa+-wbrp$>k9LFo#k%)8eY^7=3#^IfsDqc&b+F(=b-ZfF0Y(H-rYs4 zdQrf>oNS`@C2+iaE1AzmlNh&<9d==aFItU*r3LN_1nur`%O%CJBpQE8pAc3=solu zLr1(7iTN#^`+rUE5uX__{5|~!zC)|uw~Uj%-)fjBk$zt@yz6^RyL^qkRMv5QzoqY} zpXk%~Yx?(mN8jFGqTcU2k*Plk5Wc~t$5#yN{fAeu8BuAI;=+@;k!$n5-6>xnq;Emk#HR^-DBTg#c z9s$sBAr^g6OCzKLj#65??%xQIz7}Qk44{J6Nvu6#4Iwe?)d&5ML z*lTT*xw9jl*qKpyYvtjge-CT@%WPg8Oz_&XSgSuobIeW5Mm6H4`yAH+7jYYU5qrZ2 zm|HerGOi34%~F;OY$4S&liIX&_6N=&I&2*a+{4*C=MXD=k}(}~1XuGaJaryoH@*Sg zo-Z-(TaKk(1A~V?$7*yFleG#6P`@YD_BQK1&T#V3I?5`2xRMoyPM0k4$@ijE{~mS(rl(u#eQLiMdEpRdvC z^`~O$F9|zLRMH?JZdEz1F67ixjt>?r>Wcp@B`FUM`y1N_C@tI1EV91E$u+ClH)AnT zTQ_hveiug$uHkU#N}_lAb7-qKr#CGi{qRiu7HnYQtZWi@uj9nt04|-}MPlGWVs=d; zIo6(xNPj|CPL>2)$8z5?X~Vx`)>LV!dS+uLYDllwQ;Gezs0e|K5&|_)d4oas4+Lub zgJ8{{u^96nr*S`EW$-H|#=l@a_6PLTzeCOZ2Q;;Rz)eq-vg&ten@C~S_zn%zPv{tb zh27Y%aZ>vpP2Hc+F#8F!@!#P*?i*}%rRCH9o{`2sGSv1*)IEN}&|c;={uWhpA!JL* z8D}}?FWpDLXN;#d;5RuB|H-GA=oCwc-x=b(1KBzug4G6DtRI@fLCZ8&dF^1PpC=nV zSF&9-o}iJnEbpDio%m%uZRp?eZ_F!yuU9Rjxp6FMyQYvI1ATdB+&Lh+6HY}|L2 z{qYxA7oJK;>~$9JE+DjM3#ZFWxl^Xii`(P4TQG=c4Lv*l5VE;=Bynyz9Fj!)H1Mkq zLP|DIVv);s{H&tcBzITS z86+*;c#9|KSU({v3%lw{iuyTGYZAdd3j_+{r zc*Z2(SGZ693TuP+sP<}SO#enq9N*)zO+c_yz~UwIxV&bg!8_I&{ehO&&zPuxgQbxG zLQ9BJ;|*>jK4L9x=0xSuZs)Hs>Gu`BdVgRy3xHh z+9$>ve!|$~CnjiokH@f2c=q`g@BV+_G2nklyYZN5u9u|E$YaHlT(mtNqHgvxI-~!E z&DduI&CX@p(n9QwUSX{9JBHei**5hadzaisP47$8M*Jr(J$}Wsn~;g_H@K;Ni<;_t z3|9-togZK)L_2lp&#cpGA#&CLQ$Vc0W9E3Lvtn@~E_!z{9@>DbUK@J-YnkWy0_%Ry zghZaHV)_j2izx)$X~KIshk}JBGN$Y3x|zcK zc~e#|9V9Jr2m5t)v1#9Kl=dEE!xm*>Nc;Doul*jEhO8K@*J7ZJX8u5y<0>U#k8rg- zPpZc)GFyMbXX+UdDL2W8xlM53b;?5?QyzSm(2!fCd*37Y&@xHE_sEaAPHEB|nv!3U za$FM?*;Ngt`ZV)hd`GJVm)Wb3cNr}@F;m+ zE99KKMyLM+VhqNKwVEf-?-FsA6ZnMA5|?&`h%-|}`>v4SdX9FFC6c|TvGMIAENGE@ zzbh1bpQF&`3Y8YOD7Sfq-{D(C+AoslaaHC!kA1;80!l^*4!TH)-KsRCSyF?S2zFl~ z$nFLSLGz@$c2b;q8N1MnWCgsW>BKFqw}a-!l2f$3MsbACm+?F)*$ zuaV(?hcJg}>`gLAkz$Q>yG2uh;AB2WMffA4k6j`7*eq!g&&dt>6$}4yeCr+%8+?T< z&-;`(+$F_mj7(q2pG6BEX3Zq|ERY;^EEOZZN|NL`+i8t*nJ>a%mR!qAG)TS! z+~+w_HiKL764?n4Nb{F-z0Tv{J%Ur%JTWffqwy^YZ6A^2xk|XT%Pf4{phyTgj#OFLA(Dg1Q){lv`T_H019w!{eh>BR2x?Z3x z{2ii91#|rgV&uMP&U-~wh}4E)>+C&+h37PGcK6ZK`y(H1eu1Ud3vy-Q$uJ8b$I6?g zvk7#ZX(n9Qx6QlKls;Y}N52&>n=nl5or$(AqC}&fvfa|8->YD;!j%_mU-0DmS3J9D z$V%fk{Nwy*j7)B&ZBB>D_I#ofvMC+PATq&?s@`FOQ@unUTQe@?CnfnSbdG89^>)t&a*tZAW1MjXs1Gl)+fb8r;L|If5t~p1R-iQ=%HD=dtV*DS%n*Qw1z|+G# zys)2%-j7hcWkK=ihR1VXka?znqgp=`H}-!Mne~#?gQH~b?IdjH7_Ps+N7N_F^cZ$9 zX`4irc_i+~>V)>s@Y!4U+4_f7yj3p}rFt2&?X!3(&Ecgwirbk<(k-qKb$o^h^w3)<-i2q>cd3snjmM}9MK2Ih*9q%O0AO&l~EEmjZ&~{fz0hg`0EW4m2wxe z!);_8?5A38r2PHO1gm!wpf^MK!A7y!PLx(3PG=iPvcE{YlGxQAAyKKDP|aSvb%gpJ zY{J2&pZMU*;(rGLhsFuh9j8>OpE#{HEDh`MQ|}~Rqn{X4@zZpQFzsGqwTB5%ZIXSt zu@B7RF7dhqOcCtSO`u*Q@w!8#sdo@%FhG!6KVeFJ_~~{NV$e&D-7J2mDu^;1Aa&m) zk;;9N+g{Rj`#F8Qkf8W;grB%bqS^>$ds>K96JPai;;q$V0@< z^x!L)MC*>p%|Al)o;DIxdq`Fvz)rs&i*U)K`v|3n=Bd-aNSff~r6-u^4Uw!oBmNH) zqtPUowBw`RFHPu@%(p0ZI*C=07*xlwJz9)!`V8);=V%uORj%D7ehQ`%PlVPizG{>B z>kLY5NCUC!AyB`7@I(Dl6HE*WtK3FKB>tr z0uS^Mr!9FFTTu=ZQp+7AYR*b6&62)zoNU!;!X&n+6GMc=En{XRa#~etOKpN6O^Hia za-lv#lA?wV4iTd^fUjx?p{Ao`1k6j5oTeb=B36MtSh)1kX!S>&MP7fg;VzC}{)zP6 zl7Ednl5El_KT|@@fnfr_xQN5MPw;!^Iq|z5b4q=V?FTAw&{!aP^E}zY{5;+t=3J#O z&(}WZ`RX6|X-S1!ZC~;9%0_Z-A0_&k9X(xD)W!A*$q`@D3ux%?#?9vhJyi~Llj@h!z^c?pYu zG?VeiWICR?a&K0f$)-=%-#(yt_RO>SFKIlIg|pHRq*nY@D5%`fx((DFY9V;LP__+^ zh!s6w^Vy%6Ik7-n z4a6B#kg3-|fdDX(d^&Zhd5Gwut>UvDqp%>xei~LssZG zfksv2>DJM1Hb#N06iK45qx9wGJJd;v6lu;! zpx;HT`LL{jGsNk25Unr8s5d~GmTV2h*3nM#rBKr~2Z=QvCCN;f*CDxSbtM+PYSIj< z$(05iuUjiCsNi6&*jM=6NTz-riHE94lx>D!6tCMwrp6FW$NDLdn=ATIEz#0gvc;c5 zjZU&9ZvW#|WP6U2et3j*oob2?7LuafPS{~#aR$9r7OTuyp`_#${F>kpHv(?PD*pyXwcc!@9eup)nL#OjX=6B!gd1TVRf zGNdVH=?=&_y~OEu5O=nZERPAo^&91LgV<@5yopVNCK3!ANjcO+yyQ4Uqn#Mt5t4QK z$dnx9$YP_&d4^UOp$4+TJGGK)(Lv*hFca1dXddWdM z5z_qQO}b-9K%B7uGcpgN!apdY(Jb}u|Aj=HxU+wI@2|3GVX@k=@m=aBX0OPVz=Q*c&poFkRw@2e$Iqn0wmVTv>x={7CnR(Kti z?*6pq2T_uof!4uxtSl~49M?^8OghEcanwf_P?uUm)vXo^t`?D=9ZtFU(HM|UlW!Jn z-q|#J=TaM3NTqigjXp_q_$JWlBf~p|R-a5co`{oR083>iu)5 z_sgWoFP#>@3^^xV&Jo*wY3oDrU6X$@4FPd9`inn-aWsdgP~#s@gMR{38CA52y;h$@ zY66p~2}+UcQfc>*dBl&#;3V-gP0q=pMSN-U%c4Q%YxI{P+uFc%s)CZ~b5Eq(BU$!S zsSJ{NeKHtxme_o9sSeJh)nDOXn%I<>e3NPQOQLOkKUMsd7=7|+_R6KnOBN5grpY&l zMi~u$+3WLlc%{?tE!gTWAFszbRj~uBPS&+Q)Xpx#|lye#txGFG|dRq5G z>ZHvljdsDT(WyiNtH6QW{sfH0B~{-dPG(DGl=Mu?*CX_~T%hO4yD@ zVO2k2`%y28wSN5Z&X?=2e0s6`8Fwyz#me1J=zgwC+~4iVx|Ts$lWvT38<3o!f}WucyZ7s|M@dyAo6< zrbSlMRO$ZBvVwF6gmOO1hifU)EXcED})|jz*D^zJMAny_m`7m zK8|0C=;71_ylq6H9O%G*x5$xQBSh{PA!z3aKFVXb@9ZI9r_kwLLeqD46S=dW@SP%e zc8EsbK2GHJ;q~vrQ*4CATNOMuA6NWmsXtDeK90&5U*Co+anNl8%$o#JB;(Q;0N)MDr^g&zt(JJ;h5m;C&ncq%YaV6l#wj6j*kfA0W(O0qB8 z_4#E4DC$dULlLtgR>e@{UV;634G3rK&Y`$LOn+^8~-n`0iXe2FVMr1^K(v_CLe4IBkzOo=#x8ZA$hv{h_%&nYp zFm)mGSSn3w&6Ixo6!-U9xK!gQ&FJ&>r)WOCv6+GHFIZUJLhsYlB>d_{^;!dsk!3Un zCNUD6MXGfq2{Dc|R34Y1MPj@v2Q}2#vDc8@dod#_ z&Jkl%M%mG7YE?Q2Qfek(s}zAsJLR@<+^ewTM!Pi~ss6;cq+w#xhMUzn($8Ec&2Ukq z$`A=6T?)*52=Ng8op^~@uNlIQ2|XA3U0^s#fx#4MN5+XiIZpJEUQ&(-H9ayyzM*1_ zk!Lt1=Z#Y&lA_>n54lH0LL3uHf237xbjs&Gat(*%+)+7iZ2g+`{X>dtN7p~+9-1Kg z@Z|c)IXpq`VWIvfG=Dpc!;p9UgL#b<@z`3BRZ9i3XgHdmxn&Y|JohGPG)VyhSu z?@^)TM(q+yn~ZkyW#k=cTOWmn{bFl|q+_$hoSc%FCuE*6>a@kC%$xS#KIKZRxr*(& ze=?5zHnIfEyhB5j=?g}(%`_VR?X%u*pEHh*k}2^kFj~i3aLYL~BKRpV7^Ya{cmAPK z@j-#(xQt2JpOCzb$?*|6J}P63V*N2n^+qYzldbG4J{QWde92v&jQ`o@$+?PsMNTv2 zc(mXbePTl58zaL=d^xJ{aYW)0sVu%`9TRL6`99i8mXY8iIOQK{r|57SMTfe?Uj>GW zm?bCc@s5d&G14V2#l9k*^_b*%j>M6rz(IeM5{b7!J}bV@lemiXrYP5)k{A^6$=t`s zND)8&2S-J||7ZI@BTMF0)RY2~T-hq>DE;W9;Q1Ryg%WF_%#kmuB46-auK^jEl9Oy1 z6}rRJX%0&+uvR`GqM8fH-m}?JE zZPiYfPcT)fXBg|YXSB$T>XX4_NTUn<>U*qqU*+V9cr5L$aX4!!{s=SQ-9*_p_i_EO zj=5SVUaft`3u#EtukEI*?JLIbd`J89QzZPwi^{7dv<2tU>K?|BQy60@^@IeRVQ$i% zmYRd4ryfLI`vAL?^x3&vB->`?J#16ji=OJoTpYEbwMLW0scb5Y{~782#SH5G7hMOI@Hv%1u5Jm1N?mv> zPhkIvG?W9?LgRyYTEbLe0qs2)pJB^%@cKSoWy;y@@S3_ zWqlssGt+o!4HK_EDd)_Qc3_SujVS^Zg=&A5%oFE{Rh5EJ6B?>EzfMKd_AQd3a*31! z=Ses?PmJaakq4)VR+}S!|9Mi>&XaUtnq;*p8Iz=_PLZNMC3=2=By}nF{WB!1F079v znKMajC(4|O8k58w93@I;fe5jixo?UbWhof(IYv_$*g>(Qb%`i-iCJTUSh1OUaE4T| zpQ<)Zs>Y1OF-@%QJQ3Qna(tSsgLC4mG$9#jYBOZ0&ylDq*Q$<^EY~N?If>%q|BQr# zlf=k8aWY4W_>myn*nPjnpQ1WLj^Id*AE0VU(^MC% zB>ptxYR?lV@g+%|2?s`Go)Nizf>iZU!As837#FNYi4!cN#ctaES&4sI z@S2ubWP#9;8%uo7P#GgZ{7I4XVg;8ZZShk>;*|Jv56nnxlXATRr&;ksa6T}$9&e(2 zj+XfoBu{AvXXSgjVKpQMi7Q#+N>GuT_V9V~ZLi|3E6hiCX1xv)#CE*k5i9%gvY#X| zCCT+MVkc5+CQdLY{5^F??FzO1Vz0_>}4mHi9I>bkv%=Kp!KqxAH#f) zIo)+e%ycXM1}m6Il|kJ8@QO1ZO<-#r%vlEwOr4JqZ=OP>Rx_C@4>|f-G2Ly}Jbd!$ zdPDm0q7vtaw=#48Yr20pM&chGD8G+XSX%~h@ z``ETu`M1Z9Y*XFN7Nt!XsQsP`<0q)8Rj0Jsoy5W}+#=g3DZ7q)NC$pXH;5Y#qjgx1 z@wuJcy19v|k@r!&I$H6d_-pf@Qt2cOY4^X=x$7KhmX)$96_Kf5fz_TC96vorlF9%V zqZ)Z$8OCg*J~NXiXlZrF#xWgliyA78YbaG~BTH6^Ov7nP4)&3Mu$EHCev&==2|iOp zia{;Kx-I1Bc93|Yjlk38B-^!;ZqiAdZWF0Ga=l&$*@nV`^to$;e zsD%WXGvZ_m-j=O|3qwrOt)tjT!QSeLJzgu+xJh(;J*oOKuf&_C*Fvg6Giiz+=*vhw z)JnAY9Cf6Lc*S+b-J}W|Q2Zhx?^w66GAWuPRg_8`#p*Q_YBtI$-?sh()L5ei@ku`G zGzc4$^YofX(yEhd+GO4iIj50y8F}Jgv{5ZNCtGE4sVCjAj)fhLBTr!cwX!JJli0sga~3&7`005Jpo?p0O|!!MI3Q{LpJ8(x{n41?~po zi}<0)LCoQLqK-;ThZ@KhW|MQgQ}WSBs-f7@t6$GifoTtEHZ6pltR>2*kp#srCJclP z30CQ1C;gDvlJDXaKL|h3L7Ig~?2~OI9+C6(n<+TfChW6?c*%9TV3(#iUvZtBpDww~ zl99Xqxl#ORBvyf?Q5vu!s?$=f-o`yE@AcClM|D;vI3*~9LAO6c!@p9@nb>6uZYXvUbt zu?DQ`3W%BR!mJ?!uO&&+)G6jBw(#K6=RCRgIgc-Vu>Q6J#XH&WEq=wEX*Hvt|0kpS zF3Js=PST+)0`-$|GU~+ky*q@x`qR>m+GDB4Y1tJT_jJ zm30lj?Ki19`vA|4*9h4tK7V$bfX{B=`_&EHH!sP`yo#;zMf{K5CjP{I;`iMrX4_3^ zhPOB)E3Av{Jv=m5$=GtALcQm*Vy@x*#S(#Ei0_|YC-9>yL~L3WHuVtqO*b&pkZUcT zNb{6<_TI!t_Xfw0T*U9>Z6bHwB!2H5vNa#bb`2l7-siJh_M zjxgB8sS4Mwl7QbzC)hMEuxhl;j4d5SlcapzPpLjSN912 zd_uI3K-={dbFGYCV(l9^LNiquTyg7d!h~R;;nj( zc-=L*LGBRp#eG7*SR?pzvGK)KLX^%EslAAw<`Q1ItN017ZoAIovq{+Geu+i>E}{GH zh`oCx$~OJLEy6clC-NKd<%?^Cesv9>FRzP_w}{ZWC3(D0oZdY=zZ2X)TM>M&;dS&W zPF4?aF}P0D?rTJUbA`&I_XyZ}o3LGX@!7bFujJa}>lH$FUl*2h7vJx0h`swbZ@Vb$ zb(O%4mkBnyOMuNoT(wq+lDdo9azo_j18D|pQkTmFeR-P@$$R+cw@Badfb2t$aT33y z)gQ@yvP6vXGQRtl2{hX;PbRo7Xt- z2Z?v#v`Abbo_1r;Xe*Yi9h-ztOvGj*4R?WS!0; z%+wFXaUnCUh|W&`X96 z{m2!~KQU_jSEA26k(*VDS^YVsDnFCC^B2l2{*|y(4@fqBDXY&p6*|yxPB&0 z`8COVUx@5@LX5^UvMqij*ZwCW4oP7heL}q64}_}yM2z~+R9XImSj}e?ocdl??w4d7 zdL}F8pGlKr*}Gqny6dUPiHE{czNf_YkHlI2gzxDma#Oqz`u(#|>!-px?hzRBf}r5n zq@Ddye0)uz!LRcD&qVEePTa0nq;LO))EzGHQmVd;dtn9{Fys+}OMClVkiV*~WjtU+p>JI^v7L z_fmu}Mdmyw%<&c0S&xbEe@ub?Gs4vF6YlsckygKmoO?yxjvvV1^@5b0Pv|iHPYQJ; zcBMxm8=eYVdPcnR3t5f-f}7f7svUl!`{d80YQ4Z&?=Bg~|1RhKNVxJJ$=>-B8M}WV zKzt|)`wNa|9};izTx8^rq-g({NX^%T=sv|?_c1lj|01&MCo+|Ppg{Xa()FH-{C+|4 zezCLtH931;iX?hW+3}x+nLL&iUu+zFLW1rqX_8L}S6L(Z#P`&B{FB^MKZ$I7MyA1! z()=V(`+gDGBsH-61*yBAi?n%7!m&S*VEQ+aCx0SR>wA&X&n4e4<+>N-ntxBRwd7d; zPa=U{lB4yCY_(Sus{Kg*Ua6Pe4@i|-OgZus0Y+leO3pp{^Ljp#_CAt&xR0YW#nS5k zBEk2S$i;gUsJ$jjTYS-hP|!dCeogr0dN67;#?CfG?n(^OuGRR5lG$yuS&50t6?g|LG^aeCKF zQue(jL*$^nY8zG}>jOmA$9~v~|AqnV-(TkJ&MA%`O2+zh0I@4jfGDo#-+7?`l7 zrTGA9sp{zJA7=X=1NQFLV1tH^Ci}Iwqo(~9w{Ps{k9VcH-28&>wJ5?~U&QyPOZfaG zI{udumaZRMe_z*=J0jEW{9d}^8@v#z_F9~|e|aNo_U$aZ`ws>+uL>h6C-+E_6orf2 z6hdK*uTx>L!q~A(tT-0Y9-&KVh6*XZnwT82!$ikdRy#jo4#7m|c@cWdQ)svgV}}Eo z_Q@v5*&oMa_5>XEAYRKyI=>H9emR6XdJ=0DEL1sMZVGSwwcH8NaVK2cpGY-dVzmRM z078g67Dat@Y}iQy^v1&=2!9!Mk$EDstjMtmCQ#Q6{{tR`7z7fa=Ptz|Hq_h* z)jvawfwvTB3gvN?n4fZ9zt-0#(UC_;6-a60IRwU#3Rx{ib% z3?oD}o^VYMk~D1Sw9BN-KNHuZPQ>bX6RPcvkG>UguHIziXOQa`A#%l6R(MYw_6Osk z9z%#`I8o|ua+3=V8rGy852E03453=V1nKz;E^b8VI}xPjLRMH3(S^aJd-;hRiojky zh+~F6xJulSnqH)+_>!U;EG)sB%+rY?RYOQr_Y{`sCh@ouDmjQe7>eH^S84*HXg5xf zCga6vZC5O{{NzURB}6??8ooclnm)K2co2NV6<@Dtk`sg(3nmc~Q@E}ap@Ay_CjJ!1 z6yc@sNQ{mrLF&FZ9qHQ&=OZ=Xj^941VU;j)HC#xy5xax@OXRxPc1&L2^%DCBb+EfA=WN?2eFN5l5%NAVWq2T-Cf!(UwLQ z+iiIG@Wb_Y-Tic3NX_-Pd3ftxo-BUBwWY6VS~*4ZjYNFz1roawK-!HMqL=I#eSD0C z6~$j_Z^iJ?3Dk6s3NhNnhJyzWaX?Lj{pz2vdd+}e?!CisS^+7IP)D zo#jl~FDzo9V3;P`KB_WC@$xFB@hu~IA zu}>=##RJUemvcF%giBd1oXZ>HV)_6>cJ)-8ZKce-kLu$sG`NmX5dwp*JPH)Q@z6AifAhu|rsmigJ%Uva=-@ z!M&88Z6n{hk1~-BRhHe9dh}4{HYV5&QF^ACu80oCvYS}QmzpbVWFf1GTUkT2`Ztgn z*hYKOA{EvhWJ+G+o!Y4O94E(WoaUk>3cMwrGi~HpOpxm-vE;XNDZ8HYxwT9e$tbAj zWk6LHJ$gG1lqfUy?bdu%TM~P<-MS-2v=1o&z-9q`PHi~WfDRmsCSn9O; zbeG&C-I9w&3aqLLwC}_7>>z!fgWPCnwIk6I83zvatOU+3%Hdq0yeF4?p4u#UDnl3Yig-^5E{9{IvQOKM8pmc&116 zzgFV=w=7crl1cu{aJpYwasBabp51+m{G?A&JD|oc4NbQ1+s%gkdk%15yAoRaKj6Wd zIxp_O$+cVGQFT{Vz3)45dEJBc{aOMZgmdM=ZjowlaQC5tA-%KywgE+Fd@V!qvcRYA zeJuas-)T~rk<~Jh+S64eZqLMSUm;$5vV`KhP^D`}-!XF{4;kTp+y}G$IjC$rhmHQv zw7dPlknOS*-W8gh*XXsn#j^b!t|h*rA?_ZP-m5gY+@#a_9yP9aDfWFN#ru$E+k5mm zT%zBvmcG;gX#&R>C{|@UOO=W2V^o*;F&L1^Xz3!UCFkh0nr7Pa7X4liX!lvA%-%j?j~PjN<%M`hAD!$iGCM6zGW4O`05TGUT#E zyTd#Q35)cVJ*CflnO5hklmv~?kRQun(GmKyl^HMAVI)t7g=A;0O5?1pTq7y=CN;Jz zbhtjE(_2_%pfvN8C0Y{i(`IvDWX?G%BTMPXb7Qzzi?LiKMl%iQPjg{1A(sWuPDWiW zGwkx1VXJ#IyFDP^=Mk~~cc?FX&am%8DXDd~O^y#}ae79#jpW{? zjh^@z`U_3P7r`d)0CO3JT*`E$BF>w{+!ESquG1SNwdZu7au*-0bWTUHS_&nawigVnik*eis-N|HrNGQ0H=s(!kp^ z2HvD1mJei zak!k;z~iW{urXsY_Bj*1EfSxvTX6lL4Nu(+P8yyh#weNk17q~)k8nk4jUmnR-01Zc zx%T0DLsGoC_w^0MOC#Um@!j98zje28MIGg?Xii-p=kP)&$@kJ&xTDFl8z1oJTN|iI zexJkoI((;gkgxV`XTx@--Rw~P3T3S~xU#x~`%m9s>HZh=Jn|y-`&<%#C?)JqSt8j& z8Cv|DAD(XD-ZL53-{iG)!e?UidtpfrFMZ1+VIf!E_y@hp7fCe9B~vYr8kNf!zdMB2 zR}&Ozk1}w&gGGyW(v8}QGP{PI`b{=|c!ND(T*Upm3Bo_`#&2^y-kV#9`n-u&le6RtRedU-cRp7X*QO<8B@S9>1)YB++iKaZrMOGLyT71q@=VM29 zcsg;q>B4S?MG`cS{#7+WUp5hJIE3}q5?uE*;=QpA|8KkS+EhlYemwd90aON^p~=^Z z1|KJigB{8ADI#@$9nGE-c<#z3^wSbTc6XAbS&Q?w91b5X#?`!?$Zs165;}WUDV?;S zB=N;UZUl3h{B5c6G^4{Uh+f+!3d{%a-%(HK*Al~?UcB|&Ik`IzlOuJ6=ywwEc>{JE z^YPS6q{u&->L4?k0*(oLF{e)a>v77ZTq%>7Zz_rSs*~6+1XJ}+EDzS8uTg@HX$!&o zT1fn+9m{W0@wZBq1`|YefGySGCKLsoq|M!vS?f}YHs%rkMIFI%uGcp$A_H2n)2!ym zz9K?RCkXmtl)z238152z?2$^7w>>q17Ib)CEu+Xjnna0h zKe;-?M10(W7}d-%Uwmn#5A>?@gtj1=S%|R0lfH=;23^ zcN)R&6;uSx61Ss)$j{qx+FDJhZa0p52JkRfyltcizi%6{-rkIrb}Y3%A#{6K(d1`B zNvIj60WOR?rIKloORdL6Vn1ud^SdTY_SF-k-+{}X3TzyDFjr|LcvBNr+e<`RN?ekw z+5ltfLQYc~XicM=2c4Gj6sXk_^F=F>UpC_?*w`ItAWWkbPmMYp&$eK`y#f!(mF<>F z9JUA>`mUMKZyE^L)PT?Wm_+lVdu-hBl+dsKN|x?(VLyw+A77x@beYc63*;YI!1w(- z#C*O+s9Gaoj*-Nlh@eyPw`hX@)K}LTR$F4Z!|#7HBbZLLFprkL<@)N^JQWu8@bB6vlMy^ z6K~f+WY!ePnU|?Gnk3I?jA+Y?q())X%?uqjOLCn^I-$!`PRk5g&eC&cg4Uyx zWZPXL&~K6;_kL27ga!D?yhg)ho*5?5cuwZILY?C*tqy~<$Y^jLWJ2ip)M=3o#+QYT z%P^S`HZer3a~ly6ZKM@m#>=;sQi-eh^t8x;^Z1{+NV3gcS}ku-Z@Wsj)j8^&Myc`W zr(Ps>zS}tEp)2H^ogmM7fkKgbg~l@!3KnI~V*m7c>P{?CA$cv39M(Dv&}?&&R+~H2 zDstyIx&C)$b%CqG7G|kAI!d<96j5G-q&te_uo$7eCX zne|fTJW0FNGA(xV!tjQLiS={NdV+qlIR4Z-&e9bef7H+)pR|b_Y5O+FVT9;-5_;5?h!buk9PuaVuop4MoQON&MXpttNP&3L zV#9E=HKQOkpQMahf@5;AwYMk8+=TcuHpCrM{D9eqpi^N4oN*!bs0V3B#Lj8+b(;;2 z)#OCQ5tk^s+S-bOjBN69;_!-a)v^MT{58QBh*Uh490Exa&sYZ5L2Hk8E1lP7Tn$K`R<)sn=#5E9OK6ED|V9}C9G zAeJxdQ6$E^gfVAAyBNjd65djZnl z%8DLM*vS~e4*3uxI4Q18F;WaSqEC3^d&rG=t4P|jnkn*0BGo4hyC@&*lYGff2`Ajm zngA0AVFe+?9u6kZz=@Ef_7aoCVI=uGZb#~28$6F$;Tsi0c~vd(jviFS#be=UL|jfJ z-eG=3*T>=Da)Jn>0DO*SW2xy!sF4RLQZs2sJV`c^+#hkHTEj(}YzDPe&7vOMNKQ>c zJIt1>v{*`mLJ6)FStRw8dRXfBR3KKGg6rW#lJ$K_H1v=WLyBIgG;$|;{o*NgN+7_| z6Mv~aOMm__ZHxKPAxl(3dL@ zbb0#fYvPkXN9TwUo24PCDQ#oJR+Zo0tF5K_9*dJlC@tSbSC1QRj=t2E*WhpCO;&jx z_99vCj5sr1_BEF;?BdSlolG{p!w-tzv?>0d?flogvTS4Rt$(3kd68scNY%$`Y1%6* z^}!xo-#CxQhWiw4USdlB3UNxkB%bXd)T9{$^*K%(Tq8nzo=nwg;&g_DijPpB(#x3P z6up7h@%9?QIBI~#SQ$G#|b(p^ju?zL{(WiRA-4) zSNtXPI3)&ygrrTPH$KLh;vUMPWkra;iFP}c>W#`d*9j=S$eH$DJko{Pq^}a0w!ks>T3jpUamYGP?$I$)R7bGXxQc`3 z0Z&dWHza zqehhH@K;+PPGg)DDUKAiL87#Tot>PQ;u^=p{~TU zDH8QB;;DX7ihP_f%|YVTdx@8AoW=m@`&y|wJ&5P&3IZjLfTVMj#H>ogUcEM9i-A|xu7yc)P$*X%tOxrCS zDrPv4+JQ~t6bUY4#JY`=;Cz8-!wVt>7w}W zQ>3XY{<3?F1nn6d^siy8ew%FVo0JLbOmr+K;#d{grq>8lx{meRH*kLA&-iY5M&iCH zEYzeyp01+sz$n#f9jxqsO4fTVEY|w+{NdNUy#6+S7In3@{4US$zRy_OE|zD_DakP+ zH_wISEF(%w1LaM}&(nQ%{u};e z+|EsDNCOA25Pc|(oP$NwE6?J)xrS;Bp_Jy8OnFwY>eES?Ssf(-y_AN`<9750?uXYX z724S7H%?UmT|lD0K$l1{X=b(^EW6BcF8La%31ftp&XUn6(xvUErsV8$~;eGU^PvZ z=SlHtqdR?pGS4R3!^)Y-D`mVWiplCwmJ4FIofXH$oFZBx^C;+V#$!Tm)b3I0D$bEz zHAP@#9a#+vBzIh*H)%m6Ob-Q#4K!C}Gg2GMIZ51wQZKF)2C$l$&P;kekply`jt-Jr zKSoQ*9ND=8MC6Fv>Y63Fd6AZQk+0shG^CW!Q=H6bMKBAMfm|qwV5V4N&Fi2tqLzfb zHnMsa$ZeRVqV6I|v14RqNwaF7Bd>OblJIUy{i`It5@ySj8L4n*tjdMO@*pnf#W0_p zMOJ7M*`*?X8!k~(xJ*^uWkO;r2nnwtOYw_<>^qd&wNaGNMqgPg=L-{oUTQ$jucMN>3Z&XH9!M|emR@!ri8_z%&OdWABP7u9Z)6nK^~R8hcGbsSSA z{+urj=2A&G=krqOh{`7?sYlqv61i1#cr^%4IgR8NPm>uw##qih>Z1oq4#=b;K9}K= zA|{JdnJbPIe*~YrC@v&c(Ja2#wNFzpzf4H)Brb)WWM@rN9XLp%G^X~VOXNzUOZCX2 zGo?^!rGVMOBo>OIxguk^FpJ)_eA42I=%2koX2(2X{d1V)H&Re@fe`-|TF*Zxzh;8W z;1*)SI%&upWxAl4i85c#m4|VmAdV}!8C=Ygy2(kQaaCeyYA3aQ0@Lhr3TviG2y38y z`89Fn=g4||n?Pw%6B)_n)JPrQIPc6{i*%hP~36cJDoay4rhOyXH<$kG4p%0IG`WNJ%ZCxU8PE)I#d2s_E=FMSsU( z#(TDN@0>34(&2u5{00vnY~Y9U8~MlSF0O6(JI(t6W32CY1?_5TJ@Va?HDEG zl&p-l4=AyIMzpNf0b0u#@4kcQ;d^+iD4y{mE4$Gmg~oj(3*!kmSu87HzR-DLX~(8; zIB^LB``frU-zUN58Rb?_uvDAGPFCwv+op*+wutr1n<2>v}kqjW5TlRT8KEA zCyb|%ES)BD6#oOIb_FxDYnZrRC)VW-=@$2drLAySSfZKf4P523zvg`c)K`czTOi)N zU#PxN>?5Tl>Q)h_+eYl65#0CnVxd2eyZwEl9Uc;4{senfVMzy;aj<-VtN3Pf;4+@O z7KzrFB}#XI49gCYOqC?)my@ckcqY#{_B)2~K7ErYm*+&s!kQDy24IQU&cjG z8msdwLVRCf?{N>!W5R09ZehK9kx;*%@Uppux$*=q<`?ij(kHPrk!jsUgkd!)I*nwh zwv#PPJ51{W5k9{VX3A;GK6l@$Fr}~L5^|J_ zY1vs#g;6IZ&eEjzWmCVeoO#XfNq(cB8+rb`zV{hxw?!pg|CY7Ik9d0H9fsPKxq8K# z$jH;wR5lS5>Q8Nhus^?8THAyy3>eeZqDp4QKC}(?v1`BL{r-E{uv7UvRMfV~hj$qm zI!SN8Dt(FsQ!qw5I$1G~7sw3SzDU-RVJA9#HGw}$ju(U4Ac za_ilHr$<*-B&`IYm&FVmx+oww;XI*cL@D_NBTWu>f>o8b~h@2p|{ z$tq{xyNbzMYZz~MirJgeFy6U}ukKy6_RjI$m!sI6c|e5A4|qF1!C>bCE_)vmupo>?-@ z)<`;Y7YD0r=)B*<$xYLk?!8FF9=V}*-r&?nSF!r?0u~=mV)^z2c5j@+Wy5uB-%va# z{|dIJmN45hMUFJb1nb8*8C*x_(?QODEAn9H3V!=9V7Ep5`FskqPo^;c{S>zEEMorl z3}){Ra_V>8xGVl`MePPI($HO0);RX@IgH;QMDIhvR{b2gcHiT&brtu`w{ZRZHcp>k z#`O2+Fxzkm#|<}d+He~)q3DM`SVZ-mPU4L3V!Wdt!;cmJC%4KmncrXI8P%pwIQGR1 z0SA{bc}L{aSNAymyD9A6oyGC@^O*l`7Mu4)3cPcJ<6q1ZWA}hVU-onS^C9An-sj90 za#LtOB-`o*#*&YaeM_8vPvZG(20Ovk?(NIizjXuqH}7!r_e_MphGUH3>l_6t_up2udR;y-wR_>9a{gN$N=p~T8}nRuUf&V+;eGf4RNP9h!?j$<-xr-c)q%ctHaWeRzDE- zbAbMy!xR_0(ce2lmaxQbVX2v!l?)H3bLE^J^ONeNr0hmRSD9TZn$m+**syEgCR9{6 zqM`8~U7h+A73?HEUIRBvFU(E6adr*F+{^)A4>#J|k5N&yj|=0wxihClXa4)VxVPcI z8Pd1>^K>`M8~#Dft~qMlI;cBQLH@3I0+kx2;8t<|;0|T7+AN1vQ0jA(&T5ejjUuHS zQ)!P$=k8E6cZUPGKOD&YaYybC*zly&ou?xK+#d7c*03k{M?HBw>Ba4fzAR1K@nFh= zr}H-47&qg_v=ysU4%`~D=RvK_wEQ%XLVLa#y=3Z|AkB0rYJLtyRh!c-yUAR4E!?awt zb|H`(^FCa-9KiCJ7xUv@TpthQS+_6udOWy3;3@w2ac?MuJN**ZKmbqHWAtKa%3Bf> zz|xFA*XP8}xe!+8L%BH;$h{G7)+Q}jo;c1;iF0+#og0G^_ka)g2YuwYFONqdEA9+9^Kv*? zFb?A0K!jWu$|Z%}#Sm_f`v~T~Je(1^FzmwJ*$^I0M{uP-iq(+}u64(8doWVs2L9<=+jCO+Ig@6F{Idv49U@K`W=Eb~2{_vGe`4R{>Ul2~&pAupym&Sj!rdM}o()Gzt%b5O zF4u{FtCIJdL!lC1fYh@GuZEqa2F)c_ODradp35YrNB9U56_4CV5Ki!>#3R`UyJ zvns&x^ERB``724^{6OudZYq!0QtDDojcPTuJ1QAdenQ%tgRDtI`hM+G?yUZf*LRe7 zadne4q(3kt#OC@{Tk`Yl8R#1$Jv)WYo(ZzEtLg90W~BEl!@U}$r>dZ(zh4@XwkS1e zNIUm#Lq%yPS_l8Y@@0E2pEKg-r7TuvZ?kgw1q%!JxP0j@S1(=U?%ilsmeqN%x|_$> zwsZgT`zT(4^gj&g@25J}8Pb4`Fb%b63bcyoJ31ruSR}!F%Y^MZ=;mxGh3@$;lC zHkSIpazfR{uoY$#v}26WU9E)gEhk(lTUbT~VSC&0Q|`b)bgS2%Izo3#rtt4*g6lT~&h{O}JQ)~$<3sDU5A$X5a*uB*R?rFhi zZ#Q1zkC#d}{z@{$->{vX1Z=CveP_9R*Cg@C{5yvQpJB1pE3&9XntYS2qHW@L2kuHD z+Z3@27T!v-RjDU-TMH?hhKbxVE>cURo$`Rh-XTn~ju^2Uy(ga#m29H+6cM|25=7Tb!4-9>I6B24iRJjH|0b~g~DREdvrmH1qb|L#s1eFW|jUj&=59bJSgd=!j) zc8jD_9>r}-BjG!mCFd=2PAkE?+a(Ugkl17d?roG&PtfizIX+CVBKHzssMreK)hukG z1D`$J*zT&qNv#1V%{m-K`n#*v;-XZIM;oD%n*i~}M{#VA z_%2vRZ|fvxYo}l(x!loBs9=_`wFSTJb-3)R#aCG{-rGRbjykC|iBF}N;C*QXt7H+Z zR3gV}*K-vp7zgfAJWi)ga<0g28%f_uO?=xdeh40to4~E@MD7(V#2-JY#Q^akVtW^H zTNQD2;I^+Fm;G(RW?S)85!o%Z61%wtXO#{tl$wc=T29+0xb3bJTsp-60fHqLL4tLF z_z)zR#qH=MY)hA5Q;(-=mtZG0B*y6NJ(3rRU1~c(@Kw}VsNf$eeg?}u;V=FNiBI7Y zgXyLbH2-j!7}eXf1y)m)=t^yrCl{kKne}LpdHaMVzQRjbbmxHqijL+Hsuw}4K^v`V zO-!l1B>AmAZsdE3Wc!$h_uk>@)m@C%e#E2YPiQRJ$#B0B{=SB|I(y^jYKMc95FFPT#dkXoqNIFK@oxXU9N2phb>$Bk?mkO>g)(KChe-$uBP1{c zzd#>+eSL`z_oqj?e0AwTu1sohXV!?(%J0_S>HU8)q?P|>Nac>rR2`|KLW(VP(@kuC z_gd)Y4-~09=Cac=#Wp3Rd&g7Y8cv>h6=}z6DY43>*gTICi(E>rW2mx>r^-B+B8z;| z%`!yOW{Pacp~@_aGK&ndEpy1TlWWiBlVO@mzFc2uok59pGG$f?lv#&UZtG8(O#l^E zp_E%iQ!3vVS*MU|n@*l(7DZ-xGQ_TRCWWV>sI-Wu+B}YGiv%iV{xTUQ)(I5LHAU9x zl*l#ZX1P?G1DT>`#S_pZM-aosffWgn*wX`(=vlZ$yd5#AvrQ{sacBDM55G7qSTGp7TgQ$ zBPq0xpu{FpFpHM_BvCB6D-iz*Eh?xqt|awTKB=}PWZCAg|BSQJJcR-qsY%IovD8+H zh2UkGD?@T1*OtjSWtM4_i>(4%nMZQgXp%zqnHW;tGQ@7O;F&_1d5qvCb!{IbKFepx zd#%(YMy{ON+Ud&xNRb$yARvT`h;{?u;WOD4NRJQbNMz-l~$u z9Ae2uVY?j-DrBUou}^gm+xKX*OYwj z2A`p#E)h>JLk7l<(9p1rxzUZ>yR?(h#t-=E$s0WQKYxbw`+uiXXK9@wRT$LLta={T zZ~CR(TeHi~@3U*~Qr+&koRv&>gbvuiOeZbjl(sT`}8Ib>dkc3K>I1gjyM>?i58 z9;4f4P|okB$i10j-$p9E>SP{?QRXQ1=%B}@n`Q^a|9^D|eob^b)==$HBeDM$o5F5` zQ!_O#tyFk-P~g=Bck|wtrnjETVv#X`cp+T+_JjAbpfO=AWs;Kb#4bKjd9vy<6B1aAK zxmhr6l{s7GbGy_;w_O86R&7j~_AzWaNP}%Zf@ybOaASm)YEy~JMcR!)Ok8I5k0 z;-jMGngo{y!KIE8xgQE8S50n>RLQ-dh_gd-qo{X9ohowEB-b=ao)n{5?u%x*Co088 zjB6i34jt44R5Fl~Om})XQ!y1RnXl5m=O<#neJ;%MCn7(ep+kLm{iO!c`l)mtYNb`D ziLnDu$#|!q+eMx{z3~wb*WTy#4Fj$Z@8rSdubAx8Vs+V_riKvma!REkm64fKLRo1W z#l?Yix0*1}sZV^&K6DK=*}Ppt7?K7X_U_$-nzHixL;e>g)VaLy6~kjE2#U8RrzaY> zBoj(oQ%OiU&GnnwTv+^^=W83ezr2~H*{|0hJ#t_1ER@A>`PI0SiyQt%b>mlOw%7{0}CsD7IR6Suu zy5+=bl@Y5~PMldQKBjf}A1NhHzmzP^QlgF&<8daBLhk_z&$N=EQA~zb0m*uW#26G2 zZ&*dV;!PX^X0qa8Ra#Z#X#ZwgF;Bc+4k-p%M4ZgR%UD+Um@xv5l@NQVj$|#xZ;$H4 zr~37Wr)6kWlB>8@Cy!LaT%r$W5Mq=o#|kLbC>8r9!g#3^R#3no98TLejJvh|?B1q<96CMg!@Z zwPHhJ)2;pOw^2If;$tb%dbvbOKK+h~y))$`=?lg(N5bJeVkEw#L%F2rWl0=4VxwB@ zwhPV`q=;Y1200|_7p}iqB~h=D7(>a!aRr+YIi{6QrhYY(m>`IjDFGM}^=a63nQOAftT3juaAiv}8T^MIPP6JBTzo zCOJ4#AlK)u`>gOI-k?x0lJ9gS-|KM{k|_0(hvgbAMQs#P5i*8{ae>rT z?RtI{wiW&)8fKGVm@n8=lB6S2_)tBO$3#|{G!t;NQs%9dxGO0{g}v%XSgte^I1VmrTS4_;6rms5VJYqTuKUKD94}f z5`X4PlUPViVId=dvD_fJ#*dLKU&dsN=K3&`5y5PF4C6T=3>LaDTwu$5mOb-n&dla{ zFi`ADSBVe3`B4le$1{@}%S@I(!@1r}Wd$;p6UbbKKZ_|o%w~FXB`=VPY){7Wd}+(` zWGd62YY9Qjqy#Wf62?H97gPD-M~)xU*}hEV`7oB@#$viV7veGkyKX(awD0@O<*QdabBQo{plAUJInoP z$njvbKoPT>`0Yr4zCVLGQG!`C-QxFRb_mm{p@LtS#NfwNj;GAw!gP)UlO;mKiyUdI z@unfej&bpKI^UNW!D&L`oRR(Md>`@0gJH?%c!pq<=Eh8cJ5vSDf~SiNIiBsuaJC!0 z<(_opdkB`nQWt`Y#5A4i&5*3HZIbuSB3}jz+!)VyVy4hde3oOmp^~>K!7`NTG+(BY zWuB~H!B>&{04BuW1+j51Go1d+Ai4^J>6PzCWX`c<$y-(cb5dWu1rdzMoR`JVxlAv5 z1pk2yFV3fhu_SoTWQEWsH87tU#z;;At$B%@6P7WXVZ&Id)QQyGq}1nVzAMv3&h%$n z%hrRjOm~JA^-~ZoH5JT-)F2kb&x!m1IwhaOa)bBf`bzwAPKpPMQoj=g9`qNwGMw+p zwAA!mS|t66;gm)=O8kONw%h|5;&*!JdhJYQOD$&k{l5&sL+Wn4un4CUqrAW20Un$F zKU5g6kYU$Fj&&b%L2Jx;Ulf*ANS-Wm{vXfKw(TxiYO+Y5jipVel74AQ6PhoGe{Yye zQnRnuzUJB8ce$&0>&V#qJh=GfM5e%T8KTx^X%aNP2NPryNd`SQ<=!_elnt zx09LhEqnH!WXpbiwkUng2HnGl*lVyKHN!vf{E8aCUVVqAPIIzutP*s84)0f2@w$JL z%*&-*eYk)9btnIF07yF$guhm@STPQLL4N>4A4YjTcs zGuc0WUZlSLG1j>L6hPB4;q&&-i-JV&|bZHk=b8Z+@-@z0TF^CVc#lW2QY za9p9m_##cmhG{!FC^jXo(=$|@9;4>e==wI-e2O@WNy>t*lVfv^vQrmmIlM%z#9VXi zl3+MZjqxZA$A)M#o~Qcw3`NF^5{twk{#Tt8|0T9ei&bJRWj_Ds6909|&fX+nuq*xV zZJESUd|Ki%U8U&6MJi0Liocg+T$JxG$dK)sD`cBqBFyD7g?{&`IJ_!x-j(xj(Rbty z#e!qhS;dn-?+Ek0CAD^47C*6bYDIFjET5MJU&+7mlGt6O&~%<`i)k{?PEc@aT54s2 zBC|eH&DuyXlN-@)TJk9vN)9XJ+N$H1gbhqnbaspu!L0K1Fv(WqvM6({NPS4X{6EL>TT*XVB=#$uRl3WE8(!eM@xLfH{0k|^z841j zgifm$^job6%NoV?^JQYT-J))5FEuBHt)0$d+-Q+OrEZ4y-6#D0POevn^W*(5xxczW zl+(w2fAf7_-1v~2le(i;Q4zf6>Vk=$@yG-&pcbz~Zc4=V}Y>PMTY z4KvPXaaK{oSlt2V?NPXWdI{4{9}=)*68CMbIPa~(LnRp(IIWgMroG}#psfTdH{i508?Svi1nevzXnO@g+iD2@uAZQ+)p#fu zVX-e0Yn3wGcMTD+Wg6FQ9at-s;;3Crfs;tKT{Q&mYQS!D4*vV92^1TCJ1Pj>QYq52 z7S}DMxbCjzj8X}nyX$aPlJAsj@%p+D2en!(^c$$KT9j*Q@HkM4&8`gml%CTa+_vB!5AeTcTN1P9o5V|=RAEiRvc4T08AOnBX7P5_I@Y&LV{jNqFc2yIk z_${N%AF{O={~hJh=!0JZ;=4b+ZzblI3#nlOPpo6?9Iki za^a&~ij`dFCz$!}&J}zr3Hh#-;LV+QZ*Rj%xduzMLTUmo5GeRLZpp=cZzWw`Aw z!Fg*QLGr!pmQ2D`tMOJ~v9(h0slk7HwZv71|IQ*DWG=I_)#A?_f!`G3x~qb~{Y}Jv zQAmoG$W^ORY<5>kE?V%~-a)|jE&{eTNxmy(D|WwY$8BpX-Up;6lye2cG&wh4aH+>r zsSaypkwDhngdA#z6`||`x!F*c*R@=*Q z(`*wv*#vwSL$O;wr}V0@k-7XOj>zq0gbGICTgnLAEP3D6iPz>vIlo2fp`5c)hsJ8f z*eVs^rCN@?)U$&|G5M|{+4f}NxFeAOjZ&Gnh@j0i_-t#$W2e}boCj|!#8Rag<5Mk! z1x#^bU$)eBp3IjkxfE=-7vL)xdhe|Ge;poTBXCa}MqkbF#fFEteg253-7z?+$UUj7 zOPl9$8f>h^-$Lwu{{x?J=4TYB{7Tf;KjQG+ zpD_LEXFR|ABQZPvipTpu;kWBoj(z?8&sr-b`j{hWb+kfKt$=~qV_mU$Y$?AUepE!N+MQtonEfw^IrJ0eYHP&pdX4kDFXd)=g~=xmF){cN6QdtV zJ@9vY-hEEkfuHeK`w823zsGLFYq9fZ%-(*9+eeQ{-2W1H{XgNX{xd=1Z{Vjt5wYoK z?7n`)VWWFkpL|Kou3zx{><7Zs{*2p}m)O7a5cfBp;r7N4*!}Kj{J;Ji9$)@~`^F#e z_)b>4E#l{wKj84Y?{V1ugZOhFZ_V!s+4~=y{q)~({_bB1`ryxa{qAqL3odTI`v=|| z{*M2Ke`2=bN8Gmm5kHMT5~TV)#vi`sxa9K4Col2Re2t3?8`VEy`0?K`Rr{wj&p+ev z?o(Xe{0WcW{Y7m3ndqIrV*c4JB91@Dar09`zxz8j@BfV1dp~0S{%azX|BR&+dDQlQ z;`hyKf_HyU%$^^y`JKe}<`1~O{WDH)zQp_;iGR}z!gT(Q+sBf_cYl;v#ed1K%~wAW ztMx0vYR@qKUhI&{a{Y7M-;~_H^-rST`B$l>pGmR! zFRVX*Le$QmaQNsaX;^>f_!oDHF@H_snV&KJ;4#i0{}a!xegVNAh|RDvHIkDy!O1}$mfrVxBCm;dOvek_T9F0kCdOPa+xj#1Z~uzr zJFhwW<_m1)KCpdTF{HlU`4#u~{+%Ol|0iE>_$%RC|Ap=|rGE9zj0g@9?M+^-V3 z^#xA9`@cxs_>$_aE!3WFAXGP=9)kf&J})Ex(*;7_@8UwKH&1SVy#5H=XVR2@y88xy zx~5HprXk(A!IV94Ch1QZAhur2}l( zy?rP9ly{@1{T_F(>acd}W0FSB;Q#XljQ`or@qcN@{jbxcJgTDM(w974`+&b*{D^-p zZQ$?M-s1I5k!$yaAuWGRZ*V;sQj~2XKcWnas5;R~>Goz}C#%?R_%{jg-^u;vZzAI! zP+=_;+UYVi4mYW>66xfyMxFgCrM4^NTd&dT^O|AzpSf!Nipya?P!n{Ie33_WBB@%; zZ&P=Am7(xQY`tP3Y_enyl|oV{wN@vzv4~J)_m>He<>6$n+kf z&~uD@$3@D`=c)9ZqAmF%c|Lu#`Yll}jk5I2HR`<{Q)PKee0xB>)f(Lqq)pplJ zzKQg(yiSwbV@fSVdWcMEun`GkF4&kprODGqry|9W9kFS!^IE9Z*HwGQzH0fc#2e3 zJmMwd3hmA^zo`tj`xH4Ta&?U^<24#Y4i=lQGH5S8+ux=(L+p4gQe`(vlbr(R%LFSP zNi+S7s+7z0nl92PaV6Nz3vTzspZm1QO+ILRlM34!~iEdT3NOZ=r)OY8VoSzZ5MqeA3#v+YCjoL=K?b(?y-WxB0r zC^NlGwbb~6+m940zrcFKnl#a0WFfvl*WN|)wVH$>l~T9AmeE5KH0^tY&wJfmtP0}A z{ZD!N-wo-9TO!?-w$T$BO_Q%Z6%RwP|3@bI3q>SPH4*irKVv`cVx&0~_dTu@1o>j9 zr_XMUFHzd9#0KT9+fkKg{zoF0k zm9M#dZ439Vzr)(q5Bc@hTm0p^II*~q8)5wn?EF{S_n#y0L=^@5^XXIQ4kz(ZJw~akCz1BYh_*B#RP&TbH3Ph~b@4r9fX_ige6%%));~a~-XWqj z%%}}W!TqEO-nu7n*EmCjhB=O^dQ?Wn;HiI-w6lJ)O4<@|@Fe!?dkEGS>8h_okdA>c z8Y3JJogr4&minkt&Yo~2K;2##g#`|WPZ6$njFPNme1p75I_ZMjepAkBTX9C$gfR7E zB&cf>s;`chzCQ6f)|8(KAw1q6)8op7Y3ku9K89!>BgxW+;A|gKUF|5)^}%VsBgXrW zV6CHvuYn%HIywaE7!s&$K#JiJ()ErLqiRgFn!PY7M}pL?@!5Zh_;6nm(%mRAb0X=0 zGskr;F*tgRP`N%zUlpH2dk8SxON8oviVdwu)vy-UVUEAx6s&%lAZ-i$4w~Ypt4pn` z8wFc(jVKa~tq9jRA^ALkr@;vV zPFqkO5G8p%LX?g%-l}J@-g}sU!$%1@au9!QB_i~W5W3Hb05x}l0s_eibR}}X4!*iZ zg7XpVjv8U*WlceF1nK%d60fsJdLulvwDCJ6Ltk?}SK$W@2vXUP*I_-uA&fAmKw(Mt z#HuQun{AKDq2ojaJ5%W4O0xPH!qrSg${S&;CwV+%OuXbHO!okuhcxindyvSp_9Vn4 z5^Q8o@WHbpTTc?CWy-NbdZZ3i671narm{7W2P`(IIae#q$UkXJD@38YY4uE z#2MR>9g~HZ?peH#pT<@4XLs~4Cdc&|>24&_>?}!AM;=mB$M>1zt#g(zeM17YRSD74 zkvtobbHIv%Bc8&%#fFwhD-B!0(h7I+C(y-*ikeD%PMP7Oa)_A27NjYjA+LU%V6D@? z4c+5}=o@ips~umwoh584lUC0&R7DsPdh`HYULLYAgb{c^a-jIb)z3$%{$iebqd_7J zBWO45Ci|OuGQYZx*Y5|pSQ*Cid!McUvg?K7AMI}|o*a2fl!`Oc@o^MA^vB`PJ=Ax1 zQc#jj&cg_%pYEkC(}^mZa!SJ7(UW_AyT%rFDeqA{!gddPcYnt=)%SRC+l&&| znlG(}#?nFxQ?jWl>7+QVn2ruz2Ku#_>{aI8$|mOezUI-5w|FIv{B>>AY>!dt}ld8_sl$3pb(zX!aL67nMPcr!c6!vsiCjq~z!tMKTJF zR%L&VW`kL-9FnmxY}G^k!-$3xbXxXVK>P>ab3nE;tuySQa;7G+Devci?~)@$ZACytL5D^`qfkF zeu37wzmsWni*mzja`Rjx>)17tBu^P)qx9r0!R-PS!oU_QW(1FV@gs{Hjk#Q_sN{O? z47Dz!M8+{baEHc%u!w(2cWX*fuC!YtEG!(6E; z;(l$urG3TU7Jk1%WPVu)+DX*zGdP5cgVYb3f>pWkJFPEu`c|y9$G6e?n zq?{h1IBJZghG{OA7D>J{*KvMW+|K>zQF=^fDLZ+CoFl?K4X#R#A4}~&CC7Q0+>jf@ z9~P#4RO;u%8l}hAC_ZwVqQf`WN8!;G3XZR0zT**JY?oYj28x`6M3>+Az&>)Kfn@Y;|jFYvsgPg7R@cBUMpgfXiYtoQJB`IF`areOn ze!Qv8sC_tfz9-1P=8f;2E0pG)rzEkJ)bVs?ZtF2ot_?p|YR`Cksbv3+u2mwWPkNRvOb`I+~SOp5Mc>8A_-{ih@$DiiE<`?5uF2D5;`VU+s!LWq1gVl6u&EmbegqVW^BTh zoXD^=joG|Op{5tfHk+o-ZjxFHp~dD)v{;;@|I9Qu&CYW*0d!}sVmc^DlAo~Gh3|5M1?Zv3yyL% zBagb|F-qJf={1?B(ex5|c8er<%#j#!PFAf;Qh4(cZw)1pk@S{3GFq;|eARAdYj!YM zrOnmMFcw{_XtwX7*|A?F%Mc|_=P7cYCr*6H51OFa<)XwZveKoN`s5^Lb1fKe(4ebL ziIHk$7V@;Yn;IojpoBq-b~>#)q_`)<7is>s!&C`IZ9&V_nqQ>NbeepZOqz4O87@<2 zs_r{xtM;-`YQVVy7g_`TX$h)iEbS`QmQ&P;oNbYO_8E&TahfB=ZI)6S$+5LieyuW{I8tfGaN{1vDmT&JszqDVS=tIbsVFU=t!Ppt;DpGm3sg#NcH7NKjGg2p z%@X4=Nt4+;t(NVS`Xq2J*M*s?{Y=$vWxo15#wreQG1q~PaH;wH3nFnRm@*a#ZZ=7S z(*?nHlA6d%WZ8_0oSLT4sha#KPbRBRGE=R>RE-LAwQ5o~hgeMrX4avbZi~ybogI^y zL>en(qQe3?-oq41BP+5R5$rEeWqFY*k*QTyS7iUZNazLeU*?kgCDry8L6+lm`1Eo< zvz6JV81Bux@#p?bMjcv&(Jd0S_b)_!d7s9;LsXuwB2*)d4(%zbwzX2Q@djRR4sx|7 zTA0!2JdtMf!|e~bzxpoEAAH1^;MhNNvoa&-5P3Tzi4AI0TQ>*mlD^9O@yirF$vD_Tl5RD@h6N<*=8~u(tDe?0$zP2U@zEq6zaPNr%VF#f&2VIQ z1!kMd2$Y-OcGD{Jo2TScN)Gco$LM5t#H zx1)|?u@j>)jq?Xx*lldULAMh-xxu`5OyRh30Q*lnas9lFfbA{B9jqhvu%dQS#HVCp zbuvW47vQPgOttkg{$ID_`FS_iUzgxYtLHj@_PM?e2PaDwLT#CCvH<7xn z>-7_1P)V9*4f$$KWbNsu!}umnU$$fQbrU8^|9`&zI=ZebN%uvS%u-kIhsbqv2t19>&e>L zLbTX!vvvT}Z>zYlyqpU=+Oa+~DEZfom;D5W%Sy2Rq6w2vMLuc4`kQX7zHXEAszJ_` zTI{}U<@o1KY*rH+cURG!WI=z^POh|{h61Q?qyplNOjzP=ig~iU%>xx zDiIsf$z9)x|C%|h-@A?XcMWvghwy!l9t&-&nZ3M{JEK~(h6a#fok68z9#yurl-e~> zXIo0WWeziLm5c>fQ|OsRj(rN9fi?6;wk$pTEIOlCEgj0mG6u{W>9H?m$UTj5{}hTm5^0L*r%5$HWRQy7pgc=1oooOA|MW>jK~xg` zaw%~xrq#MiY$>GJwVDjq1~Q!MsJ1C0*QJmYnQPdplVR@x8vJWX@yVeswV9mc3Y;>t z2~}m$kR)A(RUS>&B_vwr67N__u}w8~)-_a0cUWatPOEbj!#{vZ7Zxm6BtX zLy2!aWdLtLkiQ`vv^bR0l+Z$~dxos|nH0N~GU?Pz&zXFhWlXM95rxVvEpl9)VAW(> zMzwtr1y1=CxMtJkSwOFQE^V$^2fNQcob0RSWK2h5qVY>lsnW4 z)hm&04yBGM(rstcW75e~@EEOrRiaOFC_J6Sj7*XuDk$@>7tAUtvZ<%Ws!ckjMv0rm z+O~u)i!%C5>uEQsr`|+-^zNW5a)44#$&dIN;(}sH$SNbO7YSGj0n9hh#sn}9Zfv=o1iDe`OOYTP1kdTy5enl1O za;_9R%YNyK3zYacH7wn3RH@wVWLHUrV<&M=E%=&C{sso}U`US}bKAJxf0Um~tr<4= zCwNU6*6+T+?}I1Q@0k$#SAoxAUmA79u3g1sti6KQN8Ma4_T$yPHwEdZ+pBptxsqS5 zea-d3)m$Dw%&mn|x_bv1TkND~wwJ!ES7;uqWPI9;g{!MkMegFjj?--1dW7}6HlVh3 z=VrET+04;HU(()oj{1gOG}fCDo$_3x_y0xOy?#+dTkay6)LQfLJ2HQB+pMp@2Pxhb@B-}QW0yHBvd7lic2w6U5caH zIGG+lDS|=HB$USE6&68xMgcXs*(8;x;u>N{RhEh@&p6U7a>+cKM}}S&*_U#cZqCg$ zP9oP#R#ZzrVl7>0NRx#jHI*dyU{uA@HTguK@|J~3ia@bxrchiZw)sN0GfB77LvPC$F zmmuQUgMW^YkFeDTH*J z1XMxa6I@6wj-@s!gY1e*Ji~&d zPCiLp+?TR%yB%ArVdDcdYisH)_UaTbjhQH+NuPZ2F6E-ai3iA`{51a+BG zi1G`GDNL7K7F=vpB#9r&4Y&nn1wwnJ=$T}aXC6^(VJDt2``h-Blb`z2@{MuW(v?;R40cr!w6>I}Gb>T%e#-U{*<)gZPHFpaDH7M&f9YF zUSEyxrY=0U<>9|xI*(JY&?x#5^@>I8V(t;__Y5<~Nj8`YeJ!}d#gGTMZhL{z7kANJ z-OHuTl{jxMz-N6a0qeSO+1!ZN(K5`{Yp}R9fkVO#oFlH|74(=B`a|sX8ew1M6&!pQ zaM?1<@pT>S-BFA6#u~hZraCE)7TGXP+_HK~whm*owFkrSn^;C&C*A#L;@n@eQKOWd zLRTz&p5k=rC#<%-D0dnLiEn+e^Rhu?+*%+?ET7Ef@G zxQ}1hJ#@Ur*nY7NJBK+8kM|R8_X@AQKVY)_F_%^i32m>&b!#bJTckLwZN_zD2ZrBO zp}D;s_v$}lG4u?*+(mXc)?sYlkHhghgr0p(sM!xo57RSTKZc=TY9(=Z-r0<^=<(Q8 ziKEb?(;I3zcVvW;=KllR#3x+Hyu&i-yjfyct$A> zdT|R^`&M)B+UN9l?xnr+1Su(I)Rpz2ijz*hwwvSxl`Imu+@1Dgq-&3KNQXFf;4GVW z9cQC*9nzK^o0cfszFi-2_l_gIeJ5$CHKn_~o5=VS8ru7bj0$I{dxW}LBl`P}Fg~!7 zXV*3{)A9AvHAqh$DU@wF|8}8+obe!It zX{uc+DVLQiG)mfD)gEn1hG}DoAn;M%edW&O(3;S|q)Px(umVDph$YOISgPoOB2IZ1n z(27@E9g$U?6ladmo-jbFV=lgx-B@%DQxP*jjdLr_g(FN2WN>H1Z0W&l587h5-EG5z z7FWj7i-^dtCa|HIvK*n0Nh6d;3H1ss#-p_hkH#S?f~P6=Z=$cUjLXBJ;)^9uyDfOw zV#kAy5FS(%(d=DGW>gy)<&rdcb<|{BCev?#l;n1Tn>)zNZ>LD;YhHX7t=(D7cZYGi z*NcT-H|}>@^GmZSFLS+RObCJHWklB337*p77F;DdB9laC>5j|0sm#Ajt!WWC`FTu? zr1PlShNr!DJnnE^dJx*9<^sACr7H+1qcMJxqO=KAMPnqmRncS7Oo~vdnwTkB;oE66 zX`R!tex1&F2d_u2ujYPB)Wn?8wGQBDF4Wg}Kh>W^nB5Ha# zr)nf4?JB9>1yl?U642NzbgP6c|4bHY%eWyqI5um;#H106;@_ioTgk^TnLC2&=5b4>|jFcS)B(Ls~N z0FJw6S*IqA!Rr4&bzvHnqtIo`X68L+81kwVwva}-Z8KeFB{b~zq2P25g*KxU?HZtX zdkuLTuHpV}6IY7d`03G?yt?~7udeLm@s%|^|KStrTbD6=^E^q}CX_X#5}IsDVPzrV z;VSAY<7ln4q`m$yF%g?MbU=^IyZ5to*H+XvZQa1$-MiSo?^D`ZPSMqKjDda+x;lDM zHs~+R<)njbG{u9P( z&u~_IO7h3ArNes3*=0Ad*!C3PQ@@g``y2j;UvX;P6z9H`>c34|%(X9wJSwZl=8iyDuHX zbHdes#o@DGvHkA3jJbm2JJVRG&2Z_xJNSO}61`;$T)Mb`nREkgx<6y9@tTV}?r`M0 zdFeDBk-6e~{8l`}_`qv)R!Y~lWg5#*uW;$DTNu7OgZcaOSbX$?)7$Q1r}YB&!>nE($pW(RbJ`SHg#(d=?oVSYZt$)UT`7aoKdmWPxW^r1(h~uZ%a8SD}IA6!~ z!)KiT_z@->o@0OT8P*pbV662Rt5wpquDFlk-ly2@_=V_o|IWG3e!}pRXLx@4R62nN zn7lQH^}7>TikQ4Vh0Qm2uv{*2+W9jkM_!=6`Vp=l-jWXSIj&#bC-~!ggl~C-|NdX4 z6P5UV*@4%(>)5>W0CTlzOvNVC_g-WF>7ViV=6m9||APLJ8yr3+C%~y^g6kcER^P*Q zhuF092kB^@W4i1rHecVvPP$1G@yG71%LIOKndtYQf?m=48fc3;<8P0Yu7*HzV;`=_WT8-)e`3~?qIe4DQDlmiuKz!a1)yx-nok5=aTEX zFR?xH5r$=(8s{y#E5H zw_hw>J?{9dtoyD7T;5H;SM%iN3dCO7mxRQ zY2MpQ`Z1xJ#{+3TT}jQM8Zy_<Ott)Ov*6MoZ%At1*m9Ahfv)qo^3t8e1u;wWO(O7yZLuNqe?}Ypr64v}#I+^yBn$ z{{3tfH>E==Uf)ZuRWZeP50`0PiH7dh-<7IT- zmp1mRAF%uSE>ifa!NVc&?hn_sbFNRu7}Or5PXJ>l`?&JU4otRQ+p2SuJ9) zvk^b@Yq%U4Ab3wd9{WeQD7@Ku`791!jNr3!4&N`P2>a|BL0?|SVCx)Vj(5=7*-4za zB#6~@E_f_(dSfdA%Y>qCnU$m;$9A_+Eoq(YRu4%*p2BJQRcVXoar^o*HmgU`JvWA( z<#lw94B=z{2w#Wm=wBGdV08!K-;EHtZ5U6X0#@6Hgx-k0Zzpk;*4y*jVeD5*!bq_* zTGofX(QVEhm!y{y&QW6!%axrNt?Cqe$_PC@ONyl=?TO2{?7U3)x8wMJJ16b?fcVmj z&9_oqSKh#O#T?#W-N5RrIRY--$3%Tx=tm!srb4f_RuOGu=d$33+JjaT$W7;{T~yYJ8=}cW50S5+b>2*wtR;E{vMpn$JrvCzoGLK zDF%0NS$kXP-5tXBj-kJy8S`Vk7)$(uS4iG|K1}#mH;Mf69`1sxkAfZu0>IDa*Qlbj1a=dNM8uaj_X!R6~dDO96)eLae&h{sp`#B92O zM=9wMqTxGVq&&&=*S7yZQsn69h*_xziAIgcI;;R{`VQ|-_P{SM)K$N34Bz+x$%7L zANHX?l}y6*G%7o^xjg?ZH(!3qpBCTZFN>e?@YcIL7TWgn>Zj(?AjPJG?tc*k;*FoBIrn&XZJHD>n7X*gmRcyX2RJ=n)$g zDYY7*z)pO(mt!yX(QGv;c=l3dJxHlpCmo(MR9X#CrHnJ}k-7SX!pS^V!-AiJ(=atA zLo``WQX;=q*pG^jy_8ugbM;YV+C{a^AYESbRNKmaOYuuEsI*Wp`W+Xs<8SzsTTW78 zH7h<&QYLzr-g=0Q)4KX z&Sio^vo67;mqM#9iLu1cbcAAuDRLbJXX|mnV1hc6iKVy|Su1bUeUmqe{l$_OZIXXw z)_oL84wYI-{+W-6&N0z9NrvQNf#htl#G^vKSD6h_BiJc+RGNz(*(y0xU@3ZnC2!=n z63LfR%W+CfWh+u+$N2Yqi2uo#h(Bi$@?#ybzt&R!%!(V+-|+Im zyWD@IJkVQ>r^;Ra^7)x;U(PP)SAz=1)P5#^hwyDd zELZKi2|ZAbi^dRvN2Zr3hS9gzh&?hP(koq0KgoxCi90Y#^q~o&PE6o?c#yEeBcvRh zB7OfPNrxthk(Dp-%AATVsUpco$4NLeLbCW3yMIvd6`z0ClluF(=wo81*q?BGnnZQM zQ(_P%_66_n`%k<7Hor)c%&UBU^Zobz|5e21m?Kk!s*e#Zom!IMn|x5VVo%($5kihh zS0?xepO_&*@<4dtqVx`0^Uh!M8Me-v4*c84JC;t;; zgo;gx2gXS`ATgKtM~lDzGiO8+CGK%YM*e5q6UApG?y*NFWzI>#Omb7kM2QUvGCtv; z;2?e|u~O!XlQ_f*w(*i1;fIHamGMe`Dms>OP04Yw$xrMF(VQYe#{Ac~|GmT=9VFyP zC*B8}i9Oj*+M#|j1@Gj;($OC8BmDRvG2&N@;`6cJb69jLW0h@`#tpQE$}U&?5sT&j zB=mU>FAeEF#f~wjn+%)Z$MxHJtls%&qQ84i*_t+rb*o7-N}xlxh1#7>6mFF6?W2mN zg7osv7rcJ(0Y5A*=gP?E+`sz`c}br$GjN8I0&hYhlTc+R5+52*d0`G&`7Sh;nK0P0 zpR}0WXrA25A)Q@p+qVz3jXTv@rab6h^CMneU(cU!e@y>PEy5oc9n6{*^L~83K;QQ>$4@?ZGk$ zQXdxY3T628GI^3@jVB86*>8rujxBx~al*qZFx?{bP}_zuZRyT*%%li83%Egqp70@1 z+YL`07ksr`B>CMWxNbxocf(JVNe9AD${3Ld85<_sPz{ljt|D$r60Ye^ z&~az!-W&+ia3fxRi$3g#zvy;4Wskd#J;5?2^7ru}OXJ^+{ZDZvO2k zB~-&z3YnYOD00kAY;ng+*BwtyCn@w^L>+aN#C8>3ZiLA;{DkuPjgGM6E=0?IWo(e9 z8v$CPQ})H5^jzBd=z8L%*!g$!E$I>)LIkU5EicjK^uPG?_Y$VzNU*jC{#qUc%lwfV zo`R8!?2}k(x(LpWIO|H>C2kQC&zR#*;){diiG%F7BU-`!?_wPy|Ei>56BI^v7qA0xIYITI)`P{#c2_`oBMOS&Sp#SXzV?4-+^{E*`Uv?cDM$6rgv zopcl*WuMp+rr@NAjE~Tiabk~ROMuKBE_f;9l{{5)P05`g4JWb37B4*){3SR35iWm| zNbxgB;_jwlhQFLMF_H)I$801gY$O)qkEWxqg_ZUt91aK5V$@B=i79Nqyu9?*5eK!a)Nh+1S-no;R!#Z7ep*flbJ@Ho z`%0GnhV)W8q*wRe=BJy>xU={LcOI=~Vq`rx7Y%X-{h{ z=A_+~cH4H0%780Wc+E*mEUmTtR=d<%kCJUaL`%qJ>fMDR2@R-`7Pdy(&q|?ll|n-* zt%SnK7)2_iMXs@(miAcm3AJbtT2?RABhR{@9QQHWq8F(YI#DYvbCu|*5dD7}t4O(w ztF@b1vO)P?W-&sw99OSUAKB*H4N%}AdcrTuvHjGEo@#0D|B+OQR0AI6z6j6s`VqLa&5^{Fkwc)Cy&*7s^s%KP|^kP~*QqqstYcQS#Y*a*29W z3*D)0l4HCx+iASl-UGaB$Q?B?dHYjngk@zcAS;>!bn<0v9 zg@%SNQ0^qOODL(5gUbH@IhRP4LgPfr1kV~9!Byz6lAlV>DDx`YGSSl!F;AY;u;leW zj=3UAZdVKDl}@uXh2E5$o02)Es27T_#JyB}Em!7M@Dfq%R_6RqUliImN2={Jf=vEE zj`dYa16AaO6_M{UOt)Z@yLTAJuNQIo>MwYID02w23E$9&*N(=e=jG?DD&NnKP!P zV=eKC8##DrCmZ*yXOr@x$UWN+vU%%PwyM9wVp9~VC2>8e6&$Nfzzz5J<>;~Lz3sF5)R zzTV`A1<;Y2OqsJAHLl+ByYD}gYLO~W<+C3RZhkbo`ASjsqR8EibU#;0;zDSPjiuV{ zAM2=f6WiS)Df5jb-d%XQ;8f-vL#=DH%o{B@M#_mBOpWY&BSC-nS?u=+6dU|y?l)ML z2L_Yo??Xm_uiz?vdj!Zle;cE0|5vG3ewXp(fCg1&oi4X81H{d_#`cEBy zw-s#vkza~b3oZ(lxq%Xkh;T|=y{Hh3swIAvGQKV}n%d+TvVC2taCN25)st#(A4)|O zOzXssYVq$s@uoXfMVW$|hX>`Z?vg_aCV@+`q({M8@%eW=tC#ZXP0lE}R_W$RnWraR=_%y; zds8BM{}C>VRJ;3%&%u;=#SrJ@OMYNH6~1wTfnXw1;SoipN64Fb6p=ZV`76W@#hxn3 zw;H!_BF!4H*1S!;!6JQf4vyCJEIsJ|X?`d-;)HQ>)yj`+PMj}U7Po_b;~YxANzzSH}{IXNB6UR#Q!mh z5GhK2zqH`b+$1#aAmpF2iH;D!$FZopC z{JZ>@@r|xoR6C@~Nti>JZ8Q<~(G+`TQ|**Zjg#zi&XjR!|9?gCui7P93bOL}iDa=o zQHqg@?BF!=+~cWo6QBOUxW7rQ^PBPI5`#*SX7RVeR_yjnA~`&jET8}D@il_qKk`cv z1>XYiBys}c$@hw<#4TP5vr6WZc*wYN&kXW|e`5c)yix2HJE~=Tg&bQV$5!}dN*vNC zl02$(R>{Kn#+EWSB}d+jsTQdfzw0E{%6KI|m7Gy>t->LZYA-on?8z4V6rKMFmn9Lu zs+}^)wND|)EL1ScqtH!>wG#JinO|Zr$JPCgvodd`NV(WiCN@>LCDP!Pg^Nx(n?EhX zIOyKch zBMZfTQjospl{6)!}lfvwMGwwcE z%Zr!ql9v22#}6N3^PU52+PxLE9b0yw-0{C<|NA_CV8G(YMg|w1D81cC(oh3scW#h6 z*GkFtUM41exia=0LyJP$u6@Jzv#Ys(=L4QTmV$J7B|lrWu<-GJ(75|P31@o9J3dUo z#&(hqwPCY76_*{d@|l=(GtP{v;6pT(YcWyoE|jdC@Y4gNogO1gZ-LZvSI9ZrC7-*< z)sqfNcamcFhh#Y4A^x0Dlrytrot`EA+$=E{rpdCnMV9_mGEdErqdad|cb3f4Ga{Ge z^CB6#S4q{qOxpQbQuL=uyD+o#oa+KTY5R4iiIeXk=O@UuxhG>5DbT$}ny%P!`U>eH z8KNia^c?AWm&p)GJv&2+fuduM>{C}Mlw-3+f4spJA`E6Jw7Mg6%CV=fk|z6ebj2T? zd9iJgtW#IW(h;39CQeqTSmluude_O7IrHTBEZu1m^hQa(I75-~%_aSry7OenxE%2# zM|lRb&P}qk7AQI^HlCj$%y4q)fo8>yH%L1(A-2qj{#jId)1>Onk#$CNh#%Rq&6aJZ z*pnspWu2NO|J1b1J3;dKQ6i0mf`+~%`I2CI{xZ3zWnSg^(#n`q^Ad{%(nUww68?g- zg0bH05+=DiLcwLuWTP1Zg<3U)yq4qVB zxk8>ClPMCbKTfK{Woo>hkR6I`;+$UYgLePNL_iv^<3jmX>*H?bo_=13Pk**dq# z(^j5)zVPN)U5Sz8O4?b$Q0eqb_3O=MUu~o4NJM8*eRHv5&aS$1@GKb zbMl+`sjtLe{FY-=#NQ=M&nyrxbLU&#B3W`a`Hc8=W=8xIN+iBzh&`E-e_0|qO5DW` z<+Bv(splAO&q zU!XGV8KD;^NxdjBIx{7r;505{M3>}DmW<7m7%Gw>l6FS63g&t@@jG`HGZ_;ti+E3+ zE<&IKZ$LzR{ z?Z#=&zTbt(vQZ4a8kXa`IkmC@-;+0RU9DU*)Q8jZZp^=E?i#gemIEH zhuv7e+k^fmgXn)I-?#LlxwjqDRXv!$GrDx`iovIC9Ny7^&hCCPkKMxdV>xc^H0PH0 zWA$+(c5ioM_1-x8AGTuhQS;I}+fS(vacpNhX3NGg`fLi*Z^y9uvYT_O8nHh-LDYd8 zn0(re*~%U+e$j#H2fbLnGmO>SQ(Ssy5|a-`u=!{R)8#`rADYE&&j^O!c8I?tT>NH~ zBioudv!M(7@5VXv*#L$s#<38e%-$QsLV5T7TO;CEA2uJiV)l8R;3})2)*^PBhcJA< z7u$CRIP+--7uF78^Tjw$%O*MT^%y#9ITBmQ(_`}PnQKbXMcy-7Ue7~QX%G278X z)X@cr#RNv5^m5_T9xi;@hml~RCrNC&q93C#Ca{#P%{MJrek|C$)sMrw%{acNyu!I3 zgO#1ws1M-1_c}(3KOgmB_HB>kMHjx?qzE5bMCbD+&aY_0Vr?&GA9rCbw%DspVXHQ? zgte*o;PO>3_FuK4CwX#cLpK+ek6`iXFsGMw;iGX2=S>R|6B++a7gpa6VE*|y2I9+w z_or}tX9&Ny+A#T~9=pT+T>7#D>oxrx`>G4`mBSK~QO<7dAmrjaCMyQf{B#JDwVl{5 zYZW|(uzPC?o3}1w{_Yj*-<4x!oYk>@ELL_&ehzY0u(+_c53R4;&_6szpx#}KKJDks zmxCCHuhwFt=|@eNNY0zRH!SfO!tuRVV)elk2PALbR%>I&`bymNDhasML&SwDu6y<{ zZ*z^Lz4x&H^hf-^{+{wpvPkR9;di8gxYgCPecDI=$G_pG*3Vc`0Iwf?&5z1Ix9-2q zll$-R^x@n5az~hx(755}=ZSeyh4UW_^!T2m-!S^ zPIYA2G!g42-Gtc!Q9FNf^rw{1(yxV)yO~KyYdN< z%bw!9;g7g)_z{)qzjE>PLxT1^B4X7OB6dB(VaH`00$$+l_7jEb4+#-FU5>oMOZNx- z*IpxX`5*9G_E((OJ|bZA4a#)?ipuCE{zs;X+4uyXjo;(9?IAA5Z(|Vt3Ol!#BpiH& z$EIfloOq4j{-5z!`I3O;GJe%9+`hYx@5X0DefOgj{?|BeeTetYTe$4GfybH$1fKp8 zTmO56nLR;uCT*va@0u@>R zLFoDCc&@pIi0|%^A#<6p zoyF4X5jiRUPUz9ck^^_JkQ^~zwJ7u7CuoHt5AYLwA~xT}T+WqM-~Gbwo%e9p?;=hb z50yh3qgG`MUl<{9)pe}j`7=JB{Yv?E>FBgONYHO4e{U<-wq9rMlmEc$-EqcBLwIrT z8-7y$h9q?D`SXvt^H3<;&5wC{^L=K1-b?zgc7*(!8?pZ_l(JWzOh47+&ZCVydi)it z_>~;qugXKA;r|Y)lg=gPoZ5p1;U-nobsu#%c99nc&}|S zO>P}@Cr^;-TR?$(1{L-hlvx#$?^;4jUOjn1VKjvZ@3zmR*f@(~pBf6yOQ^M~q+aIh zGE1kyt&ldiVusS|i4P4C8kr<|N~y9DT`tL#)izL)SHply0hPAdM7oy{;gUj?*wA9q zM1^TDrLN`TX9}bK&2+|;Q{)jtk@8kj>uTyO^2v)YBCNZftn4ycTnosv%_G6KfJ{f_ z&9JppTbEKTx(n@-Y4GFvy@IuAI8E0%{WrsBtMJ+rEfY zrz}bwvM6xMqe%H%olOp9X4&+6Hqq%&PNQJD^mi<$5b6Y@2Afh+yb`I%%%dkLhX%1D zTy({H)e0?brPi#ICNqhbv*>b6rQ9Kr{DdNE@){^`OsCQ@XKB8CmmCT`^BK(=B-bI6 z61O~ZoN`FEkD}N$o+_(qs*O6S7rdKn1xw33YT_zrRh3h2okxpBIW>Y&hFc2R(S
    Mq+NBL9TBlC7FZN2X|6pUmX{N|G5gV9@p!L~k&*yj zJ@|I%y2KxEf5N@HE4cM|9oI%T@pNVzqr<1Dn+%|2)`pfj6Iw>?XdN=+^4uwIE^Hu#kpJe^^U2NJZlx^d#ZS2^+mxDV$=K8c9J?)3-Z?dCZl}e4fAJrBCOMk#~y?Ynu ze=R2WCoAe+pXUDjZtnFi=Z{xE=GS}gv2gt}tm9Oaoc({%w^cZ?K`wbmM=9DeO_+Kg z0UPG<`EG_{^&eMcq0jAX#9_lYmY>aX@w-}*b<2p-${|E6l_;GEVl<-|JX^w) zw@^3F930Nal6fMTV(m1dwUbG3E+N1&ff%DSlJ(Mw(+MTT+>bOnSMu$=$ab(H$H9~g z3m?)AQ)me4A>1^L@G}u|Y&aQ)Nd)OelILAX%!PQ8&Zd!aI-OWePtxt2DYkVa&&GiQ z8*8df9Z9zcqQFQ+V?Yh=Mq$LCN+4A$o7A((gz1Ij6`47*Y?$Kz}Ye%1jp z-j;Gx7xFEAq_8+rYVAR%RRI-2mDrhthz%JeYZelHM*O;zh$^gQm1k%Iq) z5aMin$hCK)$jX^QOE+?D-6g^NXw{1%_jnHRnq|Zu&n4=71~IxR#M-73mz+eVT{Jl- zWAN7r#@ED)A{!6NZC%N+wiJC9DC0?#n zia#wz{Fj?vjG!%MXuM@cO3m0@-hv9QukIx7N{CvW@8meG)wNiS$mv z$Hj+ae;<6DEC`D>VW`WRnxf6b2JJ-WxIXK)?q$pFU8rqUU&oGpt5M(a4()Xps3|{5 zS-NyVvC2Efr7N(Brp7lGm&Xe@{5R=9ele&12Mr!w+s=c5&-laQhx~e5jrl8IV4sjm zh2HnL_CBqdm?xI-h}!s9P~d!P6N zw+P!aOUUlqMD4yx%+6s34_;-?_9^bxlh|nV5Vxm~Ld_`wc@zirL0r_Y60m1cDBS=# zh86Us<}gy~&2+IDBjslFmANyJTR^e|t;TbP2*WXajtmfT@CqvB9w6cF-g{<*j@*`( z{0gB5dnBRLnaK6yav442bYbd}dImFK{Gt}(MEW{eh_vyd9bj86+`p5r*4=~=oWIY{R! zA%-(V?rV`k&`0jYYea3F$9q>RNz!2rl!h~2YRp{WMP~CYnJEZnF|LSioqTe3OUJf% zgvcEul8kdiXiQ_fTYR*dVN(v4X zQM6k+)h!FeY`IU?`P+mXYrsycoh0Y`By62WwPRT5S`w961xysVaHZrdiv{`&2+ota zp%j>f5n?Xgn$Iiz#g?f3!$cn(Bka&9LHh>@kr+kq9VT*TAMv_%4CE9^%WuYn=(${e zf%#HX`s0ISQIUnleu6N;((TA7VY^0zBKDD@+fVTBP9i10GPYhLeou=O=48eSgPAS9 z#C(Avvjq~XtRRN{Qb>>vDj{^7EW<0rZ5<_M#}u)rX9+zyi`VX(1nqo4%(fX~_7&0M zpTlH9I8(Xy%omw(qriyS(jdxx67aWfAUEg-YW6-PYx{HD5A>2?BDNfwB-!pM{>Mg$ z-8+N#iGIRmf$Y!qWm0lyw$PaI5_3jNeYuv9MXGr^)e(zgM<*%bL-f8u;xA6(eW;xX z=gasWmAu*3iPwob;-#w`&W&WY{1S6D`b-tsu$Ugfjj%i_PF9n>ZqqqrU##!GXQ z`18{QpB*65b_W0b{Y31U!27`H(wz_y`z9nGMRrX{o{tixegoZ=zeqv)SJn#+^fhcE z#jlPMuWDY!v~WA9j5^l@=^hIy)2Uy&QoC&54KnsOQgyhLnbiYKy#Gf$-|J+w%!g;U zm+^HR%!Q^;_U~zK>&wnYV z?5QoSk4<; z(nVP1((WsXZ6vGi#ZE#EI!G~WL8V`Vw|f&&9$l1Zb<2uAfUl*{uSCUj=nF{%os-Y$d;=TaJi=SkP=l;Yiv>U@tB0V%5IyUDpYM8@eZ zGEcRWVO&I;Dwf_%V}`OWGLUM_aHc7}$)1$^W|I}zO?JQ(;RbS&ijL@u%_JB#kbS0& znzNIXX^fD5x{N&62)eR77|b*hY-Ht4ab-L?meIfzp~tB-gmlnk-b1Qp6Jh5&i4fYH zV9-U<#bMIUO$au9Wa<`C=a)ujN(ck#u1uuaFq3LUf0`eC@ww#qS5g-|%Ye=pS<3U6 zj2cNdt`d9(hzYw+jD9PPM~BH0-@=?Csmb&aJDiqYbv}^lM0bieSHt6}c8RAax|Krh zDl$&>N>?xEfkh|5rVS*7NTD`vBTcu3F#ReLJTmA`4P-dyEW`QQ;-4wg@qt_k&Z5|? znhgCR>7YA_m3XD-_mXqIjU?L+f&f=QsJ}yd2@!iTP7M&D*DZN2xp=CNJjsDPok22B zcaVO*k8@jYNJ08%R(<&gEDsFhE%AxbYh&D`fsPA>q@Bql{7^BGt1D?((?|QU2Q+G4 zqkelE3tMKH`|Mw(Aa%&%?GG<~X|6s*V)%9rs~=_4?j3B}wMBUz(gC(^I*8WcucfGH(%iR-`P-hP zMkbN(S3|L{bO0ekT)2D%&)?$c{^<}yFW2*Eb`y&|Yx(KnJ4+Ww&dq*>vvf%H=l%zs z875v z5!r@rsv}}u9bM{^%t`l~ zk72pC1=o!g1g@;dYi&248>;CN4H0cHiuI8ijGP7t z3s@w4Z5u)BN6=qCz&Y{3Z%YY*Yin^|+lu4f+doRJKrtrBm z$c5vT7zd2w>m=RVchY68AII>!7EISP;=Z8;zqJkGTRm>;%1IELi#K+Vv2BF#9in5m z6f;RqSFK)*^p)r2v=VqmXph)qDqWk7g3IbUBG=Rru(lfS^))hwthT#HP;KZUZPzgV zTiftC+Dn+lJQl~g(9x(QDsW6Xw^kz7cX4iO11C2X;JB#?pS3dQs!m*#ryPiHAuDT1 z)VeIi=n4UwI|w>5jDzyl)?I@6fqtP86L_o>8#j01zNs7Im6E92i}BsqgXee3UHf%- z?kXW#M~a`sCR=+*I+-3^rK7cx0_SPei-+Vv?7=Al*U3q=bpp#xotUhx!(-zcY`g^< zzm0;?>LNn7)X;c-ky7b`J$5&vcS3M8kP}s>oj|QRy!SQ`u)a_7ZU~FjC0K6~dv=yd z{*~b?F>~HfK={5UlJtjZbi6^{);hxWH*iLyf>5igTsqiEQu2Kqw2BGX*opC$ISy@V z#B_Tj{%g7jUfm}4G~vCmk))M%q>CLj7PpBI|AY1o;kaA!#BvTt&2}APMVI7TJ3gCBaamU&xYZCMx{}woQFvgQw5`L$uJ6T73Za#zV0(THSN$FWqHkfc zt5NLf!(m&u_|z)%_Yfj}hHU7=U-0tZF~N!Dk5GH-uPp!cFKDlv!f?wd_8SIhI@U(H zoD;6g2e5eeF#+!_&>{KLC!KQHo;JGoO*6k@p7~Gyg2%fZ3>3QZ!^7qLa6<~xwRKF? zF6ZTym9*Dyp=S~&bbBI#~5E$=CaY;5sUJ*h?<4Cj@+Tf8!nL|8{b~%(Oe?)du(d3rQWJnc_ z$w}m8hDjTnL~B_c1w}b{XZaDA9zsJx2KDyo6xigFV3$Fw!7k=T)8mm*`u zAJbh%u9H%C?Xu!L`ONiYlQ#mF^OMhZq_J-;SCF3MAKBi;4+}2_m;9hrIMeN+pM4 z0t3i)2_n@emvG6IA`kIPd7idIn&?lX)-iz&w>V}a@@WcBCq?E@sL#hwy7|^T$*t5l za*9)yuG}woS5e@eB+Md?GAGGrr$nlhc)LYW?vagwdcSl?zp`@KPdHx8MHT2xnri^F z37Jep7ZZD861z|Tm5?vKr*3^MU58WY(rBP=cQ*^07P$J=zv1ytuTVC3p5FPAUzLCR zFYMvY@ERV^f6djIeM~QE(b?}pZ_5zfb=PTc>7}Kmk>SBqW`=|{4DKc|YCA`d91^;= zooz}%+H+8yZO3-7_vri5ZkfF@kAJqKgN`(g@Di#<9GgqXHa>Nr4n z&1Ek1^y8GJ^x(P3#PiJb0(r&~}jDDdKv=1fHuW*r=SOOJ!u8D;Ixc zwH2FcZ5l~)66z4sN=V@_ae_-hy6A}<#ZA?VQ$sKDVI340_7Zbuh(O(58P~G(yzu0U z*(4j}OZzT##X~wH+j>INCkRyaP?|bHY~cVtN%e#{R*;Z$U9g#^LTeaj!**OvT7@FC zi*0qNgf^uaNatu$N`PTD*`dP}r(Go`b(F{)vBR#MFvmtxy@n_Xm_%jNP09IwQqJ`f zbh?35gGTZ$l#7ieVoMpZ`n3cJbxBWHBsXQ6h~##h^PBMqs~}Z1NG^tvONh8TI?c@dbNI~k6 z9O)A*Mu`y`nX1=Hu3(*iu|i^BOr}94ffq^%4H}_h;zx^-{NosO8mGduf6B{B*1~3Sce8`h- zRPwK&;|7j_O~e}4l59LGdPKi*7pdnf2Iu4UQw^z zEmXEby6ZBbtqauo&ttvnGG_1nhSz%!DBIG_`0*N=_tnyLbcieKXPN%sFGAS{g|a#E z{gco6@wOU2&1=w^znn)iJE%-ML}PiIo zQehJ(c14kE6Hl>K7P;QFoUQBTc=rqmNq1@WyibI?*k@CVN##}S3a_J*f)sqLh6t+~ z;v5S|wUrLTCYCbWShDRirSs_~=}Zd_zS5HC-Xc5lHaXI=J6QG!XBRqLGEQv5h!ogb zoOO!vGcQMFE1iIA1j!zLQp`fBGfALZes@2ghD)jx*6b_fg)Nd3e-CqGSp*~zLlYm6 z;=4%9kvi<<4b~z#3$=$iY#SCx5^;GBpV+)IibX#&`ep-;)gJF zkb>zoiTj0q>U|!QYTk{#wzU5j)5x(ETWn;!eJDka{^Z)lOEIV-O0$iE>?fqPyv9F$ zfr}n(*oO)2vu-BAewdP=TZCNb!e3ix`^8GKtt1~MRwefFRGTYrE-5C-qzqTndJ5WK z6IA{P*Mb}Da}XLFJ1xFdQ{49pNimBAYSdzFRDqji4MpbCz1sx}Hqxb;$5LaKL7rJ2 z!ETk5&HRL=;p!$j>J zAa;L03F;%n99YEW&}|MMyvY#_!NWd{0~FjnEpiw9pW{g>@ew~jMaw}WT%`>4)3%G8)4O^s53 z{E{dxXd)=YpSrqQ(o!v{%s$0Piy3jz8__sS^DBpFsm=X6;z`j^O9KK$h)GD%}5w)9FEcv?eh< z)+HUtEGb9pq=0k^HR__pt%4G}Y?^HwDU%f^-F61w{q z=cmM)&Pm~rB49p@tJ5{&&s`>7cSI6%mKK5P&xd7#SzhSxDzY;t9aN<5OJ;sKeJj2q${m3FQ7e8PB8l-DlO`% zFq0MfSf>;lIRP{t6L0mDWZSE_9qPw>PZ!}wrE}E0N~lotoa57^o$DmWr;a@58k+p- z1>b7X(?YprFR8N6|428H1`C9_EMo66f$@b-DPS{%oE#-b`#Omirt#EkA=$D=D0&y= zPW4i#YRGrUrdWzsmfi^AyZVT*kU9PC5$AFZcf(u&MCGUI#5jq|CxLn1`|0<>qqgd-p_jBPY zL6XODo>EARrnzvefdKt0s0^OrxnY!`^^-(wm?mn&B(ZyDu-G-j=I>_NxjUVl3@xs9 zs8is2f*SA0rGE~E9!n!uilb^z8&&%{7&$OXo5Znte+M&bCRzCWFSx(mE|kq}iL(79 z9n!D2cd*d2gNO5L8EVmHdenrP(jc-DrL)V;A~h|C?3`xOvIFSrFru~c7zwd!(Kvd7 z^_%vyW!q*cNLvrGYs(6DXuLyNTsj%Yl9;|9g47*>IJ! zUth)Hn;V#_O=6-pi_zN)n5o^s@a?N;ZkpnP?gB^mUB}Mwd(198;K-3l4u9H>$!Aw^ zUVa0QEw?dVyTJLcrZN9iIu5m~TvWS`-n)w!ygSOdj|b5|ewXumm8+0%V!dGj<4>p2 z{d9_bUr%GW<1w)(f5m0lQ=C^m!Qhi?SbTOF`*&w>P@BR+>@s-wGUqo%5B)EuF#cu^&%F;g{pBqF>Muz?_!OHJH?Y}oP39fL;p@3K&(ao*&D8E- z^VVam1*c1&O=7-7^5Nulj_#So_WT1Z_bp<+<{IA8Wm~Slh2^S6OuwAM@{`M0d@6V= zv67rIes>b%xB4;JaRu!|S1~zu1C4{1(L8nq4?VF^jHy&tj@~jl(-fF_wkXQ{xW%*NmaN<~k0taO!Wm zA$mtJ_-GQ_Z?9wc)|B8hjfePVEf}5ocn)K|7wB%df`i^IKHJ=lhRz}ZhaX_@{uoBz zU6uIV;o_>>m~6bosrP5F{Yt2l+MM8e1sjRGxtipU}x7_Z2S5SZlRK?9{GdFShtCyUqD;pYx$${ndvRL^ycTmwcWS zquumJ2GQmlMUq}HF~`%1-Cji5j(SF9f$cxkO}%<6^BZTG`}{XN-*08G$c2~pzv1V* zYW#3*8Ph$V@Z|QFG*@n=sp<$}J{O3KP+{j{i(hmaR<2q2M!3-1f0~-Ib*N&tp>f~> zTes-5d7DtS?b~;=ZTGkAIPxCVkx`_d4W;Lv3h#fZ!RJ*cfe#{a{ZlFB-@9|a&w_}P zL0l=e zVtgdYwTp>4*G<%gKH`k}@Htvftg9rLRyQFBWc88O)cd3=$y#Z1g}0yW$N%C8K8L$-((J|ML?;ol!h0WT!t+1_;bKqpo)&4H z+r+mvB4nkB-q}gCUKc6mlQE?=v?~GP>6JLz6Yv_Hyt9=bc!Sylf18= zF!3vNR|jdEnn>SPOVB}~Q?e5J?r$PtPb<;tbp-3z;G$hYz@ZwF^asSx7LshPkYqS3 zICK%QuSfim&R15?sJ$)3?P(%jBxZLDVd7W#zG1Lq$dKZ)A}BlRwV13-Bl3E9_8xaf?yG=_^&Kknx`us3MOh=l@)wEN(?aFdfhNOIBdaEsW|w1iFc?snpKbrHX#holpOqGuNO z<4yQ#wUD6OOVXAmqIO7(PmYmjHiCzo8@r@qskojK$i4hrCIdRcpu+aEf*&p|uK|xOfWMqUahp z&uE`6so^I%xlf;MTh6mtd8YP;ZF|_bcOOT$e!_G}5b^ptv_8qe``2oW|Mx-6|E-b4 zf2yJC`)Fo|or%>s%UF>*e|ezB^BW%vUHec9k{S<3R?~5+n(hz(gTXCVh&q}p0Oe7+ zwHd3G>0DenOwhJI8ZGU(UT}t?Dkny&+?dRbqs3>Ge9PxlTYpcz?Q^Oe7HG1&Oo#bH zx=rp;Z+V+^>)YhnKBC_EKJAz8P;a^*bm6*Cv%935OjGWBg9@Q@Rd#o&w0umhx#%)| zO`YX0l-m9axsLxLeEcOf4l>sA3XSHsDK)-MquEWmP47yJeuq-CdvqDSpxW#QIxT*p z#r!8aMMsOpE1?CCsjTp}eJf_Y3rQq?JR!n+iSU#f0Txj*BmxAw8YHglTY4==kdP;|x;AR(AyRMXGIXQD$?8V$3@z3T7^$tH$F4$H`tZPkwrP%g2@*MtE?EOvR^owBmQsz-` zlYB8>pw;B6;BjFDaMU)Y#k?%oZf~uZmA| zvTvS7W6591-D;z2j2k|pQ}QLp^bT#-uLaB3l$buC%HkQ-a$YFdG)V3!xU^V2rQJ+0 zvim`D_bx4_H<$1nHohnGK9c>9Xb?Oq?QTfC1Z%4&B2NXQhtx{`*4o^o*6tArl7mh* zzY!Q9F~|+%TD3m6$}G51WKM(qB_ejFN!N89pU>}8yy+^#2ga#i-$42HPG;6mapj9& zaC@(ki7G!{KU}}`H>98MzsJkF@A1R^wM;hJ(p9g|*t8u*Z2{CjETHIR8MQyA)BoI; z{xMgIlXXcBIL$G2b(B~7Z{EEHwY599vF^ZL4(|F)Iv;a-JI|3m?SyT0I0i$NXmm*T zQlP>_IxnR%A8tcl*m|;(KA|{7okaZzyrex$Iu{|;K7yE2DX6p(N!3Us zO(T{V-8fuzVhGSnB}H30uaoJd$o@#(WWvtm<8d;HfK$mtoK2Qv6G)QZ?NWb1;(lQ}G1rCX%9&PP*8e zd@@CBQxPt@qff`lJki7|$DB?jSTC25Q_8It@uD}LaJ>|Kl)t+jOD5@5HZeL`vM+;- z6B%S3PZNLScWo8v@>|ZyEW%Ew;dnZcq~j{FISs$lN#veLC+AoizWOTs&c~B=GL9_K z@2?vp9fyh(!6N>Y9Iu&1+R1G3tB@$I0+~~M(99$0Xu8;vDf6a~t(7KoC6Od^sI(H6 ze2dZ%e6>B9prexfOC(ddO-Un;7_E4Tr(iGsD7VB!pB9WIuObh}5OOY&Xzd6h zPX&^8DvnHvw}MBQo=Q5ERN}RgNERE?#h&aF;=5)nUWSR1zbet6PMqlVIw8JY$RS2k z=9WB*KAA|Ou7ZuCOYE22Q1T;5M;3eSB7%<#=At)7{7u%5BT9S=(G%U0r>TOkVr#l) zDk?>vj@T!87o#VB>P6xp-;Zl&aO$E1F+ppniTjKi-z{8@ltN+}Nyy43?BDwZ?@y#S zZkuQP=nS>%dnw#B#LU_S=05u;Jl<_!w8W2>x7J99q}=VV#kZETcQ>FB;s z_~GFt2Ime@J?Mv{UjaTbqqusE;Of=JI_GeL=R6o4JIb}m&HS*qk;S1^Jbe82QbBq= zvW%uP<@CS%KN#I8?cTWtN!BiEg!}t!91u=FN5E&YdhGZsSG68fWLZk2^6Fp@mC%fG z?6(yWek6gggK^U0rxCqRPTYM0@*X*f4kh9xC# zN+A8DpoJcal-50*$b;gqNVIw^5&Olag9$?CR74y~C+t85;rla%t|SwDM3Ug36f-FX zt|yamk&`n-FIi|yq|l>SBKN38a1_BZN7%t=IVPPT^;|p;i|t3!<(MQq zkH_LHh1Bj`3f`xs*zA*}-k&0LFNr7x4|O?-4nz_mCqc~q1hFBJz@tg{OK}N3o-7nB zVM&trNJ5K$_8LOJPfGFH8c+JpM7)nB;UTsLA5@7R1!K{%PsSZf$L?UF6s#PvJB#qW zQYh7>;2)4ee>j7U#>YuqVg;iZ{Emo7v5D46mwXWH zl`&#psKh)(3Y3>_I&M-_{6$CD-dJMy#fraz>49jOCsssk-!JnYOcDL5@>#~qZ-G*z zA`VJ^?Ufj6X5uCV!tH3H*e45)*c5h1{5ztImxW=!;+qsc$)_;!G4!C~i{zi=SdjP; zB>p7smb{S#MBgwDAI%8K6+ek%xQuy|7s_$La$J~_JNqQ(_Dk{ElR~6m?k#cflGyl3 z+`xN=6W-*o=!uZn*&In@-|=j8^aMBSAhH}&DKf5S`pk6( z_WhYKp=35{f5HEYClqe)qE~%{0d?ts_slT4?grDJ{1u;f`xq|_;rm-c+3u<>JzxLP zqfdEu?Kp+{_OwMZ}VI#;{%~=6&LF0{p9~n_nNEN?93wea2q-6>Lu8gSB~iwfFG;I>Zwe@) z-%Ioa6jB{gNKs@iLq&~rdu7VlJUaaf=@4lbXoZ!l4|^`YhDg%nbkSVKcd87((dh(;nX^E>{(yQ2_NT+=Mn<(EEA6f<9Ha}&n#9TyT z->it}Z4NA;GoqOO_$nHM3MJOXGQa#T<9g#7=*Vd#KO&Qc&;r4*KzuC{5nRNtRwXuK zYl|Yk+y6IFzITefou0)Er?pX)SWS7TU@Pw^3>w0VWKJb#Wcz#Wy!ovBF1C5sQ}5qQMOZmi5fwE0<}LZ(BmTFGT}sT9+*OWM z^5#FcvR~%v3~j^P;0Eh9{ww=;{D#f`0a@&aWbwXE&wioLLdhbQH4(IOn()=blDG~#_ut{(-LLua#t|wEjA#$DAnTPE zrvE*cw9z7h>KcjoDTaaXchgmRo&-&I@?GsYvUdmTq$%36Ym-utcClmUL5^(ugoP0! zx|@#DSms4qKr2qiDv3MOi?v<_m*#)L{m<>xzA|I-&Fkm!J5hz|U?Vwe3yC;hOu~s?5_h)Jd1!)>qgU|VF@X7zMLc%i#rm_G zSZ!;e$|Z?XR}YHae5rEwq{1nX5~olyJwr+G3n9rjm|XWz%ALZfatx!yHJCj20LpzL zsrHDa(lMG!hiJ-WU#W8tr7l5KIEPYiA4-E=sOSro<3-%$vvU|Vwr`HfcJU*{GmtFr zV2WLXD3jl+9fCw(h-|~C7JZctp;XHFa?w}f5=xP)Y@H)TV&#}9(H%~ab1*rsf#i6E zh>j2n#r`svV9Mn83a2+xDN_FXwnA*o^a`Os>}j_W8*Ric`w;3a{Kdu)vb|(pnOpI< zRO~I4t@61-@n1x-Pnk2$(vx^cA2NMHm+(>StG5fI(KcM{3#Zs6f+~mbB^|jQGQV>G zwGLvNQ;6sgzeJSzQe=FRx7g&SV4z?u_9!BLmnkCSOT_Y?bed{pGT6$*12%(fv13=E!#U7k~W7^9~Sv0+wQ3<}A31 zJ;knJWOysj5%(s~)lYOuyzGOil{i;AhKsF=DEbu}-`M)b-Z#IMIs`9mb3MXIkyvGk z?+UgGMrE=s70lk?tmN7o|CR5GPUU;0=r0v~O2nQz`ydKD0>x)vvYoxD6l_Zc*D|L_ z!6rh+hA-uf68rL{oD8JEJ(zqq#n(`YLon60{!}Wzy9upPo~tf7RITKQW3a^F_neV9 zD|u5UQY1NVjjZM2D`-ZomsL@e3+U-M?kZf75geB(HOq8l}}Kl0@V z|D7}Ir?EdWiu=wX+K(;Jez>31T@|G4nzam;_`Ta*qR&yv#ar(i>LXSE>%V6 zgsvKr=4FiLi6gApv4L&MtF*W5-p`gThdH|A3l@fr8R|U5aEmi7sxr!5^Qm(vATziG z{hQaZ{kIBo|8R-!ANF(q<~O{U{g8hW?)a0)&52cHTUODz;r~X@uB!y-WRZ1DR-_~K zgiAq6(!5OZsT&OGEpqkzWm0s9@xFLdxbgS6u6Tgi+6nTM8wM{nkZM#(mSGWD7pqA- z-$2}@CXzh{NO0^T#kiTQb5iKeHZEQ4n0T?A67x37_1noi-Aa~zCutYk$uUx{v~D8z zd=o`y8Yw#0Ooo0F@kWiPL`Q~REv09g$Tw^x(zu#ni#pP++sHpxN0x3ixhBnI8a9)E zzMaBzZ4{nwA@^(pIR;Ir4C_cRsw2^)Q;zAQ@KlrNY9QlM1L4M1q*!;5YtTxn*qC}z zY`xe*fxe8_tEccnGX)pL&I`&_%9TW!SCVE>M~zMkS?62Dwl*2lK!jx@Nv7h%8L?I7 zPQTc;Bw2=SbDYSQb)8+ofMoN!Mj%UG>|4XXNf%-VuQ-8N${^DMPi}EM8UV< zLaSgSu@}2?A^eX%Em+);BI|Q5a zf`|N;Bl}X$)GzsxE;_SiU;bIi55YRoT^B#`Jh}*w`QwZg8)Y877Kw%A-dTx<;E*jiq)8s8i=L&t(HDHri=ReAoZWdx3esO# z^Tq$bLH#~a`qxQ0-NU3&Cqr^dpKg7#^f#pE_de$FZ7E1MHZqo`M{B7rC6|2(yI)0eYZAGgbp&3Hpze_s9bK2G zNj^)wpEd^$ALP3oTiLEW4{7tRUF_O*h{M}Hrl;l{<+=MPjMt;cD??VDTU00<5oQ}c<4-rFAW3z+Gx0SAW_h$O{}AD|ocff=tssyw~2w@T(g{?7Bg~&inZ8zE9wu5s_Zvq*@0b z?jy=Lj*o1__q^Q98qfoF0&3I!DZ&E5x6e#aDFLskh>#HAu1TV?xwNNzj?Wb#E`h z`-h0w-7h+ZiQ6+w_?`iR4))=0*o~t_FVW|R$`#goqVd)(AH{o`+Pfnag5|>`a zIEl~R5g9Wuj4cXO4^yvu-U0#x8Fh_{A@yZpxL3{e}+abpu z93@s`6yN>*B%hteTYL!Hr##L=u-PTp2-d;ktLuSw0)^@qJKQJf_zb}Zhwwc#C@~qr zZEv4oF(`}EsOTS-6W}r)qB~q-8NPc|uomBT3=<|X^Exhb8jnfWb%%%(J%sI-TsR?% znB+u+=Cl;h>v&82Lyz_oe^SQo9VKFqBIAOu#Cf0Kb3p7rGDw8PGwzK1etd+u=mD68z{$z?i(gXY>88JNzO#>866v$q~%_4P9}KE8~x)+3Bh{ea)$f5JrV z4sI)-W42mse(SD$zl)vPV=UC};qc)rJU9J{@qy>)t+|2YR}ZoI>M8o4KH`)lh~}Ax zn4ft@z`EC1zV{fn)!$?Kr5yX#V+`JUf~ndQEX5w*FJI#Lso3=K1FTlQl*0cbCLanm zGMD+5$DGl-i}T(mcz^SpQ|~{-@asQe_Wn!3)BB#C6qU&V6zd z=L1hMU-k&6&tG$1a6kQ_#PpMU67y#mynhepuO4Ie;XQ1{W}B}cVjwY6d@>U~wrbBX zf9nCp%bwu4|9i|=J;e0WTbRBl)L!Cm_{BXrfq%qn>(7!4H!=IO{AXOh7F*uAjfL22vr6oK z|AEBl{*pfmj*cI{#7JTmyH9fC6Zw7lQ_hQStIwWu;=Kjzt$xJQ;Ex!6vcQ=S?{NO} z=XkCB854<>h3K$Z(sc)O!P5A%heT?Lo^K_F@7)vqH?aBa0Vh`6C&2Yr9JT(53u^P& zh)+h}+{bn83ogB*U~>;g!N*xm7R*%oYpgLQ( zD-USfxtZ;oH=}XjOU4E+(%i1jaGw=9{+?8M_)uXNPNr8TdY6ZA`BMs2KO55fbU*jz zw=>bViXZR2%@23p<@^5cXg^)S_&a}QX2T#Vqg2vQWl^!S8NaQAT>NAfkM-jWn2mGQ zs*xDYLfp?z5_#-CPT$-T$~I1s$sozno`;;SCeg5-Jd;*>O-2}TkPgU5R`63oV|0}p zXq#nKtfwGQR&2L=l5Lb5ahql3Yazm7fWS+Ar0B?MbflTolg;Fw?w0Q@RC-+@NZQ`0 z^FkMNT1h-96ysDq<&kp)OG{tuD4p0Tp<*YcwYKafO1Fyy%|23vZ)Y778gNQzk+i&3 zL9!xUswGD3$kXT`LMV`nMFBCT14QNwkz}tto2ZdE&03*w9Yme#Ciz4M8Am%wKiN&T zbXO%Vb42^g%B5RLp;niytkQXj{qffA1k{X>oYF<5VHdH-n~6KyE_ADpAkh=0(?gO* z4{66bsW#}RSihTC?FJ(CgxU%>ZJ1%eD&Ih5c(0K*GNOiJlW3Di3!c}6nfzyv_Yjj zS4gW5)yW>gM{p7SnL^1DEjuU(l`i0P6{%-Bh&qgHCd<_H(O)AR&;u`^t^ zO=y(F^<~ZqlV2Qk`fe({6|~cj-E`N{JJys}kR$L|2@352fKVBwuPJLB1!Q z6`HEoPKt7Ys%AHdnmr_ozC?{?+22Zu>ny2ug9Hc#3O_BmB5?^9+mZu&DGM4QTWEEP zH=Kl4sgNb#>ZFKi@;0`xTk9hlsPS1Pe8a5&J#QRZunhn8=70 z66AB3P*01)wd9yikf1GiYDm{3zU1n3kSe|=8;k8>^Msyhl>8IgsntM`#Ld#KiMr|U z3Giqm;dq%)<93`ht4X&SCPBB4_`^bBUVQ!zqaJ$aiMiGPpD=E zp;4U__TD7YqKy;{$*D7vFNOn>V_k1@Q1Hn-*+!nOoR6E7=OO*V*HT#Z_jlqbbkSHF?5qMhz-3zRSWh0IO=LZK{NU0?opDTKWYl>74h&T?KW zOzHL)+`6`mn-4x?`1w(4e{`d!SGwYed0f33$ckJbH+Pt()@-Ia&6(_3PeSxsb{{^< zcYD>@vV9L~+qP_F+ola1I`lR5&E}*OZKJQrnNsI!ngd6u@Es*TewMS7mvQ`a6Dhxj zQ1Qb)9xrTRx?>eD9=^qkdvEb%c(oLyY6d_455{-M$)=etiCRL;7mNZ?|doizNdzqPKkXg3OwrtrJGqaRpW@cukm{ZJ5mQiL)GRRDeH`U%%T~%G@ z^yz!=bAP-yQft>aefswKF`mqvbB-7hU&M&`BBh)&Lwfn}9O@;(wz%g|<6DB#CyC*# zTFS#yP=#jD;F(CVPYPur`7{PcQ4$wIeONU8e!j_JB=2Rk>MfjZg2`sA-S{%q|@e`OuJtiy*}wo#1~SLpG#IqJZ+xY zRQu)6+t3smO=(>&_326U_@`5>h$Y)MM(j$K4V^@@tko76OLJf}y`c$=_$8onkD%Ny z4rO36#bIgGC`3-ClI-$a8lut}^3J8uJAt&&DC)vvXpR&NLn3Gi3ZyP5m@83vT((VN z)H!ednQotglHr|2dU!4sA!=Qs=?;jN*Ep(!qN(!< zrz|U#>Z$^Xn`mmiQ>pYz6@8K@3`wP>ppHuSSQ=g9sPT%S);~;=dnl@iRGLGxY4uN~ z%{`75uQbZ?3#rY^6PYnoc*j#Kcs8o3HLAF8%V<_5*n z8Yq}}q)_KuL`zN$_3_zM$y}viRu>dTvtKN#%wn2Tm9+ZgQ0tN@v6CxuvS{b89 zA|sgA;Bfi`p8?M}(IbcQ@;VB=l4z9}ELY12OQ9$-8C7==dGb2wkU*nz8kvfCu_=?5 z;368;f2|EjVNemwWs%b#B=X%PZk(htlYYTts1*ouwOoC9@)Vj?XMXg zbs(>7y-+J-s)}!7A6`d!;{$TSr7E}eQ+Yju!eLV?Z*JwctJ`^?`iA#U7Vzxx0%pgS zp*mYh?Z^L2^STMt_jr!f%%f45NYd$Q(oc_)bF7u7bGbZl%aDo_Om1)>O7BF157%O~ zSt_ztBaw&72|iRz%z*-;_m`tLe5cLi@G->COyQiGk zL!Cq(XeIE1itw|A`02(I;;SS_Un=&FGTirM;%1pahD#DP4l&eQDkygnY&-*Lv@51@ zXFj!iY6SNZ0*>SmZB{;iU+nM$>bnC-l|J4|;_hl}4n^bd5<#JpH%b=|>g`1ru`kOb zjJTuz1lm=Q5_XB`g8*AVq`y^!YLyaxu#{9yC4tAv@VY3JeSZ;&dy0wHR#IS-NTH`G zh3*c5O#r3t&M0ks2-40Hw$M+uyD*V`Sp;eq6Df=#SJ+L+kpijsr6dUxiQQjF^7$l+ zT%*Wyb*IS91@#ky%S4}KLw5pn;>il`C&zYxklih~9IL}mn0L}CVS>WcB2JbG`^X{o zU_9wY3G??*Ds}P@AK6jmp!R^wi0lnaDMN^AF3uvyN=ic6~yc>pC3{CDhbnS#%y;h`__+fd{;02cIx|8g;C~{ zCP_=;KsT2>u_gC(Cq+jGQ0{7>Yi}QY+Wib1?x%gvWomc)8Mm*Rm{7X&>d8`GNFI25 zYlY;2jm$pyo{rahiTlls(g%5{VqJ;pB~*U7a1KKBPFGV$$>G-vL(X~x6(W4$yonM?qA!? zzYi|vucKe^)1wdO6VlB1GOErfDgN+Ry0={;WWP8@yNbMhy|`}ekVJD|T9{s%Y%}?x zIEq_s7WDU;F;SU9nsGicX6dv?CDIlVjw&jIt_XL!!@RjGM!ZeTq9-R-&iOFz@5AL- zsagq9l*Rf}7voP?cs#uUne;~FQznMztN$EtCDqxYj4InA(jAJ3_9~%KnNLG<2u+DD zG{jocStyJlSwT~b6Uqc9TB6+<2=ql2mq?ylB#q`$aSWQNHEyL|{S*uJ&EH%z7%NSu zEX|j;@F?0NV(7_=peojlf)q|`O^{@K&Dix1gQ>%HhCy5Br(}0Q*I}f-8zAr z;hBsSNQIB{p(7%U+T?he@**fslA=)ILt9BCt)Y=r3lmH8iy^^T60OLpw9TNxHk~57 zSh`#zdEgbrlurm_A%66QdC`#(PD@f0g|W^w)VMR8kIC7(QDR=H;bsLd#%t)@_PiKHnqlvZJ^qyC{ZM2C`}5JY!WBt1bP)J4S2 zKdH6IR;se4uv~k=#xajvkr^k+x3Mmrt3^@t1bPZf4WK$Lijk}oVGTYs$mj_Tqdg>s zmXKsByb{QBjGce-MyZ{!Zh6fyl49qU!cci3qv=8P28*0zH_DU&w5Np0`d+j~dNULj zNMA?@Dq%7uickvef~mAgrp&%b5=kBrmJ(xesobnApeI4tcDMs&39fV%34@ArqP514 z;!IcC16}D04J6sqn+#WBsb*!ATa}ROs7_9?^qP7xoE*WWhFFFI74!v$P#YgYUw$l| z66bXl@f0QoG8`B_&*)R^V+7xv`J4LXS*KAfg{{^)mTv1{CL@x#B>G`4-U|CsD^&cTCQ%Hq+{7%2>zgr+l4;7o?Xt< z#~<+g?s~>m8r-?Dm%7&`1pXT+p1&VbhqP>|x}{_um8!N)sNV`DUK&lpgp#Or zbmVciHJy3Ks4hP%$sv|}(-Gn>T&2QhKt?+y_L2nbDyg%o=cdH~j}@0udbW`3T!qTA znra7W9fe&Kg|$%TS3r$pB@O01l$#8YrQb`E&LG(*ZaPMN; zsF8MS73ub^j@Bia6?6cqMQ6)!Dp$`rOyN{UxIaWSoAm8+yJZKOrNpL|PUnZ`Y& zp6{aIL?^{ZuaI|YmMEPO!LFYnqk7t$n`8sElV#dVNx(It6_un(yHJzeLZM(^Yd%h< z*$hEC(`4)1B}3;jB}b;oIR1jv(@!ZmI!=Y(1eGyO)Y_LxVNeOC^|YEb37cv~89peR zy@hJ47Ba-gG3Up{Pp>FAHX%B-l70FvF&7@mx`PsT{S13G3pSO6OIsfk)IyO*tFXhS z`G26Tu~*7Qua(#tB;}0ysko2HIQfvwQ@6=GbA?LnD>UogW!Qg$OK#Z_AxJ{iF^ zl)Lnx@*1Ggt(|7eW*RIy$=2^8<-GX))O`xHACr6hA<_C*@wRWHBx;C>s7czLdMOvI z!WCV@L>h&aWuofrA|^q72h0L0?Z)xFI6|!M7$wJt$vt^ZVn^cV^i3L%3^U+1Nq1x) zZPr!fSPGN$sh1d3p>(dKNp*t=zedVznklerB~;=q?bthVPTdni=Td1>>F2SRm2>)g>Jv&iG^-@(SM8dU-I{S8%)(sR{)k#uoqRg)O_hH{ciDMVx z#+R@-@eF&xFFnzUwn_~;mAjd&v=Cp}6M7_$pzU2mt{tUlqv*cl5_a&}9Fvg_OrDD7G=?+FgGX)0u?aEW_uD zA0DqVXqs{0dDnRgERE@@+Q5s4pYi0`B4!^hn16ch{Y%R!I#)#2hd_Zm?r(<#xZ zMYT^_kKI*xtX7hJu3VIh4ArqFG*U_xb6+WXMeaj z#Z%e`FT9Tgxio*M%7cr)R!pgF7_m1U+y#sa$ zk@y*f6SFH3hh5R=?-zUzc@wXtAW>e!4}0UOEiIQ`47o=GNw7)6@U(&~MHwl6ML0V6 zVsgQRq?mNvPssHL;&Bk1P51f}t{q0uA%Efot6(jE{EzsMyw`(dhdAO~VhBFrN~A{` zPRE^yk@dp$!g0CaN5DS8bhkGyM}lzN7e(+s^-o;y%aAD?gG9rlD=||G>1gb-Y zrAWJ-b|DIPn?M}Bg9*1zC*YK9II$s8Yzf)pgU23UybeZ6{H02}6HEB90Gv;INzAzu zYL`k+NGri71Bn-ge8R+&@Sq}s%%TX(s^q+-7e2>)@jVoR{vHJ$+G-hv0i8 zgdEKvN=#F!3M&`=ok>t6Vru40bYMJTMqWgv=5x_V=4!d)Eb(c&*BPG!p+ty}0uMV7 zEU_1JAd+;gKuXQhNHdDV^PB^o0pVP<5`X&S65=Lt5to9Q_)tC9eSZM1yM1MT1pe|l z;9v*=`=jJsJZ6HC)~;aAombz*aU)fE%c)D%;8LXx-6^reo-aYMb_CDQ$0-#?bm_nq z1`iC;ySJaVeb?ze{&&0XR~(#x05Zt&ZLq58id2kiOZN`^AR*`Q}RA-&@AZ*#+~z_P;y1jKcGU zBrSMF>AXIubah zlF;-j$u@4MSigyav(*ebbTSe~Q7M3h%2A$gSqS61Sg5l3ETK2=4XL`PPU=vRuD(DNkq z_d5E;MC#{}Wm!duB-nDDc1m@6sMZzsXIvqavxl6FQUVJL2u@T|l-El|YON%<3~Y09 z2`a3o*rbGF-9r2>*5G5@My7EWMHfUTJ&}L0p7M*`w0lZ5RyGq-SVUZG5ruie%rdL- z3s1(aw48vnI#P_$o#gAO*C?Xdxmv1e8X2i&c%@`g zmRn6_ZX2P|m69A&$j|H{+Om=igBD2$m9i0Y$u!B8wy21*^TlKtm6Bwn6ue5v59pyN zW`Mi^VRz+S__?H$X_`rnSG6R$I`WK)$u}$}*{qtVv-K1Tt1UFBra-?yeArB?!2sbF zDl+0as0(kPJVF>!K@AQuITWU~lIg1?%c+DE6ZLJ2+esE?m#$w;o?w)#FShD6h;Ef6 zo8%B9%qq8Wh>Yq6VltXANz2BnFrAp#T2g(RNpq+p$E1oZ!z!ZA<&$DwN{+es?qZ?D zfs!=y3j8dxiS;d|zy2yI$W6U&QIcShFKn#>Z=C{?O?xO2 zCQ~37r%TJ9XIx9wnH;LZ>u74bL`Gx|=~=Z{2gH(*D?SX8^=b#PbxtM4qKFvtTD;FS zie5wW|8SabSWS+|DLCIwonbRA-aXVsH4C#TBDlB=r$}iF6Whs%C?#ImfU~sF@s=tg z4b{&ZEEC4tDD&$DV;Ooif=?+yrukTijULVoRAtw2rM8mWbw!Nl=TPg@LBO8dSbqAJ z(1q_%Zn#C?_Ho)ZhA7|ALDg32W_J92{xRi4MV>r)u$0$NKIErctGP9>mD{sh=y;_^ z(vMk`-JK-Zwt}MQE4Z7s(^4wzKGlVheiOP{j*t+i$zCmu`GmAhh|z-8+c$Dx`+g2= z{g#rLSSs~W7=IXx`M;!+HdjpH{Sa*bCauwPFQx|0lVqDfU&;yo>HcT@bo-O}AJwT7 z((TL3=I1Wrl{QeRt$9fs^sHG(#k%}B|nv(sf zlU6Fzc$R9L2Q=8tQf_l!+JkGfncd=+)e~;Uyd^0023FO#$t-_NdEPV0tTPnH-6t~g z23aw$sPudx0WnOWt!yrrG-}lk3vf!8wkm7>&VOaDL&P>;M(^?zZY|PUl-!~=|1rLy zBb4P#6Bhb_^6(ebIbJ74C~m%6rL-M66bqfMw{Bw4M6hsZqcZR=amq`$j9w$GagNNQ zCn)po6BjMjq-O^2`e{@?bJSYhCCYM?FxMK2oC~E*Nu%02Q(6s40Jd$khu_Dm_bz5b z*9oZ;yDFa(n=?gd$`DSy5AaewmUin$X|<+_vhE?psev+=3Q0nFqGQ(lP4YXO8>#VZ zCpdSQh~{YuiXT&(E$vgx6C#w4aO=HBa`rvyTwjRarU`KDC(p5(TAK{&ER(6T%|Yo@ zK(}np0-^bdl{W}&n${Dhp-J{3iq#UBxqPlETbKqy^tVmGPc(-#4=69SR+X z=lxr0Ur3c>j4W zACTcXNVfB3*?gU3y4FeTiJ$C>#NXu<*+|Q1ts*<2hl1NLNUXa_sQBDCy_@8QTf~PB zQZ@byq3O4Uo!%uuVlY~;EU>GiRxm1;1XJOhN3COt_^lCD~hA) zO`Rnx?H+l9KNA>zhZK()iJ3c+_^(QvCjPU$PqW$YquPF&B>NdW&0pc?dWH1pU>edq z=uIx5LAr+UutH-XJZf5zvNx0G$Z$D~sCj`oqDe&o%LSVGn&(7C&vPJ;=Y zbjq-DsV6C9gvy|+I2|n_%&-nqStnEyn(M(-a%8hN1Y}X;?@v>p2ekoi)F~7+dSsGu zAeBJxCQjvy;*>N@YSg3z_XsD1hDXYV)IOa-oX;R``-COBB%+Fv%@O26QnW3Vp}};! zg;FKe+2?31L7_F6XEx!QG=zWjDAB?SPD?9e8`q6X#wdl>qeN^jBEzeO=#V%{gTrYI zai_uG9+iKPu%ld*u1f5aYOzRfB|fg7!oW!qEL*TXk%@EM0D+lT3BK5g*YPYe19B;e zh@vdOQDiux3h<=KJBl7>C4Oh6&64ElnRuPx(3?_y@8NN-8fTMgJX6Mqj=C)EQ6Y|} zQiya*qaq-R+CT;M{?0UcNkIrmrop`&#nEJZLWXcl9>+hVpVYV!^mOAnF0E@!;D}W5 zn>g;y$MZ}a8QuwkMJTG^NK^`Ms{DPZ2#g^5qz~Q~@`-hsBt7Ih4nbXd51XjLvoVLtC zVc&?=k$eh0uL_H3#cE#!sb&#Wc>76f=0a7dGnKyXwD?9)W)>%HR08p_qhw2RjtslQ zMPp%}vcUt-7a-3=n(+?B&&wMn5lFeUoss ziy^N6FtxW%-wM>kSfXcy-N`|935;v;cnwk<~(e+}U-@e~J0?D|T<_VTA8$eB9v zS)C$_fb-=f#@r?>ZI}y^K+c#Kkzm&)m^Fz$?{RQ$T`!H;GQsIP8eRcS|$Ai?3p_;4PL5{yTw-exz_?KciaR z)N3}P&eJGGn#J$tx$%aqg-W8yO1 z32%?4;7&OiZ7H7g zru|+iA=CZ1zG%SjX$?kW%{Vm{b8{q_{@9JoHf`YT+!`J|`IxzfA4x*`geOC5=s8ot z#JB&-_@+_fb)uvtP?ED&MYyI)==fEXIuDu9f6g7_ha{gGC&+S2sPz+Emc8ZN;&<4s zdVt%vkFj0!7K2ZxaQyT(0bjhpcf)(MSKi@}#v_bQJR`vP7u*fraCYlG^p`)xX7x*a zG@g-k>dr zxa|%)r{{3k_ksldzv6Z72XuEm;q>x3Y*xO(Ytzrt`~QI7z8~<~{*0iVkMUkJgU7NN z0+&6-@B8PRSu)EB&6gPNc#F%)KcTPn6M74uV*B-T&aZfd-i99tIrS@woo@*|C~I$h zN|cu1vhgtiOP=E=__!{7iSd^Y(OELh`Av_d)%+Ff-LJT~ZW`0=Gn`pFi~goJ#OeNk zn_!)H;tk${N$mb#P^=JED0l>}c!TxlGnjw*0@JU5!EDoySRZ@Ku@w){UGf0WJx?+F z{t-?qAChz8SL_5M&m+%p+%QYzo;ke4j{(ac<0-@LyJuK@@d~RY@37E($C=|#&^mk@ z5A(N}Z=Jz<`81J-U*olF4%b~X`0l$;;FhO?x%f!*2@;HbzkP!3Co?!~d577NUob!a zD~FFfoATi)QZPkgcI1%dls;k9v&Ak8^^w#*4G;{T;TVE^?Tx}VNs zfA|+J9RHOI2JhLqa~9(h5?2RbW3%}c&Kuqlr1chywa*DW^ah`G&n50=@fAJ2#eUxv z)3~gf=Irtr4A1_F<65t9GJeU%mE#zmeum45R~T)2hKI%*{I^Q{uNPg;JjH4A3^4~E z%KS(3vOHH#6SPfiSviO0aoICFUSPTZM@jZC@m%^NwoBh(yjfys>nn6OJ;8VDdx?=> zu=~Rk96or7+aKQHwcrPQzxoy3uimq0!5daDdV8A(z|Vp)bqKdAI+z7cPmcoLJ2+LN#ZFhn$P+&bXGyYAsft2 zXQDXNg8TBDoLh7mj~yKZt!c%5-5}2E8}XKG?y1L`+_$Lk-zHgpLjx`w<#kmTj%!;a@Ku;>uP4I&GS>TRP@GcV zg0vNX+YWRzv&gf!B%8h#uRRTf?&`pPqY8)ZbvSG)L$SFT_m!=9h%BddE!ga6Alc;_ z7x$GBqSq#Dq#g^Y*p^#Manz{9d!Hoo1GU&`hz=UvxN5Xxw@!t}x(*bpTV*r1;w;t4 z{B$FpPCZ!eEF{9918<97tj@``+f?|iYQSr26N-H;xNTSAy0IRG*yJU+_{dzJWwr9! zh~efc0*wdp(XPi+y9Vo{jo5CL^P5#zZ>%Qb!Z_(>*YP{pg~#>=TsPH8pw{EHx?VO* zGhVBj@enz#8yjUqiT=Cm=D%-p(5%36dm)j6kwFs)d#W+tQAVi5klnU2X{oOfceYnBti@r!3MUP* zXImXXYn$e6_E=qq>ju$heLD^tTXEEG!A`#xgR@G!t;G+g>Ipp3PM+~3ZsG?U@sZ1p zM*KIm5G*phR@F;FXu^9#6E5rPiM%+B!^JiXgf;3L)S-8=9DmCW-1pWKcX5J%3+kUu z2zK*&Nc@OAkIgN3uj;^OWxM#S3A?p5WY|n#cC;RIg9gr>ti{%_gAl`hoY$2SBv|-q zHes#ir@mwo1B_=#1z9O7Q(Gwrp9c&=@OgDaO1cwb0 zzlS>sG7WLR^ zPY-kB&K8E+7V_5zAJ6|&l=?fQa+5OJ*8Lx}?RZ4!fjYw1*Ue|tc%iNN=>~p2MHB@TrQ3ftkp%=KD5Ch(F=`Sb)SI#-C4_t9E1|JXP&+Jht< z8WugqN!A)C@t|D0cbLSZVw;?gIx;Ei4wG=KN9^h)__)04jS;CU*dAB^K((K^Ljyz| z9{633$Vk{TO6sBOa{LC-ho)pRTq0erP24XVK>U*>7^WW_r1114xko2ujX?qr_YtAh zN1DjUl=U+N?+op6;sv`@t?MKmxFWGLNb1Qx;t#hdp zf3Q6$wuvtVkHmcw#P1y=`S2xTMZW~yG1>V2_)0SMINL9N6I_o=Y)PEjAL+&Y%qYo6 zuM3`&r0tXUqDQ>g9Cv7huz zKSJ1P!By-{KOjDmb1_;Hiw7@B3|}Vw)NKqjF7xGr*DU$=j~w0KAuO#Q@BLTFJw8e8 z{%&&iG!d#P1#n3f@r#D&U;kI?R=*=-%@nO)PBHP>-|_vdhtYB$Nl1(M>EQz2&;EhA z2cPiEt&=pH2UF{#PsU?U9RAu)T3aibs!Wo9R?zUnF{(?Q@H%8pruRjT@7s@t#&&kD zKdAoch$g$%@8O8%Vs1^@P|>`fj-dd2>;i}|R^O&HnM9i;obKHr>|F_EFZ^kFrNgt! zo48Z6h=0BNAuk^<;M(QI6xkKgsQGuQC6Gc7=aICti25T^8CO-wm?GoECB|)sxMy{f zY?Dz!6#de&T@k8&8^^8V6x)naXE;cy94j*)qrp&yFrW&HDxRyhdS&UO-GDMAW zKPBcvC{+@i-MS%8bWE?ii(JV-(2zd<(hO zd_;5{rbI@u99No5pfnn#Nc1T+9Tr=LsWKa(%2-~-j#_!Gku}wNl#1;&^0~|EDlKNB zx6vRarh_O&W~Ja%DSDL4YpIFgYC80fbHlQx92X4A^)f=QWdkZMaQ9;RayT3;nk^ei(K{fynA3NC72m5ROv z*25A9GE6U#E6gw7?271pnOcdJdNtN+n?+uY;7}#D7mIy4a(#yN7#X&bByA=su$&Z~ zCdd?KnQlEU=YPk$+E{!qLybkb_(*9a`ipH!!MMnLK;oyDLct(Qe3ofB3gKHscQ_QZh1_yCoLz0^u9 zs{N2B>l8?QmdYrxx-KpLET1j-JIlWRGiHX89NpWsy(PX(>aQMJMR_t8I+Fj}&*X~?_=Jr(_-0=xr z9lBJhcF|C4NvxeOb)K;_Ir&iME*3ltN)CDxxaw7&lhmx z%2GN*>QU|b6D8YQ$u?I~a;lP|ZNjuP2Qd0-7{6^9T=fm)SwIHK2BCPkx=Y(sitm!^ z*lunRaN{X4^d-wIR1%Cr9Oq8HWiW|WA%xln5^f{(Q8I6yX$S=-L8Ms7u#F(nG=LcM zAekRQnq?T-GC$8WlnnC_GUa%lX%NN6K@z}0q*?}uZh;h=1X5t+Po{mCB-~(0kbxxW z`H&*(6&i<-EAz9|bItt8m$eE_LS%?s6Ioy6o59~qvtCD z7DT@2KR-l|-*u5WrlPyG*ku|_xnVekrjcS#m?VbK`D-&Q1Lt4W*A|Fv>i4;(fr3q- ztSkDP2Z#=Sa?F4Jc&=F(S;k>x8ikW-AhJxNWT>%LUmGks2FQjJ-&pvOEw4EiGEa0X zkoAkirXq9o{6Nu3?6(Lc!C0;n8?(d*YP-}U%`!yr4-t(2(=Xx!wG7d(Xx=XQEF({_ zNVSV3LUc_u@{=S$A4E%_-36*O?#dl(Z*k2$%&Jn$H=kXGp)&7y8 zj+tDEvqBSzAJHSxCR}7ih=0S$JL^Z9tQ&17c**g6Lp8SQSPK%HfA?LPVGv3~!C#HN zoXZp3G6aWo!M4OSjM9sNWa;{lWg{^xcB|*BeLs(l%>Nx5nI}HUk@(J#7>c$MoUJ7$ z1q-#G)z@T-Uy{Z4JdvRkJxczuX0qs!E;i(g{b}ZfoYxrQiv_>3WYJp;&t(zo=s>QG zE!QI=xapEg@`hHdKKu#4g}+d?X`EqcaYv4IQoN;;n_C}o^_zdgQ(CssGH+haEShiG z-p_o*v)PaNnbRA@+9JhBYLydL}XTz99K?In}WXCqo|U6h51X&cwI#M@Nrfi z+{p618(6S@=V~@=ThAfQB|N%gOn2v6UfvIi&5ZvPa^z_XLw zc(8{j*EjK?YYBguUcl353z)h6omBW(^1ppS-=W+1Y8DW=sg<0yqbN2^VDZ_HxPSA4 zR;>pNNbBObtPPzl*Rfmo8mF&*LTAx+T()#e?_G<>))L&e72qTNxAUfEEVk9+c(ey+ z?QXe7h1*8;Xp(DMFx^s1g8n37hx)MFT#x4l>9sdVuPwt%hWdLK|FsQ-NKfxC^4vG6 zaFyY^PAKW>Ws@N#o}H_ZhD1>gVVDE<=W_|DVHujf&`HO@ys#!b5EFlVb_X z8i`&mt?bSQOr*kwUATnz{C6V%*F3c>_3+p%7;F-|WWMi;R=lLm@!B&)(26z!R;z7P zWAJ}p^M9Q0v%Wz_t-P19p&k#>Rc%kCv;|&U+wt7of#>?x`Qf#`dH%aA_4h`e8*1^~ z^!s;6z9P?Ga0nDz{N&YJ)>D6n=dz<&+8)t=WrK{y|NB_=`v_bkdjC&){U=tw8w3wo zFKlxQxzY}XE~_VYWiwIBRYWXpBx$d-GX|5`YSfFZ^@4%;K>eMOVB#S*sO72sp&mgp z!e!kE(IsZNdWc-XIABc!sd|_3l9+PXDz-^X1g;f-tK&x2_LG?LS=S(#G|tOZ`~%CN z)lKr+Oz^5!v8M$W(Kpoo78ZwFWNs~it11Z>*}igIt&fx7q4q<7V5A=ZDJyKHio~T& z#4nZ4YwB<~&`z}d6vo?XP;71af5b*TJl3`nu(=OEX@#xSve&kV&T1d1b#0hm(^ujz zWR=(?v7lbhW%KX*LH&J_V&gD}zrQIA=@H+4{F;Ny?_j@STw=C?rsJKoN_!dj^+Rkw z_7IynplsFK5>= z)oRXY&q+$|T9Y?hOUY0JxnmNq*Ok<~Nu~RWIhVQ)QW&en=>xh#inQ3fVJ8dL?A*ng z9XmO^{|iQ%&oS7vpRpDzY9qp^@d~5CH-n<6G@RZ~;`L9V^hiP)p3~s@<;`5`Si@6M z;OWD!n4MflwQd1f3m((FRcNJE7nw&p$XnA&;KpXmKfa2~f*;BF_5srtRb0!-Alg4o zvV0!77wRYts^UgR46_XiZngMvtIeH_l{Ocy$#~f0!EB@YoCmktem{P< z*NeN|p4@JA<4%hk_nX|9k@29(UEV90tM}x2trw3Pz2v;7faT3}lMmB%3TB$Ux!vx` zb(!~IB!Fw3Zrp2j<)O+=*74$j%2#A~%Q1xv5BcoDOrxi)?<1c*nUU+J+TEDwk+s|1 zne237w%?b#tsYz#8}78YbGKRakZT__dC9smU-Wt?Hq6zDJh9`3*m0xPU1YoRM9$r8 zb>&*0=+@&e_RBn3^MTBJAnV^3J=C&pwun9*J|e@1;apo9n>-on3+G{70FTAqn^K8x zw|I%}zmErUep)5^iafPlk7V74g3+|-KP|6!#s1r!9$am8W~$qrYqHL*reJP22Xar1 zmCT(MJ*GuJ^_Y?Oj~aY<+~CcVdM{?`#V_qXTyJ*aYM00nOm2xkZnwCKY}a{AA2hkn zuQfkp{;WzdZ<`w5`^{=R)i`_3<9xf>nOhys+!dXs1ed$5a;^CCQG+{A+B~^6q+qPu zj+RrVqiGgNc!QEHndjG?JY9G0aOo_-K>`7E!g_-Q0%@Vx=yRaZn^HsfIpL6PE7VVG2Q0G zqgqEf?!{D_7uO`V)G;?Jx~Va|*(&j;)?fTLZt$R@zbW!G#!)#_eHys+u|Ly^<3!Y>8!6Qj(Hz<^3-@l`U zv7?tM**d_r&3CxD^q=tfq@JM?ciuf-$=iGCg!Cm3`afju{^yjH?5CyM47Mi9MqJN4|WDEEAasN#=)~2r5F3qpCL&gCg+JD8=A=skl2z z2@*=^y?#(CL=mAI@(9wXzQ4h{qmbQoG9W-&slM)++or zmf@>Wi{B2ZaGONl#yUcza!0IhCu&WLoUg)VTRuKJOYz$vJ?)xiLM2%$HVI46sFS(s z|Iv`+8>$IdS3$_;X1upZ^^po1DAy=<)etE9_-!p0IVEy!HU7J#@@%gpWJ@WY+eOd) zRrqbGAb5KN&O6F+- zf>w1CxSJV(|2@(boE{ri~jmQ!#EQA>w9Flc(>v7*M zzS&Vl$hJx%wp9_nU8?`45)^`q*N$R5Hl-4*sm4)Q$C`G0gw=V=9L3Hmto9UPd9sAC zQ^HEtsu{DyfkqjTYB{@wF>6+0vqP{^&lg!?o8{UKH3X>j72Sf@*WkOU6xZ#gxXF6n zJH*F=m77K@9=n8T3wFLc>ha%JijVliS@7}LF1Bs!!E0kX9vZ@ex7G_&s}&#Aq1gWW z@Dq0Ezp+OA+bA7CEm6XV;&--)O*MG$5&x|(5o{$^1b;O?ft%{^6owxz?>)s2irr$P ztm`Yj4O&x9+=eO=HrEogNlBo@gqP^!zNt{+tdNMUrBcj=ZEcdIp&?9OvrN`6o{t;% z{Ysq9)nR_D5_|Q2*jz=-+G?W355b$o7n`aC3yIYYW%#S(RE`C0lH*&7P-qr$dR;fG zzL;Xi+5rlZ6C^nwr#EgFk8|T04ND{6G6to6B{|3HQSBRHY~LI;8}HJ5;2Hg^-qQH_ zKVkbx4c96Zyq#Gpq(ptk$j^Cw`%|9Zm9FW=0j^xO=K9rAo=jfm^6hr6-M_`uGp4@}Rp0Ai0a-*vK09iF|#F^c|YU2=A zTRL#sJj=e^huHi@XxE>O7<;{+mjfDH@BWf^qKNuGweL@?r1WeVX$#&{w|-oDautQA znowyCkSalyav>e{&GUyseE6Y6T@B7~mr-U?MuuHES%wADlb4d>SVO_t8nR3~$uVw{p1F#2J88q5n&|eqPWkzE(yUua zG;X0tuahd1Qi@D7Z*S_(n5im`sXx)pG5~*Vta{k5f#FeON^Sx*B=o~yXO6&{x5e`z&K@kE#!#5(#_k+ zH)^KDwuQ=|Ch+R3r5rC97N7k?GY@f70*Szml<*+q_C z4SA;Jv9ViWZDKZ%*RBs%MbJub6%c6ep zD7Wry6V`c#Ta7NXhr3eY5>38Z&prL5t-eazy1!Go5`PR zmE&hL*?;UHntS%LV7KOOw(Q=>t^=RYUw)SM=F?QP*>kn;zR>nZTyC5b+Wa%=Q;*2| zxti*G+RQvY#II9pxO??e-poiwR41hIm6YgIkn_=>=-zvWfW3Jn?W-k6szdaF2J9EN z;=Q(;+H;qfu(&3bP>$`pBn;yLZYy7M{zAz{M|0V{6f zzx%mV+$Y$6HzhsuBm9<0kGt{?XO~V8adZy9b+?6CJP>v@jnmS*xPEsF$A!1BTyzVo zrH^n}_89Mj53xUX9gibd@jLW{kiBzQZ=Aq%-4tgQ&JeBhUoczwP8irLtXAE|b@2&S~-o~!kf5%E#sRzIDdN^#aH+7`TjBXr{=Ib@l>kfW31QQ5oR@w?Xt@p-aCrz zktx!4y}@`7PIdrab5HXQyFF}o@2TGCluOmFjyn@9J-6k>SoR`gDy!aNTEAC;n><*5L?-9A>Ij$>a3EJ}n`=vKv!C&h;q&>=*nRm5SJAUz~ifF6kp!M=Ia}zU3iZDmRkhuyNk!Nn}qLq$MF@nIJ0M#VEtdQ zS$}QdxrF2DSps%Fm31Um7Tv+&tEu_Dp!oVO{+~U>ZOJsg+H<(>eIs#tixBmb zd%n3M`(X;3t#_~%JZ--gJ4FY(Z|~tGEtpy#^>CIL^jbX4#cyA-_LE=Oxa2Vhx3^+? za2V4)4X7f=X|gT~D*)XSc|vgHMX>;4V*Ma^8zci{c~ zB|MfK@M>lWGi^(_cXb67ug&rLC4{W$X7W4|DECqj?(dDKYYg>uF4_~DbrQ^pa zS88*!{S+_8Hq%=FH81Zk;D!39Ba)DmXN7$%_?gB{Q&NovNY`p3X?X)KtEzEd(ntK> zW>jX8jJexW=;lI#moLSRnZzHQ#c|88q#S)Nf%YC}c^`4~HM!?rQltF?jc5Nz%%SI! z#GVPge@^sfaq5~k!kqqs;>mP~M{0Wy$*GaN}gUwM%e)>-d-F=5p&D+AD{*LFChlFf;NWhjy zgl(K7TK8AH4?HH(>^%ktt`WQInY4G0aXI)5&pr2u4*U&&zn>{O`3vD2o=L*{iJ)_T zl(sJ*ij1vqs5$u`1RMT}>yF37YmL%oc8=m5zY?p~ce^B)ov#QIIo=zeN?Z0E zA1N3G)^ntoO=GV$iShPZI2`&LG1@;7xp9`bbx%lK^OWQbFUj2hgR~>}Ni%;fZS5>c z8b3*^CrMq_x7qWY9Gkz2kKT#BVykRuA4w{4+H&o>7euf7Roa(V#ENd=+kcXz_9KaU zKM|rKg-GKift%mrsqq%4)2}J8`AuTwy|m^}h}!p6PQ77@E%NIZz|wdU}U7)#jsl)&xxIlV_%V9CFeqIgfh_8Ua*c!>UvTO?kT_}%c9 zSn+q#>emEpd_~MQxkm6wv-&eZg0bJ`IcXmsW4Zq^Mmo=^RsL^8oBxKd$oH4^Y_>e6 z@Z6sXT=SBUbw5hdeMS8G$5d(jovf{YC4Actgl?7MvEhZpjU@POvnZ@yl2`H{C{9Rm z+WJn~RdmwGvJ4wWEWV{t!1h3tXaNqeGS}Xqxr}jL=%RYrne@}AUylD>&MP(gM z!qzrCK7B^?_fIHVKS=++e){&^qH6m!uCAM5Xwko8_hCJ^id}jCU@@~Zl8_#35Sb7tzV@u3gXA(}k z5q{K(V!w1kT!M+Q50qr$Mc@%zLeIJre%6zOQ(nZJ^d$PYk6a%?(&YpOl*@OfGV}e9Z)G76&lf&fIgRnE6BFh_ZeQ%;I z{3wo0z|vTk*t6!u={VwHXib2zHL1l}c*lnc{r4gMxGgSc46#0MMaZdG;*Z4>dnAnb zqmg8v@TSheo%Dzhe9qgGa>|*o({`jBwZcND+oI zJc(puGa_~MB~e?8zdXbb;Y5i)V@?SkqD%O36Pag8zW6p(HbU@O8}X$(k*5M=U00Gr zBghSnCtS~;0QIpGJ_PGZ%$%|#L1HWEXb@?~1nUFtq*+FzjLav{R4}_}Ppq~Xv4V}Y z=uq3!Kx#-3u_wgd6AqXhG9~DI7%@lVNIn!z#-UKcPKqCN{7ICJu8c1bd`12#M~PEA z+zoB9v2~!kr;}($vE!r(0cS4?#wMa`EK!G}1;;2NwL>Ltd?-F)h|<`bNRbyQzK&Mk z-sPk%{^uvs|J62Z$bt81fu{lZ6b*{=OKQTJ)`3)*!mH6J_LL5%Q0;aEY$y6 zld_*N!7gJi+mya`W11=t z6BWIl{YTfaY3C-kXl!P|uFYG~+_VYJ6Q9yi?JI_xF*KP?*xeRV+XqSRDaUI>#pqNL zPr7Xhlx%i6-0kC^fxFk@_F-Y#S)HYLw5dOxh1~C+sTK z?ycn8t0=H)pxL#L3PWn!G`sj};!(4vv@&|!+~YDkamCONr_N{3dImW`y?SJG8J&RBLG zQ&}b4&M#pqw~z-JRZK-UQx)AwvV9$u=1tU!Jv~1CGFOs#VjHEA?bKQfl4agcp<7v4?<76FfinL(%I%wp zu&6*4H_rW}0j|mVV@f5Hg+Z2y^G9~^JQ`YU2 zTMp9bdW&4gQL?grxsdrZ;Eqy>kcEo^51@HKQyTC)-Wp$D)l&+ioiDdMGk)p+r8HShP}N z*-y6Z1R+kXIEv2BR=rX{R9sK4WW-iQul_Y+w%ov4{Un<|2%BCejC5@;{X0A8+*L#C z{$?(19HRHTKjZOnA9o5o`0epR9;$zAKfRMy>Gg>?Z*0NxOX+_%4oZ@!llCBve03!; zkD=5kjc(&S#{8?P^buX`5=ga)mLQ6k0FNTYF_9#zG_p-nC^JcvfQu$V#~R<`x`duM zgTM9}!jD)IaKM)E!_KtFm68`4M}nPDN841{V7cVDWRqZ?MxISE1;#=rt>UC5aL51L zVf@eRCE%ns{>RP}anK64BhJDc{1`}VAlo}h){P{^M(D0ybsY zZ8))x>YLmbQ>ez;IFuyA5G?kX;xCNAPcRNRswcXb6C|v{O2dQ#_Z(CSHKf|clVh1k zfs7*aM2ck;n8lGLIAvQVkRv{fxoAny@x8(X4ia?eFacU;@YAxxNzj|+roSz^D<0Uuq#CRGwo zL@}xAe;~99q}(-1+SnM0n@o|JE;@x!?C499wXHC79YT(uCG_wGeD_@t7H)~{mQy6y zhS8GKB$#AKvQ8q`Aes`7Op+`DX^qb#OIngpn*h>XgdraaBygW4-lwz)K7NFl!^iPI zd5VZb=cx~jrYo;Rl5`aLqI-#13Y9MT#9PJCkWwQ$MU!V9OR9M`4qC4GYv~I6IZe=s z!vvo`MA)GdBh2__f+2d)eHc~I!Z+q(;SBn;{8<2}p`?BwpfwdDV3j^|&p$R4~V zdw7IFLrIEe+i;j&TMx2v&xds6lt~Y( zp!Y!pUcbbU`ln>PpB}*bueo&2Ix*d`8~4Lz4A<#0_xKO|{7hKVodrC3^f9+Dt)W0S zpM(W7H16mkNxccQld0L=htH;5Ds4Kcw(6qK`Yu;(ej?N80TK3hNY{Uk`?4q4Yj&Ut zPNXHkMXH7mO}@c&yTowMEtb1UDKsX9lI!n4Lx_UOlo-kr{K$y5r98?MRfs(*&j1>{ za>#d7S3If4=92mK#;Mf1Pr2Cx+U%}yBWZ{xX=POYu2lIr(o>v3LZm7A$yQ{<7)k5q zOru+r(8>mqoW{ttkom^yf8p3ITPeu=^(|;zqJVbf%8jU9XTnTDnAUm0oNEcKJ4_c$5C@oJR zBHD$dLTNAa<7sdUK;v=Ips0p8c&Z` zB=tUmWkDPzIkHjXO=zzVl{U#^{>dFBj z>Wptvum1UmULkEEWegXT(;6O3ua_G&0fI%TZ1ng58Y@!Bi1nvXl1;Y16gQWg`JXct z8a$`W;1N}Zmxbjv2um&Ia#lUp@+&F#@~1K+gxZh*Msozya0O-cNn|Ga(&DFnjCv-K zRy~q*XDB}Vm}>nwstq5|px?uQSqYayv#AX56nk7Hew?KR@)Li>P@N`nl@h=HvVYt| zY4i-E(Iq;MNsq+G;nGmb8_lC(g5C%XYTzQYWM> zT5OcIZSTHMB_Sv%x2|OHNgR>CDR6rs{pNqMBl3?)v_8DZqu~pZVN0n?(cz^ep}E^% z@p|UN`Gj=m(rSv&NhST_7uvPQrLPyD&kL2@F@Vyvi-dE%0aS}UzchX6EV`kX2I7u4BKQ6i2mwwt8V@eUa>%EF#f z?tO;}TX}ExgbckWWSYO0HcGBHc}R=#3@Vc+G#Eam&E~mK-5I*wZ&7U{o51WY=^oR> zxQ)^g{X~+`6qT~sJI_BL%isxlmcK|we@V63Lm6`d=rf9~=NPemOrPx&x*hJ*XF5%a zv*_YAMXF+yxax;w6~3fRSAzN6T}dJ@iI;WDEM8G-^q2DuU zS$n|wFFcN2o(LaeloN6+Ixs=_-weugK7UPo&vTlB8c#ZGN8` zN%r-oGAw2&5m|K(bJFg;ljL%r5@&U5{)l$*L#{$LXP~t6CU9H`k$I|Y< zCtqTuz(n*ly+^6_BS}n8MW@HKo}XaA@;aA-o>A?3n+ls5;>G8o(z0b(jnLosXJULW ziw|$mVg8I*Hbtr?0y2b!^{3KMtyL}hQHt;3VD-;huUv$pskQWjz{eB=8PG{L?tA$&J503kf6SP?uy{%_C zv;P7P2hNFphwf(gkc0DY1 z>vB%>Fvg;zo7OS>_8!M~&nZ0i9V6M`BH^dC2{1p8v6UQiG9o241m`eE4x1gtE!2ak z^Y*y!Fz2kM1;;f_aouTz-GS5So;)WwiNAN6l6Juj#YtVl6i(=#)*>~;m$-yL6n^F$ zKDP~@SO=`moWX7P1xz)Lpu2CU=&~2D0|)Wme;A*ANAcQs3hS*$NOue+%EAZd{ilhF z4ng0qgCgu%}9xbC?qI-Dh7=V^TQp2KGEX>5-f5bN%R zkG(nWdOCP{+i+Sii3{>3{;WCHyU${N*dB+y_TsAxIPN));-D5@TD$Q%xC5`lyRqB5 zoxsz_sYs8+$JZQx`-`~Qp25fcJXyZ3xSuk@_OL0o2dzbhC6?RtaoBYZ_kAaD6MLKv zpTb@97>?Vt2{Ut|Fe8@>7E<*iV>oo~6mbzjD9rQ-NS8P;&=UXd#d+sGPHxe_>c9~^ zB!>NEUj^?zLXg%8Z1(LZ(aVF1{8X%t9wkHKN6YdUiWE=j6f8)N3Ff?(7A||vh+amV z-k^0B(d!ha|px2&_YHJj62rYW&@f|JMWS-xo64QAvv>Tw}Fm1C~C=y(>g>p(FFPGphMZpV$Q2YE5MmFLqR z@Z!dY{PETY|42wnY1FNvbf}fG zdW;gDavOKA9wKc=D3tag^{D!(5w}S_caQXQcZe~(PR4~RWSpC#!1ylFDfhAIx{p)w z1ZnB_D9pNtwSOI%skc$M+$6!`A(=We_@28$xUiVKGuLT3B9%#7s?3R-q!>)fhPy;y zr5{0y0A zo>O%42}u`cNVzaY;qh^rEbkCt*-1d|Z32sKlAm&el8k%!xOL-Z-$`uNOX8efP;mMs zVW)2pc(H@r^Owmz^N76DkI5Cxvd`Tn>dY`oPhmolFGxm(Twb3{lL57NI(g2+leIUudgBt<$`$vJyV609)I^L@mb^ifp#j+n0Zc$dzi7jp^A z%v*SdjFahiiEN+C#9K}hr#D69NnyDs?vbH0J8w&x&Y1Y@8nL=p2(!OUUH88cTy-1& zx_)#blq99zC0JrGxA%{jCEUkR*mZ)*Gpx@D4*D<1KJ%2~laC~B?ofV8n2gb&bOb%L zP5cu1Y2T8to zo!qm+j%}ZlmGe|=x{1ADV-h()R@5}n@wW)+e~Y#2WkN6BAzE*i=##?u&psDF%?ZA< z6r8zB{>7*0Z&5R(-&nrrPg0;LWMDmnvBk{7g#@<*l=KM$nt zZV+X!qsjZh2Gz5(sH*&M(%wyy?*%k>9AWF8^=wNoh5ET{j}~xeVyUzkWwfmL zXUU`^#Ht_Wx33D-?oK=wWn%x?2;u8*al?0%$H8?JnW#t-Maw5A5qn&(M>VlaL2DRd;43*FBoxoQZfreQ)Pag=A@rBU>V@D_WDt`g98 z3stPNY!;$lNI50xDYR#VF_f*KC&Q8UG++8+Q&A<9;nLZRZTkR)#h2+$mbD`U>zF1K zU6)WaN(&kEgnXMZ@WNlO5MOqgjItZV zhDrj+7{X*=g1E|&`9~q;dK6I7*0YASwk_x5GO@EA1S`?+Corah)(KnLrR2K%)eCSPxqAwwzVxKIOLCrL# zT_v;ZI^H$ognBhlZ`eSxYZoO+wLQb{LPOcFN+flHxZ)XWAo5#s9H>`IH4^(HEVHcVaSHFEsxNq0_@B$`ftasu5c{(`eB z!L9O^jU=t=+H5RNf@XtCa%xW{QHk#V^J5 zr+YA*;>G2-P^O|%7>LXvB`TZpo6~qVbQ51QieX|Mk;-d?__k4d<4SAG{4xw+hGm5 zsuuC^?FT%3@&~S8Sw@wWQhLolQ?N!wyh*-f<_45oMo7}UjKe3l@%ZE>=^Gj--%^Lo zXPun*ZWxpAM{)S_Ek-Ne`CQPXhR4H)(op#2y!&j#m(V ztdXcg{dn!~L(ixmTdT`>**qY~^0~ATlN>ov$7$nHY^C4#+$D)gvzuVKF3hlLKFKE> zE|XD9h*kq}2Rcx!uM)c5h?Tt*4TtM^ncv28dpG791DKiI#o1&An{5NqlC+8bt%M(` z6x)jl(#a(niDDm7>g~7%iJRN?+#^ntT z4lmexv>PYAJ2)?EC(-^TPR2JeU#}wSpd^Q*?L;3`5_de2h!ZKqYUh)_r-r11?U-t| z;1K=-8|NF+W?yH;jxsDP#YY?Z$#Qrv?DG!pD|>MlrgGs_Bf&b7Jay}d(5@i%P#x(9 zg-MAo<5rE~Z2bg7pPN`3j$^iafapUHaL||}!sk7D=la>du1b>5C;@w<3;3cN=g<34 zd^3RO;&yyib#Ust`+WL`e_`QAKcMw#BRY#zSbjT5_2yf$f3M>D(M=rx@Pd%VcPQQ7 z!;sb(qx&CGx%E0XcHHOMxBr3SLrF+gHoSiHEk91HZ_uXx`G}002Pt$)AjjLCf+rrh z{4I{4zGVCwa!LQuoc^B{qbjh&Z=WX_zQ!CqqREy6-=U$gPFl9DyVb|0Q%#in6wh0Z5iy~We{4F~q$!*u&woHo2C zX73NAYQM*7sa(JA4fbD3yYR&fKA%6q^NV*FeDRj!iyq>B<}rHPrwBj$lx)ji@V9-* z$<>pXoqC0p$O_;46ve?eTwMMN#qu9<{`4XKpT5TGqdAPfe1(PRskL?v1Is_MXV)#E z>92{_f6E2;*X&+0fy1{qaMO5(`_YGZ?0$#QLTO1wmg~2VBneMr^~DqHKYfYe=d)<- zl4NxD2^Y5A#m8EDa;M)oXnLOmD@Jhp`UWu@Bp?pXVY%@c#!G&{Y2jaS`S|ZRfBbKl ze)<>eMV7-?_i;G%2K`;naNYk7$DQvn{a$eV@&S6EUBPc3$uwm5$F8&047g3U)iWBuu$F#Y5gEEkDB_CK;m0{G18E0UbvaBAfh zB98n-mib>XUNKALq1Tv+k33gC#Zhc=`g9Jb&t=1YHiwz`@ASgkn5usYVfK^_I(Kk& z`5FI1vzYIAD6Q1L|-gbY+Wc^dZ4*Z3rV}HSU#VaEBzQK9r@`R8v?eEK7vAO3*- z2R{(5^*2s$c!`(cZ*0-N$wiAfX@!48cgammH@_oz|F7r?wu*y4VfV$aIDPml#-INp znEr(QM{n@`>_?2hdxfRmZ)k6u#oh2ZU!S~*w(F0i82uUDMc1+0`AB>@&4~@ucpiF* z-M6ptSoTD4ox|pnXE=WH0>#I3crJaxdBb-slcHm|ON!9(x9BXH;q;<=7zvv(+y5Ms zeJ}9c{|mN)gY(DlaQomLE+0rj{oomaOMXWG`@iwYAAVxp;wv~E$RpfPN!qzm#$|u@ zNP);dQA^H=KC7 z^k!}!L8mR~iF2pvi8XG2EGOqmy|DER(q@tveW6L8(u|A?vE=)^ap=$y*6-WM#+~a~ zux-5-J2cm`^+zttg6*LFE#T$|!^u2Yl7Z71)wJ(p)r@zdx& zZnv)Dohb1}lz2GyJ@vY!G=BEKqgr~G(4CEx9luZJ`ca|}G!wL?o1nFwH0Y>!5?D)> zr!!4;rd(+bqsT0eNJ&g-Cav>-naeRz-@Q)#e5^7mbed>%o1iFQh#31C;%zI)u&WeW zTSt^*8MQ&J)OkruVp>3gVIJ|8UWW7SV^==110cb zoOP~}4E0~af`_FIYMuX~ZHlo3fN?Pq7Aex2)YItGMzT#6v4$1I>#1ebQ>R-;mVuH? z>pD`^KSmZ?)j$0yv#cS_RjzZWC)ucpLV5LY%%nQLmnz#f60O8u(LY^{sj=uSuXzSl z6pNl^2G!!T3W^MC$TyZ&&-V8p|0>Ux6XnrBfJ-&q(N`!psin-QiVTBF3QbxlGUy=x zd>i=}n<+G`r%>h=o^Pf?w})!8E>di3@pUgJ*|U~ROQlraW;(+zQ=nTZI10vsWs3Tl ze#T0Q^(rY5tPAuS$UR$4h3^pQ{+%QUdyjT3Ce%Kce8+Oq&5A_EAT?fXk`RRL85Bzl zi5({OWEhFw`YQ4b8Yndu<|T1e9VsojM;6KMX}Ei*;^|#Tqu8Lft=dvHtvXgL1Ur*L z(LsECp_L?a6){$I%VLUBJMp*3mR7u;Kq>aIRw`8bvaiJMGVx#Od1=>- z3uXNRiq9*lHfSPOSY)=T_+K42dL`7Fw^JM^-Gri=GW}xFSw*^G8+p2IG?{kM5G<_P zDVH?c^7$X3m*|Qe62ryk8|0dDRE`~_J6`6To^&FXS#*|qa(5t>ws0RF24vDDF(107 zo4{2wq;9%T<&HLb57seuvYm=e72Mo@i>ZbGZ@fP2XROSHH|m@GORM&F=7agW$G)B3 zNxpd?wf0Vw_S)ifHy@A4Lh>R;@hc8z@cwaB83x3jb|=Hrl)bx8vvTJ?*6!TFf~}fb ztlqMQy@x)gtLG@4?fYmgcjiWk80X+eoqd*Unjl=B4Pf-QE-Kz#VCvag{x-6Ww^M6* zD#_@j^p5w(mxxnJX<72GbW4k-&qv*J3=D{o2FxQ_4lmk9oT zQYzXd%$AJcA?MwejbgQSROF1|vvd^Kl|#6!8kF{F7*9E;9_}mTm>hRlHH4+cWvt~| z&!ywouNuX1VJBhVjpDRw0>@RCM8>$x8ABn%W97KW8pUnp2+pgA<$OP`t4Hw`9Tm$& zj}?=6iT-xdjwrqvA!y+w9*aefC6`41$$5JeODAz(b_uuT6Qaks=qJ=$hU03{f6XXC zqF>XhWjgh`f$SOCUDLdk>lGA)nPa1uUMxbCKx1`YIM;m#xfoT0Jgf zOvWJgYX@*zIXFMxZMopMd<@^EV`9^o$Q#B@*6-)8_Mw^FT>*eW(VtQp2(^$@NSZ>}r*<(dKPC4SVg?I&0{ue^%S zqDg!fPGBrH*-1PG3I=}PkBN+l`RhDosQvG{;*!LToD){&DDhz;aq6;q3Rl6-TlR?0 zlB;s9TqBsPW6OO$Uc@#z=B1V+d&P6<6fP_7p(E$ke{+q4(%y%TrTV8Mv)}Og z!T0j|InO6FnI6`o;hqygFQW-~SWN1@7SeA@LVXa<@J)MeO&upKb_-{YpJd$@4K`}b zKihvdnmf+0YvVUe-PEUba5qiO0mQoHQ{*2*wsRmkUhz0gk7M#*ipcoWc?N%2&(9NU zndP%hrOK^T*jXA4t{K$2Rf)mUOm)us@;BnRe!GVD56QOS2-1ljz!dn z{Ja1qdA1p}*vVRQyh(=oe1mh5=q1;<%C(|zm20u+TS}#yk`mEbDY{lU=TI#&lpYo2 zc~wy)@6~ha{{KcX^-iLjTOp0O2MTXxo{qr{}nbB@>=Sy6#t1FyL{^1%BXh8 zrQTJTihB-4?%8B|$~A&fgKY_|Hbpdw4{O}>sBz1g_kW$ljoMbV&h=`$|KWG_s1e)L z_U1ZdlINrLcQLhMONZc~61(c;yc(l<>}1~miJi<) zIhJ@FTsP+E(#t4NALJ#rd1N`{QD&7#gK56#Q#e1=*H_BiN|{@w zezg=`ZDg}ZyIE_VCwk^lDmp|vi@xq9l$mE!BKlNV=FN|Ckyk21Y5n`PN?B9=`@0gW zDst_WvN;MVl+BkX!5l4gJ4`lLfdpZhRW7A6=YJk*nI)D&{T&KOlR!(6057spQfev5 zS$)nXSB4}P!Kgy?tu|NN{kt9NVWdqDzTw zrsym>iG2mOh5r~58#dza`S=psWlg2{CtvVQmcpg>w^G(q`?A79u&`2N^FLzuyU*1a zsPRx^S1q`ybyn+}D+w*kFxebQDIZW9*u`Sbbj82vtcMbDlII`w);-p81^9IYcq*|qN=3)XB~&9+^O(LVSAgYB9WXB{OWQ5SDF zX{$13Xi)!vGiio^u2=ZKFCpiJFP%?pdC+~9uJo_@?a>E3SKod_7*g4}3UU_w1*K4C z-`$xMp6sA_TP@z}YOwxz4xiQ6=n}AR`9{othm`1{AlWDf&&^%veyPG?aRrXwHDUX$ zv@@Si;q=7~tiSERYKaPuozk*x>BneU6PAmcaQaq->!KF)<#Vv!eSEhHjs8xB+0rHy zUpL|VStCy0wcxO@1BY)rar&lH0BpzY>rPz1Y{%p4E*!pY#{HXS+?KR)d_xm)wvVw~ z){NX&E|2Cz&JjJihM0?JJr4O&880%VA-gT%*EEWH>Bp!eMC(dRse5 zF?fW7*kQ9sB|0?W{9UuikoSw^b)ouwv*_P~+m}7KekCo@x4qbZBYJ+-g1zW+eoZsh z$3}@fc^$j28vZe_=^x{F87?AM{n>J9GkU9Au--9@le80d3stzxU(+HjTnDb-cHttj zoxf?9_wp)wy9gFe-?fVlV!L4AD*D@s?)uAAxb0TAs~z(?I?vA&++?WVyU2W3!9Xp; z?VC2SONE{I!d~oj6Mwh~X4XqvxwyU^#jY#!YpUnEe%B@V%lh&v}vFw$96U`m#Ze4HK$+6Fv1ktryGjuT(gGt-j}$ zdalU(Rxp<}>_uN&!N7J=2lnDeC;9C5mD+|@@x6+eQ@3&2JA&zQ(c}9TJR}C4=l#|C zyS@Lg-AQbAkr-0r=qUbo{|iKjZ9wF`NY-C-KAS&nH;@!BsR?c42)wnru5?T3tf8BFuR}eLweg z9awxaK#a76Wh*);+B-?nsRtBqnWFxydo(WoH<8oHHKh|T?|(c0YyVewwsNCggYgHe z$^Nr3E`QA;w7rhnfCjn)YKixVp}L_4Z+Byc8k}e<-bH%&X3n2H!-g$e*tkuD1*>a?D#gWwtmUG zC(^S0BO#UONW%K$7X}VJAoxHoS=zNU9d0FJM~?)|uQ+}BM>00f@yz!=BZ zHBw}7n+(G{RM`wtW8FJ{x4b%w0jkW$D777xYS}}n&jh)iL!>))QE1;Q6`_x6%YHI! zx=@B)6G}TqiCGu9wtY03524cUlldagVpOghrOIklD$WSy=ITeu3{h)2KL046DwAGH z&3XxU?V%uiid@ScavWrBSzjfNDYKEeGQZwThVd}fMuXJbOj2w)EX+&f77f zITQmNKzY+eWT|E1K5J_U9Iq`M5F^uI!x!-z1+VQK|C zHGVZBr^b2=rEx#i*5krhhQtoRLe?*rwW(t1c za+#xkRevrs9i&9;DzoUL*tkn%%601T4{X$S=8K#>haU1RI;obps8icxEO;99NPBgO z9KQ(?B^F98x`pAXecdliSA1(VOoRCdt)^qt84giyJTUKbH5UIry8bFUu5{VfMP1Cy zWJXDr1(q$z0^5>oizPl+6NhI5otGl^1 z@HJ=eenj=}M<{%rM8oA?!6%mi=TwoKAtboF(pj6%`mi4}-CE=&?MCO27P}AZXU~2W zX-NAu*|F<1+B@E7tjwL}j1Z<)Pg66iMcX+)3MO1=Uh$-L%8+xHZKy3Y<;G=GzPt7r z-!8w$uQ&f!Lt6Qaded6+w|qy}?s+O5Iw?EWLDjA?!uJfK(78#f<}__5=NU1)NbJ5P zf^=3$JiICs;TAR@KO*bUBhpkak)nB?oI?v_Xl{^nlG-_(LPDAeS7jkEactr4ZUE|^>+MXp!Inl9I-i#_F`j44<18mam>@I7{p zXxkg4m|P)I^Bn0a=Vh%cB&%K^SjKIJfC*xGAV*x(xHnaX5?6bHi`$cjm`y_4K z6qUm0>W%s+v@WFeXdBDB*XjT4Z}_}9#+B-De!Tt(_l1}|x%nkGC%@*}&9`X$U4`g> zc~E>ahw`*=YP@}@3lAnI!JoSFD27^X8S7OgCu0XkbPlsuRh51F)Y!7?zz+6qSLe9e z$22AQ5$~Bu|2;n{e|0A9`*>o1_9FjJZSp5e z`3%EMSt2-IqqP1sM;evxu2U8L@9CP8^){zFajT7wi|J3cy%1nafob+nn_!yUrr zx^R)#dxEY@LVF0)7s`FGkwontB2}A+JJ3YZz9uQYHbPYD3DRrDNv{!agHGbqyQIN& z;d`K-I6a}wCwgh{kw&(^T{b``k#bGEW)CsqYl2EUar;`uR;z5*Ry+-BusB(Qi*W;i zN7{&1Ya>Cu1MeesMA;8e>9Qi5wo|(5=kx#o|MW>jK~y%RT&LPbqIxH>`z7`Ra{ayz z5=0IMt2g7O-#~&))=b-B!VcE`wT4EQG~8Bsy-qOhz*n<@aJ^2#<+*T)EkkM96ySrZc3ApH4>_q-nR3rr9l+v=Jq*#Y)~& z_J|zY(<+#Y?-H}OUJI_e4S49w`Z6Q~#E($5W{Onu?JeL>*|B zTr?6cbr&La;jh&!aW}~zRDOX%1O9?Z+%c(BEoE+6Y4g5Bn1M)8i80u)pD1CgX8@T(JCD2m{h8=vt&B-=xgZVMeBv3z4IT|ekj|ICm2E4id4`O)GR&xA_~ z4FTblm3y%~Z%=3EZql=Ma^%?2&4zSfk2Iu%Dj%?a{|6k@`hbyqNt&iVLr)aM|EwVS z+b;ZW1`+;Wh4g;w$)k}o1Zvwe++ohO$Kt@%Px$51hnwH&ef|7f)Oz?)`qCu^cg;}Z zTtU83HO;DBgnd&>uEB`V_Ic(2WI&t0ysvW6=YlY(Nfh1}sJ8l*;4lBd$=7}&Zs+fW zZhem3d(ZIr@Mq$_{u^}%{vc`BkNEEY8TYRr6SnJ_On^TL{`f~J;NP&^ew!%W---U> zM-q4aLbTR@67bER_`Ur^H2VX>-g->%2hR!L_MA+;KO~vo;{Mq~nE?NgX7dAXUpypS z^BESOJ)uDFzX|!^Cz)7(2y^)to}WC&TYT|-=R48+&++}>2i!k;Os>&C@YnpAaMfQ4 zc>hOI_xym%XSZ-Le1fg%6Y`GyN%UJ!WGem_;VS|AFiK4@ornmB78fkiPftxPAHzhfg12 z^Z5g5NI&8F@l)Bv|0L|Izsci&5V!XSJl?*$xn9US4~dr8;@}>vzK6ko<{XmhU~n z{-B(r_b2fhKZ?z#`0f54mmN=WSA9gh$?tgV`T^gsev{$$4`C3$6ZGk0T;I7v@VgI) zdP~^ZM?c{3@wXJ&{1+i#e@CFygXjB?iB$iCQ(K=BY4;~dW>Rk-Kg8$j-?82QoalXj z6KsDW{LQCCzj;q;@jCt=D({#6fJ)c@LActl_`Ls&z>l9u(|C^c*84F@kW#7Ex>d;Ok(&!k?ydP=a$k2rpCAJ2p0 zm(#BjqtwS+zu@%#cUZsk7!S#>-`hXRb8-kq0U!Lqamm@6TmH$%pZyC*vu`QzdPJ$s z0&^j=jD>DcYIl!}BTrCly-CL>7irO-Bg?3a4(%pJRHhi*|4#y58RdLc2+wYQxcQ&< z?`|C6PTx1&xv-7)AB`#g-HnOiBt|0QDGSb|%{`65bOm)aLCg)LeV|ErwT$DKso^Th6-Wz_%b$oJzXD6xsB zt4WvZk6-48oA2<`g;)9c#*5sz`a0)Z1Gu99CyVM!M4gDF*y+R9Y_3aC({c z@JrM=uP~e-wAn#?JUvJAsq@sCj8fntY^ishn3fefUFPUHxk%O-q24an$vGoB-(rvo zn<)ykOP1v-c@|@ohl~-^FA}A2i8iMdiY(Six7{G$ag9=o zN$M;ns6Devnb`te9y4^gO;9fDSD7sfHXBr*UZwiPG>X(kVg~0>C?=>DJhD!&ka6lF z^`|dVY%xud)u7lJq3*;O_vYkfB zOJAZa@vN{=shd+PV(0v(og%@c&}M>$6O;5BPB13;7sxqv$+slt6^V16T8m|ht!MFf zZKrJQG5MLRw4WZO#A<>7>k0AgCbg%p(rR*^?vu+@na?s{zbYGYot~J>lnBFXwve@@ zE{e_!k`z8c@x@_{L9!zwnH{9TSus%B9G>fJW^+YSky`H~TLF-ydYI z)|H3%-{F}wq#rIAvs&{FSFanB_sE~PKVoR@o2JR^oaFsJ&Z%P3>(fz`0=J%XMVOJ*%p^Vl;J=nio zkH=dV@cgWt8XF5P<{YLt@F)&OHhAoe!|na^oP2kd5Y=eB)U9w)KaKN#L;Sxoq5p^< zL*Z$7S=-^NbzGQ z;iG>>4ogZR;&3-Kz*Ex@M-@w9d+s>OHHwHtq5nrnIAuo2K~0f8df4e06J=mVlI}5~ zt|v%1atc2)TikU`$cu^Nq^SW;T4LjXi%@e5NuV(?Me#UAd6KB_h}RBNPHCRRQf!18 zSrDs#j5q@$Vs)*^(zBArev0%+51iDF<9EOjhl36xkIacPwT3HFz zKZE0rGuUg`3MMv09ycQ7xDH{)`h*=dB+JA_B(NlFZiig5UgV*epz$sm_9Ml z_N1S3l-G1HJYZ#x~d-I83CCJq0nL_-IP3dr#x7VS=;H zaXd`TWurvlF7XCxp215^8wYhY8C=%HpEM<2U!SBS62Gd%bKnS3zV<{%1`0kV!T`+i zS2e^>=P0pe7F2qK6Q^$`G3(*2YDAEZrTAb=Q6hOy;j8T?YQ$RP;~C8K3@IJ&l67s!R6B*M#u3a_4-+PJ6l-8bsGb3_$4-%P z_yon;M@c$qiL;u8$YFcg2lhB0w359ewQA=;RaLgsy41lgWBde@@YC*i3Kn4pPRZVt zSOs5SRX+?rsF#NH6R*ATJ(@dWq_&Sx7P5ycW&5dd+l%wIP@LbqK*mQ8=-J&yi$x(3 zI_Wg(bkn?JhQ{sxAn1*8&e!|$^W*n6-yr?`ss;;XU-4jGg{<1$lsrkqHn$qHES!?LyWw&(i5}mDQMg!d51T ziyNe->MGsNi_|HnbKn}|jTboI)XbemIcj>iRn^Jk5_!y;qAO#LQm;u`TrScXdYkEp zi`2ReP?IvxaMDG(?3d*CC0gR&m%N)69+X86FkfWm#C=fH0F@j}@9- zml%qfJ)SIl#>zLzTvEnI5s;ffNg(nW@R`{;L{ zpx0Fxp3`}z6NIY!-XTNmx8`4?+u2B9G9zFIoH_6g}Qz&R?l#`<`OND zL$t*&Gg^F`2G0fhomK_cMQVI!DD@eqQey4#TbCTq(i<|vrS?TGG}Uvtu7SJa)6I%D zt`-c^>n}_xbAy(mbxPc3X%~jmAGX3!!UC<{L-aZ=2{s$FyDTxCw7}(tdCt}KaH+OS za@E75%4yCg3p0%Dp}laGv6!>;*ewd0a zYC5^l-pjhgbw}drj&DVg+(UoGRXW_~Y4ebE{a0Czm6{9@mM8UA$p+X&tO~+^TpTc@Yje&4XMJOfJ_d^VQ4)sm1#lvy22vJU&t%j_XvrZ_pialZEi>f|0Pd^jVRG zL-e^X(&WEHgWn?El7m6#1%~A4_7EI=ZsT_FHgCOnpU*!18BcvtAWqBFJ5{peS;K_g zWs$(o@qO)o5c=vJX-G>H>U2tT7Dl)2Iz1n*(e>6p2z+^*%hiEAx%57FA8g^LyW4rX zwwp&6Kc#!-05x~K2rCPwxxRwjidgEqnn^8hrg$igiK#P84(O7ezMnlZEOx3Huy5y= zY}uu9kZlJ)MnmgGo-YOPe8rA}MsqB(i?Pe=#xc2!Q~m|)v@54=DV7Hp-{rTfdw6{1 zeI8zWg~zwH2xSvzWxzuFYOp9~W6#Z7`gzD(ZEbF2$} z9f@ot#x_+ZT|F^}s!1?QVjw!6{+IyzWBr(n4P-SWf_omZT*@h+D?gpCs0jKaBIr$x zqd6^xmV{usQvB(O^%oWuO?O}-NhjR#)3PBz!(Nz<6G#$c#)v$PUul5YORB0(^N2& z8clyvH1$d045dfW9p{On(3AG26eh%WQ*u1JC(Je^i_)X4vU~ICWm;tWSN4#1RwGfJ6=Q`^do$~ zD^-TB%y~tyBpQ7tHb(H1>r!Iql(_OTe5tJyTC7lFnn_Vo8TO|G2@rqcRP0I7bR+tZ z8=?DbL_$V06_mwXWE$gw<5*-A?TLO=$NP|1Wn zB3jKy*oY^wnl8eqjx(5^#dKA!Y~(2V!s7*(SeoJ^X-WvAGcksa@F>ZB60OlmgqphI zui;9#x+|gk9f(s8C1`IT@kW76mKI54OQ$U=R+?O_$m&okvwWzH_oq*OABYa4FDjhI zz!;(g2j4?ZglM`GuHiz0nlsTmZOFBYWU;E5^O-r!MTJOA-gIUK(Ut5=Q=B_}2|)~p zMKTtZMS)}Z=Ixn-H5~}la3)mp9(T|k7d3MVGjf@4Xky|!$N4ax5KU=HIvxf-`0NW1jDrYP_mJ3pgylF;VdBPYd;;@=>u^XYb@5@; zXG(t3A|$o|T3Zunkhlh;VrUavT?uktbS#r$F-(NV&>t5kc_<+fmgV!b!6Z zg?SM}(V3j{9;9;HPlUevcY;6q9mUprbnY3Y@_3&x&~}=2W?7ScJpbl@;`{Ot7fXZq z_4)_g{Z{!kNN;g@^-X@f@)p-R_c1Vdg5j=w%HpfZogc$-WRR@+o1}L|vUbjeiJraW zD*vc$beu2usH3{?AX}8*sr`-SXJ~4_$YQT96HP}M>Gq&BXNjibTja&9(pmQ-F5?sA z{ZpF8(pDbc{EB8bJt-?Jale5R6)kA7p|$SkQRn&~>&P0!9c(aMc9 zI=9o{SioXbJim&Dyjt(Wzt0}O^BG0Or%IJ2YJyXc@%H8~OgVK{l6iOpim~@e2HcW--1_fqUDKxuG zz3~QR=F4%bMlnFWX&#vtr370I5p8mw!jl(i zIDJKm=?Z10w`e+bgAu1S`g~>j+GS8~RzSH&4XFtg;!gsJS(PZ_TPQkSM&6nJ&0A)q zn4F`;^wQ={%qom;QD%6N9*YrrEt+XORYS$;a`C;4`hXsa-C79^C?>7Aot)r$(#@L) zvK+?$%p3(q>$I9&qxtw%>Q7vuS^OKY5jJAdOM_V>rKZJ{Ta{8D(?qOG2EIXYloZub zcDj+4lY>N=%@S_1Or79SYj~S_!)r7co}<=yR`BZ)Ml?cO&>%UdD^LiQ`IdEL+EtP% zxvll;5L_z+gC;UhNzPBq3byAd63#K)coT`^xwUJ{oOyQX|icQZ`cJeA!$1c($cI%xt=nLFn$iAOSvqDl` zb0`Wgp~NGXG7qt1Qz1TA%XM9(ofsnfl+?|M4XOl}D)FaE`CayYS1E}VJ5kHDN_|(E z=Md_WOI|`R(H;sa8peqCETP<52CQ`}t|yx)I&+RflN(eSU8CjL1&WNP=`f2NQ`r#zzlizy1LB{QOwyow&;Y)b@J!OdK7H>;#VaH>67N0nI}d8g}T zIQNibI*HARi+K4=voaXQ&ljw@-Dkyj)jq5RrPAzBO07d5RR+Q$4=tlO+)tKm8RZ8{ zxVGamt8f1Y9`B57estvbE1z;*8q%{{FLUqCn|yogOCc3@Os2=MToXclK{6?W3bHRx zl0H(-#DfspYHcVBGbSfa106kGc53Wn?_TBmkPhr-hw4_2YQ4$Aq%C#LdQ47w(Um(( z(9wFTd?pFCEh6U6hdBPXg0@EHzcgi)U zi9b9=|Cg)G@0cK9S1Qr!DWo0qp~JzB@A9lzEd7e<;g31nb7=Exdvk31sh5pZZ6_c& zDBrBuD@ha@?lH}9+yt3nO%!`p(Gl56eOeEhsSOlGG*aQwOqq8Zc^(ZEyVTR@+D4sS zBMmMcR5>-!to%A`=N6j7dT5Sq7Y0&Ko_7YVDFaj}hDed_T@%?sr-O8VyB?}VlH}V< z6ZNjA$w8W*OC2>X&9utv`L3<>gbvXiHAHD-8)g1gROfa{mv15^rGl`c8mjVoWrJ5z z@6ke%YY(aRP1H)$QhpYz#rpE46woA1wN@H@o6iVMK0P!Bw^12fMQvOibr~HL$F&ld zUQ0%0E0vKow75!RaPQpw+T|*{4q8N_)H}CIY)!I;_!8btqgyeZVT}?~1&xUVROa@O z5?zO1tTd=fks~6ndu)fK0ZYNV39hcnk2EyW@2xQ@2a4vHL=AJu84*u4jZ5?k5K%8zlhIMzt+q~PT!_GqOyc8KNxX^_5+ z6eqS5pIC$n3g6uUQ5T?=heGffV4#Jd)gmD$Z; zrpQQ_QU($_iHOT5E2o95=qB1*rYHFi0H(^A2f2Trw zfLzm27BtT@w{w|zja7obenP6s6(+5Qc@|qmPoN8x$zC)?q>^u@An<6+=2r|Uzw9sJ zL>$S-Q^-A<&Wv3hy;(iDg{9%*8A*Ct5k+N@ZqET2# zBDu$s@G(xr(;$%qqZCpM;z%_~Bi1;BOw&x-A}UBPF2*ZAhv29jDhq_Il~iIURNOxy zll1rsl1&nbGfKlxFPi}UT(Ofy`Z4iE{E0snC;7=I-6@5{&1c}Ky zJc5w2RPvOc8Pty#X`GGk(QFcr6cA^SO^jK#Fq8~Z1^0IMG7>E#$x1E6FDQn*{Ax0! zxyHnoa>~u0xWWR`th328%*6d#_}lf#u>*EW*kOkRHab#Qo_6v zWwSKke>#{b+i0?VWo`QeqE5w;emt3I$#JkjB&o;K$T}8Jj&2MJ!!(i%lZh~i5!q6M zBB4kyP9-!iAGK z97}W4AjOI*qSF*uC#B+>T|j0^C5axH#M`D2W12*q3kx0o+#<3jo4HSY)(xyG9 z6A=VP7Kv(@CCNXY{Gwt`h6R$ETS}_ryr{m8aJLxJO`-`p6OWr#G&x5zNmOEEBsd+* zmYNcrjp8VdEM#zE9G{R#;>zkV3Jj&Fw4V6jbP5_f3A2xv>*DY?PsILcC`rZ&atv}w zJ(eOkr3h}Z6rGNwCA5N~m?|Pdvj{0D!9rwqNoKXgC3!4qz|T6Ch?DUI%K2di;+t`* z)URAGb(kQ}rb%H&ZD#^V=wdUp#yU*5t$Zm7$E31HCQj=W!u zaQ&}5I;Se=*~p~kX9t#_XwaW8@#%z9>=%HZmKNJJ-eJdnHMZ<;|JyE__P8 zZ6l*!{yP(DBH4^`DN!w<@tbk{zgWZOzoJafiIjJ9s!u6CHU4N3S*f+}4Ra zu}HG!1l7j#Lfc2kGw&nQu|?>(Ons9jN$x698CS6GTEV??iOigD$w+yIwS{Qr$UB%@ z&5-4El`PdUyiN`fYdu1_X`4ua8llYXA}L0Qb(|vp^Z;R{S2656M|kON6tTC+$he1t z^#FlYchT>>O^mD1boFUM^(P3lnj+0|P^61YY^xSZ%|#j+OwjIp38&U87))FstoVko znj3hH{fE)b@*Mzrx+k+KsMSu~L3SVFOF4ef^YOqvc8 zV$>wf@jQWLH^|JqO=nHSNYf>jtSow@BAt!r5p*745>JFg2jZ>)IYNz>hFalx1~@yok#1BF06g4L0^RhnYSznydHIR(^(Je}4v|W9bTuperVth}*J} z|4~Q5cL5CFv*zcyBaGC%!;{-DY)ZEK!jNjE&^urG2Xkr*B6Eah8r0IcZ<@4&>zsIF zl(4S{SUokxhFLEPgG#)uWxAZ4Bk-dqcprE|h4XoOU6*L_StQ%MmS%%G?iUUx@sLo+$>qS%Xo=wO2}KlreT%*m}QzRM(9cFrn{+>#im>q zt7BNKie#ZUo(nm-OvmOCn^Q?}^)N+>Il5w(DG8S*8$Lu(smR=lF^WS*g{pTmkUzj! zZ5@;KIm}ljuvQq#T5&ELsUsrc+6hXKrqQrWUe1b0J!$5FW5h^ty4PMJHS-*$_LG$O zjM5_#b-u8i^_obg8{Anb^X94|jtdESWVmM%mODa1@fEUVgB2)l5f$7;xI+UGdD7%_ z=P0%=60D2qscvAYAcmC|Z{{llSu9CmsYs+jh)67tG3vwbk(POxWW@s6_FXhu4p4kX ziaPkBJkHR3e01|x9D{XvOjf4|#?j0ahOt(j&2&zY$Sc_pJ|Zm>FHo*n!6&<$=!iCo zqsGbdo1#Db3XMK9WQa^}NoruKw34ODOxCO7Ia?CU#ljqxg8OK<>!&1pk&O0>B$X`? zkkCtV!XSCRBjorBF0r@C^`9r(rGf5@MrO(jS+5UczTBCOvOqS9Q|U|2B{`&&?8b|P zHD1S~;u0s~MP8>*6X(@OddEYN*ym9=3=k7BLS5bk$!{&|WnRoy1+!3+&ZYEfE++L; zAQCdE$sK-V3giWj@Zd`>7=OpA+80B``u4@XUqTOqj!JCSht%fs~+O@M`^d8rq`j5(CtGwz50x_PoL7ZZJx%H z(-fJD9kprJcirIJJO4@Oi-WAyNAUfPkND-b@aqrF-JiL8MWTp%KvBzn=>qq|I zJEX}rd*6*I zdG|k9QePp{q?juGCZ_ezN_XzZ{=EfUU;dWD_x?fsm%m}Ne!yX~2b?s#gO}Q4(#-ya zi}nwkeD4;TUp>ch>#sz<{{+87;?GC73Hab9j;~(BeajuOaRU?i+3wX1j_$a?(Nh;N z)w_eQ&I6pxe!@WK4n`lWW3o%u-}MyVPwwEq^E*u5xrX28H*t7<3Cow}FyFF_^Omc) zym}j>{a0}~{s2$yN8}m&PQu~uIlcWR$3I@je%B>@w_YYpV)ovB0h>?eark5nTUp=n zg=;u$xrXP9D_Fj}#8I7_Sm-|@T;~Uz4t~d(&xGB)f0d)cI$c$65Wn{cj-Ncl^phK$ zdigHCA3nnU)%&%VjKy(gHy@c@rEzQgC^Clb#E9Jk2C-?D-Ai)*;Odk4q&9$>Hb6f1*A*uQ%nr`KgO z?|6d6r+2Xb^d50L9uTGaD`p?9VfyAGo?k6u{rVhdURc8B&6{|9`Xg?ye~0sj4+z=+ z9j+QTu-tux7^Cm;`sxk=-`pi!^)7xpt`M;6B90$S;_}`quJ2yNddo%ZrEaXmADb7~ z1&{k!7=DYdTx+g<8(Y2GMCv@^%qQnJYanFj_xNxB72nSuVfEq-TtB*o$6L3seLQV6o_x$+mGf8$bN4&&7*nzDFFr-h1>E6TD@;M*v6`zkik=neEgX$xWtKG+Y+am(^ik%Nv zv3zZH^9F0SFV15B(u%~jPJq;5=vy~&*ZUT;BX>D@SnyW4OU4&ZaQ*PMVD%VVl{->L z;=kCkdE*|=FWnO##6Q8sN3IWl`$w$b`9JwY8q#Z9{>DeI$X1UVKK(+iMJ{Ptka)QzUx0FrP}6zqroI8`6;8=w_kLlOL6Lj1;Bx^!i6UJ}+$P z(r%h74l{P%n9iG;G_N0L=$tM6%O~hL??~-}DPs$#m>e)5FZn3Pbx)vklgzecs%9a@A=25hgx zZvT0F_ua$ygP%C_!7l_KxJ>kpNrHDT625nlsNKu>%g>39*Ko60M^9rEAN%v91YRW~ z>=LdgW`)vTp!no9(hM#Te{_RTu^A!jBz`Rue#b>(cb_9_yF9n+tQ6-YnQ>QSv(-^; zvq+`$EJcA+1nM-B=yin@v#aDCJx{E`MJclLMD4ms@Ygp;-tmygtv3nVeU0=TVn_VQ zNxFlTW*?PqS4sB1g16sAB6duWyLFxn-OHl+*GSYpCr#-bF+1hwT`Pp`Ss-ZFGSNHF z6S8{)3;T0;hKTGmoT13$HrYNmaJShYaQ6g-dp9UL{D91(vVjhsCt%--6zU=&yB3Mv zDKvcNS<<)8;k9cNU!Q9tmzK%bTPNzc_%7?jd^1A8o=#yTtMvMaY&$VQxc&lxheW!{ z=1!DGlf3nu__2=9z72x+tcZ_`l9x{Mo!1F89K~s8CoK+_@KB%J{3yYY_f@|cIw9W(`?0w$vU!7uGfUW7PjcFQhV;;N z!jE-P<9ucFn{ISx=xVfEqS-pbp5Px;$ zm!@7q(_obN;M=rY-X{60DRK?35uZilJ-$Mk{6>eDM%r4U{2&^ zH3`ErI3*h}cGjBSepRxvwqj&p%=Vq?sHkmY%U1_<_(nw+4ed8Md-fz9gWFkHP9?&n zpG51&q&WOSxU)>(=I0bWOQZd}_gH`QE_c>AG$&|2(o4h1MQThhFzR`Qso+@@qR&(9E=zGgBJ5M??mKT#=5UdQGt-pW zjL9)Ut?3d?a$S$Zc{-dgQfIMDrP-_;6O<`GZg6^r9;X{LIbNd3Vu3>ISxRiCsj!@) z;q){$%8w&h_fad7q1ti;h3z1fVP`3Fn4t3HDCK5jYz1}ZO2KqnkGYDPgj2I#a8(-kR>WC z&Qp3yq=oq^rB;iSSRr#$U^XW{%~NH$K--B$n$1>d z_c%wr%cR(p=49VSu2YZ1GD_>I5gN=z(%256u%DvRYJqmMCEBc3$vq=+xy(~)IVrwR zlV>+3GI~YuA0SWUMS*Rj(vr93|G% zm=>q9)3&h$> z9<0YHQ{Lvp{W=xGQY$SsC_OF1MdDWCS|K==NF5YfEm3u9kw&-kRCugXVK$1wZiE!) ze)28*MSf1u;I&G#;}S(S7m4KkXrL$>d#0sGM}Q(?-JQg3lyB5 z6uhR%QTid+lv@bS=4WXUysPb&P*_e-F7;bsqqH|Fn2l2}*HoRE5}#(M3B5|OMg{cmDn(!lYA)GnMV<_N~-4^ zDUS0LS}f5jb=P=GdApib$~{H;*-Kq3Yvs(G;Hi9VL5^9_V~Qb(uUz~sGrvNq))<2a$59*@C;Ct~4Z7DD67?|g>fiBwwV4@d zNKbEl$S*fu3rJJZ;Ag!b-z zq-AYKL+c=W_ZV_uk1(V!RaE(Uzb=PVK4GNafUdr63{Tk6P?f<@Yc=DoolJH&QQ9{^ z$+QQ3=MS^7v6XulzG8LZ9UdufT`3Id`;8sU`1CRK(k~2uIZBAS$h~7@bnTL&+&)Rh zH=`t}wM(+PY4cgaZ|@);YD)ymg!6m<4i=vYi_sn>RkejEjdlsXmxTRe#Hsd>pxz}@ zua$6>E_tn&Xn8$Ft$~b#9mJ>&;J3SpXyvEs)tlvLkfV`=gRR6L6q+eVvRW%i@_U?m zFJT7;OxWQL!ViiMa!#Cv@{OHs;+N9T0b&ny5GlUK9+JmHE%Mk->Va;#Zh(04HA>=& zSMMZee+yOH8!6sdN0Nq|Cr74A8#((Vc8xAvwHjrTmdTnGvPR8jO!4Y%#H#lYdvK5# zl^*f4llUWDglIGi)2twVUmaNoTEzDzQdGMo#&JRpjY&*>Vz-lw{cVJ4DnDH=!$4KA z(`X~^V3+tcM5@{pNqa{K-Q7pD+OXgu&ug~GIjtnCcN2A>lPEbaUJ5f#bxLBEI5cG9 z9jYYxPz9-)wZy6^vFIlBU@wuo8p+$+Br!FTuF@oaHIci&k*s}}c=?6@;GMUAMPFE0u!=DIy`2o5siDcVNNS>=;Lo3v^wAAk zge|4+>L6WD8l(0V20p&Y%t!x5;JbayH2U)G^-uZr_RD;C7_E_9EnvR_S=1N(NdW&8e}>^rcBqx(M) zx|K$CsuoL&j${=ZQ{8xk(!6byH6J3i+?>W{3vyG`I5)hPrx!os?BW|dx-X90d5MP$ zUohp}!^~U%WJToyae6}Wj*c=Uv{&)g5_!9%lb^_>HOhhRbZ6qMtwa_kkZv+c;HOt` z-YpZvsgg?TXo?&Yg~cRNVVh2|U4|Ul6gwznx)+e;oKK!Z77dok)Y+tx?UGIU=J^R! z*u@GHh!J*^K!HQ5FrN&O8p%}JMayduRN5!YR2N%kGN`pqr(UkFv`eMfNzRoc%RWxl zNR#QGC6hl#Y@~~{OQgm+feg1qO6-&5_cRLJvSm_d(qNh(_7lZtiR)AznNEd7dgTkX z%@Qe)E!QWA-$~S1W>agCFKf%Ruu}*-$e__OY4a$si4!IgOTGA6XP-iybqd+eX(W24 zk?R;IvB)8QDUVY7SV|mXD0YmL$0)%og>t7%nV9KhItvC8cjxIO%54+LmvzhS(@Azs zL*bT5_vv&xPo-?)THq8fwqnR}iI#X0sJBd|$|gge&lDyi7`dcU=$I-@Dw9Ho4DmNr z7(}$u&%R;m+Sw+pxh>zTH7>X zcXFL`me@+%e7;Q9t+2`!HlYx|vo@bAaE_ClqzPNe6#JR9St^9>rVC?8rR|L5z$#Ya zlU%tZOFZJQ_*y2pDO37l8%wF+R_c@}c*jakqsehipvqRRvrd#alc<*%iX^5?=VVen zk~V!TbW|RHy4LxVdda^_97XPVSgKC*)|Nl{;)5TtGHMoU3@fc6C&2k7AnHc`g zEZw_=RqK|LVVXy+!4M1EZ?gQ=KM8t&SQt_mPj7y)`8T9zH{Rj)!s|S^_BI_qX_54& z3*8sXXpNC|!vd%XRsNelgQ>v`&W?LA-)Biqx(bJnZr{B9$o^g0Y*E?06O9AkpsMjI zy(I-?*qvnIku@cMPoVj6Ci8b)DEmE_p68J~oV6p{UY&EThxqmC>pZ^m1`nheDV@2y z@DbG(Wek7tpN#K0Pr6wvrFxx=?pmYvgYSrZ{TH$hZLkuX!>xK3+S6Pp38@eemI?au z0#=`jw$~p(ajcO{qegP|Tgf{jEJnI={4trJ_A4Y=FB5AxO^WE_0-Xu6jt&uICNd&; zl}w8%676gboa*vIYrTkg9pR&V4Gq`Q?3zBcj)j zOcSF&jiX45ys-1s2wTe6?Ii118znNuN)JnH;&0k9u`hAv9TnE1JG%KdhjiUR8np%~ z(d{F}NLZY47olDfqsIygq0G5Q$H~?mBTG+k(39yezUS)>kSo7u2n$U#6e;33N2bv1 zg2T$!hse)GOKy6y;hhlk~QIV9ffBg=9KMmi#8bmknhkE z9TcC3#s6W+l^g~VgAA#xW8;Ehzxdv_iA9#K*c1E8*fRBdNi!6-B0k56RLZlMr$lV0 ziXVwm3x!(4lt`SJ{;T*O7a!%h0$r&Ec}zAK6?{h|f3l_=*+=_HHXM}rhej8WQXzK8Zg=9`lY2Nv^~{@xM^&Rw4OTVw5E@c%$4w4_* zN>fT2H~R}%$ZsM>u(W*l7NH;9q;cy2<%W$U9xJC>>h#>si=2D=-wAnRjG4Ny&3kD7 zczcUTxA%Cm@dgjCzC-JG#|iyUe`+r(=nu=JG9;dw;ApyHI$0j7Vr9&N<pXWagyh=M_}WM{(8 zp3OC*_xy!hnB+WC){Bwfm3fQVDj2z~;cYca=$1-(zImSXnnl_En7JPZ>{?)P2~VNo@B_qqS>}qdHx9 zxCpCEh+()mg$q@6bh|9z_4!$>UVcpIn~!PTeoh+cm`L1us?{gi*tW*i_y3*HH-?$2 z@#E>;k9n^A##(7iE2Hmn<;v#_-Sa2$cVUZ{Q)!6urqSDtUatr$ymKkYa$}@hi|$%u zl43M5GS+0<{`XK(+0B+62fk#_!JQm9^f3cjRWgktxNswx=;syWJWD6;jxl!s?=13v zG2&^Tt4y*yx@#hM^zdz-+<1Y9x8LRIjd!^}|0S*F6^y<9ccykul5CMgu~`N)DwEQX zt`Yd+I?itmQuI|b5z+f}-~FH#qDnWlj2H2d9=bMG+da-BB!i`4pEpeyJGBOccnb-m4`(?f<_AIb56 zA-DSsxZk7K;|@JuvX1vnI(=?1?0Sn4w|lhs-lk91I&XcAVYkb)h1{mu=kn$q0K0sy zioI)0xZDt*ii$k%uz=k`jx{T|nua=9YMWx?aR*i$}t zOZ>YdKHL>scLX1?v-$iD8oaMk9(t3iD6!>#jV>?c8h0edyPMYxZ~iQY@@F{)1nXYU zJCcL@g7qT?1or{wyVOd&xiMF$iM#ce4e>>}MyK};TKsO(E-@(c(Jx20yxyZcJZ}ho ze?2$keq;0X2EWUcgbb)&2gCEh(!v$cVDX!d=~h|5#DJf6|#{4K*Sl7GKP^gCabb+6GQevi6J zJ-YsdkuruZd2T>rYV*EDy~MGZtDq|kxLlMxUt`7o7V{3u+PeGKn#y{W94QZFu9Uxb z$x$n{mhAr+U!R`{47o;fbPi3iiF601(r1}V#W$S9qe zt-Hv^uFEXH^EU!t>tU|hn`d`F+9V}EoZrdv%r>U)?W5&EItjnjQg*hC?vNaMor;*T zZWgSn=;#b&X5<9pZD!;qoj^lfg&is%vrpvh8P58Z=R0+|TIF6(&{J$WxJNrdm(0K{x09+GVqfo{S2jE-)9x$!S8hmW1jGoz*qqQ~ zq1}3uVozj`(B)jEq|y;aA}lM+Y?x@1Ns%(MVq;ch#uSM{abtwmXPJtm5g$tAntYLI zxrY}h(O#rj9*dNcL}W^q-jvvx5lJygw*H(@_IZ&avm!r4#>wPP7h0crTx5yyG;v0g zA|u5Iq40&;lVW>DD7w&jkv0nLDWTRAgquzfWula;^CCGGMLsN$sWm0n&ygeREq01L*GMv!9LV{(@?4HmYRI`tpR@D?TZ1K1 z<>wsTd6Av7GN6=_WQ9C!rM#UdL1Ol|6q)0qLeTh z5=*8$DvpUAi6dWRa?ug-U*ve)36YU@^VHg{3&w(*e(1f{9WNk4haVWu#oA zzaaG@wQ+cca_u>aH6;i7vm_m#Cr;MSlsZ$$I=Ld}b0lXO+H&2AX_Cz5Nfcc2j;=~9 zE3&rK@KKTPN9Q(i%Fz{>DVSy$inKpIBek(ann*+CyWdk~%`~xDbXlOw48p@hqhxFiVcW-``_V>!K(!R2VU)NO_YTL@>oqhCw>yP5s6jJ+Y ziItkpGJ8U-`U=Iq3&bWkvM_&=&gO4WWNzb#rY^hp=(7L79=2>fupgD(J22k&3iC}7 z-e0jBWfgsYeVT&xgKM7ZU@iqy~Ka6zsB=hFLGabJKMz% z=|5e>+?M}h>fLJs{Gt@;I%8koXKK%VhECn3%VmQbVbk16ZXw?>nF8-lDy(Pl-+F<= zTh{S@Zwcr3W;pZuJhrdT;PJj}{Lg3c`e*{DZHt(HJc-#$gL2*iu5Zj?_vs`jJ{uGn zw~YJyb8`M1&RfrN>ccrKUK_#krD0rNn#AGdQC!~Z!%w8->D?oo`FaG~FQ@VUdhufAJ+_y~2F^SU)V>rG)jpt7BnODZKdSwzjiOKba3H-$; zkJo0gd3Ofq&*t&};w+wTE#maz6b4(zP}l0lLL`IN)){m^9LMz26}(@a+lkw z5M0EL=L^%gygrNV`{TH7U%>N=S*%|l(`4od_IrO7gHF&JH_eGr*Qvx z9_JS)uzhJpjyW7&n8W^+Da_s#d=H2WGQNP@=aPq4hdA}hASUmQW4&z}Yn9$mkj6MN#n#9{ODtVoS19AB5~-Wtc{Gl}i%Ssaw#cKhK3HlIiiJ{rUP zlOe(mEN=Q>`qn5`^1SO8;;*cC=9N)g1tYI5g3XK5SWEr_4{bd+bvpGthMk8f~=erX8Pmq*0k38|Y2shbJOx75|Es~Ehp#9Lby z`1B*mgLNMT{@oPYS8=O+ob`e}YJ4XtxBZ1mo#)hlF-)1(;O3C8S6gD`%d4z>@-KqK z*SSUyzQ6bGW<&bz{9a~zKV$9YXY{Y>(eRT8UIjt;S`FghI*qMMC*g@LSbH9)rOk-? zs=X+(Kf~1U6#MpC2t!g)elMFk8auQw+xG@*%Q~FDzMGEu5<&)_;yZqq$c`s?72m)j zdx@dtRPL{Q%JnNR@%-8+{I>itKiyH@jWMAmJ*{!67&N?)gF+d^N0$w=hEbU zqRsLTGEe?Uq}DxR)b3Dl_!${$kIAw9ksz~M~- z%ma_fI`o*LBb9C%7KEMWV$oC=9+MTYN}XeL{ljGg8%**E}KW@EuBm ze~7&B}wHwq6P1a zqfdkxJfXzu7dd_=!}t+Nhb8Yi_leZIL!A9%>Yc?;sk6`{j|mr_3e0{a?ufj8P-;Nx zCQtH}Ew?Tqn2gA1HjDQndG?Fx&h17(XP{@@JCN zq!tf6A$#AqWQm;=wR@x*JfS1xpX3<{F6#G)*1AEq(M>!|E>m0mpX9iGPq_9~f{)%2 zR&kedsrhu(N1HX6E;#4xdqnfWUnxH%*ckjwrnc0d_?9BE2C7~q#OE1>75`1jNx|yC zT_RL&%k#I0)ObkjLFImUC^@@FnbdXcp}%3c_n#OWT_HR&jk?@mI^&|bSXM}TY&g+p zieyhdB=o~4RDZlifm$cI$Ma~>u4R4u3M+5_gCJ?lbBzI;H)#Cf+7^Dg`Uy8SKIPht zkC+(Jr~S%Fa@%|<$>}4db(-Y94wBkRDeg&Sb}@+gNnLU?KIN!}277l|vipFVG$eIZ z4(?W!hV&ZOA8WI8^(!XFQ)z6!OH<1OS{i?)Z15)nZrmdCegL;_?B?;^?L3r*bbaF^ zp5A_0oOzAAiyu>OS;^3A|H;C(o07CnineudZvPEhKD|K1>t~7F-p`0>Ip>1osSL5D zDAt;W-~f?qMZ}rZQ0Y=YzH_=Xh!l#vlPUMiX56Qm^^5@;A}YytN~6#zTUb>V`R3`g zC_2fAQBV_DNV9VR)n*waJLi(*oJpHS7IhXGoBzHqv&*91yO6$|Hq!m&TK8Nj(o8BX zb0~JqqNlirT;FtRLkg*M%_i$a9C=>lWZC3WXq&hBZ|Q1_92)KNsrAffIJcK159M0< zl-re4ExzPpi*=3XAAW4?p{y&l8^%RGH^8Y*$5}V-;mK z`IOq`ORRa~r-BO0eDd-e$ZD=+FsguF!64ctpJ10l8qSnbC+B55h+o#Zl$&NU;!?Z$ zt*xaJYpHWF#Wo6RWxYzr6v`Y@=!veQEuu*zLLrGZS)@7?Qz$lSEV3yQU-AT(BHIEv z1f$3rnv2>aHa*#!`V=l$wPs6D~YR(i= zBzWYxWKj`NNN;KlNB266Fy~%i=PX2^EZp|CO??uT>hUE~OL* zmSxsbo09Wt^DN0xCXErbbma9=?36EcTuheKVX=KGaiM99&Rw7`poDtCFiCI=JtKR> zRiu=}Rb-w`sbwzBmW2#kmeKE4O|G-pw=1H~wpilIque@`{G2k{N5?7l%%RjGlVT6? zH?WLM!N0&&>Oyi_FZEdOTtcWxJGvTk96#NIUyvguk;mx^aA7?ti_X(!#O&2#EvlbgIBeeJ#M-Fu9kqSm(T(Avd;13S>$ z_cAvgnlZDk$;FifT4a+pM$gg|yh>fxFah76C*t3dS-P*y&HFoeaQ$U2NF)08&X&y& z*Irrsm?9S`-0lA#T6g@2m(b0M&#tqv?J-^7+$8vwdnE08#InT$Ce4?LInh9bZJTII zq5of=Nrc7uBEhpQV@{{grQHhsM24On6WE>Yj_40`}Zy>~| zg482*WN4I=eI$)s??lSOBN+7xr`J1(KL0Qp{Zq)bN})Qs2Vd(Ff^-XrQ7@(NWCKCk z3TYf|gc=nPuaif*MuxDFIMLLpG=~Jx7~)5VUySJMBr3h7K^Pa%7&C^KX(3T+MI>pJ zkY!SgmwpnSK^4;YIw(1qkN1&0nV<=zhvdk{h^5gdf@c2+S^~o9@s6X%OPX(3E1pJq zWT+I&bgd!gcqJjmvQdK@C~>JFN27p1jTE9!#!}&*PF+w8jX~iw$k7s#M4xPwTD>gN z)fFU5{0S;Wq#0HceY661t7;;Wx+yhjpkhxot{Q0sxo6VklR~@1Qxg;{4cJfo3T4ov zoIc~COLZV<3p`A*RM=MDtb;M|w;jNKCwwE-HfGE2Cy=V>!q&_%W zHdBVM!AR2Fi^xwHz*94cq(iyHY332Gn~(p|T+-~C3D(LY>R>u?dI^;IBvT^;sWH@( z)(~$x{6pw;O(nx9oe2L%O2T?cRLdY-E1Q%f5}UC!co|-bGYu3SEGPbe^42nml=-F7 z78paHPcZ#HfpmmMk?R^u^l2GL!Tl6kHxqS0A+;q~8&(jjTTRsIR`QRxlBrfmkocNq zn@y`nGTpvGvC2WS{Aw zOt+0Wi+NToMHOs+gx|~eNqB3GzTF+v7*&&Yyj~j8Fqd|$bK%|Jh!7dNR3FQ?H@@PB z+go`4;02yO*uo!|bwt&8Go1cOPzH<-!LP_?FS6{h!pUTp&-gkC_A4SpViXir>9Xz)SaV z_B-f$jGLry_vd{Vn$-?sgIFH zo|sE_Od3N`N#ai~1K}0)MKn<8DQq*Zm9eHC+9L$Z$UMdpCH|y5I%Bixjml&=P9gR) z8BES(GADcUR<~X8{*sR{hLq>{2~+bB=Gj`$U_%izf?H>D1}zzx4CN~5h|7>%q%$9x z!$3?bBkA&drcB$|7>Os5k;oLuTP}@WviXG_EH$*UmXpt{u;|WYsfB_v#s!O>$TE7R z&ISaxzJxR;vlI*`X3`s;N?&vq{fWXXV~Z$rj-)H0irJQ7Mlu_iiYlc&LE>jU5oP^JZaNaPX^xlLm6|!<+{S2F7PC>sw58`TB(>9% zoFs~*gsS`;IX{Q?_!0^u6m-QE(;uh2<#L2z7tds9IxB(MET&X3msG|=SSE9!vX0cq zP7W`oWDtC*T=r4*enBH3sHg83H*DeNoO@(4R&>a^>Uq~WjfyIPhy@1WXmr(SR z8;iG1`E%TW>DJF=@fZ32)?aUoY_}|>=gU7?(A=O$w~3`a(_H!H?^M2WpOBXxV)puD zQVu?1DC`n(P9+2wR1=~xLGzF-YbKDa_yw zE_)?2?&3z3r?1EYVLd?+Qp8e7J|Y1<(isqXn=N$T-yoG3wK5`ACJ0iWBJ)5cv1-La zlbczK$Q9-lNSkLObsi~HN`uIcOd_QupQiF^2BeAC3j+#wYQavWgP21TB&l_YPxZv@ zYavUei*e@}&c-y#R8JvGm_kBKA?cafWX8slS(Q(5aXB?^Wx`H`Nt%@7qb3tkt&PM3 zT|}#O6L_eJQvDVd&NMOOUO~TaF?H_Q6bnv;QK{snM^Mz7NJE@#R3`;xE**I2R&9Rq zW#++7()SBH*xNzE&N^C5+87o|G~ubB&sC(2M+D{R87RVpX+%ZQR9z`-N}7k8Y!v$% z9FAlWs#!+Fp$5U^FQ1adUc9DkOy5CflZR*&9GgTs*Ln#<^vo1?SU`VBIc*||+uTF~ zJC_PmDHLp)iBNu_p-Qi?vk7AN4$AXV_*oqcB^A@5d`EduB1wr^6Q|q{K&_FQ4;pfld@|F#hzN4%sQA#>1H~#m_Dll3Vnr%B&5?Bm`P`7 zvPiiYs{G=p6FHf6O4yus1yQPp}Y5xdu8XdS>h-{KUc|N_39=}*RUA!p_45w6@ zPm^Z?{mp%(C5cq@&5~Rd5N240pVlBT`{sz-J4@{TF|rP}3sy3Sq8ho9B11u>UagDB z{LoaYQu3)2w%ge&gIStsn}^6j`*u8!^a_(4C0=qJexO!zE;4w38>JfcOnXWFcoxv( zm_&n9A{D`fR7RH2FTeddXH@CT%{IWQ)EwxLhwnVLh7wb*k_PsKiZn} z9M-a==%~HR+U#kj$M$n}N~mUrboQVWp}$#DoQZfm zU&8l4l4<+tC{uUTdAz!t<*_gL>H3TOru-xK#Agg0ucGbkKQ`~dTkOzEv2Lf(y~~X6 zyG4WQG{uJ&7}dQ<|CtedbnEawF2$$6jQ5uUz!wW-={JydEK_7hHaU8EEG@RHGg;4o?uLC7a@883`xKP#hZ}Pj^h{e>(}s zdr+8;(Q{^$(c?|j=ogS>)k1#MEaArKqQQ%ZIh{qaZY719Lj-E|5_fV^q+317C)!0` z4pDiukz)ORvQ5Vs5D8E$dOFHTc`MLC6u!fFohrsHw4Lnq1u8V_$v@JG=P{AGM$^&^ z2gp6vL!Mzj3L}vshE4RCO)_TEL+i0RB2Npg4xJ?;yq`d`0^*|j$c>mGLwkV8qXPu! zbrNMLF&gxt(CZhBhDi}k-*BRaKD{Q&jQUA5Y9+(Gn%s~kLY<0mmBtd8FU`-sm-2%% z(kw=#$u^N|*eKMzm#U*cAC{Ald zVbV^8+7xjI$MG@lA;GwpWTPRnjJi-5Gz!+02k}vweY$Zweoo!wcnmS+ru?ot zS;wIYFMGi#YLYmE5-Q7=uy@KMLDr7bAH(->F|i_(vh}3)j*XITFi4fQ;A_}Hht)8n zu2aMsSCMSnfwN@=nPC$m+iIyOyMU`Qe@7cg(jUcJTZX8C#G<^zfc_x4raffpH&UfH zN!RgZ%Jted<$0ogE8b2rV7#XVGifS0(>R-zldLaz={J$2T}_5gJsElpr0La3tc@g{ z9OC5OG2VXZI$wPF98+z<)ll}6Mi)J&rsybZ^}#&7_4Otxd3^UJ9^HJOf4g8zmA)UXq4u=gjV9syA*ws`sctK$ z@L@cok1S{@Hzmd5G}8>MCgNSIQ}2Sr2cNs)FT~!T-nX#;kS8mcMEs!z08BzuNXL9N5?zLhP1GG z``A3=2Ko=KF}iP!VKbR90U{qFmC_=X+@LHe45*9DXA}`FhfC6bRiXfrL=li(&AGj(kzSmux#3c z3h4=vi5*x#vyaHS%rffAvnXuOA~rLgmWnZ2o5m@ZiISNZMQUaYr6OV4eG@4UkZZ)3 z-hc|x|3$P0h%dq(>ciT__et7Io5-oICO$Qr&dx^K>dPr9N+YZw3Pq>LFo~flAcchJ zWU|9WIs}Q7^eLnxAfFzQXT#wctY&A^RUS`!VH&N;>5SG1K6PbO=O>a=l1xicE?oh! z)P%&N2uc;KN@xiYIp$wNn|~(t0ZGh?9Gn(r)tZ?{UPdZ4rA2hKH&IbrM0kEUsci~s zGt24pt)(=ej?CZ^VU@z9LUV=jUa^JtUD>JX7P#g$AaD@2;cp=iw` zx>ByIucB3?Xr1!9fHaXol5b%LRbI;LMBWPK?LndRg@w^AjJYdXo&d zM^sUXY%cMoI9;$QBqTeS;`n5dsWs#~Rnsbarad%5uuh>x>afedTx=FHD%cDURuZ4= zLrZfBQF&3+G?p+}ru;`nDJiK^e}S88FX0%xNKD*WPFi;o>)uDg(Q1OU8i_wB z6HXElqa~AQe;-Mj)wmh9k#Dg;bj1`_?Zbqo%u}4ON^Z&$PTp;}6inh+y^g|afMl%} zEOe@|)odnIeS{>9eiAf0h(0LO`tXoQnOW>QCppzKO>FWMrHSW>mPeb2HZ1EG@XeYh z$7qB|?Oxn8`|#2nlei{HP#fI*Axf!>uG&qE_?2|HN*Gg-VAMq9!2$gAgt5e(CpC3R z?2KbpJcNF9Gl>avL<#Fs_{@@YM&cIc6{6Knl=^^NJ4T%Hllf}G@(#5VdQfETscA}k z?~u{6LRjVsdND)Tr;ZWtzeu6yBH5nPM49#yeXJKBSvy+2n`o^u(ln;ny!(NAA1Mcl ziF0bEXze?^s;3C4oa0b%4bjik}q9r zoJ@@gIVOemOp~I0krQ9s<()0R@X1@hVZ8qqp+}a9Rc~O#ZG;}1ev$>#h;7$M_~a~2 zJ9?-(*)Hm&LK;#NXLrrB{@&jSesh5725CsQKjgc1-0a&tyZAc89V&D!o+f|7 z66fk*A}X2)$SxqDEslsvGZtrjm}xyiQK}|~j~r%~x(fTGDQ)>iztbw z$u_Ld)KCgzEw`Ae|Cyok?`iFNK<>u(G=JO4{Jm4$xvI;sr9#0OTJwA^1l%&n?xtfeCqR6F`xWXx%I%f&0AEUl-j)t@aY|k`Mm~a*c zd!eNfS7p<+lkZW(V2Xm?I9J-z0~tz4XDUv#cv3T|wzVWw_F&&RLTue-%9Acp5xa_q zc|A#`7jbXAEYtfOnMb8b1P_p(TuOUdJOe2~^dtq*pBl-i@(-3G%bZ%rvFe#8t8jyw z(ZJ8uLb4{AoDA8v`M#PrVlAKIuW;#vDNem`NG8r4oSa>y| zHWf0lXGt%eq&#zy#+(zm3hiF7mR6uHnrym3F(ao0uWj-k*VC;wCzm2u^Cr$;lA;VaD3 ziJ_zbI^r@(_iDs9aGJcVt7Mm4z&d{f^N?n;LuQGz9i=kmG1(sH2{5iF*HxHsLKc1T zp^S(h!;-6!xEx_SX(IK?$!WPv;qYBj^Uq@w(@tcJ$ZlzlCEg-g)7D9J7$nxTnL_#WG2y<5Qca&e7fsr3cX`b_N4PxwuWB$h$yl#S;G616T&PP#d^L zuKNTvvp*9UIZuG0G$N}Rs-4b}q5KfJ)+l+}6BHbt5_!Ff<7GGUd&8+usHk;c_};4 z($QhN`hNEO)sXhENA)0w54_3Bh#^Bmsx)<-W^!zt0!0I&HWT+2w zAts84O*ZsadQuQpLaF5#VLPwm{LQzUZ(NJhxl63>1A?}lCH|WWbnduIxAtwEHKwsO zTO-}$KGnWY$uPYv?BP7t>T84^yFu3B%M7{RrP@jgO{RIFY^Kc9_2ejTK!0+I#AD0& z8eGQD?y5}Lo8;Nwp(5ZJ;igjrYcJ!hE(t#Qt?2Dba?UF`iHk?;P19NU|C8Lwb%dJYr!E94nHpitumi7q!taXCkY+c*l- zT5?URC^8?U@YoWmdh0k?%;4g+Oq|DU3Ot{aZE=;5BQu;f-@wuFF4@PvCEMT@8IBt= z%||G5ZWWAMC^hM!U zUL!>34uN~FQh8YLF}_Z=;}p4;y|lQuOFYeDQy75h3<<_-_-mX;p?{wO2Z_h!5?&{k zC^NrK+>y(K={+IN@Cng|*T}V9rO38RSY8t?PVM4H3+3WVfzA>^``2)@xrkrDbz(ig z#oq2LQ8p_Sn%pE@_ZGn>55>l9;*GA6V|9uA(-Ty9byH)}NSRRs`J0XWBAz-|$+CMw zr2Dr71mEJAwXhGn4WbOr6YlZ^FWVmpKX!}U6Bo&_pOI!ePT|R+&DzN@7nx(&MYY~2 zk%Dnd@b9?#J|M#P9EbG>@V327tbsH-*Y63G{3mK}5PRkt5%y=vG#{tMMVg#R8AYds z5uX?#S6lEAx#jP0i$L$|c-gJtesYl({YPYJUc%S?J`R=_aMYZZ=5~=R$yw+(3&ec2 zMxyMeq;2QO+IJKC&z|w|mj7VKXMbWRY_l*fmXUHt?)N*gmXk=FFlmQZe|td0B9lA*j_+F|Of(1b=>CWNDh=tk^E;UD_=daJzGnQII&IJV89HCV zz{Dz3t3NS3u)*}DHT=??n4NKEr27*T>Dy4(I?Oh;gY4QX4e9IsyV-N#Fvs?N!eaYL z#z*(jH?Bp;a0t+O z){y(+A9Si;CfT5b8oefZw_l*?v+oJta+lDz&N8aH%B=o0L8|3=A8jC3D5%S)>zKZJ zANRM)aJ(tPQN^d+50Q#zP~{5$vc>BTOr`^HT-Sw;b6PL z@y~>w9=Jy2o=bS|T*PJn1}1OM;{28{s23NpdQo{l<2fu}TE*zivzUIoMu_Qm9NsxY zw8=$$OwMCswt?0Meb{|GN!YdvgnxM!pD))ie{&VL_hrh9ANDU!i%&~9Z`r{1r6o>@ zoU}c&h>_|jKGrvIx4eqI#v;aViNyMNj-ajQ@YpTv@yiuXzdeoJ8*?~rS-@rUzTE5b z^9H)_EMs!=CU(l(+^Su~dcXMc&KxJ+nL+!LY1|K8-+Y&{-4|zZl!CO8nA~4ienevm zSBc3%Y}tt~RXY-l zz2yRLyuOTqW(!d#tBF4(%=h>p9hx@?ef_Cm_-};2`i!n`&Qf}4f?VCRRBWB2R&vs3 z@?QjhG|X6&A9o*pxOrdwKQ8U$>gaZ^Ufjy`Q){w+N}=%V7}?1)RAerZaN|FsM+%eMf<*GQsXukEgp%i>7%`j-|w9jdmcm4&kiJZCU6H@~5|^BtBYrAB%v>Ok87F2*wqGF5e2S!#Ba}EUN?gO# zdTmhYwkl0&m<)+K*H~oNv2n6xgJ&C0Q!KHS9hno%=14!jLV}stmRJ(ZMu{^WCdXd+ zcb{>pgD+C(wnU1N_-3+*Lh_ZZJ59cx*!W+ul^&U;QfozUS|{0jo^aPmeB6a$cu$h# zAhlt)NT1s^k?G>A+3f$9uD^_~BU{!rVHY#oWoEV{Gqc!YW@ct)W@arh%d!O)*<#Be zGgG--wX13~owM(Od;9Crf1WQ=wa@L_<97d;BXecW88hOI$e8g;Yt1A{cbHtkwCvE( z;`o#^LW56@lXPN~d<&t^W>O(oNF{F9BXU}abnYY0pkJuZEY&7gh|=m48G?<@AW5hC zC_FGg@uABib6jv7Ci=vAO1MLlezH8~2-of+&1MKE8=*?h5(^e%lr-%YU#4j`|J3f8zRVfgj92R284>`3Xa8kaZwp^iI<1e^<(Z)!b3s3HR!?VY4^WBYgTNFtc zbph!UEu?!EQ{Y=bo?Qwxu`05%oT)26PFvk6;$wGl_~=>IZ8^YpW&4q>YMa=(aVZD) zd`@0`78wRX%-l&Q;^zwTzRM){i3edn$J70tHVcE6q!>kWG3N|F-v5x7cRv+n-r@11 zCEU6E4ORLD6n^;!8V=0}pO(pW3%IaNMUO^589N#Y+UG@wxefQ?LugMkr@c~-zKkg9 zCD3z??$c=h0F}j4YAs*WB9x)U^f{fzPiU}sNS@_wifr#tVzEGt#Z5}AuTyAqgA$ut za(O_Zn^Sg`knk_`8v@+X=l-oR^(d3b|$COJj zlvzBd$>s-Crq3u9Dp+CjfC}sTR9lNo#Ws2yKyo zGPy^q**z)+vr32ilnO2D|$4E9xYP2wwt^ZA3hT*c1!HIB{s}cVS9sG zYX$EG@x?t##gB!eW2NBOCjRR%{dIhm$Sx5ZO2qCm#dguVN_4KbxIw+?9Q7t5OJo#V z-=f;)9wmNcvm9pvGDrWDB`3G0-OR>ZJm%vbsaD#IQ=S*+6s`dX*+}Yt5f> z(eMRr=kBAj6j?%<%Z+bRBUJf+$427E{2>)Cg0szSY2pLR`}7$pvHpNo^ZQgf%5|sf zR9fGpNpNa4mvIuC%Cl8t{T-zazYuBj6HeBDz{BenIoS!EuQI1EM~{Uvuf=bx2OhVQ zN;#NF^&*z!@N8inm(QcJ|? zZfz#|_vX|-sHGvSfR+%UgnqshdPI|%;Y3H17BwY%iHqINF7>^O32B?KwI!Q39%27F z$xb^zptPix5Df>$9|mCh*HR*X(@4@I7i|AtP19>r9`ql?@0bnq6?Xh`^CP~0^e%T5 zy7pN48`9U*85U6S^`EHRHA zkX^)TT4S}*5#QYo1nu#}XO9P=yR8XRwW1nzObcdr9Jd*%LaTjBEdgsa&Tx!szG zoz^192A|_D80<8{`Jl*^@iE(7iP+&xz;36-7AP%9-I0(z&Z3($VbY>@*psl`kw^_^ zkuCb~cgB04qv&dZ=K+zk*IC9X^D8pMj(y7KUu7vWeRjJLu*;R$ZSEv*mN~b$5}@IM z>k)4(4>)17&5R(C;V+T)75*yp{&j)+}?@eYxpW+y(i!)up0 zHX`5curuxl1a}2j#W!Myf~&9S>wVBxXrd*4`$YaeJE8qHM9BEKT~0*p6gxy`o1JDj z9I(apu;8<3qb&gvE5UnQL{~SF=PLL(%V+U{jQ7{DCSae9=x9r@niCP~?sCr^^Udbi zA9lk{Y>1I@p)!ZhKYbl4dMUVssW}k1%T9E0A!?TcG28435FdC;yak9~LuDSPt!6lj zO&*6`7BTVJ^}l1YXs06Upev?ZB<>H2?h+G`GG~P76eQ2D-yR!+_uGm7Vzc;q(Z8aD z64#zGzvE$RwDudYYnv6v51HZbs76V|3MwPs=T_5ME<}fsW?V$9R8~G;U!d%pF=E$u zFqbsDC zc27U91O`&$lR#3UJ#7uzG*liYF=-1YPM>7c4oOJL_9L6O9AVFzee6{Kh`fwkicG^8 zdf^=Ol@F~xPu04A87EhkO!=16OXfe#A zb=99}*ms3!om`5~meaHG0$uB$5V!gVslKi=?cdJp{6=mzRdKaDgBuM+R6Dj1bEZQ| z$rcLrgu>~ar$ncjQlT>W+AXB%HLOe2rJ6KRMY^q&DyxJX%XM0*&}^VgPx|TCQD{(0f_V*LHr3>LwG(9^WvY1_ zh0?c3#unYfLXRg>e!BD%EGMzR` zML*Fk&ahFi6w0F0M8&ywnWtH>5bTXw$k12@vU;Mv_cu1FLM;joQ1k#pMvi{ZB$y0 z_%YG6jTEacDuu2UX?0Mg)k&F_(qCj)w3Fu0Nt|gjc}DHzX*Y@A6?+t&ex0XCUnQ8= z%e)Qbi_W=XOO9ZYZO}oHagWR|df0XoZ{JCx*i)$ZRj^Qeti1I&L;7XO@z>TSSp2G& z(h9_9W!fq^s^r0~Bi*|LUz;kDY~{Yhjq;}B+;dH0x5TE*Qzo&Zv{G%sU*fV{yHP&Z zN<1iU$*m*byn*<0r4;yd5^PyPs%ax7;=BJhZ1R=goEZx4;+Hh%ZX!*a$TV(Sw7o#? zD|#x&3bCbJt3{eJZ@btfILUL7qu)uIVH3eJx2@a@uxq6^zmkWIWjw1X<7rtQBf+AZ z&MiXM{*B=Gp3=O!pX}|~Fy6C_ zk=wgzeh^6NFG-Y4C(#@fM5~`Wy}lmwBp1=x5W?k4<_vV7Br$ml2M+ILm{%-u-zo;LaX&nEq2HGXYzi{~v;H8c?+{gTvG zxE-m%&Y+R{pgE~#N{K$$EPA#Puk@Fuj927E?QIhqTcx!Sy1$vw1C3&P1IZe7i*Jf> zI#G$QLk~rcQ;R>#h?Q}RWB=Kr6d8M3#U^EZt5ju;cvuY*tap*59j%M^L-s3uI%RIb zQmvDeU0o#a>Lfv}OY~OoXcrtL!S84zYkM1Eds=Wf)=Z4D>DR$F5@nt+krBF2X|4Y} z|F2^k5h6Qs&#zcU@9rRJSDRqaOwhq5tWT;)w7VkrTf|1i*8j5i|85F~|6kaIi+sPs z;`ih2i~FQUX!J}?XeTK*X`ve>D25hpEXw=7u7 zy~RE%A`Ul*tu<6d&SQV9oWT8cB>sP36Crkl91xq1iY``TI2~ywP~t$bU5Qb}{ur4n zS^S-}=x=#`)jJn`9xZxD$#W5*ehKqU3#c#qBL_FV#P(E%7t8qmjwGZT>*=jp#+7U9 z=zD&Kl%MQ~Zj2)QYy{rNT<|^QPJl@QW@dXCJg-k-wgyQl8`ytDoh@qnB_VBN$;N%_ zB|EL;1Nkrpxb=ar7D~%C2|HZh40;kiBn|v_m6=AGv^!{w2H&#tA)nnYg19WbB_J z{^%qD$1ag+a+UNmlO*pSBYpoB(uD6O9h#ChE$7p6?VoL*e3oPK!6}jtPm{XmG8y}3 z2s<%@=cy|s=+2R|Z<3{CDt*950hB=SoXFe1#-w35Vs{!5ImRc{yICTz!V{ zlhZipTqgDOWwJ$=G|@kMpUkoUGU*58y2wfrS;|;t6L>|pgu}Ch%luJCuaLfHn4JBi zgdH2iTlW%iTB3uDOF5`Cu}fOI(hkV^zHt(iP2`VUA@;xsE`}3?X-!eCc7-gNFIMCw z9h#KB(`4Jp#EDDTYF;G#+|;5U7MtAvpY5NYGsI6> zQb}iueQE!Njo_-_8-HY6^dBMNgy3{yZt<%a$p>#pzj-pnhSXz|_?(--fqr(#>`fhjr82nI6uff_h*SaJWu=~v1RX7a`(zLiM6N`6NGC?d@H+hiVcg{EXK{Reoqn^ zikvv{YxEK21qzo55Z(PxjEPO+55X;ek1|Gy55fAAqE zRz6_Kl3)1n)4#A!uy8&hi-aWji%w%SSWe)$Y6_bVeqnH^+>|nQL8#a~D!a?59LFiO z9iz&6h#ISL8my)%l~p_6Yk~srDT-{zsWcy@&PpmTr_1EI3{zw`D19$cXFjrcz0PKe z2Af%$%qD3vnWfhBCN0Kysj|FDw&M&{cGHxbU7_B5jwY+?lsjIfz;;Hijnis4LX+VI z8XO0xvmHidIYqto713jyGKVoL>_(*2AEMA>l4{c#`FxiOvl%WLOi?BkO|*;j(Ho}C zNVzvjnNW@fyGt_uvgm!8D*G|&9EL@gAxf-9$#;->t#8nHPVBdwqrrYcC4&{&8LYW(lZcuA`gEHG$(P5en<9Rv^Zc=S?n^fnU6a?I&MDT7myGF0ET(=e6 z?S|--{;j$b)Jo-3=FmaCdYVcb zrOi+&=QY-|(of`w+)Aq<>Z}K8w3!fJNE07cTlGDHHYz8Q|7^TWe#)z-$%qK+$nZu$V zm8~oqro*Jmm};8^>dkJ@U^-8WrDD@8Dw8Q1Wv+VjX|Zo+@#US>w$oIJE%`QAM9wUY z)?%ZBBoDD8+g|W@d_bAZ-)uZBz7)K~ew9=))z-tbicj*zw^`0}6q{eA%KQec=88Y2 zs1dojwxeW-Ze?~8RM=jk+^T~XhXIi@PL;)!%q#I~KT3_c=x;AEV?Rl;=T%DW=4mvZ zrNv}MV*Cm!1#9yu8Fx+ez9!g+-PTvBm7~&Bmhn3;+;R^`&I|jWzJHRe9M!2 zZ}V(n8Dm|aGJEG+Du?z`dEJo2+EDaPh7nVJnPZW)1U8Oh8mL7_k0oslJ4lMz$f2V` z*)+DZS^078=AFu0BX@I9?PCV}k5W>%fwsY2Li@C2om%WNH2z4PVZ4MOM#=J+~O&`;hj%qm)UePnB}< z{NX10^$UqM3MJG&hE&}gBDalUwQ>}{jV-vX>BV{7G;V9JNR}Rvdjt6G?85hm9QXAR zq?Dl=z4!^$u;12>`Hu4h$?6cib#U>Q)t*~=aoy5``}$Tw)^riNsvEa;odm6H!0%uk zR=TwW9g#k328h}^95itruk#o5Fu}FY$Xuv0Qf%uZ$E>*zpA>21yBuxDxfooMFry8?e4YI;d;Ip=ekmJhtLOStWJA%*ZOZcrF z#&g{So@>O`%^kR$X~a^i7az4zVpa_jv8xZqP4(Dpti(Zif#cp00(MB%qCSfC+5vpm z4-+JOK2R_UTq{_t9>#C=2!Xo>2|qJV(D6w;w~yep_9Cw9`*2uy0o%1gZTBjB@bu#^ zc6o^1&Z|d7r!mo|m$21Bfmf;UT2oE%!9L{^G#rNlNS|wEL_=r3Ug1yEdZZbYv zT`-k-jMtBfF4u_OcnP;H9YpM$CUBM5BY4Gr+e?VVu-{st!OB*<>f(R>3wRwI!0l*1 zPTM*NIMj;$4i#40R8p~x;Jc~;7x914L5bnjB1drblV`zW^(;OsCJ0_G7;I_A_1FbM zq@wo}-$!qiIQ_O$`gI7#jS`bx_=*4hHuOmx_Tnuu;Jdz8S`Yq$rT^L+=&qh&{mKcp z?d-tP$e&aTKgv!eaY?V6wxgq@3e8K{cYz|Iu8k7YB_}&6G_9vpEsxt_Gt2OO8Y!h72rbay?*e#KqP-oK9HHw&EoSW4exuh3t5TN1#Jn0@#_bTNDDA+~Sb6KB7`;OlGn?S75kh8qNb@({VnOg zAF%uSJ{F%yTk%4C^%zr$FY~t+1eXV5*F9Xmc!BYI3qrAe!u-o?IE#ObKbpqon>+0O zYzo(qzu{vj@hN>wK7YiarIUDR2$pZZkQfkqmMmcX!Bza;okw5dE&SY{F#qBXHY=Y= zeBHou07U`eD{UK;3Gob{~6DB9^v=x zGwi>4iQ&f*mtQ{T#0PiKJNOKL?>}L+QEYzu0lG`BqPJvT{PYkj!B%OO;(Pm#Uvcu& zU-)>*Z}{rtA33=GDxNzgB?(_db#R{geN#kkl;png5ji^+=sF+_#GsR?lkrp?D`E26 zVW!^uSNz`VWu(@Tr+1d}!<`R!b^krSyZ;uyyP;04o-=b{;hev7fY=`sNcz5>(BEeh z`&TJyUhSi`#+h_8U$Wd{IeO#}d$rfFMML=>lEyA}sBPo$o{zbG)sSn~_HqAu6hp;T zRJ$b5;2cM#cRUVvu44O-eA-{`VEW}M9tm%}Kl2UG?!LSDZS952U*MROMvl&38CrXd z(G%SasP%DCR`5&vMkzSjNv7o>Jx-$x_zV$ap(4tBfE=w~gUNo=^x}Kg^GsUFFs-G; zK3B@uEczUq7zvXK%cFx7soJv5>nJg8Cc~(an($%bJyhg*wMzdsk`1cKuxXd_vq$>% zk*6bBU9X1%DRV1z&(jkG1rwvl2|L#kUH z=~l{rlzPZ8?k4|SH`(qNNwaLCF=3oA=SoWS>ZmelBigx+DCZIqYc3K|+)urGkIdIb zs$L)Q7MI93Q7vvons1s+p?NWtMm==72~~|9A=$KmYU3`V?7C#0TFRZP39IWRC$o<> z(J8~Yo&<|p(ySZFHENPMTPW6Vm)1&`MK|q&SD8UQg(gkpn{`lN(nFzk*W$NhBkRvo z7v4;>Wj#Tbb-3HLQ)JXfoo)}sx}CDvbW@?#&UwpW>Wn+dF;bCZ-axTw!{UFha>ec- z+iJ=(M`(1Dz9wDxST*8r-%Pn>EBS`M{`ai-Tq}8cO+ta{DRyn8De400rj&dlipelSs=>C9U z*Cx7jkZRdYnpra?;peG}>?O}e{A^rFlDUd>!6!}pS!{To63uSPa<%0<0jFsiRN?lYS(2u7^@(s}yCcV3T}G^i{O! z56~%;vt01Vl9nOQLbk+7sA&c9$-PwdE|B2TEb%I_V$nf`JPWz{jf=igT7i-H&3ptC zjmwgd?(*$dKVc$OakBZK=v>Q?V>9Q?ny4_kKx8m>!le!?s*4UY+!jMeawV1a z-gMZr!^T|=XH zJ`FAz%=(vbE4`79xFX5})2Q^zT-;iu!Yhm3oHlCWi)f0-r7JLH zw8b-=O6N#w15)YqOlG36jJ)IsR6&U}dz7Q{s-@T~jiJg0s-q+5h>E1sHdGB6&e+R0m`;P}oLBWEu@Y`BVhvQW=y?i+>D5HMJBZr_mNuM5A8; z8Q$sA@~HDDrp-MQm1{h;&dIbnXEPRGNp(ps*%7hS_!o(sGSN3GsuDVrWS)Rr z(Ibs4&s0geGPmf^5=mmzeH-hGGvZYRQ{!ud&ZL=9z{)Z zf-DMYbb6$d=$}Wbr})Pyp85c18hiw6k9ew`Qm7T5bS9S3S6D%%Z~UU4>U^`P^39}1 za2n0&pvohS8uwHxd{Qa&lz4GYrAcsVa!sVgC7TAvBFbFSDX)bxZ;ePX!aA~BboM`wxn#5Z?#hf*c4 zTmBP{CWGYrS5WQ|!h@1{Zl}dbA}tbHKacafv$Sd4Cw0%9P{{$3mJc)X(HxWS{fkt6 z=NYcG=jEd>7yrxl-Ge1u`)&y@9v-4e&xoe>pmBiJ+cns*bGKAT>U-I;MS~+6pRq7wMSI77+8a#B4-TPOz-o67r8G1M zlcz)2|5XC)g%cN^p5xWrUS@l~_`CD!Rw=_P@LN$#wea?S zqkF_28o+i#BX%2_3Ep)9AGHhE3zxRtREg(?JOWllk-RUGYTG25eWFk~I{v!nvtNh= zS}tiC$_|E?a6DRr?*9C<2|21s{EK4WrNQwlx1bBeIBx6?z zoN!bwh2*=p6S%LC3O6a)cUR-LyauPut@t16C2CCtHX5ZwxnCw^O%u*vS75YN9$t?! zYJDRlVYpH6W+zF*g-+KfseWptV(i0hOQn?G?ZWxb6Ti0)hxLUx>8MCB9u^AQh1Jq} z+zyt>-1XFWW=g<@NfPj+(JO%S_Qm9>7ZJItQp)WXJlB*EtWiynT0QzZ^6+pJd$gPI z_*P_omP*EnN?IKX#3p|V0<5L-^`gf$jSFUVByKENd~2-x>K5Eqw34!Cf}pj7Xm2kj z+U+8V>uX8*vKrHsF+{m2`$YvyvT;Y{9VicJ5)Ez@1RqEz?05@B4zhS{XvcG93+}60 za9-1b%eq$FH*|YWsKG| z;Lfu{1jLhOoJGj)3<@1D zk!(1G!>S@2)|KIPq!qtCP4aLFE}~P=3aK7f7LtCl43$*5t*()DIQ!D#8ceG!fI(V5 zxEz(Z3YfubM;*S)o3Ica0=4^a-dISA`+2O6reU_L8qJ-(*zahOBrjFB=+Q0-uG%-8 zGVe5ctW|`bsi(^4A<;`ag>KgqB{;_&JddSDEphJi811aZa&0A+I|}j8jHcQ@R_yVi z)Xf1^pgT>@esmd0CAO`V*i{z^Sl)^6vUVaib`X28OJZ9^kmC?mn;Qk24t&=4;=k#F z#6_3*p<}VRt?a~g%>;+Pyvh4QNk4k`4m+0B<8UyFTo+r$;{&PIip67T2@W4W5*@#% zY}Eq_g!<lH9Gh6u9P>CmegmC>B5za@(gR6I zp0VlF=>I#j>i6kB*h1^hHU>1VQTOF5g5UZJAl7Ad>QF=X1p?mN_lX~ z{A!r5k6^mijp;g1uGiRezrvL_DNg)S?a#f=K*pL}8EJH8zSWZ}P0kE9*-16$z+96T zx5~r0Q=UUdM1lYztFBYA1V)vdS1oQTHsqVc?V1DzI-Iz!vgKx#7q`2@xzyswK&KV` zt;Wo#thiI@&CRkTS-}FR^iVd%FQUq=fEMR0O8lbei3#F%Yd9nAcFZ+6GTh?IY_|(j zbp{M|8#8j*nIVyLrOt!4(r8kBW2kbGYES5Ai&HwSQuUp8jpIRH5_9KWIp1ZYU=ZU+u)z^PUW~T5|D%6_bPZJgBl2Y=UTSOeZZQLXtqTRATAWi)|{wTIHTa zo}C+WEpc4!cVSw5bG=&hsc{#7N^9_7vc{DgDsSei+__R2N=tH_tjbcANtM*-k|{ou zwo3EW>|!-we+H^x;SOqWY*cH{a*PeyA+pBhJQ*4c}_PD~Yt&>1F)$Sq!Q$&sW| zNS*k*%*Kzgh+rOeB+6J`S<;zs7RF@47qN5XcCDv|NhA^5PgGv%vtwRp& zb~SW3)h$*NNm4=fRupjULJ9Lt;Ve`LHnlF?Z1Z8R*@dCOV8O|c$x>TJn`EI#3=}M+ zqH)co%`saluN2Ax!|Cy`W4bd$uyN*Eg*B6nUR-H#5kI@r(QQTFpcDO#mfTjkaiuBvK_#OUu(qD3=B7z8j5A28`Dmk`<`M;X?=5xPKK}c5P+JmL1zr zSKG=yp=^B}Mp7p4Bqh;~IFD|s;_q@H@dXXJ*Rj3&10iqPX?)>L|05e7T-0KybSd9G zeSa|_JskOxh|pZ>kNrCrmfxcL_%MxX7wOqKM%$(te3ne$_5M{FR$ZZcZ#{wg<8VEm zAuDPf5ql?b*SJK|@m3O!wvwp4dGpX9*}MB0J${uL(|cr|xj@jFRswWdiPY~VT(^UC zn~Q`QbPyrcSn`oRqNKtIma4}`ZG3Tufbd;&L}<(rvSW^ly*KGJzDt(Dd9seHh(FLm zl9}{3sUpcysw2%VA`cIcd2oU-jX?tUTqaa)lIR^*iQRUclub7YRiCHe=sZ1E*GLsz za}KwVCM&LwO*<}@Rk%dA6P!Fo{NXxM547XIcLLj;*F=wN#BZG=X@?xQPmsE8gtjva zvS4lrSLG0l{610Yi){^Scs~!823q)HtleN1Sk7F~O+doavju{fRjS{DR ziFl0>5;vWv?$87!2QE@{<{}9vn+QExL7Y_wzIvtDnF;lbnIh#-A31`l`=MKyh+W}3 zuM)ZA2GQFWh}pJ4)b?xm?;0k@^bYySE&62$+-#!~eh zIZx8jeq#138?#*?Zs&y9b)AIG4~gF*@v?P_N{uVDS=^)CO!V2`LdeN>LM?^~KG#Wt zbvFq{?Zh8xlb9PIV0RxudoM{Wj)^@ZByXQ0YS$GaPtA~HyMW5~F6lbmWE`$1=4dsE zW<59>RFkfHh=*+p@yFT;J2QcShEybKGM`|Qu=Oe-8kY&$(@(+fL24{-3l)4q!M;Ai z4oKYVcMxvfD{`u6sCN07r)TiDmYyr>6p^`r1gqF25>qs#IdcD zoK_!`3a?NwE+*IzNa2CY)Et7;wp9$M4o@EH#C_O@R#R+<{?I^Sg!2hTZ$+~VNYk3o=8ASSNf1wxw z^jfCNs*pvlr5}EJRwVmJQj(lctgL1}WasToeaNi41Q;ZkJ;5_`^xf}m=0(#i?*_aMr^olvbHQlww5RtWy5oQcs67F_~J z*YqXpOrYEgAyz+vTBBroq$(&AY7wdLL1$x}y&J;xE6Q7=ezn>kpRtDsz z=MrrcM3&HqkkdhUp7tc|Y#<5RK_m%INrGA2*r}v^FOm<-xKj~?obV@J%b(a&9waHg5^Q300th?lN4r!^)i&Y8nt2hHkc?i4 zFUc{Pl<0+t?jhozFws4X@DqMSp9~;PGg4wkV)j%5InvUO1(2^BL|<45#hy{5dIsU| z=}BNrIPp>Ogj@L$rsG4Lb_fw7GwhU)VC_NtSzD4dt%=ujCD9<5prfATJ0#K(FVxl4 zlgePBQ#KytWTX=4CN^eE4B7aTpy`IczAtviyhu9}L)PiAMQmclrbMBA`QiiReSO0* z9b{?wQWBbgiHkkSx!FR2{isXK#oO4C2m=p7#4hI}K}2eYlc*C&qNey>OL;cj$kF!a zl1&aZCNadC`;i%$h_AiGU34O$L4sv!G68xnVv8>k5;tLI{6q%@F9lmq;>7^>{)Jf)nS|X1XQ@5|1>2(X7 z|MFjO|EQChVrSmm`I={s-r@PR9l}~xaO2u4dQ=*yF6iN(U`$YC2BtBBaef;9L5akt zxHH&iNkjDx(o=VE_=GxJ)%X4S#mF7ocd~c$PIjw(#qeb*t%tU8bHs?IhG=eGC}p5J zhrY2cRPAZpx@F7o=sxD|@8tf%N@mB_@$~jP{3zV<@x<5Eo-Lwu$=_-I_O`5gjj}5A zGr09N9c!MGx#K43N2jMl$)QY)M|)Y%Vz2= zR9x{Lr$1+&*yv`GqiU&2>!vGZkbtMJV)q9T8Us*(}HGwUdd?;*ioR&%>r3SC-=@oXpEX$+Nh zKh4%n)Y*2B=W?EOuk+LfUBtch0&W-ENzH7hICGqm!g1oW&J&o?OitMZrDiJ1?0boK z9wgpwkP3&3G}!i0C+C&6{j^F&)*pY7L{%65)g2_J_R}s^Xinh>0VyhSaxYSoFie$c z17)_YWZ1WmW!FxXT^|ir7g1UDQ)VH$1Y99IxQ&A78uAO8NX>7duvjXGvQ`2!i%F^K zBQ30*GOI4iZ3o4^ez9v<>>8ladXN%_A*$uxrJx}Soy(}q60V=nEwp2tva(TP3mWi= z$RoL^kJ!LwGM%NeaFwdmVUSYMrP`s98qurPwuefwH{Yg(=ICKM(?`jTXr!|CG7$mQ zqYzS*meLSeWdr)jaA=~?zKLS-O@-Zg(L?Z%^9Ea)SK=tmp_|m80XiD4Q(4tR zUa5-2lwxUR6iW;>h7M8c*hQAT;Ah`Kwt1`I)JvmzKebXFRya0@{1%y~nZl?}y1Qpc ztgI)or4Q4De3DCh$w<3MY274gp-p5vRubjaMu@${uEiuRX2X(DFH!6u)sb@txnA|; zr!~+zK1oDcCB?Oq*hf^5RWw9a@kQ z#G74u=n1++Q}zTI={-c}wBempMoCdGDJ9*cm-mt4Qb&nhD+SiA6j^stZqqHvMdHW0 zk!ssEibY6NLJVQZs3aV30<7E&Jrvuhx4W$EH{pmV;~Qh zQ9Wtq+2onUQD&INh<+89<)I3Q$iz7#i2>GKO%t!l&JOf3<4op`qw5UOzWvJ7&oDsc3Vp)9$P0Ou5HB2{FV zW#Xe>jK#)MoWE+o@tYQ0ziP+o+h+9E=M!RBj8{h`dIQ0Pw5E_*TSa<)uB^UN*|n78 zRF_YkJj5CHiCCV_=lJS8Y*v-vzPu8*ZyRvt}Vyq zlOEi@7{K$3Ry@CM!tnDnLd+`Y9he}lEfd$)2z0W8i7Rfy!##`U(RtD`Y6!QB$KS1n z6MM3;UQ>zl(h3}w)yT01mu2}9gCV2_mvQ0d6v36Lgf}%pp|GUy z@9*bM|3)Sj)>8VT0lt3@C3~`)a#;vVozBa%-AkTdI~AqTwAP!_({h5uI1Tn5&|uS! zBW&BQ!ID+`cPwV6<3igmmR8`u<0xHsA~5?&62wheZEreY`$I3ePrSH(`Lyu$bKIyp z%^yU82lqeV?){H={_tHECYDjAS3v5LSF~)HmItX+O6Oe0jt)~Gi6Qj!8)ToDV#02O zDaSdoj0YAsxXRRff#a%sxC_tiNiLx~J(S+u7&-*x&fq9!g#z77NMS5Gh~dI;dK)6S zQXj*W7-3gsyYqAN3mx@0e=OXKJd37|itlJkz86xv=Q#d3m1!`n3J-=fM~lB-t- z<7uVTw#QO)K8lf=I7X^s$w+oURTIUf#x(9{CovEfL_?unV!)R=jEWC4n@r#r=y@dz&l16&vk z_2g<%EeURA+&nDDY)GKSp%$VX`z; zd>KhyLOktB&E(p4Q(z&Lsnu1AOvkCV7@^LrgBCy0r>dH^4ynSsLZ~lvm1;Yj{**Xc zk|ODoD!n=*K#o~dMJWG~tD?$coI=BC^2DA(s~Pew`e_VqXR@Z5p$4(3QF&XfFBhxx zXpRkIrlXXrrHPD%`!bj*$-=u@s{B@|)`uuFo2FU+Is>}144U?GMXLPa=1TgSljv+s zAT`gK^L6pG#d~tCtC;hJVGKtGF_v9Lm{Td~4i`j^IZBKqhE0{9n+(zB-bsH_76Z+( z40R zbYW)9jjpCcBq#3Uto9i;Z9gsvX}|Jcw%zPrx1N(~?@KA1PP3dMOe{%`1!Od5SEi%O#= znpcm}zv*A_`QST3Hectu{R?Jozn8%I4e6FYk!Si7-W&hUxv&0&&xU8Xtz5u<*#jIt zdXE1m|3=a${~&JlA33w~8HaYh!e09?L>c~F`1zl4S@|62WpD8K_D9k+eow9Te-O6* zX9BkU9>FQw&HeSJQ z^Oz*f*TkLqS6r6<8&SLdJC^HS-w~dgvESc0VI%?@N63 zen8vm4SSd0#rEr`_^*0J#M$5BdHi3oUj7p?oBxRaSAWI*-T#5xd;gB_hd<;0**&z^ z&R}@#d#v~VM1s-35Ty4bcKaT3>YD|b;|Vc4Uz2+Bw|MRTEB0&uj{n+!7ajhN!}~vD z^Xc!f|M(x6Fa3pcdmeLk|8*SnUShBI9M`V}7injgDc>T0MyCG15WVM5glN3Mef0|> z)L#(1{4pUPJjV0G2iSaa8>`RnV(`TR=IfskVDL8#_PoJi+e3o)E^u-~KgMguC6WFK z+YLXFbnHKH{rpFwcl{2h&%VP|g46qh{{zbp{)Y9Zf5BMnvswEF>*K%0WYu?49ehWC z*kSwC3tTt9p~mFD;lAZ1eg|J;xAbSiw){KJAN~h!@BbT)AN(Gx_n+hT`D-j!J;8j} zV@~RQ$0prp*y_C|L*u3R`w_uMe?!dCU+~-YGrpT;{$>9Mfh+$5`;UIY=fmF(aNs$PCm#`U<~4^mjbpTVlH}93vET7TWc?Pm zrGFA!UgPrNpYeJB|0M8({|mQ||BSiBss54I80~r_mF5pD-+7C3THld;<`?w8xsILq zC*;^`oVUHe|HSv$F8?hNyZ(;L$NwSp=s$4&=nvSuFAMn6@31-i6GzluV}9ayc1XVB{ucjjf5!96zv3yF`hM_7X>xr3x5O{|7wo?NPrhFAKUn+C zKd{$)MTPB4`i&lO%i|eUHOiH)-8DPsaXwQqL7rd!UYmZ8w=)`F{z{ z7nsd<u>S=-a9;$Wc2*O5*Ds}O`~ZkZ7cpx`ER+r z?wbaoYGt^ruft?zu{(zL?;%tr(~k zb8Kx2QTlBh-?XXv>5=nJ zOodX0>yKco-HOif3beGUIdi%k55o>Dca)NNuAhiwZJb-1hn+&#l;0z+X~K7f$oN*# zr$%D22EBFZ#Q3#wNGp&-VPQvnB9X@*JIljFNuj5@fZOrH7)*Q5UHb|@$ zPAl`VU0s3sstOD@ma=bWIR;u^cQdg}NUTYPY2X6SXq*b_$i-n2hbl zI4o8qh>UFf)>aGMFUC#izvIeO+;<73ce=p-U8(5o&BXsyjU?nOELY^*H>s={j((9US^B@`0?Ji+`G4gmv>fhvtbpn;fGBRC;eDv3*CZ)@>>bACYKwlPukv)N4JZ>g;`LwQf>qG)tPvIJHJoB%4hV zX+1}-#XQ*tmuZ!GOAKz2ZTXNSv->ojy+*6%Ez-|DCRg)5b=otuo6J&pMr_c&L6Pn~ z3N^2jCUzxQ-y~mjF4MkFnei++qFb!~HPUpRi~TPt)_p{#@m;b_Z&Iv3N41vFBcY)= zf?K}P9V(4QH_@ll;112AZ>9FL#m%(}1*1ZpX$lRlQf$6JuINyvIgd(K!5sbDV#_^| zDY|LiqxJY*icW~l7LQ30Oe)UYp!&=LH3mV7Zw zJ*3F+s`zb|a-l}m;_nLW+tP1V@DvP;Z;&rKl^Z<~EN@a{DDw5DL^p}6bJs|g_$m?G z@(u5jr8zC*XDQa6BuDQ$+2Xr0(Vx|8Ivlu6bP-U7QC~Kej-Er6;-G1 zQEq%+V(dD#5`VRt3o?&jBs%Bm3J&LPP;7cb@Rb;pxl4?1OMG0V$M89=CnW|<9!VTu zr&{*`ZCWqH_oB1bHR_~Kxx`I{j^L+zOE7#a_TDAQW}aA+3G%G2k*_;N>*?#%pA+An zyGM%oq{P*zU@kt_6)Jq{29;-Siyaa(dJo7}-jZT|8zaLR%#H8hWjIHg{(Z_dAJTQ^ z3E3;Y!*R*)uv{XQ?7I(W-7!ku(MG{*h>Xp>jPJfn=bC?)%K9RcF{*5_}!|`;xOFnV&k}AoA+K5QTFDJ2Z&5Vn^`-zF!!fCC2tlg~q zgiW0#yS8sbedBiaYkbJUEhDOH_A@jZOmR;khF)D<6PYFM4` zAAQRAcR%2@@-KgBwIwx8Qsa$I{lodqgTAbH%hDPhlB6pN}yGhY&3YCm+HoHQHnX*m9 zG*u2)sj`uvG997WWRx30_k)2_}m+i>)}R!OvC_lGSDE#NRbyUyb;?)@qU} z#gFp9HJOc3Wh?h=FNhVrdt4jWm3#IFr9XOrmAWHpXT*-k|I*V^@qo#(~o zL0T+E#FwI@&8S=(Sp08iot)>{43p_NL7C{-U_2q1i4QD>Wv*eFN3a(kHc5Q8$QcA%#$OZtE}hgFuukm1EE$XlCZ2~VRICHoW>{6#OzYfJViYqCyQ5UFE9 zke($G+BU>!+7c&C`Ho5aS)0XUoR%%gXRSy&Yfhk^1)e5WxN4pwQe-8au^{oRg^aNz zTFaUkEh}O*ZDfp$J!30+*)8gpAhKfRUZNbML|%ZlHNl4Vc%L#MR%E2goXMvxWt=5Z zA~#CgQu>Q+XDmsT>j@$&M9&s)LmPthtroB4921@7dXV%BJZ-W#Rv8nkW%1AU>*pAe zA18PwoOYJEoaCCb=<6&pok=?7OzJ5InOkfZ{Udawzu1y;+M3jpitY~bS;lC(l5*0G z)Z=b4)&qZ$;c?cHV7VTt=_vY&T}soj6&w^dKdMh^m8ZWkseo9=_Uu2xNUBom}$6D-{rfH4a z86%v{ti_L3($|Xg(-JR=kN#;>jMx_^zKhee5S@OtH9}$~P5hB{LVO}~25Fk(ea3{S zbM{1>F_ydyr8{NUt7$!4G$q@cqmQW?OeLeSHUY!&X#I z_>kQnO>B8TIjK^;rd*&xb)E8>IA#WQ>FGU;f1(fg^cWaLHUh}|JqV^-ylM5hG3y9F2|%a_WnT< z@f6A>{A`PC^HA z0$&ilYm`ilc~W-G%Un`ki_93287nPbuBWP9rEr_9R@G*Uj2(i@PQ|Y4 zGT%G_Cl<&MI;mi$^huFEY0{LVf?=HCnWTP=6t!QmP%u%jiIb*al_K{NH01svp`_>D z2nKRZ^h=iU$r2YuXC6{${T%;;g2BG)#LL|2YRdgvV*efS*=><4F(Y%uiw^N}RL=ja zCGEXN$nIhC9qtk>)rJ3|E5z=bB7XNYVF$zq2NcYOPAfkDr{DkA7PIH7#L1-iY(lWV zEOGM^_p?(3o|+|EZH(02v;PlY#s9M@=Sm#J%l#Df334P(Gu0-DJvfc$vCHHKy~0V3 z;fLnLf4^d(v;@IO!AWUxyRQkJi@fgzXX5aZCyd_bU2~YGnQ^1aEjor z{6wDT{muCAPoeHe7Zcx(bNSuB;`_lE!xaI%xc@aj-dn=!YwMU+eZj-~OG&R>N$-#* zWmiHt5EM*g_bdkkqA+Rg!9Gcgin=Wn)omp>YbU3V9mV+Yads+Sj9j-}oef(La%j&o z9?!Wj)^LJr?csE0S5lA?Lv?jI>6uxyS9Q}}XTf00F8Uk3qqHa|B~=eACZ21k{RE0UX+_L)_I)1^Fsd`?Su*y z6MnLXJe@XjHJiyj+eP`Q77EVRld4-zl5rUYUY(>kHAn!JkY-RuzIGWoh82Wclv5CP zo=lf!G7PIJ)TyH6tQ@s!DA25@_-qqJA~R2`i5%@_X-(v6HIuK|M4qy#zCkl7mMw&v zsb~nhLY965x!Ni+b?eE{6PdcLWa_jozEI%5#uaEbPKvQT8%PZntaZYmaU^)YY@F^DblK=K+f|;&wP=eXWT}#MFT0G zom45Wlh#s^rQ0A)IQ;)SHj=4VPx`qE(oD*TH!30Dy_GzN2GaFQDAFmRK)XuxZ<2Z2 zC_K|H53yjY)gtyclBZomu1+OcdgX#wndl(88&nWuSVXaR7um|&QssWp*+#*yS&o9C zv_iqL;GZo|(N&sqUMPJE1ltVVCK3hDIEyx_JjcjWu==k)|7`i<2L;Dm@q>bcg2#Vh zk}J9u2v!A}VxOF6=_?!Rw~=$Eks`srV9{qyLRZdH?L9=CaXs0lVzQ;yOyl_qksB{p((tH{=?Bw4?lhKNffTGWwlR!50Jo#;}t_yPvS--=C2Q*6u- z|E1|EzN-_=E2%hBNr`49nUVlvZ6#JEZX(UA7q=BD6buwBlsHkwE3y>7XXq&LApWs$ zC(XAHU-L@hP3y&{wN###cB)o-hiK6updl@YEmRQCAEB4JkyZthjY^BlmBuB|dut zyLWBpz?PkC-nN+~JJh$abK6Gt?|PS>#RbN85Um)jeI%zAj z+r?#*U=?*mFcdXDGY zFQpo4V=ml=e~j(o*2GTgtIkpu#Z}lvJ-wqJ4dJvxtS8?Bb z6Pqn_n5>(^ZsR<@TW%4&b^+hzllZP5CtLsuTX&g|RpT;V#;&`H&+0h><$mPyD}*aM z5z0N^^%Hom9wT7=6#grvuhM_b7;bBo-TvhI=1Ia=jS(gH{8kQ%oGJX)%;LXhTG}Lm z>!oeDOu)J^kv~Ytnh8QT+#r1Ig!COFeB-#>A0=qpJRuvd<1Y7o*Ip)c(+mMJSJ0X( zGT$UYtEHOSIE~B3%Xsa$O7QA=B9>kwV%c>fS1%B}W`@Ac6NK!X!&~(8U4I$Bbyx6N zD|)S)__eK_!e`Z0JlEdDZR;H@1t(jv+jspfvEeomt7IjV`Qw+3lD>43xTQ1ruA0JQ z)1+W}iNK8$GJa0_-jtx5U))8|bK7kU){Nl3QC3XRKXBP4!d8i{t1lC{VoWes?4Ojr zvU&OMll@ zBLr=lB1q2tmyZ#$^760q2}VBRE0=Yn(q~BUxFYc&xULc(i;R$+Vz*Qm_8SLrR(8@9 z>=hjXWt`%xkhL>}$>$Im=Pz>H){cp8Vwc2-pXjgH;3u*}1cNZ~X^`~w6utb{$+!*k z1g{jG<(lFzC2suXsKk!(-O}Te;dSZsWif+Pk`X#DzOkC{*Kxpal1-%TQ@0~O)l;j86>y| zC^7^?MV~OS(Oc$GzD(>O@#8Mz!6&UiSZy*1D@hI0rQUr%#EZ=>C+j|Q%XiSrPCLiAefz%vr zV*KlijJ^9aK5t)Qq%4kC_mn@~2xWWl7C+p6hoA1g!}AB9@^WeyskvuyS(wG`{w%gn zJF&ktN6%a!znlAlzuowly!54!_m4vV;b+3cAedsWYmg(m|>j z+h&UGyJ(bEq}jZRTB)L{oodN{9qO6+Q=m+Gb7vX&-`T3RjZskf@3+*4LEyKPovFwa_ywjaIPi8 zL8=^^GHM(esg#PP#z}OMR^y-(Oxr!S5TFTvv zX|XA#?_4!K=IvBEcaiB-Pmx0fm3EbsSyiI4s;ALPuyht|Mb|7>sqmcZD7R5;s1P|d zlv$UH4V6^7*HhqJMS)8-Rjw*jGDp3%8pqnj&!uud&9<0qckz{-=q_VRtjZ{{ucAzB z%Cjh@O8i*wSTFLbsP=57P<&G>K5lhr5k2Z@5R7WAYs6Poq>Eq5#ou)jON;Z!v0QY_ zwksvwrhpu$Qfhn!AL&zOUG*!*_Kh^ysA#sVp~1SEI?=08^v!V*y`8HmvK3pz{{^lx z#EV6ePlk%D)D2Z=+Z=% zTO)~7tfXSJl?qd;mRg5)>dc#E zjuuKqUYX!fVJCJeO=73ordE9Qt3C~Kt;VI2Xy*cK9GbE7=qJ>-lv0msy6oB+G3%s2 z7H9Vle#GMKKNGj+CyHe;D3uDf$Wbugna8}^6w_b+5uf*Z8Lf)q)!jAxMiSDS2T~k&6*Z*${XH23cx;pyEi zQl72k)%<6CCyFQu>G{NJUK@7v+qeH4S9T5Iq2^Ea(Of1E4O8<)2N9oL#p|mDD%ahn zYR4Rw-}Ixq^d=6=zQghJ-*fhz=eT|R9J`O+VDQOH^gn-$$!D*KTJeO?uVfY9@V%@A z(r3ecLe=EB^ez@l?{G@)1**Nl>zgOC5`T~F%I`7!@_Q^l`T?i6p5yiIGo0Rkiv8E$ z8xf&F{0@ObN$B!~tqX+nXcpLxK4+!7;20!(mrJ{I&%X@bgx7tqcA7cF31FV;QkB`Pn&aHTk{q84NeJ;4Z^8|ZYMXld|g5}50(EsE<#$P-PjUY2CC+a>!+pt1 zT&3AbESN8SMbObd;Z^f@9(f$ z{uGzbp5gKCV_e>TBKC=VIX8Rn5!oky#Ci31c>k8f5PgU z7nsXf$E}aC60GdRPcGtbk0q~g6MbAi{9bT)LYBeb@!RzyHebBJ_RIVDZGMU7=MOR6 z{5^q3e}}>Q53%|5rBq|DvHJ2Awx2x5cF9vb-hPeuTcZD4-{B@0Chqwy5gOuCnb&{C zYm7wp*<~*{zVUmszI={}#D~R)udtG4|Nd*iMvf9cj&J{f%SV6a_(zZV;+-3O{N62g zela8dy^Htf12i1$r(o-V#N9BiQo*@>(o2iRb<*~?;jNKK?Wyxz`DT`x4}OpL`wd*G z^!qg--I0VO?b)NRx%c3G=H4t};pr}1R5qOW<+qsr;RP1In?d*Gk7U1!;n~9v`Q42r zWM+KI7NKlgw(n-W+A5Z8+@j9T%?CNK=Tn;7PLQ9!nVcjIyltIuwX?(7)&+NGe|$W` zY3*>Lv`n4JJ`J7@9bzzd4R3D0%TtB6O|Is9+l&0LA?xT3BKMDBy?!3Q1J6m-e1q!H?`b;x zM`F~UWk2Kt*GV!rMk!7FYO`>n_leS%gjkh1N2p(hXVkTTw5-6LGSy^Ytp zJGcuS^bmSnX7h$@z1P?Xy*j;V46|Jii9d3Opq2AN<>qD1d0fT5up{^I*eCalf5d*p zEJ2&^k;(_~keJj*)h0siC;cM;)oxG3x z!H43@XF~mEa1o5XM1RjU3wRy4L!r}eh&%EG523eyo9_~$c7s@r+xRHH5*Z=O7D!ru zkGLK8i9Yg(fPHsy+&sTXX}x4Ya}YWjU?qAwJjHX@tR&hSSgFn7ckBj!TgC++p^huB ziY_+^-*yk5?RO=9ejr$=vE#}aytdxPc7r7QEu+-c{yT9#53$`Q^im$&Q$j;y^j-+n zzC*~jw}@PJlPJ+AZtZ>icRVKD_$S=f-(37tfTz$?2ceN>dnV~?`|tP~-Nt;IP|Uq| zFj_H1mi8N5md^{-zAkk3CNbix!mY1~-0={njY7ZI3w2vHD;QiCnmmh_^+PJ^{vEgD zkAxE5!(q);%!Nk!h%P=WZ%N|1C9y12ezPnvvIuVe@+bBQcK$B8sG|KDiE!bk!gy{* zB~W1%LWyk_sRs)vT6JEq7$oKNIF;%%%nKf~%m0M$`zkJ%`|#?{I$qy@XS#*ZrRRG zwKXi+s%&Aq<6HLc|A2`}P3jvrFmc6`(7-@Ss|yJ7^QN|-oT#uMT6?tVY}v@wuBE&g z+sRDhGG0Dym_?FhkwBq&0L6y>Oj=}dJ+gw-un;1hJxO(xWM+{< zrCu~GQN^SON0aX>*Bm2AH}NLZAyBA`FGWrsQi40vWadS=Lo}K8u{5MrkmxCuo4qgT zmH|SKqDZw3q&cO4EPD^C{lY19^e4;MNBYE)MF``c-39kO6hl$;#88k+x30+Ji*({cD!6eB+(ZPobYj>F|h$5?Cnq2~D zv-Tp-)SGO}P@%pt6kElSYZ69fLN*yCDU^rBN+};pq(vm|cHyK*tF#ZG-rPgVd~eFd zCY4(}RhAJ{8Hb535tN9%dA4B`nZyci!F0x_FyN9(t(6zPwqArd#0y0VkwoQ7omrqz zhcKan5#$>BkP;qGaZ!O#l0flcDEZPdq;HaY1htuEq&NkWYwAb7jLWbH7C%LiYZFYq zZ78L7v6Py}k!cZ4Ze~6`u{o5T^CQ_ghD^br$TX7lh;*t_gbrFJQ)U!Pj!_Vq=Kew_ z!YC9OWmeJRvuFxsjw}aXDl#&u3>Qo+J&BjOQygL`Fb$?QEOe9Nm$aM-Q+a^Hf52x8AluCJq@@ztB(hsB9_*b8%*#=MD& zBK@Q!vrkntsa+!Pn6k-#6xGLz7+ZUZfzN-&|E+dz<%jWdel3rlzRRoIpY#0MXZ(2c zUH&q*f}ciDbE(Rbp1MeKtE1?w%b_;Mm;MPaZY-RUN@@kk=}S3uLW8ZlH%XPWmL*%Z z?O@a9v+UWkfzC!fnp)4$(CI}?QU!+83YU;9gCl48_G*6|*iSB+A$PFCN~Z{xjffi{B$`km&nQ|rY^ zXitFJYbiTtaN5!@{CJKkv!TW966&lgQCXHTZFiB`$WbyQ%E|C9A;+PHM%Nx{?VG4j zwiNME-drm@+Ny$Pw_18*TIorwV=$?Vo}@yC;%m4V-$j#W6TK+|qz9Cc?^;T+eFNRT z19GgPDWsng%PJaNl)n|#(Izsx;_DfWDPbtKiAzzvj71B7PrN9}v!CXS^8^Q$Q0CfA zu2n1Te(luQln|HGL{V}p9k$KnJJ*wD*GOB+Mf&1PM292>)3WJLs9_?qgULu`>ylRd z10*1<+Jx74Q5(`iv41J4S&fuMHPT>TB?+&TT%UScliTS}EM`0|gK>FKFUD6h64k|s zjf!gPDk_{BX*H;(&ZCuV?*=l$RODtiNI=%mY28S&WhJ>WJ#;5_F&J6SSX?QC36;|7 z8IEnC!?Bch>vEdx>Zx*QqCTXH8s%?Jc4A-nCHgEb(_&Faglh&BDK(77XK*PYgXyRO z`bC%Cq-NS3^C*ZcrmnDodSw?$%i6`i302zGlIv1Sd3ZO4&Nb91FII3Y6MI^?m{cLS ztOwqs5_I@X4dar+|wI z<@6=wGmwD@hNpp*gdk1`qM8Lme4DRn!GmljmGaL(wFqzP&VC zE4sCi?cP97Tn&TCDNM$si%z**Os`-pL>4RYTYY(pB=Z95?OQ2wsiQftjYRhXN@@qG z@TjKVx`G^!2J+pNSZ-t>xqz;eWCk;f840UkHmH?G@217SDR!GR3AT;o`-n^*6{R7f zS79F|%F6^S+o-i|r^;URvTCNnM)|u_D=Ovhj&?&>?z+lHOCIv|`#*AK!!1HIdMVjg z!)1dpT91qpy6P%UAO0%|-~Ns=d4|iiF3LhuOYOc^X132W{PiCQc(;{>TrYmUB?;-_ zTfDsW6~nDx@#yAq3X_*o(`7_cV;Hec)>M|2;o!`+_F}>ZFJ4Av1j+u_1fONi-)daxb+do9Sv= z%W&W4Jegn5)xi&Vc54YgDay>Q*@DtCEYiZNYikV z^b;sD%Og>$q(I9kqKxCDe>?>`i4++p5vS`%xV{5%dKLuh8xv{hOz>GxqK^8~7p{^Z zNF_}_iZs0}O2ql;CK*KQWRas=PL^f~=~AiX%4!^DVlDIQ$UO(bbbQG^;efrYPQGUo zIiJu-q-zpMXH!Tymq)2}25|=Am0}bMQt;Nw#O0(X2}Xv*>Fgu^+%XdL z4P+iiskBnb&#J}SIfZ1gHQTsYR>WNV&4Td@l6w+dNvBf@Ka+{usTe%Zc!^DRLSv0c zIcr7SX*UY>VyM@Zia|G*a@`^d&*qS8QADIo7S^_cReAxX4$6jc2}J26V|mt}Fm12J zFWE(Dn-FYhE%w<`A(d#8Q53ZX@#LRNCgEHv>25hh86^;8mO)KK6J} z6UjGDB+=A^D1A-A=Lj*nhl$hFCH9mH?t6VniKwA0x}0R4SfUJ*2(wC;>au`r!9Phi zfpqOeGIZm}l4>y3$c-p1BjR<9NzyhJeXQ}@XHUf0XqqE>XqNeJV zBdR$MrXwjhlw#dDSkJh>~siCHpNtE zr&Dt}oka0hgk2hG&JxEivBV}65^OAX=@pTwpHHS?A(`h2$k54?XDg3fogC8jI?&s4 zmk*Zwp07UniPP#0glfA}qHo1zr+7LIQi$GGjmzivaC>Wr=DnSyAI>IDp2zB4=efS( zKI32hE508#aih?Y-`x0S@y|Bj-PyqPp^Yru-9q=BGZcRpPUH1@25Z|GyEu!gdzjYt zE-K2yXsxxTy-D&+%6^U>)nl9bA+{+yMs8K##Cj=}jvjuGSGU%2|K5j8Kif{vGi!=} zcB1ence-ABGI{?15AT1(vpb*gM7ZC>CvWrU(L0OWQF#s0!->zN1Pw*?*;9JAU64{! zs*t1AOl+H?@vH0jEm64rGp-u{fva{;$+H|G&rvwB;SYGNeL%pGK1QV!?@tQid`bvI z389QdBy%q$pL^MPTqp>qDbZb4o-nTFXV8`xN=<vurBSJ-{D0P~lK5&d{nHO1*3S&AlkP9&Z4Ax~(m+C}Uu`_)cmJFrY(3j*-S40U# z?maY_56NmaOSZ#R+AW&M@sbrcO2v!9YzAW;IUgIuP)<5iMX_`ydy(H1gsL@xE77qG zr=(L7T}`q>Gu5^uG@Dsan2XM%Gp2)NuTG&T7f{(=qS9_m=)xGard`ar_p;zu%~WKD;2TAEvcHts z!8BF{l3(J;#oiDuCHpWCEA%W$l7zcdu%^S*nk~>_cwH#y1l4xEw3`<&kgH<2ri{_V zDDh<|Bk|$l%LsZ>BI(MEr#mi^%W=tEh|QzSqg?!Sff}<}8qDuVyCpPdm@3y=M#{>W zFHVxmG+6YJhp;-C{*p9C6QVhvoerhac#OJrA%AQ%=sBIv! zlnF;mgNd`$sUK>_rpMo?KC%6O$DfGj^MFQ!so6fSs0qlzga+f)2)J4w0G zJk^%B#6F2(i⁣6tj?0#8j-{7#l%TW+=_Yk&KqaaIqwemi9!dGlQhsiV|&du!IxtvoP zZ}ITQ1lyv;Ay-{lYU@A1pE z_xM@)-of4F^cU39qV}8t&5H!s)Ev9als0to5?!-JmsB-_ z!pp}favEIRgE}gGlFY1oR2?3o=*S?ET8{|PejqF23?*lVDb<{yKy#Wh?W^=y-lJ3b zeUqUC@2LUOY$oyb8X`#OXiCx?H9oUc9F@6c&Y;sXQi06Msy9Zdme5PhVe+)E(5XMi zCCw>nj3>!69;fu&AbCz#2z9(fSnv?ZCG%vt4GI6hDAmUletL7HD?c#S8YM?$<>*aP zb9{gieOWY4cMJ8qM3&_sS^7id_*}-#rfqRIf})fMV4ibsOsbHJ2hv-nFQx6w1zyQ!C(N@xdEb0x`=e>$In7&WycSM*>{tn z-A95XR*%!;BtxFI%Op-@+z4Z*tj^dt=@78o*qM7Q{?P_PZN?IX;wSE{~F z%KS&EtbBlvX$P4`GKa4C;7o^%JueJGc~4;<`T9Mi8C<~AV2*Q!PtY=Wf`dGR2|AKI zk6)$l*pK9X`x2LTZea876I|ZDM)UUjWNXZmaH@@(4Qg^qvghJJU($^?f|K z@fAM^UsPTW`R3O9{AK1#{y6s~KRkGw>G@BI@e3ho)om)&yNI?dC2xN{GitLmuDC$b z=iP)YZK8H#C9Q{3vEO+LgS|U(+iQ)}>Iw{3#1U{n8=pN#@!D%hpt=c>>#R6`Hi|Cq zXlxBnuupe4e*RKHB;*q47l4`JISkM0U~yOnuLH)|Z`Zo@^#tP+c2Q<1dw(*WO?})T}tUR~y|uX9?M-hX0|hMD5;#&w*pO9XgB8#=|7~`V!!7i|N@D zM5RPyaOyPnXY>iNa>w?V_(=U6KHH7)+GCA{;N&UT1c;wP_v|Nl&q>_2i~kN=k?0vl zj)|ktZxd`IT{!M?j);g5T+D2-IHZlsk#pD{(#2j)8+SF4p~%^L62Aj`@ISgASGB{q zYnqc=P)d-0h$J*u41CQojW8oVOmq?IY;)2O_kD)AsOe(8>jVyakBPqz;C6T)F2|1I zzxObPLt&0PFZy#?k5ismKeeY_tPRTkZ3zgT#KXFt#uq9b#0vY+i+@= z#Km3{{56i^Ejsw_KSqF>4rxd1rE-cU!O9y;Q)3*`{W)%bnv8HC{2a`1iS^-(#IwTz zL%~`HH?hrsw~@#(l-QFPk{EE^YtNAlF?{h=316)&L~WA`wrWO%Z8fESe+I?dOYvHk zj@xn-i8~u9-%v?~Brtwv(vHjbV+$-3>EBq429_Cwmu zdJPSZX?(!XS2yw9!n=$=I!5mEI9&hGh}lo~iGB2#;Ro8hx$_x6-de%^CvS1 z!|OFgmcRV}>H6#FI27yt`%q%T2Gcz+YTC!!y zY|G4cnF==J?LK|ZdH3Bh-Wc!qB2|0$+1;=Ek2!L!%$zYJzK9v|#gbMo0$2Tr-=>Fj zI^Cny=_d7#3$!_$XGkdcytGV{Nmt3p8O6VHf{f-{RFys^EcPr#g;z+49wRsK3=RIb z$dk4x$L1CV){m&Rd?u{wDMhvqq^jMZ)9xy1WfwVd@ggq0la!TSp|fxS-=rQ&Yp+rq zF;1QD3^hX4OQm(lk-5dTSE#nSLF>L}i%*TrI{AP~&u1jIU&Co?jD)6(RM*}nx%>={ z>Fq?1Ucs+=o@S3ZnuICDJ3b-K_90cGL*u^3H0^s$)&6@lT3w(m`Z{jovz(lpA*S{m z)s+k6<(?)Yy_3+vi*oKFbv|>HJ6sdWyFj|wRbeaG9KJ&RAz_-*5;VKbQtm%Ye9klp z?N>?ZxK38xEh6G(NUEAAqvVeE zA|YUu_*%hJ!8cFZp3>`tCY;7AewJEHQ z^S&3v>I>qO>+v*TsLA$g&zh;@zD%RE)=dX*(rzit!E%V`giEx|{EqzA1;V;#IZ`OhrslHvN?PC3&qzz3 zkhZ>?l7L%8+gzpe=o4xV-==Th9Xc#;QFBOX(`O|KjZuEsL#-Tvn^SiYiCg9x9kPUZvVeY&&+5cDtLj+TEa8Mw9(@8th+ieCzjo^yWR*EdL&FtEbdB zU!hl++P&cO%()NKBKxl1=8qI?JHxO=I~hiqi(gf$-O|d1O=l=t{Zb0)dBz7L`SI4b zJiEMvXSY6LWbi%C-F}CGXBuSu=t1U{EHWbkX$p_xw098s)<;Q*IYmp26%ExIL`SNz z!)!k**Ka{XU6&;*G&EVibsZ+#-s1McYVJOMi{U4mP`wDi{x8`a`nLky|C~wLV@u9o zTgi_%-&_0#sE0S-a(fDo7!bhW;Ams-I zS2yCSQAO;*ati7zi5rZfrYD-F+7L=w1BuB$Mq!;dLoKmPWQS54>qUxNHiy?H;iuJr z|9X+Jz8$}{rG#n3QQ@0S=5RWZgZ{L)#xY(KM`M{kxy4T8_Xkti5lMGW0#%_oL>(;T z9H}(*`x|iVZx^P=rOx*4a%7RlVDv6=2KZJ@lPwJaODa#0_GB1>hPH$RULa9%R zqa>sVXM;E#R;LrVsewSj#&2yeUaPZ7u}q>MGMd_I6)mkQdg_wtsEnZ~!-I?rJL&ZU z7^+GZ-$YR1UyA3ta6&g$$#H;yHDh?K8p3;H3$7c)pAqHsmgmu17f)T4KShZrD2qEu zy~>HP{0Le??HP#lp*l1LzXR!bY|bWlZ9NgI+KJlGitqY7JoO@}&&XlCI*#_b5Yk#* zNhv>0v+`))cyHzl(&N|O?-3|T-b(zli`(sy3?~+nakQ6c{RgO4FHk4`E#KKg zka3E1H%(kvCT-=01rB=6(?1-~gIizn{EoD2mo@2cTg&+E6*N6OO5CrL$(^XB*T0rd z_f-1qGiVFTB{{)?!44Y1!1YQPZ%%Q2Ps^QrcX)_bCIn z4pMfbi`e@UL_QoL^+p@bm+I*lGUoB^4;I_C7q{Nz$=$bkxbOz|l>gAYzJeCtRC?aM z$2qkTk}Wf(6{x3k^&o@a{7Cq(F5$f{fJTSSJns93OVi&{n{7;iYcM_5Q;Z!rC%twD zQHKYG>5PzRUPFd)C7l+dbXv|xZ{J4wu|8TnMi>a3CeNvxM4Ltu_Y_imyn!66Dh4CY zQ*dO0`~#Os-}xAo&MUI@e@~&=YZA<62s_kFl208OewDOEG>{k4hM#*Y@iI4eZywe5 z&7>V`rOf?0=@vJo-@Z?*nN(%-@5wNHL6+$wVs}lDbgY^5z-O|I?lIwDwBcTJR4~U>Yy^PhlE3=#M%~-?B7A6M>o07!;}vj@e@pOdk?#I!}(s72+RMxI|k zafdFDV0e#Ay~m{KKbNBXk`j|=q#BQse4vFgRUc^qjT8oS5^%7H%78JFY+K0kK25&w zS&FUtD0QC1Z%;c(yDn0==N@@_uVjdyjBk->c9t}oVe-6(N%tEj!LgI96P;8#4v}Rg zb_o+raP247svhM_+r>MY$Tn*r)vSs1UF{U^ZlX+Z4cL7NGo$BN?Ycmo^7GsoJGeBy zhK0*|9g-daQT)IpM;Wl+#OENd#C^A3}`=Z3K5DRT4u86WZ#mb6n^HeHO(SFuS; zjSXuxSYlvgh`x>y+qS$`gc;=>OxlI2(DR8M79arNh_kPrH8&j7rNWl@#5w; zJiol0yJtS&#T`jZ_uk;vg-@t-7uNB?O=dUsl6p8zHgFziji;%2?=I2r{x4K&w;8mr zjK~x~X?m0))9}u|i_XMu~15p~UNHusYeeWgwR$j+(sZjk- z9^mlt4>*4Gild+2W9OGwaFax3wc!~traus}>qi2PKj*+V)5ID)$7QW-aHEF=h#dPB zPw-yzkdt3Zi}(3096r2(`)3cZ{p5-`>LOtl_p#Y~$rGI3zl-zxS2_OSIS#9z!+Gybtkvd-5!xIoGMvrs zvwztX4$ICHq<)VWy{D4MAK>xrV|>1Th?`*I_R%ezKE93hrw`e`^Z`zK&p4!U1t*i6 zIBDI$da1OgU)^Po*sMHTy!6Q5gcZFaSnV0^%OBvg;trmlJ;MF{m$-cR0>=*?3r3Hy z5xLIGUz4`u57@7~!_igO@t1_UYsCci`gh25dyU)bheT*R!|wBIglxQt-A8Bf6kR+& zdW`c2PdWbH!^N-vSS`Da|E^~oUbBGpnrlQ!GCj0xo=7PUMYez7_;=Uw+x8HLrFRGu znQk9E#OI?IGWQM+V&kdz?+Mm7u~)l=za;d%f>D4J2p<#i#d=ACX1^nJ!#(l;eS+8D z!+V+7wfru2A78{%=J~yMAD4GU2epUNo?PbWuIudGd4c^0t`c_QH@JNJ6Do~AOQQHa zuHQZ-P~#D9D{c|E<_4ah-zMP0*SNkXe*5?-hdzFcyCgXawMV!d`3Y0KOW5v{wrbyx z*nTQ8_1zW1O|Elt{RRBD{eb=FcX9vd5>6l87GHml=esY(XAe2`*#iD%cR9Fjp0NFo z*}nQLzWZMjzV8)#KRJ*4+NXr+Jmlz#8w3d6rT)Ke&(Ihp%vW?*T{Ozs=#* z_p#ga1KZXKwmOdq(Gx$)nx0?Y;rOx}*lRtZbUR0fIC35RI9K^QNubvC3xJk9yIoXFLwCx_I zbJ=O?-Tn>xz>C~yh~#fqzTojaVMq(wOxAwGxywt*?@=fJp*x<%F?a|$3HPh#=;3OT z61q9zU`SE!ZYuH(iHXug&tM~3y4%s%V9XMI{k0hAE=S+sO*;Aykzcu;yfQodLj4F! zjKecHRC?$XBEvK28wjMaMwj!Wn|OLwn~}oL`QfJW<=Qv7HU9-oJ}Ua&e;^5Im`tl8 zseBC#$)@XC`FnhpJjZwCJVU3ZS;(nkvN4#p{2*Fm3g|mLPM3BIx!W^|*qx7RM>%PR zT_ovuk#5jHuliMFkl_mz}6jZl1|j~KHO;&v91uv3mZN{HWH zjmoS>SVRSh+l!@27ZI_qn4*wLe0J56y1$zg>t4c7G~>6chGLg_vW}m@->{ho>6znq zw-9YwMF3ksq`%CLN?}*!!Ys;!HB}R1QX!kGg$l20_?guaChWuCrj|%yR^A6Hh_;ZH z&bm`{s3z8=f#~hcqDQ?9Y4c1=N!eaUig63crtKu2Xd~XI1@}X_ggVv}da8l2gSEuT zdKu=8vfc<0MqMOWw22Ni#2J;4WGa>3RAg*#AkLy5f6*_|VT@RZNrJ7!p8f5DL!;e5NRu(nu>3SxIuNrkN^&bU?>M2S?*EYi#i<+YsO(Jo24jhLOCq#P3Vwo6(K z(KG%?9|3#nC<{DG?4dSd_q2!|tt1$9kZh#2K{P$*@CMmH5+Gd}LZCc2ttIy=qZboIwTI$45!9 zpTOgA8_re@xSnVbpVt#*QAmT^2syU>gbRy^G7((NWWxw98D_;Y3Q69cCk3;daPeuO z?>PR4n+ZPNjlE4d?snpjBSWO>k5aM!jIg>INw!r)?PwF81^W zQ#;Xy;sYz$8~($%AFaXnkmxLW#Y=3c42#LM6+DDJ`N|n4hbSFPU0@vsDX50 zIi>s061eXc+jPdTK3qw4v>z7=PjN~1iSkQHS0!J zVj}hBVqiis4ds30rxw%GdxG|!RgCv9<-yE)uC%V^r-jdWcuU&0ODm{#O{f3eADCTp zmY{9fq-|?qV9QM^Kfg}Wx5{Ha%1GVZNsZ+Me&(43?8_l$Pc6;cZ_}ZEflQ47B6Tkk zyG3~r+8jw+Ps_MKl-6Zjw+QplJx{pyCBn4N6S8$y*vvTswvFPqrB}|4$hC1IHD`$3 zdWpC#H)LEUUQ^nJEn}o>4im34M37ED5i%!9OE!kwAEwhwu+Y&UJ&~_AN}R||+&U)5 zZjp1AXwg4b^E~m|Q_=zs5hqTJ6um;XN|nYesaJQe(MAY+M~p4jiHizV+8LQjmx6rRbh#WJkddak`P%pTyK~F(b;G7 ztoT8yyI`EOMSQF|Ets5Ld>C7l_$E@Xhe$~TA!1Lk&RIfr1z(-hMCmGV(k2YHNqp6e z|JDJKsbDW_YAN#tm#q>SV68xho=ico{oqSFLH`lrQS(OW}eM*QKc z;HM$7WKOu2#Eq^bI>9Aj>xk$zCHS0|<8=}@Um;RMVn+9@#PVe#rRXPYmgFJp1?bJ= zYBY_nq1Y<270jc=A5q%#qQ^ziZ4{O4%cDBy(B1Nk9TGzchjXd6iIJk6D_HjtyHs@i z^fG~8JR|z^Ia=2DQfMj##4w*KDK@8{Tn}gI>TRcym)-)Q!YJQ!h>7y@M7+L zY27~N?#1QYl&N2uYmt($TBkR>Q*|>QV zOEzrWirQLJv^Rf4N82$f%Z=!5^}x^3m9o47+&vx0%!|a|^91M5x=~m8HTN%Ra&Kl6 zb8RaZAIS9Z=3A1GmP>D*M$h}N>0drdpmrfeW@9w19%fL2p=r-BDf?3y@~-4gX(OFQ z!E{xG)1RHg#K}Rm}ZY`+T62fbIYQ|Eng~G8O82plz3Lr>QYOKOBL-d zB~;0|GM_dIoa!lYuA$1UMyO;pjc%2+xR%r8S|#_^(&JdgfPFcg&P6nOOb(Be`q_bAt@sPU+v%Bzf0&s<9UvZ?bdlelo3d%~NEbi-GFn_SMZZF-JWIt-m6UrG3qHAvqh4hG=h5IP zeh?iR#1~BpwqEHn6pS(#F{}5KHDtYN`!qV`zEO4xR_Rd|jt3z~d@l2HXNTNY`@0Pu&qcYlECDt7>sI*I>CLoszZzW~~Bk^sM z*Du&C9tEpr_guQf)(*j=);*PaIo~3shrSh7ZA3mdjs25=!@o{KJLB94sO8L?dZd(k|>T zCG$WzIdUx6UqrS5n{HV~zQ`*T>Rlkm47or3U;+6D@~E&7S-b0rv8lqtHjfn9z*z@N z$=_2&=Kgw-Rkipp_&Fjg$FhK&0|law%-x?a^7AO%moN7hP`+MNZ&9V;T>crKX| zq^XveLTR`4E3I6?+)RNI%dd7`0Gr(Mq93 z2l>0&$=lOR?%rCNTefIJ&cPgVMNYo7Q@PRxXCDx(q{U7Yy^;<|ySvy1RLL5}i%*nH zv#cb0U$yw8R$l)yl=X8CC6H&CxoBU`zA94o%CM1k=!mqOhl?o{To%WkUq*=x#m-`} zyKsM&_&|n&uh^9>*ktdQqoT_}X_by-6K|D8)}cJ|c4x_a1)E%%E125k5hEMY=a`E4 zquJtTDSi?YMSB&T^TfybBp<3IMX<@RtPvZlME6RGfeP_?1w}HF_E(X8qKPDHY3HqT zNI#MzzRagsJULfFqE#Vzf?uyeZ%b9aGJN4oMY*mm$Ccy9I2an30R*+@sS3>sE&{|ZTPOZ zi|41OX_nSFUs~Z1eJMQpbzE3B&+k6@A6(ls!;1zde!BLBu%i!oaQ7{Kkk;*Y3-9ow z5S5=Vzf1FN3ydH4u;=9k4!yoiz^z^e?jC0T_Io^hu!Nk%Pto79mi6mRSgWC-{9~j# z>oqjd*Z+V^=eG$9TEW7_AjXDg>72Ms$IJtI#_upTI?efMcP>sZD&QRxG&s1P8lcBO9eA3AD zlPrAv0wwCdrSRjsBz`rIxAI}G7#y;67LOIf*stltRgzVR{sr8&&Ev3U4DS^q_f-4d{*}0xuzYL z_3fP8*ny`|bQkS099DPBwLU_>?nCv(C}Fa`-#4da{)EUG$K#s`+`pa0`0r*6{vrNZya)yi#yqp~x5)jAwq4u}s>8rPuNM z`YN8^iGS7&b5f(5aN~=3e0v&C!N6$Y>Kc0Ry!=_sLsLGV|C=V#-@>s==5=nW2N^y8=|`g|+?6#RmO znJf1AeLE;vo+fDNq}VR)mBf$tcOBx}Zk$*5R>{U* zIw<~}6TByJTQw+gF@W!~!Nn(-`h0zf0Euxgk?$ec1WH^6DDkjviWIx29MO>2TQenV zPvZZT=pcK+=NrLh#hFE1e8sj?YX&*7Z5C(q>sYT7JyxD3;;Tubznma!*_h}iZRxTR zqP`lHqY}g8f~#W7B*9-#imV&xeDZ@Nq~Ejt>pP?iL6`}Mqdzc)?$B%oJW^?MZYI`L zh=k}>y0%@4TMLD@!UnZ7nOk*eu|s?1zhgdB65_3AY}6$&-bz z`NO48s2(|l%b7;p#`{S-ca_BM1iEjTbLr8?+_}4iOx4F6*lx&%b;fMa&}Yf|P1qKrnkBYoeDvpehDQi~B+O?KVR32@k z_;3>$$LdM7@1Vltf>iElst!(2CTrx`wo`t1m_nOj(QBA8(MkE>vCe9g5;>O1$g~nl zdw7U$ixEl>4wGy*N~z;CSvLI?Ta8e&e}udv%7^@Y6xj|@CUQ!T$b73Y%0!>sBRv!y z>ZJZ)ujtcH(ZLR4?E8rJpP7vbdY_# zU9j$;#OE~mhr6jf)=8C(+;7`Uk;o{p9VX{+J2i*8sW>n|^}#_(1nWw{NBK;!`rwpQ z*KxAN{@9ak6uHWpf=`9jkoa?m(gT9K9LtXkij95bTlNcHgH-J965q&c@k{QpJ_-bb ziX(!Zbq`7I!(_S7P$C$X*-lfoe~=dQE~-zBk?uK#>UcM~5+4Oe8%38!xkqBdW>D;$ z5Wh_cgXr@Zw}%GT%Y>hpqQrKDD%%?JWX(#;Gvep* zMY&~1yX4+R!Ld)~jY@1zkfQu#_<>39ogdJrdmX>XUrX(b-^{mlY$5!nRnI5;KyI~tWWudecoFP0U zo~njwLZd?HXfC3!-J0R9b<7W~=h@ZoxG=GVSNGl(y7neF&V41UD2~4OUos&qBjd2N zT|$uu)N5&9egiMb#!eqSqIugj+DxzDx?!GETNd!txIpIWhg3-0mbq3~!j?h8G{%V7 zbQXWDb9iZ`II6c3Elew7(+DA& zZG>pcdktY{TD^pC?k8mP(BiLE1gnjRZj;1G#Z+lrlK1C`-f#{Fy?G8BO10l`hS-hg z2~?Acq#>1BTG+_VmxvUbBR8ERL_=7T<`e?dBWn`qG|Qe%qHEmAqPPU9rk-9@KB(La3S6hT_!IB)C0 zQGWzKIUl=afP_s_&DH9N+T2F?7GXnMI*HNfBwBC^)fo|NM{v^|CRB6|-#AT-=oYm3 zEPUO79s1$NwEsq zcxmyT6uIADO_+-2EM8l$;J5jL;4kZl%m}r!qPtY}O^WVQV&gbI(r)csjL|0|> z2xdbB2xcB)mz&lYK03l4#JAy_2L*2h*M7pb^pmi$SMcs3Qmsp3M_8E5iQYI*vbxx^ zd4vF6k*D5@hhSi5Aima|BvfK7RJ)s4^?t!skvBx7=7g*{Nzj(l1Zd9SE4q4by-w_= zizJEfeK!ju+A@Qax-d$uIl1>NLFyBN=^U!HVwcz*B-lhqysFku5-<1!%N$pU3t#O^ zf`?$NJ})tK1~0LN{Mw*U}$&Z^<9|=!2i}RDVtD#_LpU zxk;YUbBfpBBlv?mIKKTmqQAOF*;*-lyXwfZs-ax3g)?ieaA(auE~;1Zn^GqpEqu-o zH>6#=@eWTOyu0|Y|DUhE&;2`Z)BU{>>3>Ki@UJRj{v1Tn^FX>5j&b(dW*$BKob;xzE;Va@X9VQs``srUZgvKSA1(SR_LS>dz2oJV?BD4+&-x zH0GlO8uyZHIzp1(7=9*QoZL}Q*q(7BbSF_6%h9x(NYgH&v^z-B7$sUuS_M5xCYp^z znlgNNDT$$z2*Xz5_ji$C*+ZC76JcA0eF`?AW-SCsqK!14!PR(#puK}6 z7!x{Q)+CheG3C!u6uT+dC`AoFR}ZF z@ZBcKQGZDMK1Gc7glzmFBDTtP!6!))Ow86{@y(cEF(7`G#HlA38+H>UG2|&R6uwW| zZ!>A5bj9yG&Jw>}3Y{eKSc#h`N$`n+ixNXonxiBNiwHB8jV{SLRuW#a`8j+|+Xdrl z;)RuYm=54C#VXuLlE2ub(rh7dv)Cu|Bej(zAVtn_j96hG(K|=+l|m6NNj}A-4_}iG zLUwl&d1#aXc^{$FQORBBIA zu>C5M~b9xXSr8Mf|q%C@&s-%&V)y zjHGotH}@&mZ-36kxv!bMw3fC@r-&F$Bk6htks~T<=gLT_uwi!InhWPvlNrAP3ll>& zYpJ8AzL6#CHm{Rp^c@CU-<3AZoPnWlsOr%pu;C;}nvQXDzyrGmC!%YEsp;6kX#XZI zk8k4Myc$!z@9|7|z`wL?cP@NRxqT8{zxtl3RU@PwE}~Gt=~?rT+NG~aSoRFxrH`pz z_eUDH{BMqa@mmgm`$z1){)w!w{x_u`{|jlWUJJW;h=cUC?rUEVrumvY?H|RlKN6(- zTb$RwkZSmfB<c zjOSNB;r!hXMDO|w0b718N%LpiRy@YxyNB4VxG!>E;lA>TBzcjs=_%pHKNGp}M|?j1 z8UL^TOu(0aB=XB&lOj5LZ+t-FzMqI*_X^+79}v3k2VsdXaoTi`l%21LH+qEY3i17x zmy)P|N8oqA#am*^=Szu&&tDO{{H2_GB24kMu!|oE-td&*)sG30bsg33;%IOO)dAUX zYA^6w^|LUU)xp{fN`52Y9R!9khg1sQ(53FaAo{r~iYPPyUw_;(sCd>p$bN`Ui3y|0qfM z1uow{$797qLbpEV)W+LnIRBQ&JqmvJ@RPU-*!ohsfZyT&$#3uzUn#bQe*P0F;*&73 zE5_gz!TLYou=t+B-ba6zGfmZ-NRHWriM|ONHg7_0%Q}{9kgT*tV=X2c@AL4wKDQpf$=LVm z6u%lS3aU~)p=^nq$2E- zOlp=x>ftI0f@=DXbkGwhbkm`gVDoYkx7VXGX&}s?jw-)NqMge~b7&!Tk1z%OY7+N1 z5oKOYf>8@;!W@$HYe}$cr_8B~`sfMVj^>fJt3c%B5@nK4#GZU=W29v{m_>ShNOGA}vdlh; ztXs*Jb$!k2aXs8D6|;tH-D=VVj}WOeslsM@{Vq`G*-e^JCRN)iq{>whE<@$iNl=xv zf-zl`?QdE9$IQSzc~Z^uh}$l@EB^p!+(5EH6IB*H)b8pbOIT5|VFU4|&2oP$5eCgf zMhy~N-$7PbD;egUcuHmS5f)e~ZC9SKn0TW)5>3iU(=MZF_b?@9UBdWkr3x03B9$=G zG?$nI)dcQMpdqZDV)st`gb{cewPC-bhB(Vc;!WyBmukVGLhL9edV41%pblMKCrH{q;-8 z6o#98pqV275#om;KFvbHtRw0)3z3^S-ofpMLyXVQUxZ5WBPVvdFP*q0+LPY?+^~cad!McG`9G z$TQC*VQ&#tM%~P=y1p3}}MB42@reA7sUHKB7@^dG*-sGY3q{w^nTKQeJ3t!RZ zUqJU;-!rjtNR-c`a7P0ZLc=>Y6_KtLB~J38=fnw42k*swr!I~=!|~hJO6E#QM&Gqj zte;HO_6P~&Fd~gYh}ZFAcwaOKTiSpCp|jD1?G7i;F^8CAaU>oQO1MLg z7XD;Ac~R)&NU4V%_0FegcXc4$&z+SiEI+9q?HELHdA;&{V*yloC zQa0gH31nD?5o+R(+wM@J+>)tt4x`!8jUthg=Itf#lNofbBqKBp*S$w2p?FCW2qt}R z1ZmbWq^U9~afzkSG@3xsGvJ^b$?k5Hc-v9!ahyuelT^Fe)9vI<-F{E941Gv7jFI+M zMZ*3>B6bH68WBfqS^|}}@#GsOaYAIfxP(&eCYw2e z*d5Wt%Q5+IB0&ct@jP&f^1wJ!kERj0BLg3!P$HaMDDZWr+}(p3S2yZiT&WTJk`A6E z%_*F6RT-gs{7Enml*AKA(EdQetRiI1LZU1JWK#!;{{l!k8A^?NAdQ}`Vv`ePZhll9 zO_anPM|e;sX))=<8F`@E8Hh^c1lt7T?-D_!dk%S`OM-Edu&5vkT*QaoZWMbtQ{m=C zm9szjHm)Qc^`a;|pH!zTNdmD_gc3>Fmx2HO7*t+46gXs4U=m4^aR3oE-X!_RTt7RS zybe?EYDKlXgA~T&B%TVUD7u`;gRznX!lmsCBYD3HcS~O~8>KaNPAA(ao-ng8ocB3V z>Jm(bzb94hcJ$cWQ0Q+Jd!MhDu~1#A-@c>An|bqyH<^Fq! z+HFIKj!h?K+&!uiT!k{jVqa7HOq@{f6aq+v;3jJmq*t=<;UA^F8*@u)Zj89 zC*RUquSr$iZXzT1k`gWc4zeaH)`7raZ&XqCO!a%w(x^>L^d`2O@8P>m>xCh0V9AQj z+N{>zfXUW(cy(E0@x!TGw>MIEHkyE;VH_83a-gS;n3)o$ZyEFY-kbdP%A5Rf{e2$Y zl5s~8nIx#Y7r&-2v4-Z4pD?kppC~ic;xA|pZXS_j|9TFa4nU&W3)PSQ|CBDhFc|7G35+K6fhdAqAf9$ruY=bLrPePZKfix zjii8jnof36=Q~Mr&?Ffi9i*oAGY~sOxAHq%&duce)=(E)BZ;|?;pkKbW8>+MN@X^r zj%k+$Ivna~cN(O@eq3anp*FaSsEAxLvuYUhY-iklM3QnBNs+=h!*UsjOQbbbMQ3~x zW5F3*6SgquP))0|;O{(4!>I|{gJ&u786eB2gyGaq8l2mxa~Y@5p;qwBq9ZPhK2NCb^lxpAVGDw%_C=Efwr1-Q@?b<=x@g5rOTImU^ zravW{cA4LkD01Rr1fM*ry-LaSsGu`xCgLL{%(;6~K zZcHb6!qD@bS|xc6(e6A#n~WBRA)4(6Wc1T2%(KCD4qNR>-gtY2&%e2V%K9;L-eZeT zKs`T{#Xx$+;uBpXv|r=*@htTl`h_u7lCr;;di^S4NE7`2vwz{)w>S7>K{(&v_>7m= zm+<_`DrWjW<>BRzXs%qt*w}GA+)bn{Q{m`nOKegir<`0#NphmK`UH)&#zaJ~N83n; zmFjCz+pPQ_lIB)ct7~Aq^?hCl)p~e;38VKmlk*@KuOCM^@#}E{{y0s>{Sv0`8t_Wm zv%g+^lV4x{fV;P(eY^2C&u_oYrI}BN^$4bN)kB6hx07p=MwUq~ZEGa3-+D>-uYMwR z^98O1R`ADuJ06`o$XKHr?TM+h9cZI_M=G6C1uA_5rTRzE;t@`}dpHxW2}~vBQx_+d z*VA2s(VzAp6=fk|6a;ut5#TPB-c^``FU1bwL~QrRM=Jmy%>ewjM&P$49H(v3#O}>t zR1#))cnU4fE>sBHs04N2I8;nkGHNLA?m64 z=oFKGAc?`8bjqUL=yC~=;0&WFHi~j#WwCLN6jr3rFN~7T-<&MD7&Ekd;PPQnDlwndcEmZ9ur#8A73lC;34h zl=<0HEw z-XX%Gf+-1!q$oa`WR*YVMF|vo2MWWBl6@3SwP&p06iua9nCz1v@qrK3zHzu6C_`PN zmEGo2JR=HuQt!%>84IpYoMI#~Q+E!RdDmc>Gz| z(Qisf{V9#s7dl*fzJwnamhkh{H@Po~=#d;B-+!BH*Oy9iNT%=G7ffxPAzJybX487w zR*zBe!7YN`xR1{l_4L?8@ubF@do#w&bXYM_n8UpHY0e(%m8w@yv12opN)!t8jjwi|L7~~Y<{G|?x9qvIcD7^IpZa^Iu}x8Uq?wmhg6Pw(gL!{$gU+VrIDf& z#bR?GDJSO1IsTB^W8c$q^#1sl=QT_GEK|VVjpU+q@QhU(#cHml^vD^d4`g@s!+aUqQ2-FfL((v7R|3 zNIP4X-A}Wyi1yg4Jdh2H*>#ksQkv87}VX(>< zs|!i+EhZtdmipipnq4ZX^RJdREuRXu+jLG`g&vEdGt#|2**C04g-@wr2H z#Eh`GPFXvbj+3&noQo+-5IOE;R5gqe9#Kk-OF6}-I`BDpnnbUM;?tW{p13PA?@?-f zQHtIy!=aPRhIIBB= z@e}IA?_FZkplctE4waO;RgvW>*annS5K~8PocOM=n_T6WiBCvOA8(-GSfeo0R!STt z#+)lCbu6IFp@|rW^EjS-PL$UbhO;7h+-AYU850I8EGV$?$7^LJb|2g$=#yu3Zn{jR zc{B0*qiNli%;=VWt}TDTZ$G=lzvjE~df~&xpVWVG#fXubwJcm*PeaaDx+;#7ljeti zXcAFUTw;UsND40^CeBKd(Mjscj3ps$!gQA=>$TKavvDO$w2k%9(B32o=~tXTy@vU7 z-!O9iFgX*|B;G!c*Tpg7?+oH|wwT5jj-0;sKHp#Yj29O^nBFnULfpnA9)4?oYfY}_w@pPOP*uDk2IgGp3aq;c80jdLDtWIM*==NKWaNClZOZN!F_5$RP(%*it1j^`0; zn?n3y+00heWbCgb?@%GxmU$E$%pmJfzO-cZGz4c+6I?)&Z6xJFpR-gAL`21s;h9U6 z$ceWuA;UJG0ILL27yo@<);f|)o^=Qn`xA(gbINyudVF&w5NZf=E~6-_p7h9KTw;^) ziA$y+sfM!s%J0S%NH1STfb`vIN24edyYdefk$Whf3fpkTM9zRmmb3sVB-v$>D=kBq zs(_f#M3SS^$d};EI8aE+ky=6y787BWPL^#9MTa9OJeVprrO;}ZOsh>6mG*69NFptk zL|GPIM|4;P!GRgXXXTM9%qYt$i|8Xo`0dX|C9hMCr;&PGTIwV56zxr-+pS6L7TtUs z1mPisRCv|@Y`IgErV$>0LFq5pKVPqYQA@6{kw~i9L zBS|}4LWd;iYR7cq?c(uHEW{=g`LZw9O^sND67z86=C3Q}z~hz+bn5KJ4pzyB-XEj5Z`>lB9h4tO_O9&DsfOi%HbTckK~iFFP&`BCsVM^ z71;%cQ{{as(R(5&_syU;rIZxAAR4804{?bjO?;Fo@t0dYj=gUoNeZSm5(Ade#2w8c zRd7zTP9@!1MTX#$W~-vgw~C?EDT(n^%7V&q@Jt{vQ3|Ph0W}4^_&X(%aXgg}@u`>K zk!F=mo?x7FB!O(31oDq0Q)iXKm=xhANh~QR6UhrJBF*c{9! zRY*bVUgfJe%2%ceNEdvvtWrp~%ERMO9S08AW3?xV6l-^B_Z_GUj-}A03(sX!*t~fO z+jk!l^X*ewHqKMKx1Zz#Dh5q5=+W+BVD%k-{rMUG-{~&AzV_kbU)o<^Ghm`^1vjpL zKyRbuk-Z38nlXf9=m);43b zw05#>%cpcVnb1x+y=xaJT>pv$jY~9}4>RCWNV=OJNkS`g zoZ@LeP)qBUDiYQ6@zs@}*_KA6c04iCn)K|GHY>0PM~5hOxrE`IQbTgiFuvX;_&5rS z+nt2#?l?SlMdG3tL7+hnQAQ<1>ZcR8EuG|T2?XxU!r8HcQ|X!57Zz~JFPhw_I&u;_ zarKHP+BOxJJqbkaN+m|xyA!(6gc(+lp;<|Sb~3@H(v}$K;8_Y$^ED#q$a7@m@Z9Q;yAj;$v-z77wsbaox|BRZj($lV!)>ZY;JEQ{lM*J zP(`>w0wD&8cp0cj6Q-KwS4W6bCQ&I39Nrtusa;Wcoy@ZMU2t6&pu zmO`{aEU|)lqG`O~E^_phMA|`Wa0z~1sT@l##lSzFC`m|>NAid|Qh>jC8ov6H0CY16 zkeG?oFCj`#60d$N;fArei5z#!6f!D%@GEb?MOBWqR~FtO1;lyf5-G_e^gt^9CaDAn zK7Lw>L>eX&V;qOdC{}b*5xOIdE zVa%MRm6BL7(W6^WvwlB4>u&S>lR^HUOlMwR{)D@? z-sII)4QBhl5`SQk{!mn zXl>ihdW|(K*`T%wwM|-RYk$P##Bo|0^cn9+A}6#KI|~UGr%L>+G6{S5dz^n$LE&qA zMjq_o=Q&-j_kPEZm)?-fxP*O1>cBEbDf)s``0%@ZjzE}~z(hat7owCrxA#x9(5 zVF6su3Z*nUfU=k}>BC2;)qT#8;YEf$D%ot)xalIny- zHP+Kx*F}vgpRv3OYLnBbP0yk(L0TxkMw0h;l45q6B*O_ZjW3XIC~eb@aboPpNv`c6 zX`-3D-h4Xi^JuMWBq=$IiK-T=qvM%K$)d+QP1>+FQg@D1tap0x$1ao1yGS)UPx$^j zB>D7_(^*2?L<&_MrHmBU2xblV7p9Uj+DvMD19iz|i`6PZS`K&9UUE#YQeu3GB>j0* zrnf2Bd6t3jR#Ju~`JOGKptFUJ(gtb@i%?ahl0V!)MoTsI>G@O%9+A?DdT$>m-t?l_ zbdGf6X|d@H`A5%D>RU}ku>{jl230bruD*xZ{9;m@a!4F6q_(V*w%`tm0_O=oI7YVK z6j|HPimc0|8{8npc!+W@!9^HIQA-i!o#iw%)YD$wMRHUQX&D(bH5W6id~ob9tSxMu z(4GBc8VoP~gJYWMJgEkk1fN+VOzLQk?PaJ|=C@Q)*(QujSYu&Kj_mAFgZt#(F9q>^Te8t3cD_&w6K$=#@0n2r8ia(UY0{yk+jH(6|`3N zQIXU@b#NWU?j0oVlVLhey6FWnM3*$LbH+hE$VFWSX2I&7zj-v}$@6T1Xxf*4X z#cNJ({T=-h?{ndvv_(2GS(L(%6xUMcaB`2AlWWpS@yaHu^v_XbG(?+L6AfE?nO%FE z2TQy8bBQA_uYbn9J8wwK_BB%--*EquI_<^Vm>SwkQid}JT?27Vj^v2vQ3B$luy!$} zwfP`*MLS81T*a;(nylThMe>jVOVl)0qPgW8)V99O=s9az`V8oAait_kMV?DIS$3h6 z`2^s0VHT&qh|#b2F!*>UKg_M=+UYNOc3m>#!V<1tTSi!-1gzm7=+Zey%AOpGP0JYG zJW0QU$YVKF~nhiC&It6>vhQ7tf7zq^{GYA@-Q4P;vM5O3H?tkD>0 zdP8Jtbx>~BMooAgX*tOhSI1MH8B9rj7BQ)D)Fi0L4RB>7HG)pRKw4BSBwDnRZ8Ax$ z@{=`sy%ed<6K1+V%E5ZZBcz?o4x_a+jfuom22w?4bO^bru~aI*(-xINPjD(ZvDJ9* zQoaQ*Nm2Aj)EOjc>sbnnM`-mZCO^%W+@cVg3UWnv<*zb{)Q5*sAUbB0XHtAZE>%TMUJ|Vm+#QA0z;a7k>bq=q*N%c>w2U3r-_q$E)j5>Bsw z6fHi{O!+1-=n_M>Uoyk0DuS%bh%y->*KC3`-BFQong|2sr-3S{jwz%sDwpZB5=zqI z$xMr&DLR(kzy#Xkb108Zr&d)&l@!hxY1tCY+9f$kJ0ul7Mdv(WTL;Lrk))MTNNH6# zt!1H9riap+9#3~nEVYr+ekI3I9hF9VQVY3mbwrp~lWHM}*kqVQy-{MeN}FQVLz#CQ zZJCv{S7uR=5k^>HB$-7yG>A>z;=87zRO$j_7JrF1;ZP%S`rU*ZjR;QX3DsX9Q+uA= zZITeetH~`%6n#{*=ch=r_NF2;fmYv0x+}_QlSDb~p3FdeA)c1`!qVo*+c`>tVGD6O zXGz^A1!!+3{Q*gIq~=gsn?rtSJXMi_oQs#XG9p8KS1USZk{1<6rSccP;9MGAYLV}t+5_8t&b>e zJ4i-_0eLBVDDjS^D=?XE-$aH(5^$ND<=DRnGk7g5>e+stoK|PD?Q@+TX}-bRF46P@d>Q})3f5kFRlTo#=7! zA$zyqA>QTzv3u``+)J3PQl2hwiQr9F7r%Suv*kyQe)k;DRSP)0cNvclp5p$&J^Vkq zi~E;%*rPR#^?`Yg?6@r~=+}fEd4!eTEQeRj;=bVyzU%Mdqw#=~YEQ9U`2?r0@8SCK z9l_=W$3OT9hfg1I;6{_~aR0tNy@=ckbfz{!_f)xsUt14{-eGdu%^^hTVpTxb44*)put& z_W6jkc2{xxd;U;o?{=~ zkTzajgG? zg8Rm4@%?pNKD{KdKabb1F5>a-dC_Z*kS`x%_4%(^v*bB}>;J;!-lz2Hb&zk;LEi2m zDvbw7QImO}J}2t)1v*#t&|}Pu}>;A#(bYAx4_MOjpcwG|Gows>> z=`Efuyvu{jpL6BLN=k=M642a8$eB)DdeR7=kb*OtK;L~6&fQr?O2${1>KL@y?^)l3w*F$VK^2;0eydxYEG zF`@HUWbG?td(Pu+K1yZ4_o(*Gld@lA9Fn%gY(Qx84Ds7WNZj5_yg@&S(pJQo^y7Z8 zi@dl8SXoLX^_D7TC!5&kJONVaQ-r3+*y3zBGpLNDiW^MG zI8D^aX_5oaV}EFn1h0#vi(QeY&J(9QEfw_wx#ri%+;$X%2ZbeH#?N$&I;STzdfp;b*k0O^ zaiWBwB}ywAyG`sgkT!7JI9Xe#$=oV-Xx${v;wsT@v-nty5-3$Z!)cD#J=0VKKBUZ7 zl91Vm;5;mN_DailR+!Dj#bdnjm@uu=r087|rXx1&n!wNd99H(Dg!)R$dt6!@t9dGY z@1WW}O4`l|a`p%VHIO#eNQVBTFj`@3VxvlHoFegYl7r}CErsU91P((gD;ywN=IdS}I7Vw1#@O8*RjI-^tv-II8mBHDeH<5vAdx}2uL zSui)1wGQ1R+h$gZ+$bvB0g?kH2#jYsw}G=^J#z0^9Nzs2pZ8u+zh;hJ?Fo7`u2b;M45MGp@-LEPZ(7}< z<8ly}?|;VgTTA%y_8a_gRr!tok9m1zIX|2=BdEk0{pkUA-AtkbLq~f zJbCygVQKGUu+0>mZ%o*tzJ?{5Yd52_NteyKA22n(laY1}8X8@Rj}uDh8B2>@8hOzP zINiQN;Llm){d|I&A9nKa+6v}+zT^IlcNUd-IJT6g14WFy{~IPY%AyBz$lhH+ztFmd zZ|)PcOu1+LUgKdmkk=Ad6)dvKTx}7ngW9kqD?0jgDY*D0BkTQ2})?Q zRL88nv!w2rkYE`iZri}(h&3D_Rf0Tm=ZFMiA1QJ!RbSd7g8|ua144BrI2MPTlcCb@ zC2#+k#hyG)w?{TXAF8d&mrsX?wK;>QUYp2N|I&%WIW^BIhj8 zMt#J{x~U>3MYnHpjTqy8*<`Z5c8|0*lFXFPS`3C3N7CXLUOXo1_Y=Rfha}}olH1#e z+}265RzDeA$Hc}-LJgEJ42vA4)zklF?xGy!wf?Yd-VsujU&l2WBz(`*;%1LC92VUN zNs;UE3I;Orch8V6i84`iPgLxYbIF2hqKp)gl_RZI{?-vPCGo06eu5+))z)5Vy#-Tg zRg?a1bKahr#W@O|@p4{yoha)l_9%L#$?G)j0ZF8?8Fk0Vm-a~|HZHC!BUbQEF_pFU zNs%xT8)PIb`bx1!u}u=T@><5CUOGdR>5o#peL|8;pCl@=)1Ze4vq53sLxjqvjS?Nw zbO*`Mk-{KzV#TisMuLGNSFd06?<0GsBuuk$*{r`5l{oQTyz+c&8R6!Ggovz2DKg2j zMuxw_{wc^tRu|xT#U?ryFr`WXS!Q zdIOTwBp#JGlDLbPIEk0o$T=iML-34~Yw_Z*cv*9?mDdxyv^%B949j&{Q~dr9Y<`KE zU*bmbeTpQhWbte0&LP6K%U+Xv(&heS#qT@hnzZC9SxY7QD*GZ;j%k0_Crjcq%lryv z8uxkk4JkZperCZy_NDT_gBJZ1Nb=4#lfCfSJSX1x4S}D%qIkn42Bl#1Y`9AKcc+>B z?mWMJ|FB$pq3&d&==7W2UUn@d>u{ylEp{eVB6*2KT{7>55k$Id@K;NZWF zvHjl!zu$WB)AhIc&E+M8=YEK;zBcA7wb4+MgtSTBkQM6ctg?8Qu~}0p@;1=W>Wszq zP{Q=5$=N)G@BS*xnlBLjn;ME=ouKLYHtwC%ptt-p9^QXLSdx;EmeFik&eUgrV{+3u z!giDj5RD9~Ptdkf+LR@aaem`21s~m`No`&dQY|iqb@=PI(_(y+PVH_|byEm2t|EF{ zGl^SUr5CT4mac$s!+gT@@+3JGO4TS9c2I~)uTYX#F}|j`IO-%4YMdvIERZ?*izCJ$ zUl`JV94fgUp_3&WPwtcViQDA7b~fP_r8t>n;%1Oel&qDgmoEYIU(7d>HT7~OkmR^6 zSM-tdGE#K&g|*0mT#~U5+wDj!KU4hDAi~D8XCq^)(WG#I8h{uV51+uNB>5M8={X z|H+nU!9tOftXnATs8Etb3Bg8r_-@M*U1UAMCPA=P<|!jmH~ZgTEAnFXW&S_u6K7B) zn8F1a4QHS^!^|Hqs-(Mi!qImRh-{%QWd4|%VgPuf->Nv@E*oz=wXWD9dCB3AH? zG|9)`FdHYsO#F8!a|&eB$$2GC1h;6Bk)T%~_{e&4ZqZLN6yN_NHe&Vjgt->rzAY6m z<1E2DPZC6)VE@Z?#jpP%ew1^6mk}e!cv({==au^+j0Jn0G(yFHihhdhB*7p-;z1dT z9?HFnFJeW%P{B7=;z+So@l(7E<#mKnffU3{0?dmEk=Os(-~Wu0f?cpdE>1crQp}1L z_e7HTQHhB#qkKa3#b!CiiX0_o6`hoLR&Yt)mLa<2VP)RM4#U%wO8b1ONIhBe?ePbN(dB z<*sx}*PlqY^lS-E`XLP~wrd_|K1xj>Xh@q*CshLAi4w6|RNyx{xZj zB5IXqV>=d6;ZaOhU=eA)()xMkQQ?+PjY|P_&INMM|Np3WDU@sZRM=%vC-=3A+z#|K^e&^ozl=QPInc76f=j(zuU708nYD6GkzFUY*NW}`u%$}us1Pibdz)pB@;vAw z?@|i91Y@rm?zid{6Fbat=up33dIL`o`saS<^Fx{Kd{TA z!A)?Jxym!jYn()%f13Z#L%CL^%ySq2cnFTpxzx$)T1AGeTj7!?K37H|Wnx2(Yq7*e z5oPZ3TJ&g=*lCjY)#BSKhaBJLOU@^6T9S7qL*{q};tg;lbmw?e^Q?93E>(gI2-5L*|o{Wqh|rI;%5d#?Dr$WzXVyk>`Ae5ve{3YTAe zrL3h4CGHhH6n$EqvT1TD!Ff+VTHno*t9PHrR-??>rYt^hy!=EzrKUaP>Nk@kd$LGp zfQHROOl+NGd~3Jt38${(&s5|Wnf_Jg;1^x%E&++4;F zm#qkwmd$Ryfs?(>gwD2eV6=>cxnn$CSjCSE%TZ;1hmp}X^ww-dO=G>ZY@61zWt}Nn z>dTpHab_%kA3ei|2+VMztRS5pRRk4CqnjYf))DH(gld!%qR~virZ!<8Lxc!r_fc;pcvCx3lI;A|S~<0?g(SPvgl_M_ zSG|c~wH87)wGb*pIsV7dOqk3M+R#Xd+!w7Tt&+%a*J#9XTPt}EGX!sLC1PU>;WGa7 z{9u_MuF)oQn-@pmrY6D^IqO>%=Xi-6Z{tofMUMOCW`aa^$j0{na)fSBY>;+wgV-yt zBh=c7ku^fq>v7mxf$yO%(hiOhwD!N25xSv`(2Z>bXm#PTRdh1$BU-1I@bztk$@O4a zJ5+2975x+)6?^~LmH>@bd^d{>SvNxN58Bd+t5z#vyGHTb+97k>7I9MME4V2mc%zJe zycYSvGB;G_hKWCvUkDD+?8IH#j#D}<#954pp8ve&FWCR%7`*Af$0kVZ@)lo4NGp}L zcM?B&t>7KHp^3)JUeyBoVv%#cxfE{*K=8OWXvhEBE{#-Gev&vc57F{V%ct&+^$4 z*@vqxa$(mD9Xs<#HBX`2W0s+l7pW5e6$x|7*fc_o)@e@bTxD$Y7~RsA&#k)3|Ld*4 z@Ip^oxyb-7J^GAS*O&0a!iW5L?LD5}e4i`VKjGmmV+z~%P;@StwAw)0&!`A*38i}8 zkIQo#gw&`LpSTe{-7RQsSjPsnjVw{yxLSI*&1h+U#Ff@?3Qt%vcg>p2$<^ z5`05KmHS9PaGJQiBNC)N(q1S%dOrz-c3l4vw2@5LrrGs&<`T9HPgPmWMxH!m&Ne=S4# zj4M&Um)ISH1e*5}e`uV{-D9NcNslhq69vOWW&Qu8^FM~lMCO|QA}dY5pQIhb1dHuS zHZ#O*S3djs@8>Eb!C2NbR_u`ZqDzX%h&AXXN&?wuXEzx~XGC`Qf0*+RS-%|rdu)=# zzfs$}iQPLy-m$Y%j5?)#?2@&_FWb5(J}^nH^Lc#4Z*h{Gl+X4OOb3Y(dz9EnGf*)2 zWu1SF|DcD&hT@+R=XsKCCZyHt6g)*|@nMQwPxxMeo%1zXH5Qi zB+7_08z9kYnlQOHb-VHz(l6IkCVh)*D(n2R)-O6JL(wTk^i41s!_j1xBf5iBTeWf{ zB$z4H4u%U4GLs!m^^s6gwk1fRYQX)SCNh>!FrsmfUXAlKZJpxcw|Dr)W!< zEh=s0yo?r+(=4OX>IOwe7pOdON9Nz8{*cVEx+~)zHP$lZb&d5eLpk4YNMkKPtr?@}-KHy^$$INhP(xX3wri&C+#N^DugZE?P0x7aGj zf5@qj`Q^5vpRA?KZ#i;L0$Kbcm}j57MgDQ+J8Hko`}cJh*Z#*492ad(|KIg{ST<+FpC`77d3)U(vrz>``K)eo?O2zG(mdS@$3M zREurpw&E-M+oJ0Ng-5Ro4mTG4xQNYS+$i(^?ssMULxy0Y=%B=i^1f2yrAqvy94p0t zbuy}D9VL#GbJY?b%6*G-WQ}GSN^B`Ht@yBBd|E5|*V^2p;>3OO1pjjJ-G7OTjA|ub zWPYjmTGpIpPEJKu7pOOqC_on-Bb$M?Gt?3PTEyZRDi+P7$3FU)EE8BTvDtV-|Sh_f1I zzQvWFuYSs}FD~Kf)h{?R_&GOjd`9afJ+d!2lGK$=ac>|YAroufQWl68_TgX89u zmuM3os*SPEW;SSk$9m;=**0r_z4$DWwfbMto|ZKmr`$8M(@$MQJfArR^#sT&Ie#E#)L^X(4iR zm&g)2uO}2=tA@a>(oSjC5h<@jx7OmMSI2R~dct=J4c=TMw6=l}-AbY5bwsHv&z=?; zGD38uEzzpPXKN8Y2DOWiYxUmRNQ|^+accDhZ>?X{KfzF1i>*yU>7}KTb;Fdkwlol> zDJ_vgn}tG0YPI8P(7%c9&Xvcja*370hkw@PcFRfmsW1A($uptOWx zI(0;7H4`oLK2F-R80{{6WL}hcCjr`x($dv0J~ul^&MPfkpt{_<___fUqO?EBYE8t5tRT$}!DWCjW6?ufS_RD}!C2Y{-B$cH8wk=8*_)-ck@hZ7Y0oue zO)Y7i6`Q1WiWR&fHh1EuBlsDM4aU;4i~jyvO$3UsLj>bcth}u#|$d=k)>{cFwtSO9otHi<9YKgHryyaSyS&QIWh3A%1u~~2v>_WxP zaKT*hSFFs95St^#HvyYE2-+(CRBTe0SQhz;Jw6gkAx6?3O1tZ?_)J>RaA^r61;22? zIDAW!=+Z`%w4?!om6LH3j(gg0H4>ZTzGxXyaz0{Xz4)^Mm8P_pVrzt~6DfX<5Z%LM zgz7ZoDXslp^&w2w){wiuio2>r9@QP=^{ge2hn<*=Eg@EWgj4T4CgjtX6t26*h|U%2 zSB=oEcafn_XXx4YS3Dxma<<=%KhA&5?=LOk){T`6j(x@KozJQMVGmKiPb2%{0Ah-i~1@PQlhtEux$ftw@5-#eg;W%!y49XR%eyvXOzc!Q(&1( z?>!Y^KPHfJvygzNUbz0Pnbx}pxHE1+%z;7%Go85o;4SVvm8^L8mp}L29bYEZp`M8^ z|8GXu-zLURU;TvrJO7&|-IokmbrG_?gp)eM*sU8SW%&cr-?~S{ z7Z3fiUcmOl2ROZPlaudGaq8`P4t#lw9Ut{!Z#c|e-DzCc zU*psVH}GHin8Tl)$3*V}2MiWSSa%c8Pp{%3H22tdcR2dqB^-WrQS?~gu#{;gL$0SFK}x4UBOSrH}|l9^D0j7+!T3NarxCPPKe9{O9fv&!G75dY~Q=hvCr;s za>Y$-zPcjr+C&U5IKN$l60$8(*G&oANlhUow10w>+~1JcdgmVd-aSX; zfkzx%ei0kN-S$JlUhOJ-z7m^vT#-U~k5iwY#b(8M9M_9)mtV*3oq5q^Uht7~@6BQR z!8Ch6m?UQZU5;;Bz)CPV^4T0GH(g@)7gM-fUc*iQCWqfYgUxbzExLGoyTEbr%L&2d z_}ik(2lojSoE$`+o#q2}Z@$ZsPp=X1)h%|vGl%7-D+C)Y;P=gSPQHJc17gE|iQD79 zx{SkHR|MZH*t~mDu)KxI2T%EC$xR}^ea30+mo#jcq0Fp_?4y#{mH$Edq4&k$DB1Ax3YGF8cXyxZ9#L34y!HR zp*cQ)7~M#EUi#wtCnsWmUxerH(sBPyE3#9W)|}+!<2QM7^BrDXd+V2k zH1Q49hid8j@NbN4JSPmPhE!nyqgt1!TG31B7i~Cv)l1RlA%;&$^|VbU@Ms09L*w-9 z`4f}IKhn7S66uE)h%>)QrsZqOPyS5b$sZVW`5n2|4+-CQm3X10`G>zJedlv3oPQ?t z#APxaZ&7gI1_k>Ts5|)+8GD|QY4M6;v-?y^u;g3(g&f;oQyTV=ILGs(+dL)p-~)2^ z-Xi0`J<u&wf~<~djF21&}aBL zKcsB$E2<41$+`~-5xu=~UJw@liguyGmD_KSar7A}r+y^OLfS8j$7C8lCfnqDD$O6# z>+oFGeL$+THw`=PkZ=Boj9m{<*+0QG=LxaV_ozH1iF(gdQmlU<<tlP zUy@_`drGbTLb>$|3in1j&kJ%5pOWD99Ot~dM21LfVzWT(9?|FE57d~x zrqcKoCFZZmG`}bs7ye7%= zDakgEsq+$jrM*hoeSUp2 z+$Z_q0;wLiDf4+q?t$xM?7Ts)?L%qZUXp3{gaXs&g7Ztk=|^fUeoNMo$5ci=BiVj| z%-wfLGnF>q>OOuFbH&wvqR{UV6{hDTmTnPZ{SyU8|3bc*=wu=>Z1j?1(ZAICC5e_d zX-)h!Drqek0|h()u5JPWFyR5L(INb?3dN!x^$AL6{8fbX=ZF| zFI}6OX)x+$WbGIO?>{AY|3%uT-MM%3Lmu6Glb2Tvm}*mJ=GGVVKGP=kCueG}q|zMX zNu!$+T@EK`3=1PS+lSuv1Co&RP{paS&2TFlG>llcVKYn2^>$&Xx1EjK-r`)X2Q8|- zl->8j`e_3;_vQ&)nB-*p8GMUdn4SuwCr^zVJuCQL6u5u$Bc3mO!1J4LEGDF?LlyLV z_Ui>A^3H6)wY2PHzV47H?PR8szxt>sk%EgT+-$+vIE2!T-LyJ~A8Qb^Z zzjr4A7FGoB^dxMDCoQ|f84D{V*fS89JvM}HKSq+i9dSl()Hrh+eP@dqxh~rOQ1;|g<`C3>O6ZngVL?T>t#2TBtx)`prG&2T!bNLD5^X(|u@%@HuoGuHk!Ez9c*Da)?mkFD zK^&2BL6jVDAY9HJH;&-&<^nvW1q@gI02&?t6@Jt8A~bq4`!n~674o{yX;xE?3U;t>A3 zt?}7mN0gBZ89p&2rbd$L6HMIBKs*iOuwI{l@7ihtHc0EWp%b4C^#rKpN&?80Kn+5r z??#+)AR*gANHC5kQ#S-Z3rEs?La4I!Aywa#V-4cJ99V2+x3DNC1ZK_=Sc32a%<0v6!0k~<$2{wgFjJK+@g-V#OvO-E6$nY6x4?Nz_Vd z;nr2j`%;dtO~=No(TFn1-y*bXm=o$mG~QoWo>w>XTasU!O} zLKi<42v{%eq!>8iYatki1X0x> zZRUP2BDT2@y3dbTn{dKR-BFo%$(r7TnT!5AU9sO>is|w$DRRv$xF>Pe-h&R0aHgty zB!M)NXxbujual#FiQH8k462`IOzRpQnwPk;{t8ncy(ZN5I(2g{T)+PT_oP$$@v=5E zEo&IN`ze(_YZLhgSE|pa(&6bvr;9&*vPNG*Dz()?%uPEoHEc?9;uf|UZ9>n~f{mLr zS+Ye_vXka|bTn4*YAlXN6Dw$)_T+fh5biZsvCALEJyYm*;3%EaUtT-=A&)0MXEo=rt!G~+Re%=#xV z;GalKK^9ec5wxepG8~^rcSsExR^^M|_)jw*C)0dJ7{Fx`%`T9Cs)h050(x_z>5EFI zD?F2dh!pz5#U?Nm6US&pHWPUXj7EggswyN|Dp-g`8`*ouqz&#DHq%dz zMK85h9gKQaF&P@iU~B;0Y0zuHT3uN!?q}#EU37>S%N2f6)iC`$QfZ>P|CIho*OG==(IG*{~D9!|?Qy$Zb*S2yX!FdP`eNOUSqszhoslW5ORVptW< za9A=e{&nOXY7@3LLdo_?@+|sD*)PdNqbnzl z-qu2ShDGPxU7%bAEYI^#2_PspG# zE>&KqFr1OjY>BKBl|)}eGVO^ObfskrhKUS@C(|D;@fjCRZDtzBc8&7El6wTK`8}5p z-ILMBm~}fn0fRJoHdA$coWkA0Qq`s?S=Y;u)&yM|!weeCbNQQjrr!L4V5@6X%sFxW z`wzH#e+j?2tj=V~GA>;Giq5A7#Qese{4-hfc|_SoqfvApk0s196mOe2LUz@VzF~kV%UqsS`EagvH}lOFTxdST)f!8F$~eULwRQ{- z+Az^+$%Xp8+^#;z#d<6HJ9jhEZ_L?tQ!Y0g;!>Rl#evo&ojNHkj=KcBC%GqFQ5|uh z(*GbgdiQgC$b!o?2e{mFoY~sF%s1>~s>PVO7CkPt>|n0ih6|O^B)T|}ZWThNl^+?l z4is7j34;oyC)$zweTSLpHs)5>5oQ~zsN0F@dB&b%Pr{GKp8|4Z-LZJ#}|!ufIia^%Z8s&N&&kKi8BD zYhx1cI*@rckYtrWqO^VSQ8A&|&w^*|E)w^9GLAB{mA9ndT?Q(y&{40gzVfTgR$ODO?ktl{=6Gw@@$IT-IPCf>{o0Mx ztD2IgDvZlW;;9u(Nq$6abmRVc_hF9qp1 zr+rr#hq)n9X!FlqbE>4{i!&oNpsmXY6>+;b05NZ*A(t21~5EZ!QHOMSpT_% zyzj-)ryF@awSnoetvp-)h#yuyLt00XW-*-`|5J+42<8W4h(1}((1B$NzgWQk^I3d^ z3Rf$&iRPWgZhtSv$9k|jG(+UpcNFa!Ciik7VRs^kx#vmTUD2A?{pq=##)w%tS%xwA zskz~$=1jU(48i(=1Q~=7sUJzSY6S5&;t0K#hR1~h92MVm9Bv>$bg%!>R-wy1M4zjn z(YBC6<8YGih7feyja0=I`wV=Dvj~*r@gzdUlSqwN0c1MPSp8djYqH89+)z78&q(4p0HJ1ngqubZs}U(IF-lq*e#%+6oGw}UmN4i@BcUf7C65I2o5EAWuv3 z?sgb~8ewGH#Nj5n6I)n`kGUtgH^rVi(P*Dd6q)_^n{^(m!%y)|r1*P?Z7C^yOUm|b$5MvZUs(B;tkYJx; zl9Q{+%y4CD)PSbCa|HMuSots8w%w;WeB`(=qyxJ-ab!EnM?R)TcGj4zGla*U!$B5p zgi0fcD&<6IXP`Sb#f|@vWch0Vm9MpUHh6}Xl23W_d==j>C<@X>3e^i~`SNeH@9dGC zID>Rq1YJ^uTDSfq?yLTdz}?GC7_>8_UnSr)5v(~#=-mgz?3$x+dneWR>PgWqB~zn- zY_(!xTqO+Z+~XJuVNId~|xg^ShPSVRKUAu@(^&;76g>C873bSb> zOTCROjcPeo%5i`qwRV~?m`$Qh1=)UW#9L+J@0>tvYz3K$ zYmRG)1QoxW^=gUJXe3{&gDkBE(shKIYgN&p*F?FrEy1WcTy zNF}$Bsgp~YMiqVrwYX|El6SXh~+GV(R6C{o;iUvUroJHoVW8mTZTCd*iu zwqqIoB2A-2>PPBkQ)XHzSd_?SBnwElfJp6PvIXA))f#fu>dBOrf3KDb^>W%Q>!>lV zpwOs>H1kSQ+=>ZxNFv@Qk5rvPvQ-45dkrF~>PggTB}w9wt5GjD%EqDFM5sXnMRvjt zoTV_Sr%`IxN`RHbH>3*bMeUqg&}Hp%|w_?pF#U)YVWmDpvRfuCLhzN#XNb?V3vTr-5tWa!kB zr&>$d?P^+08zlBw6l!J?<5h{9tJvdFMvQ9)DWYnEbyCUEC?i&{M2cU&; zkR(1Q8?~c$XpRq7O=5TK1rt{Dbja96cLZ~%2^y>?3En@5(Z`Pn`tEl!cf8{M!Cz&K zz93@L2ou|unfm&#nC_~hsY#pfAAP|KsRPd+Z)A94GjmVBp}%xH{e#AM#p#k-nTK9j zAcZ+qL^uaiR2)EKy@trPD};qAB-?3r?LW-{#b-#nj%*W#w3gE+-si#8ZSrfkGBRpR zx|=%%)_x=j#maII!*Z$@gTKWS_AlBL|9*?-ea9FoUd>O>|M;c$^X`q5+$*MC@n5#R zQ#hU!V2>1$`&}#9pZ|)-NB5xl{ej9_Tr8HT{F6ADn3k&gC|Q!tF9dIQTVhkgDapy9B)d%LvFxBOvBb!tNwt)qn#WRVlR}YY zGDT*I6x&FX*K(b+D2~h{Jx$nAUJxPPjx=NlOG%Z4%+8VO9Z0&Jm+Z>^lv~GAq@PTk zSp|8vu@pNyNRZsgw+f=fC6l(0A_`J7h|9~s)7wWt&LyWXi{y+LLV`RfPD`W8BA7a{ zCsD?Vc1j@6DV|FEB#MmUDKbr<)-9IC#3&*wB5_LdAvwg4Ud0_5($fe{iNG(;kIb|f zGVEO`5Z07r9z(Ku3i)evWB`JIndDNmMKTV`Z31m0;BtB?ZIRpZK(Be1qJn%19@>FrDZ! z87It{qS7?79o(q33nxl23AD{7-#U?M$6yMDU6wf{Qs|g0g)oGgghEP^G6?tdrYJv$ z2%i9A{lh4a&Lr0{f&#lpiY+3@a)~5H@l~*09F?|Wi);)f!hCb>LdbCSr#>@_tf+9} zf_%tMizPDDpX$5;%V&8Cf3=Kj+9IsV!eqdOQtkAfo2&u+`*Y>PhX;ag~6K%%NARzow6j>iIiH$ zOAZE8?i9#qTqbp4u_XHY6PufeyW%c2MXBUvM@kGsWIgyxuEdigd6Q!rE9*Fs0^2x> z#NQ(OAhI2U2sF&Z=4KPcMqNCT^)aF!L5Eo#sdst^-7<~WCvUNP?-!gun5Xp6JY!cM zQnI7wr#1+SOCT=@)X@!2OloBx!>+1>OvU8SR4g^V(7g5wQ{ znilo&G@8I+FG`*S(l_HwS;1byyv}jv_&N3**v_%N2Su_SI>3$t$2fKLJKCExsja?5 zN3|n$!IG>tVPx7zkmnwY|I<#~e-EPkwH0m4*ZH~Q2oIaq^G+(`|N84lA*DT!at;jz9BGhtL# z8@sSt(}?BQvf~{alcZnbx=JyZY|1f?8X+Ly0VcPbaaA8e`&<=9=cM1hO3XJGVYRj# zhfU4ctZzYMdpEZ0hj96>n?RxSj-I`oDsJF9R%5t1z*5bd$ZY1MR2oess;vkynr7ECvlaB)W)N7e|gJ0D`PR${fb3v02>Y;zyC zC5e{(Tf8;ZESx&WK)eG=8O4k zht;N1tT)!+y1o(5)lGP<>qUEAJ$EiNkZ}KB(MTOcBV(A2>al41_i*Hf6wSte=7vcf zXD{V&QKa;N@9I$9+K0`iCTur!;<$DI*YEnU+)$1E?ItQa{uQ0bHdI1-`0{Q%=Aok~ z-IC3+{Xe)WtXy|zI=Y+kIP*;|Mq7IX<9=M$k7B=}SK@vj>)pbb?{wi7FwHH=!}B5i z9MLPp%1m&xuP3DO7j7z-qPtOK{`wNkR?C_YpUfl|tt38n8*{Od$7&L{OJDR7x_5!f zlP%;N^`}CZf5e4ODMAZ4tok0yRZj@rG(q8>c3O}2GIV~Kq9dKm?4DrsHnzW_Pj=#(OMhm)nZ0YFKp!dE8<5hOd7Atn6Ffw%WMS66Qs?k7|MlRWU zF%$(wQPmVo{UZ?l7Wn#EIrUdxn~v#2#Oo(~CvVClG0v zLb|@}nj$;%HH#=U&7~tIo1wlSx`$2a9JQyv%bu=Q8(Q0}=^u5Xqu+ti+5kq25-AUj zB~&+@c!LU(v|C9R37RHyq}DTl)-p$0yR8@+u%UmzRebPaq{fyu(e|}-+O+j+&|hxJ zKzS-ro^gbT%*_?qR(Y>oByqirlR|AkG94w6^mcpGHDJlmm@!=w`qX#cqP6ud-9u)K zb+|B99LRWqut6hNveXJmyEjUX<_PI(O{9s0h%#_xv@(N{`(aFu`P1KSMO~{YEp?_0 zSK0Ec-kXQ%HcXd!F_0^Z*(;ZLqh!)W)@7*Xk)taTSSyumyHplxGgy*%jC49k(woyF zu^#AgmX+RYej(`2^Ch@o0DhRXuDFU%>^JVX4bCrP!EY>|T58fh|aFip9s3{NM} zGH%WN0ezZ!4QQOSr=-n-N8=$ZH99d>Bk`;7AUDjF48sHpRoW$Q+9|zPPnoQX5`Bqf zRR*1t(Ns^nP(5ZvO_vGd?UKVy7BoHbp>f!i`E)ZL32ToN`5tbNNS1-(GUMi-{zKSP>&OEL=�`Wnp8jXb%a^pjwMU8kVK_+;y29Gyyu^& z-akQ=QVla3`+RQbHr4CKuY7{;-!{<*aBt1_f z_Ll;@|KdgCFNdhByhOmg>ms4exT1WWJ!f{ZOUTixokzFIgN>X&^#uGick_Pxs-6R9&v1+}4fBU?-7a-sJgMQs^i0$iA84OHWg>^2As+wcH~y(6;2cVK*{l|cP* zV)f?oP%WWZZMFu-i0-)0$~v z6?2#f!|^%x2=|jyglWha1`qH(+q`lm1I0zfe2%vgcBqZKD}7Rco?xN)wfoL7f{rfZ zynYFnwaeIkH;2`RF~ata6M4FuSiNc7^#?JybsvY@z4%`kBH(l{LE>NV%@Lv`RyMoF z@ZS53phNG3fj-9lo0s^ldyB`e7ua836qY!Jv%@%!noUIAYbE*mAbuwv5O`^UP|3Yy zl_vyVp2k{Y?Rk6__nnKvh+pCV&G$I2euV4pSv>EI6KF6-w4rRaH@k4X*NxB3LEKax z;COoo&&!?Us>h0`w%x_TXsjAu+weF+pwQ7lQDG8SK@!K z2j5HWIBAVyr!h>pau1mrFVH(7taIlC!N;Endt8*fc_hba+_s3_Cx!%*er!yH6>1OT za;=+!D-Q`1JU#D>;H1)p?PbZW(~=jmKHPS9;k9po5NUC{C1;PkAmi|3($`PZed-af zteTj&^P$`GCJO}`3`Ut!VjCibxsjM-gT!v>qv6mf-RE1VIF`-)ws9VQ@}GE~oaBC+ zF~2N*vGPs(yX9595$X1)sWTK^6EfqfPxLDX^!~kr$ozU@5<*G%-kPenm&uN|CH!6x zNsf29c=9;=_8sE*?(?kLbx?7&e~E(+zktb<7*c&*a8^lMxzs|;c1i9}XR-aH9rZ6p(fw!v!&Ou0zOVTCy)4o*^XQ$Q zC~ZkshD-qWa|sh9AwKulULQ zhhv=G(29=5G>0WI%x*oxTlFb6T2q|bBN9&eA!a9MF*rPe?fyA#e=~*VmxGvm+=})4 z-I#nZz}>Hgu=u#2%R4(UHGd-Vr2!wcIV?10Ft|I-#c!Lru}LWJ!6}?}3}d-%LdF?E zZ|xXbAN6DM;S474&0+rDDC(cLaZ-}+w&5h_kG5i~BR-lear5>Vw?C6)`m&FJZG+e! z>ce#F5UN72)xQ`<^HUk`<6-e>RK`-A+li_att-l-B3~ylkwR+nVJEtuw{T;13w9?K zh*Nom!4|Q7&m_9v^N^Ix`CUJb zmlrs)vkSx9O9bmY<+{it^Q~hTeb$D_w{;kP(uC;;J>t^@hVMpZCIWWZC z)xF$3GKlK!aSk8rz*KJ;9VPKkHia0KCCs)}VX~=Sx;T4n2wUxE=&u`*g7=olb4%!deINUs!xE=%G`{FU=kpPa zKYS=YJ;w0;1+IKHAREw<#H*EiQXmf>5*dAa7Av(U+}cVa&9r*}LaH7fudgeSSvf=tb+3K6Lj@VtMg_ z*ddbhsN~8A?Xr%#B_{otz27fVtd-#JhDq2i1?lq#+~4__!Bf-RKOaS>qb@ImA=L?M z_1bq|uEe(F7mFKiEWegee|z*UtgfAOOIDiKV$jvDjq+5kNKyH z!gPp=dsZ}invndnKAQh6pQMR0yo=(9{V9f?r~B#6HYWI#E2)km+0I>H{h1xCJ+VU= z(t&*f@KMem{*>_^6$(lYk(6_jxWp99jorygtj5wJfS{T({7P?ASAR+<<2rsGTup!H z7d&6NV&r?g>|RgVy)2sF{}a7C`-s%YM{yOx64CiZ8-K;+vp2H)=P?rJ#P=iD8JXI} z==ePz)W$OE*i6^W8j3XYh}RXVr7}y-%HJ3!Ns(%w=P*iwy)X~MCK62=NHeM>+)fyj zc{O=9EfiU|lV#XUib#<(%}!F)`-HL&lcU}(w7X?x%uvH_LJjJv^co~aqnb362Sl3= z5^vp1qIna!u0v$m^pY&nEJ=2;41+$Q>n$SHT0{o4i%jY!MR$O_>-UKYX&-Y?hs_ha{~&lC>1$w290aBwJ;SJe5JxH0~4V)JAAP zKT(=x6k6OT&01_VZX`p!g?jY?%4GLT5+BlJ-elcg60{WGw29sqDVnL?M7%~jzWNQs zcy^QQ&_j$#59xY?q-wPhVOT?mVHtTY-BdXBldFB7JoPs6wS@(0bO}CfVxPjs7P8dk zJ&jJXRAiUe84)ZW5Uw*wl3G6nMk9Dw$hlqnf ze)3d1Nmp$lL#IWUwMbl>`y}et5v@~Al39~T-g-*>#waoGAYNNyC2>jD6 zG!l8N+ensRm2j__5)Vbb^hjS}=VDj7Q8T%^U8IUcOgHKzU$2Q$nYUPQ$kG%Rre8*a zULDEWf~!dWtlOg^w;zz~zD%Tc8`-8Ugqb#yY0yZWR)^$yBkAVC+{K3i$)6NGMcgHi zRl8QO$(C5;Dlop+OQBv5dF~@b7&H^7-$Jfo7uB~~DZ1N6u2Byej-7;?R*)yytY|9=BtRB*QYlt!D8s3z6^Gk;cPeyODRHny}TR1UNEN#AgNzkUZ zB=2aa`FNAG9?FHCj&Gl!_k(}NUv-hDabuoHL3;A?eHNd7!1E{X^XsEMl-pQS;A@Uw zuQBEiO7M#;AU&l9n?hUa9vf4Z{>N?G~K@1N$i;;a7o4|u!u{@*Xqwt-%SA9TwO=5w)vxCYOB&S zvab#hapxg%*PoDbZHkmDljL4lTKOMX=#8g@UVkcMJ|-N2ocL=Jkjrm~zV=4Wdqwu?N5tNENVMuSsn@58xgd6mUE!CX zl5_42SvP(p_Us}#m!6Yw`Gxd-Lh`j4v0;XIu_@`wJCbCa)GNyrTv#Ui%2Oh5E)jNp zj^y(X#jmHN-24&$>u(5_$7z>elOX5BUwIkJ^k7^i8m&QxjjSlEx}T7 zin;uV7-bpj>N0tPMXvIa%)dAn z@yc6bue>DkqQp~dO1Lyl@(nrv){>0BM2x%_bKwPXGOwb4+RX*QSz>W*f|MJRg3B~f zH>QZVE%|Z#fjl0Uv1iCso+DFikGrx!#MLR{C2x{$P03v2M2mb&ydwVITohbp$-THl z`MGCQT#|TR87BEsH#x#Sk}pmYeq)Zvt1rZtAIOt9WJ<0jT$Cm;&y-wIV3T<5Dam4I zj`AyVm7bC+7=((QirmZ+W|k;^W-2XHeC{=IcYeT8ay;(VPZGoL1)F7w=M$17xBkFJ zu$Pu9_T^m^?BsmcTOtQ<30~KpQG51@#Pu=0s>}GRFB5)8a!uyTxb#Zm_ex~@bHP>S zmDdul{Y1u%ALu#%glXMb=DqWH8so&z?b`fHy(T^S_V{fq!S2(S*u1wu;ej!Fm0M^% z(?;ouI;Mp^k9_tU{x`;`?^NU6<4<_8xQe$=SM$r_Cp=$TOK;O9Ca3QaQg@B?t{D9C zeF*Hx!k{vOxamkr+HNw~cA8k9gQCuEaPaU6j_ldXs@;cntvon$U@P_6h1lIZ$?!}7 zSysOqC;EW1WmFoN^6z%D}6s0GtcrDuT`AR`5qV1!9r)T#l zv9gQDoNuJ(#2f{yU*Wy#pRoDx7t+@KPUYz(k!qP3o>1KFG({5iJ_QG22sm~Vhs!Fs zp4Y|eoGR|hYIt1G!S;eCMrYNqI<1N4X*~i?8{lzP5Bu{5*k3Y7=eQ;o=ZtVZZ-&Qd zQ~XYu;dR28z|&^<$#b8RmUy1Az+FiX&$FtyoWCbc7u)mtI9@Qo@uDG)*No9Uc6((m z$BP=cpHso>%uNE7ZW40(E`Db;aFRa3r;V|>WPHA))-$j zMOR4+*Rx{dIepRb>Uf{KjoaCqcwW?A@y+$Tj@Y3|z)5}F&KQdwdNP+5;m0%yJEnu* zSz}Bt=wfv88h+>Q;&uKm?q^l-5F32X=!$)CtpZh5-!ATd-b0+wlwvfJ-SYNcjLfKTXkn@jd;3gQm zUeXl%Zwc0S@xG#i$4OPfWK2h~)#;KEo~N|&QqsZutQJ0JHSjvEDt@ZtEd7j>?_#3p zCo%F+l2{5xJ{JseJEtY{YD-+D?@0~(7#**oa3itj`5+}SX@;Vo9~JW5L@J2UnQ|iZ1Ov!O_(NAgGq z=Q9R!G?B3^2|j8mIGCd)IN6_*ycK(W#SgcW>Ub$@iOmX3R92d&#M=9uA=YP&Bwy9A zy(s=%(8K?uT_fjhKy+UYrfz}{-xg z&eQ86U-}e^Ovp0mSb1D3Y^+sKXuW=tjRs|Ikr{f!1lkS}6C^vaNSZo%twg&^`gBpCBTZ;{zDN{B zE79tuLF7rP=D747q*R_4i?3-yv$I70g*$hWCbYa*=ys9xEnI0bzqCRfq0VxCtwyiV zdy$uhVw1}lnKpxD$=KzZ-P8-!FA;lV%)4aFPFn7D(xf8t!k|}V&;U6i?Q(U81Y>C; z&r95r#7>WHWb1HBbsi0^g845(+6l#nAf^ojc$x^|kOwLWw z5gFmsL!p}_w!EgWxmfHd5t|kG6-q0RF%+*U`Y2vgJT8#)6u9IHMmZ9z9KpWStcPmr zagmYYx4y_nv7uPxREcFbah`pIShZ3t@huUo^Tj5G%+A*tpj=}>d>1*U-77xytjtrq zVvE9VvC~lUNV|n>rvYM}dL@V2DV4G7RlBJY>8ChP;b*?ILh-Xmo-6GB!&Vtj#>@~L zGo{r?pHlZp934cK+elt&)r#%S;^QAVQ>xXu5)VZz3dNpWy;QFDX@;|Emf zJ*50zA9+5r1X;9_ZK%j^#rz7a{=i0oqoNhaIN4%TuH`s|&Qqir_sjE68NXBVwVf1W zk-=hDuHFE7f<=Ke1tyBexg!0uW&RA~8B$Nbr0Ap94D9$bvv*(7Dfv{d)z7reZ?tHC zFOpeUv6`^^<9#G;zEAzm$K-E(O4jBDO4oE#`Q(XS|bw^ux2&JbJR0 z<@v99G^tC?Ll*|;Yk4>Og2|^-j6Z!zePb6b9T7BCU8Ae{Dt`XkxqjyyyAJJV$G&x} z+JEd2Cw3m?+?lOZq_^X}+nSMOTlD@p9^ao!iJUb<_rI4B^bhxV+xpc1jjls<=#Aw73b18`dr3o^>%f@$y8FojMNjrIu{Oh+- z-@g}?42+5#-s=3=!j4WsQT*j%i__(TEvTN1I} zn2gPaWK6ciqqZrOFwHvbkEdg{DF(X@3D~Vq#A0(2R&t)Toagvmvb>gz{kmiv)=FP_ z>?E(bZAimnLm~#-QnRGW0j6uDtKQIvwXV z>F8}s$7pLhu77{7FOQA3WC*^B`7?1@E%UC)5Wln0-kgTFax?aOa>~mA=RXhD<@OY*^z+N+GwmL9#-OmB5xcde;xjgpJH4S>7&5NXrvmHiutxI!QhWrDKNJb zOspgyt^Z%mYGV@STO-ll9gWkLZn8dm%l+N2X;w}m@bE!lbVtZlHzD$xDkl3bV!hjf z*i-4G?JS`4@Hk~VMyWpbi0p3~Dc=1YKf@WyAL#M=*_ZqvYUxLjYF$n1n3+3Z2Cu4Zc24@Q=PTaanK}{_wX-?FX-l4kSED;fhIC63idxRM6-FJjl$~P`><;+!1 z?D>|0pm54e!Wn%QjLz?MnEy6{)33QwoZ2XVX~5IwJ0w~rk`{G?SIe7tGy4^~l59ucWA zO`Pr==^8Swx|}2D=c_Erxw13dTP9a!nH<$6VLnTwt1Yfvk0)DgjttFda@40NRGFkm zeUb>RQT%m=#kN^8Wq!r^+0rjpMYO*(#W6?GR2NB?dE#~EN!D5<|Mnt$P{X)lm>?~gwJ@0NF0#<(RuXgnrX^ASPnvqb1DlBl&rmdsgtdx`Q}kI7bfA~rk~ zY@U#zwoH=Dm8&{W<*hj?ZqHLKbC%0EipvH^X~-CYUBcZd@p(aTTcTK6k<3*f?GGIO zm_J{}%fI_fe0wVXJfT3&%fC4<4DB&KS`$Qw{fhJc3$x6>9~IC4>-GO?EB^d_+ye18 z@7^NG65CkaSz%mDVz>CAm`~m-yf-I4&k&|JO^D8{;4J;_E|7U^LB@J2eV>WVPbs;* zykfhej{^S;nLAD6kCra^ldblUcy+~3Ehb48oU_$s9GN#$by@6`SpVIgmG<`-{1LZ5 zaL87DEIIZEma&qXe!4P_mgJk-BhqfpNFQMs!ZL~!Ohd5ClU&M_n5SqglA$q2>D?L1 z?nsVEKBucrNghoRtSM_m*lND!+zJkgrm+9Nuu=G-m_J)(j<|c11ZqhiMb7FzrAU0O zy77dHn+m@lQlL65>*ViEVwx|kz z9^7Bg^4MpTy;H*GU#y56%c9yniV|xbvfSOURr4V_!k+r7d$iPDAW90-d6C`9m(1CB z-~g+(9N5E&qX)Qj=rdZSa(Q3hLhn;2T>dc|yMNBd_CGT4eO^pMcLD<)CU~iAVWR%H zFr?M|!`$a9l~M7-$X8t(sJxRy$NPU~d{-~gccO?r9nRQ^esVrt#(DK07wsB#e#+~> zUxhZyqBR&6pnoE7&p*+y?-^Y}|4Yr*v}ASB?ZJ}MqZy1 z`uu=Aqbah@r-asyQKdOSx%MQv2GeAlNI>-Ggfh>{LX-3KC&`mSm~QxhEMqBLhSL-o z%!pi?q(pz3MC%zMZKgzeO;DmbMybXGc_z}=XkKKbjWLmZf}6JZtUWHc%Hotcqa7wm zFrScdA5bBE6|F#Usx*?tr}04iotLr225qrH7IKmJQ!M9HuUwZ}u#tYTb~B_%V&};s z%QJW=e#*i#lB1!*E{Tm`kuNr8>CcEfo1w&Djv}oYs%5MyS=8n7IMZyBB%Cr^lJtW6)jx^cL z6?d`CF@8jb-UD*P-(>9p()C6KbFo9>lqGqUYc#hKyE2Klq7_LFl^ZXRt1X+3_?{t!!}Rl1o)OV!z~Bq0C(@M@5Vjwp5B8g$A z|MW|~7s>YP>~6Yhzh!*s6Y_q#iqn6yA^uGkIf3ESx;fG4nXuM*>J6=-ZK5?ND)$LTI~Hx%Q%uTJJE6uDH5_38#W z)?>D|27`5FB9BBC?JC0ba5W}-<-KoPa1$SOHkNR0PYEi!71uBx!t|R4oDOuNx}jvH zpSjp!|DD+VZ6y}pRbsZi8m-f1s2s@0M0|4GBiH+B_>tp&Ips>5&>rJe+s5Vz{vi?M(`Ms!?B8 zvhsHo+ntKv4=HXT)QI+)VoYRAD;dxBn{r&gslx87QjB(#Vt%HQu-hZ($^4cwzs-&+ zv8xDk#f9aL)uH)aA%-%R<&J8Ra#c8fEyr(bSK_X~#!%tgjv7q&*I}?rY!^GMx77$n z<*02bz~y8kHWE+0)dkodtVeHa1v=}B#U~l_+j6YsX!>m_#%s#)I@*NMhH@-rKGQ8V zIBXZ&C2y|oFTmnLH5S{;(3P<)6&Lbb*Nlzijor8Pf^&`dRv|J==2850<8(dxYbzzc zo3UHdh|kszu5B#hg7{;5t`9@O!0@|r>~_~;w7wkk^_AGH@yHi2h6)QrNuF_}aPqTIkZkuy4{&)=g&!vzan4tGmFQe!CDBV#@*||zG_b*~$ zI7rI8HnUH^7Gm-~|1f)i&X!H|FYch^g)5%_7)1DN9GM{zQiv>QbFg7BPS{eZEz@JB z+^;=PK;SM8o!r3QWBb^1U_*I0wzl!B2BGsfQ{lom4l@GUHiELZ!TTh;P2{j-8H|jPI6L7Vhn9~*X z9T&#($xFOG{tIsFm*`abfthQ+k$qMq*r`YOo_I~NNVc@Ez9)S1JeHf@qWb>t7<~I9 zZU4d=6&4>X%c zH-8}fzz@$OPGB)htqej@jv+wIA8t=qfL*nUNw*HSI=b8 zKS5*XV>FH4VXpHAw|%drI6o%f&`&sTd4 z4Nq`bwJ2je#^B?}SZ!SpY#(B>^CdP%zejuhGc-PXgstR|@tP$pPQ4)P`Y$-HmB-&K zVzy&h3glzVzFWXTd{SK7+wlE|cy9d-ldqnVc;&AcuYH2;u9s+hCHb@I4cBF{273Mt zclCD|el>>v2ElRt6G9|j79UD(y#H(^Kg<+3OPqDq%n^3?AJCHAHTv=m#*$M$Yu|8w z%L9DF|6K~wJ4`mSdeF1e-k*%ORDdLprZirZ(u693I_RDbxK z{>}fu#JRuHcxq8(TnVFB+vvOel0eB(%lCi7?Q4<42RrCG-$uobI$BSO5|9luZtXa> zq6X3)>G0^)YJPmAC`fy0&)>xK#9pf3=n(u{2)P4|wAiN8Wobu;O#qGlb(k4nrK#>F zg*m$j3ERP?D=KW;rOc5NyM-YgI?TR<=TScK3El1AP}RJensR4?G`sLS`-Y%XKVv46 zLg(dQ3IE-dv6mmy_hJjP4-PTZ@(C|ry|?l$+x)YF-Y|{tl{&n4mr=dD zi}oXP_^x_@$Eufux5{bCjqqsVuPC(W#!E}^)Scc!T^%p%S*QRGKHS&kJH*)}j@ z)yG3`kqmbCNjIqw=~YdMaW%QRWt4^Y66{w_wp$f-mbIkn6cJ_9K$Kmp$fXV{bUK8} zH&bleN4fg|Eiu!?TU1eK-XL_lR?ev*!?=R#=uzUW$|-Sa78zMCV^@-5(@lDML z`MQH5J9@~oAEY{HoT{in!fXnOF)yRWpqfI{e7wEV@TqShHno9P`+AXIl_coZhzx3% zIeJ8u8UE|F-0hmrUr{S}wlDAukfC8`b2+7>dy8>uyGAwkZM z7KyBoJY_n=;!~d-`>9m#qSNXDl_p(e=-12G4MOb&Gs7lQEEG4rtfV1goLsjKl586Z zF{>iVs*NlY#kW3+Z`^uChV{x=iswyKxeZVjEezSbihSb+Qq1a!v8)rx-AG;3xX5p@ z)wq@vX$clBq?&Y+soz1FK`+(Xy)HmxGrqml9$ ziHlW@#7Z!>YLq$dQ>NQRty-7Jy>5|$oy6-m5^vc=VaOnvex1Zx363U`JG#=(u!^j( zE=mGBDU~s^bxKH-yh=8|FR|~SQh|+Lw@96CN=&;cwdtWXSmdIU$Q3h%-Swmz3k$R< zrL6BMDe=uB19M2WZ^BDg5rauew1%Y(QmoTUf!I@G(?Ond8x64|qzi_rW|d@{6;p1M zgO^u2Mbl474X%+q%Oz2;^Ou-r+75`c>?T+JzDW8GnpLDQ2r_*1 zSWdKY8lkB*q<2pe<5DX5Q9_n&4XKW`M41&Xhh_z2tnI~h)sNV{|L^#$enr~8E}Bj^FmQQ<$~}Elh&ssHG>40Uu%~$w zW*#dpWv#d`+g@fnHZs2a9r3?eVES)axK?(Oa;%5gy=|DDp2tw5LnNC8^%ZIqWnLyY z@DQg?Uu4(*3+&x@lvR6JD$Of z&q^@)x)00GyJV~uVKCJM?=Gd_RvZPI4isqFNTIV99CS(7G@vvhhOGE_YV4z_(+nm~ zvjElY6|$o?Ve@Gl4qr@Q^<_UMpO+GKs+6L;iBxI%P@v%={)CZg9!i>~E15E8v4=Hf z9_}O?SrMq2!nrjmLiFEx?I96EouUe7GkV=ziOX#hNa`M`HeU57wX7 z6S`gEB(aGz5iE?IsZ*CcQL!P^%#5TcPkM3^$yHo;TpNHMWrX=#e1u{}{H z(Znj%Q~KEuV+S7bNTrcZjS%`=toSX@mtn^Qk}l_wb+3bjvwg&Dou=vFYf82c(06)? zm~Zk3`tE@+-Z|PE+<5W$8{RFw$J@EJJX!pP>DOP=JAad!w@zftqSW+wsi`$+n5TN7kWq{A1c1uTfsQhn7+;N`2fY z5x`2t$PycK0*1$l_&JB>r`H)=+{2%HH!#t#p1055U-?jbVPFHflJtG={WCq=J7gCs zAm>Joa2czOCrlITW~5N%_@_0n@I89pJsnUdZVFYZ^S< zXmfR;H9KgfKz6#>k{J+*w^=&HMnx2vlu|6aZm~%b1(qqYvwI4@p0rhZQWRh!wpj@i zw4yw~QhbwK3Y6!8_Eh-@{@(V2uLp&S>kXM@Qf68#ySK1Z^Gew)qNz!bp`|2@8drN- zT|KCCbd#~XDff4$%G-u|Ujv$b%_w*BCEGNeJhK`Kgw2(hW>R4;{uvaJ;+#ouMgnu8 zPINgLQRZzcHh5DL;6Z7S$13xD z?wiCh8XQcm;u_vT9#ndJ)8y$(d7uYb(UQkmKEmRq!17d+_ykQcKXg-!3GEKd);*lSpsy5gi`iJpxq z_iRnj^Sw%R@Ffaj_mUpBhjYpr>^gOXJ%@I%YVVPA?AmdIQ+u}3SZqRO;yJpioyl?gCG5kiQ|Jf z9C9P(R5G2%X36=cn}W+_G*|`G>ln^bP9T37yvLKlOFX!5&x{28b(ji&>9k_8(}5RV z2E6UQ&5v!@`MvT6Z`-c&cKE!^d71g)8@%jSWnu6J<5L%yo;t&eiSxXZ^WU~RQxRxG zo|~T(B!7w&7kaahz}jZ5+yrxaNL!@lEtUo@@_c+BPX~`PIdGZ9ksCZ6xx&lg%RKJ9 z$FsITa$KD$wapWG*C>l7hidCEvfX3ojd$kd#3h;c1aF4b`9W;^m$qv>?^a@b;v7HB z-r=vUSNZ+^Z6+FJ*R=Pd(6UhMh@s3Tm}2uB>gKnOohfmE0H|*!dj!LwoIbRB7<@%EdBmLER>n>W2X+k4cPIh zUytQcWfn$HGdO;nC-bNI)9^Wd>N?HxfP)m!0Lo25D6>cuoAM;SDHK}2=)hS}lU%#Gh*;lXL1jNanO&`qB71rqBfJFk6+Y*a~{kKt;8ad z0!IrTci6Kqe3h3YS9#ZUlh@rhdC_~5SN*qm*>!{OdvEi7*KNVejJgOfk$x!@N{q^F zgDH~*S0u%x*vo*qQB~$=FR(OzMeMlBu*7F_?jBQP*LXI1nYTkHc-w!0CoM+Qg&L7- z=Sqofyx5aPokh0DSAQB)d;~v#-u7toYVamwa~BzXc$C?3X+sxyK5~;c{dajcWX)i) z8@aAQ6#C;e`+&~=XG>65%3xXCZA zs{AZ|_Gju)WEUyxric=&9Lb>=%3WM2bh*n9-H!Y^ZphpEi~KaE#>nJl<{n(+<)9Kz zCY2fNIm!=XH+eo_DI0AhnWhxgl|MWHcd#{(H$h_e$d*tBm-E zejyosXZTe%u^u-mkfsqNT^qsni#Bri4>5RQfs)-Vw4Umr{6r10J7>`SvXa44H-3G! zhUX7g@$T`byqNlsx045$&$~`Xp)&EUW*CNRk~^0~z)#VH{}w~hq%$*JD=N>ml*OC7!NV!@C^sf3xIyN>7Wh^51P7&1yTB+ajCp^AfRsit#wwjraC?!jH9Ley9QC?Pb_+F2{6rEtZ=eV7PV~`}Ggy^&U*ORLMBg6nr~R z`{_X<_xIr}tjuUjJ3i;e(LLOR`-MRaH!CiH)`R`_ezd==!D{oUNUH&C)_38&u8Ht3 znsHyfOvcWqR9_h;c>jQ~yLL1W6kva;k((!TP_yd5-C+!aE&1r|tLFN;cJ8d3!+QNJ zuIsvR+1!ooni;&-Et4pPI^l9F0lRas*{a z)>~`2zOA0)GKS%XK>}8{5&K;y!QVCzE)pqc%R{2R=^zj=gSkQAFSox zmU;rMo};&G9LH_V+}=>bwe{UNt{=u`-3a#U25?%}iQ9&bm2U_ml@#|mQ{3RO7L%>j zE6q&ix7=Dsn9_(~QHSN)8jRMrp!4k7K)f5vz@(Bwl$yta2M> z+p;m*Uxe0~Y7CVdusTpi(BT$rzv;kg?E_4{9m0H#Y=9f3uh?S0t{Yd8#-Zz{2|4nJ zX%(h^AB{D&k`r};aVhp2E3w$ojpmMi z%#^0_Q-4f~?lXLMbrSj25SAN6uHNqE`jJjT(|+WhviP~Fje9cQfz|brM~WLzKEP`I z2zFwV&3A1$?Pw+G`Yh>c^O*0g;O_1`)K3)Sex(8JJ;GX(UUT7i7Ixc;MBdeMW%a0F z^BCvNf{`c*E6GEbZypl7<^l1?x(M7+DJ-Ud+xxS*d%6f`<$9d&HRBz!!1Y}f(x*-A zY{7h8Bi0gATk+F&-F+M+c1D{!a1oZ1zNwkAOWpJZxieI_lXnB(@gF@G84EHa;dV4O zYsLxO_>8RmjWixb%(3T-0>Xlf4E2e_lHRcwj@x^nlQ(!96NH1U82&C>^UWp?br_X zAKS^PL+?{pbeY=9bJUi)P!v;7kU@&*=N!BoW4YN{ht>bcAo)+18DBcZuag^Dnth+8 z$N#G!DHzg_Fr>*N!?+yvBH=;;ZReXwTeFD8sz2esd6HiH9G*Ab;pd6f%nt0LuSSni zhfD@;rBI@4N1VA0=_W4Z7`Tw9=ghEW8Y3P#5B z!H#fCH{vawNw;<+R@a&|Q$OM@LWmc=o?ziku8~k=-3%%%l4%dHrP$M5`UFvzQbc`3 zIw2yvO#R%+NXaJ6IDmA+F#HYt@zoC_Suc!yBVnB;c4QfaQ)m!Fr*{aoKBE5}eMokT zA|`a1;8!PxGS$hy==peYd60PSe*u;>cBa+F;TI>lX zT_=h}O&8j{;;40qB+tr^lIS!-yn@J$%O=Azgi`Gw(v5;vVjCx8BOY6@$@UjN04LBlaiy_z{&5L9(BGDM2k$ED%#X+vRN3_)K0#Fj)8>g7bH;`1yEXS}uiWXxoe zMZTt+%2>ueWEgspA(E}cCyo}$ml#_g3gfcycJZV>C6f$Su|F*XPdg8Zum8$tZ$3Jq zBpbw$VdNtDH+|THd ztZzYGf*E;FJ#hMSHEu7Gu=_0%hrim;^wWMCD^v-*V??Bt71u6ZV*BAQICglq6r|&O z*mZD+Fr?2Jy01ZD_F2*rjqtI}<$mr9n$qVf%UZ&J=p`XPXOsHQp0;_R;p568B|hbc zm0xQAug{RWg&}orZXrv*ko4>6R39y;WXEe9Kl<;Oz5kTZJvGdv6!NMjh&)d(NzMY= zbh{bV$f8OoUVyKW1TUx9K=ikM8NDt;bf!!Z8eD)^SRR2H?IflT6PwmWaCD;-q1kX?#vVm5KP4P<#1lWP%6qD?+wTJ035)lyUdrB(tNsVL_G?J29f}d9u8AxlEqq@-{_3Q`VH4uur?+!xu>K)OW@spb_je-a70g0=3LEVO3wbxX<9 z>?K>Hk36xpB(a{v#AYJmstA-=S;ZFOP4cf{j(SjFBsgRs1umk|M8YWm5R_ z$u%e?(x?S*{}%FFhsY?YBr>NQi_9`?Q%ZQ=rpEk#>h{AT3h7%P9-1VZ3vKu%L7jD+W*tPa&v4`XyD9RW*Q>R~C^{3=%}r z2kRA+sWT#dnG* zqQJ|Wj3>s}{Hs6yRS^V=Ow4^_M&}RTksq#0?41PSUG8z>ZH`*ig+(Ar$WqZwEFgw?!VP~pYs0T%l{;oXAb zdPsj~NE&$zfBZLw4+-Tz=0*BdDQc(tDBU+G6uS=d)oH{WuM~~nN79XSw714_Z?z=Z z+8-&}-bcD}I{vp~h`1R|%oR!4^J#QkE~d{(sJc@K+L2KLcnwL(^?1q7mgSm;uXz&T z=9$E6W#M%;OC(<*M(gS@`?d;$H4T`2(~Rx6eYl;hBp@yx!}2tovrEVhFQg{421A2j zVuKrTR8J+-v4OxF3HT_bVYVq5`)~5G{kjUfuPbr+yo&2LQqVSV*&1($rv0fL+1+C5O}7YSCI#jn}s&xPQ}z!Rn3` z|Kd)HP4`0Zk4wNSC7D#QB{4~4mToBas!_zmHV|vqM$FALtS%RDZe2Fk-&KlVHCPDF z7Hdi|S(nM(wE@H#H<2IINlJJf@e!ris{7-k9znQDJPmFwgj|j%_;NDd$_1G2C>D7l zn0!-$)#_3#zOKXgD_IO%W#h4{AUVC1Bq<z-F&C~HxNy6n! z!O9OjEx&BR@~aNazqyb3w@s*jUBt~xIfU2B=G7`vCAWaP{%LpxRO4@$PLzEX`FN@n+)^YR8OdM`i(p0}pSV1Xvxfxswa3?6f25s#$ zvLB4$9hr#7?F1}j^EoaOUT0$smfz)LBiP!0)g-dA674N%1X@ZUysu_*dt)JbtIIK8TY|}Ik&|mmu>P`v(9I20n$;2%-)P*mOw^7d0+ej1H;E_ZWCxa?3zn-JG5JD@*~w-4lozNuQbE_578;c+N!~k& zrC>drP zNXb&Aq)CaaqO)|jp5fu-8QQBq;}@xjii`X&^$9~#FQ9YPKhe6eoiyD-lFwIBz3(R) z4!xm8W12GUa)xXwS@fQzQ|GxXl!rv=O_8?q3H|3LnGY$VHN%gtEMGbzycr7&;F(_} zk7B~m_%-;L8pm)@7>&X-!VEe@ z0?Y{08lzlOinIFY3PbAl&!;xekGgU{S}J0>Uy(pdwjbr04wRI-(NN(>yWr54o(u&VBnT8pFb=%5$Nz(T9>2Pg)whRxqqc^q{8Pi{83e8q>lk zk1Qb7q@G-jW|4lv7>$NyoMGB-H!yBlOhZ^Gd9|M8w|UZ989-Z=$bk$;atG z3Sm-m;!#Yr9J!F@;JPOro}Pfu8#6u{!rY>N7# z$h+@JX`3GrRn`>LJJXP5!*E9wg;icO=6F+IEOO4Tnoz@D(k1VTbp>PnNiyVdg>4yA zv63$}A*2oXkyU3)ae*n#mCn>ita|T9Qc>$gQkp6GId+m`u2d!2Qy1evSE9r=L*khu z^JocUU-g>aZU4c$JCB%9Z>C;ab4 zHHqYPgXn!H>ZZa}iqY5nE(PhA#m{)M_z^D_H&Ntum-|r`q;;8M_9zzJ@d6o#GP;mZT${dkA^ z#}?GSbs_(aE8S0zF*kpXGIt}<)2Df@_(c7c;@T%7*`z7{I#Q@o#L&n8lOd_Tjz=O1 z+MiDOA)%QEXUN_?Lh;3iblexQ{EX>|CC;9iLFvj8uIGOu?%KZ)u<0-O zzV{Y~_uirR*{>LU{41s(|A4^<&$+k%IcIOb#wq6{reUh@z3o2*RuP*#{8=n zTs*MECCyjdy7H7;=U$_}?`Q6PE&d)^M&-;CT<`vh`H^2R+4MUW--yp2{)q7hKVtsg z4`S0BTtE4d^PkLf@#0Ig4F8Jiv1J@D|ID2e%UnA4h>Pc!xpUzyuG;^E#*TMrZ-0iH z?5wt{-eL0oPguP73oh^fg6HS*-sewIIrbDM`@eDH(kpb&zv0^YMeL8i#bVcMEDt;< z@a~_{+w%j48-Bs)%bzg$K>YdWEe0RH#$>}=VsHI37rve2y825@Ex#A0^N^D&i>O|H zj@_ZxSf6}@p4#`^x$+K!9WSx_?iKc*{*0OUV*%GYhI!G z-uGCq`eo&_9F@&9EO zufM@Z>nCiEz2WA^3mC2ue7C>F{lpJ6AO7#uuKGv1cK;7vUj3e-^YC(w0ky1bzjSH(AZ-=$%% z*Mhr8A7_t6VR9;iys$--jz*(;G?^2ZQiyUKz-UJ_8hdgv-cgF->Kq)_7UQ|D4Cimf zueC*(ZOB9acmWpoYPhgJ4x7srMCO%cTnJEn6_XQHuV>5;Ttro!?W8ieP*5U=&U_s|1@;jCbc^wmAoj z^?6usD#3Dn3C?SZ#hyY`cIBa}T8g{p2)d_oaJyQ9%F!5Z9FLX)oG67Z6DyTUG!Eur zykDg3#(Z2wM%b(uJJwfXzo`ZjvFrNYT(ra%L+^IZTuMh@r;5vBhsude?i^3!>d{23 z^cuLfJssNvwIcOoEScYJO9f_vlhw{j)HmgD<8%hMoNG}Rwshu3G`Bq)(6MerN&L}L z6AA4%Bt;+}wXKRv%;brLl$?<~vlpB#C2m@~r2mE(th~Fp?OV&96M-Dp%|Iov1>L*3 zsBDSG&wKzUn_ihK8~r0i*liJiB-S?Ts$|Rx+*TLkEBNS&98C&c;MUDT?n;bL8x?ak zs+(JqJ8DN0u=O3_`iUgG)+G|KP4HcTghch$=_h5R?1I( zPm^p$!`ptO^<)X92lPo&TpaF}J%J|;@!aW+&8DiA8%8GVzE9PmUMhAC({TDBv64R- z>z25_HHY-Z8~pNQozw#%Cezz!OkczI54MsT@-@|kD&(iQV08T^dBO3rIb9|`$)A&I z+whH0A}w_j(ZRbpbL$v$kN#t_3@0fzou}U5 zIn_Fgl#8S*(Vd{fXyAu={ z%#m%fDAHz0B->*eG#^u7@R&$LVPxh{D3iI;jUJJ2wnVx5BmoZdCW`mZS0 zdLi>ar9y8`XzLu6dJm}-c~WIKEmC8gFw-tl?B*#md`g!70;zUS$gy}vh}#p&gWiY? zc|^M6-}d5Tg-FwC?OCd|9*Rs7fAqz7-D$Fo7RWJwN~ZM7H+xC0!7^FKiv(ND5g+n` zdKsrs@y~tz8H&X20=;R94Ilo|j2}^MB>w5nkgnTLs(C+o79(Vsjf;N|1;;sZ#J6m- zW%A6Pk*T*V@5t4ac@QasSR~#Y6{e5L)S94JM{E#G>UHO-HjuHzpJFq?&UBU(=LMPr->zI4 zA>U$=EUg*J^qx@a{5|QBF9~!QAj?#wuz_GI*eI?VP_92msjlLm{tL8fKO;{tjWd5l zse$x2S|;B7F*!yL$u%CQF!Tutf%Amgju2-!Oo{fC#Ail)T98=GQKmUXjfRYCGDED@ z7_ru)WLXT7>@-89!MNZuOOe?V;^STu?(>=gqsL_HOCFdml5Zk;Y&0pcpA?%W$k!dA z%<>@_rW0hDJrEm4$+nvz$#jG!$?r-F$*Y7XgqjagX*x-nsmv?()CunO+D}AcEeU&> zkz5=XIXOw5z2r{xW5S(>iM8yZ)MShX!KqAgrO;qT*32X|5}#_-N$Ny8)@wYZQCDKA zHAj)-_he}NPRR#P8QcD^%xV1}JiPYrO%?aXy4sqq&Wt4ARX4erZNZSq`X8*2pTspLl_T(7sPTisD zwI_Oi3qkEa<1qg}jnMc%qAB>nfXB^evE6@z$0dgR$VcRcL?4XOg7vP2sh5ffmi@%$q)BKy!c?olb)8O_8zp4Nb?N)225| zqT*us8tsy#LnLc<5NX^*reQm+7Q-|d43ewfMULJdSHUY*?IKjSiNt^*Nz6_X^%Yl< z?4(Gon=~m}(FX0L7_`Xw_gAh!m}1yLoN*^{dTr!tbrYrEN|H${c{=y;v1%nESat*B zb~1Il$PoRWso71AMlX39Ju+t3AD@$mO_>_4GF~TXdhPOBD`i@pWNXV@qQj#sI;ip- zB~wlDzo=e0zmI&evp`yrMjyGFy=3Y1k)gQypR^o}`{WsRk*3i^frjFO>}|xDbrJ72 zLV+xRTr@&8f zCB!aS?A?OZeTwylS3aA|zb7$M?Ur)}1#7WQd`p$s6j^rA;xI(c?OICJnq_lPTraDQ zOu;A3dx%hD@lC6h0=0JX#Fh+g!9#q@(CCx`EV0p%Sjs#(5}!KBw|v1qQAcc+rocJF zxsx#4c7hG=lV;Q>MNINb@XnBUrwWD&ybC1XGSphh5W924Zp9TvbJZKEQE8-2wSzR( z24Mpe_*zLm==YE)?>)+9T{g2pYVRnn z{Mkd4&m?iCgOmy$1uBwPI>Y1$CfS-pg3I7a4iziz_om)Yq3HuMYzK*we9kiLChuMw zRdPt%x)SSrLbLpNwvtpo?U-sNaG~~YAsah z)XN4oC~NN#KAWFmw(75hZ25`wT`ja76`!{a(sKM6iNZvazh1;mOA7du5igd%<+n#4 z^5(&M`Wv^=ySSUU-*s^Na|$kPshFr35Fo1P%2937GHXbPbYXGWkLHpCLr|=Y?Tb~I%S0SQ3Ld@X=31Nj-Yv6lQ0}mw)Y_4cwe)0~UGM?vYT|7_ei$8xf#bdWK`qEEv z)RXbG@jk7AyOJvIXYb;FMh)My+E^=VV{~2{tCL!I|Gl64Y3ZYA()W-4ie`Yb*yeje zmq3xg9x|WzNkvnfqlfz$O}x%%;3-EBX^Q77*Pa&JTx1?+ImcPXa#b9~Z+Cedb;F*Z zv&Q0|CO)Usq`#{8CH5()Nk28QQ(fj&6AZPnIj^`zw~?Hyh>PjU^{9gmYLF(0mwL@y zY*6?m{iL}_Q(XJo>AWHaGKP|l*!zcX3VVH|DUN?&q$8N$AyQe5nCnJjgF0b{H3eg_ z`<%Lrp^4=c1Bt02-h!jwX^D;af*nK#8X4C)DmB{1qZ?P zv^M6a)G@hefYk+KoKG8yANng8x=LLCA8cfNkJEZ$m);7tz9;4JX~~b1Dl(1=j^c~1 z(rqj*$oOKP|2dI6ifdy_{G87zaz^4IeSJ>o-T=g^`mL34-GJOx{Z-D1HzDcsXgCB(VoY+$Oe=%sm9Y6U-I+f`~3KD z8`Di|S$Oy*B|ly#{FgAYX3{B*ai+n=folIqtaOcu4|AZY(2R*HPIOi$=u@bXym&DCxn*XAmvf;o91w>CV~5*ythV zpMA`u<*!AueenMM3fkPLqCNlE~{b zq+FaP|J*cjSEul~IYGk330X|C!(4kn?)eAAU!5T7vd9_5ojuMyChdaEq5PO4r6qZP ziM+GRBwSb^`}{JcC!Ub0^cw#QPf1dKMd>Mdettpv&XI6+hVWYt$h%hKeicrK6QFE5In3T*z4i?oEl9~I9NR{Wf#_S^ymH$)CyeMZjN zmxA>RqOOQU5`4oi&5GTU7lK3jg<+Dej}U!hTx^;W|77foiz|0|iMyhhe^Fv3SS#jL z+&M-1W?UE}{K60+io25t_K6o~$-FQnbB~G*Bcxm&Ux}9@pA^T`iwcb8{VUQg%ej(6 z(Gve?u_xl3j4$}cDL*It+RK&s;uUu^k(O{(V)A#tQpDf1OVT8F)0O8XSLP*t^JJcT zD6yKw>du7Zn&kg^iJinCQJNx$lNHA+GcxxKG1q2@5}R|*%SL}ua`3W9J$amRX`0Ym za*pD;jHQTwiabt|`QomQ6M1u#z*~a^>x`0N_gs|9OS(@_)1y7jE59+G`3Z|MuO~%o zfWU)_+sHq_=BtN=S=JE-1MNYj~CvbJZFxMm0^g8_0MYO(a}Ykqq45kF1s zrK4&K1B;@Te$XT0T?#pm%ScSHq1?@!7MBomeItkrGpDv(hl+|rgoGXB{H4omJ0Jz= zh!mvVM~-vo$TrTN{Dj)d+jQSwO<3G5uG-b3?c2yr<9uAK>ri*DCTSsr&dxnNdvl$I ziS{JxIhNz6RF1DS@AGAZ$?J)yON15W z<9aP0$GgS&-KoO=dL`}`YB4`oEzGWl$jcQtT+Nd6iU>GUfyad+qEF`HeK8OFtNA1x zt03t_1I`yKaJpGS$hC4w#B$tkmEm}~2s@=*g3iml=ZXoC*F&W+hsZbqN>zlOsv`PC z1!1Sk2|Qbh+r?sBuN2^ZIhVi-d6G0$IA5<5ENW$kmUGUP;eV-=kP8*kw?cfXk|w?% zFCpS&k=R`(m{j6-wj8G`^7y)peXay=X?|C0@VQijui_4=GFQOW3jCByWsr5C%2J6DJA%^EDlHz$>H0&Y|gcwTT-F2z$hAMaZQVprjcABjh6 zh&xhC;OT0-FIVCtn5CX5rQ%8x5$6l=yHz6oNr{EeWeSO4UKf%lEe7=lVAoi6Krc{lq*z0&%^73*mp_l7~6-(T%)Qer> z>&;pjuY#1L zGtw>+^;rF&w)bvjG|dc)@LU3IPDe6%=AXmo03bGbsSkH z1?1eUr0i53ttWfvyeM+=U<2hR%gNY2iHnJB&NC(~K3~V1h0pnM`~+Q1yJ&yDnc|<+ zh<_JF`gl5N;l?z2m@;JL#ZXc&gB(45j-5yLvtRL{_TIxM*thpE z7fyXecl&KJGIrBg7er>_2v)Z;h&5})N;?_1-~XL^|5`%Y&lZd=-Q$^u^h89KMXZhj57M@LhL23F` z(j5{g)*L1H*bG)j=5RB7iLci(zP1nX^nQXx+;i*$=ZG^HCH{IV#+L242G3I9{hWl5 z*Vx&AFNHz$^npH-e4nH4F^ScUUP+({G7gVPaEC;i4G?^7g7_0%RNS7z|4t989z%FW zJSH*l1;NP=anTtg+_)I)M9)A##t5Qgi(| zW}?|G{6~omT_7oJ7N5`=G*lWfzSTjT`Ya}jTPBAKD>yko^qFbAF9|ylww8VLffR~S zTyOQ`CboKLJ|I|SfFSiQA`+hCeC7f9hv%slh8U;1Kzz&_F4}ft7qdi(yRg9P!szbIVWHNGchZdP#@(bJ zpCju06Jk#Z13ErIoG=yt^Fw4Dou&QGOQKAMu}fdzhLse*m_>?RXDK|_O{OqS3!`pa z;}-FiBu_gsL;Uf1*#PEc(T)>-Q7}ExO692zveZU#@tD9a_yNYYtwbgYi!>XT1v(`~ zVGKL3A(C8#<(wMB?c7u8zd(xMohtGo{M4v0wq=Sh&J%r4unL{xo@YD8z9Zy@JtIS9 zMhee3PL~I9ide?ebV4?*0V&e6B%fRqpN8h|r{L0qoy5oU>?oOMW(YG`!t`b< z)+()pguf!w{WT6}WPHPCIH`_eoAd@7VdE7?#>p0JBZQ#^of{+c>=^#1Wph6=O@c65 zdzB&F-GyzrEaIs(Mux*vQkD9MxX^>woe_fkmI*0&jia~Zn4PT48zUrNe?<5B?|HCy zfzi9YO!{~+m2iT^kZwtYLe3Jtuzb!EjTE}d8_2E z@dPC!W-Pq=oJUVT<@>3V43?~C`r&pemyZ+syD>%01+<$c(x4wnxj_nLQTcc{-lnVc z4lTuNi3!?=lClQdj-O!vkprySwf_i*_A8-u$&w5Vv@Lu-c#!S*gh8wHYS97L48 zFS?84sQ%AXB7Rk8{OMW#acCO@tsn8`^?Urd^Z|2&YpJx$r*6ZasoFU}m{uv-cPnWY zC+ZG;kJsvD0(Xv+rCQ5unGMsex2cUwq{btMW{Z5XuXPf1Z3t_P@bB*3kXRI4%?+}nssl`&I?rLf&6)+v!}E7|F<+$X@k9q*;bxIdi1#i)?{ z+nqGrsHaq|0Y{Ho(q!(Edv&ClHxM5&LstAlauR3Ac5Wk8uLVC{#a&NFD79U}wQ7X0 zfo_5{Vl#ne33Mt=QRAkZmzUqvvB9tR~1;+?m&_i&&!$Qg63Yq*q5| zL^=AC?ReC+lW;4Kw0kwggtp=zBs*VJ3qBcAwCp;G(5xogP4GzWCD^l(Af5l4xA%iF7ziN=`3#NN9|@4bl>3rI&mEZBR)-UY?pD68_spJIGqYyqTGyrg_CCRGmt%xXiR0qzE9_nyk5|@h7M{Gy z@zLkmKmmKBfmM;%I32;RphbcWC};eMB(QuYd9^11>VP4zyC7J zR|_kclR)U~WKOTU%E9GPEMItxooiBQ`P9<3QHdOwnvS3QDK=$PGUwoRc8K&jWqF>H zOR`uwA&$)xFR)cW?~wf!;%4Tu&riU`CzvfWj$jj3xD*ct5;$NstSEn+n7vBkOuVw{Hj$XvqJdLHsX-w4;X}c&%i!)n~5;}h!(KAb=zx=IUrnG748!f5*kSPqVVFDV^(!cw-p1Xn z9CMMc-p3x%)jEy|Hd#WcOPFF?z^D;77&Yb&1}Yb^8kELF@uR&gx?w^y1{+Gy>lTe! z*F+}GuVR>QF51g;a1MOR=uu^O^i5-q@hw)2yvu}v*O=Jl3e!47;j1p}&^w=@>oVzc zw;Ao=N=7Orpx*5~(*s}AZS+OVA|Il)r5p!gQsXRdGsiuXnU1&7(+Fqm?7NImk78WQ zTLYHW(tTSAJs-S4*S{EZ#aOx;rLy4kCw{Ryi*xK-bm!j2Q^s-7xsKgN_wd!qXGHfj zHV(bV%pY&CTE7zSF-`Ojs7K}OE6iOA8Lp7Rn0A>sSQhiMLpoN$ukf%c|NaMjyPck~ zM6U$x5!bLBep}iXv8;Et$k8fvM#`Lym7#UIjuE=IuvbXKNvi-IVSonHaxmWZ1f6je zj2T%fQl>=Y)*UR3Q*d^ZF{xfffkTu5+-TF!K?z`4T>R7R%bW>>=YAMdj6*DPjhR?$l}6^B!w zuyv>q+ZQk#n2CvJIfmBd7|f_8-fX+xr?Bw>p8q=JqvH zbUbJGyWltNINn}GOqkNh7>_4tTRle6^$7zezo3`POO!^vM$h3b+Gfr4GQWrWv^PXg z`Nkpdr%bkQVx{Rb<_?oOmi4HOs*{aXjj~$}hN=(HGkiopo7V!i&ru)tivH7^_-#%z zN@kDQsQjK42A{DrdBu2(KM8Pu!A_rl(bxZqvD$m|9joa-rj7wtFX%h&1AS&ZqL)Vf855 zK1F@Rdo*lcV>tgQDjV)GaA`RjBT5)QypbgfK4CbrfJw8OS?=}>>p>;5iQi)2_5`g( z%?uphfVxjJDpQ}K?)d`cu?=)tQB24A1t?h;F?L)do7Vl!7}p2b53OaA{Yz#HehhRb z8~sqbDzw~ZzA8efXp>_+;?`iysOlr^Zuc+wj>=;tsL7W}zcKnKIKk=>6 z16rF@qa=N5s6VB%=40B6|C9#^xEVFmL;RqqSd6}E6+865h^%|hr1mB3)O}B+&c7_} z{*pmm9@4MtV|w+hr&FI=`uC})cfY4}=r4Ov?GF~H{=vas4+yczByz?{VSw{ExzCG? zHslVHa%Df-A zpV@^wi9b`8*_W`xmK;p>WZG&A=8W^gXW?|ltzU-M+NC%xwRHS)IK(#7<6Jhp%(2b|toZ2}V}H4e zUxzHV^r^#2A%~F)4;lU2W2W_aj&r+5==}JMUcWu1&9C+J=qk?d|ALNvp3=W}BT7B0 zm_6hzsj6StC;9mrjRbaoMBtzgjOx=&?{4M%q$-SR&^=VTKB4n~C;X=M3iV#E>C@v8 zZIoowX_U*edNz0c$YRy6D9eJ)AMhE!!8IJT`Wu_BuhHoAgns=i>Df~@MCT^D3~J_g z-Es!IKb4642D7a%=sv9u)#(q|zUdz{=ar+o^(jLq*Dzw*L#(IOqddJ9)k9C|d9n%3 zeGT-TR!ZNg^=OQ1M$4yx!67f`5dVnIhaWI#TsdRLJz@UZFKGKz4PZVPG|>0W_} zfUkz{W9-H^V!i$egN{F<=NakKzZ!Kf0TBB}+~>cd*TOP7MLeLrUn$ySgmpOFW68E} zxVkoAEc3As`v?2kFB$LghGmQYL3!dsdWSaAd4Y^?=W{yEufulDa|X;RM(O%zlmlxS zy7>|A4KSqYZ_IXP(LE%GUZEA32b42%R}G7^|E6PnC4=65rSCyuc|mooOaIE??S=GA ztD^tAzvy+K9Pj;4S$63UG`5t|<9I1*m!Dvi^$vZ%LKaV|$7Mw={j#3Z^WG~ouQW00 z9oSxZi(bGzdRM%qbNLhOuL&Suc#2-80AWre?N1k=y{nvIt1DR>^BMQBm-IezkN!=6 z(EHLuCf@qQ=;JRj-cn2NllSQP=_?)M>hZny7uJWLViiz>;=T&HA1Fb8O&J>_zc6l9 zBMwW%ul^MnmwiQLPZitG{>#v10`gnxBpBR7x9VT|Y^!By!dI+(@1ed$0Cd}9#<@1J z$+H39i{P5}jUn?Z7`*QR1ByN}IJgSW#E`Ye1hOHoF>`v4=J1#37(78m0(dv`XPCIZV!6*7b~!v@ z-?-208Tpav-K$aj?Jm1I-L_c-YQ~am7SE}{aYSq1F6`N3!0t^G@i17x7WbByjR&)I z)?r3Rr7`tIL*vx6o zDB^W<*fQ`AbA|e!(z{B?;3nJ@o-w8MH)i(uzzpSQEY*9*6yx`pm^?zux*210iO>_D zh#Y#wFkwVW&Q-W>c+CW1DNegyV!2hKr}sm~`8+~*dNq0no}rZT4z1Xas4Z!v`_dY^ zE~`XiS|w&jUNb1+H3P1^q5qOXynOC6LYRo#&X2Ol_c4sFXV~?xa;U1X8Y2|{#CxoS zdJkG$%Fu+j=%>7)`<^@upTV%&Z~PuoLbvEVd`kbNb6O3`Q(tdd)8dFVS6Dj91KShCc%96OXZ3 z`all!Lw*h~Mq_mbUa11K@8Ngg*A$`sTZ%ASQ^DNSuMCP8hVk|f+F!hn-tKaAc2qI> z_CHwetD<*MHiMpgqmLY74H@T@yZ_Q9yojH_{YAUDe9WrC64|EAkfDa(CF|6NrWFK_cXj zK~!R1(4QzSt)t7C$8^j2Nbe1`xUYP{^1#nnEfkPiSB=*GM_9#uWcadr);mAK-lvWZ z5fUKIHDj^;0bV!2Lgb#t+)7lUq&)T+7KdNpu>Co<$6li!+(f^v6&NfL$?ILktUaHw z+xUtutE%ag{syHz51D@8J&wzsGGcl&nv2WP68S!8XASEPd|~3kCybn3gVFLT`g)gQ z=-JGiNzYhp^&Btj2MqQQAI^Tj6s!9LSbbpnz=urI60jazkB--Clyo06M5&H>dM{X| z|A?^$e_;K?QxW zD%{&W$LWVB?CSi5$R3~Bs!+?d-#(Gm@hf{hQWl4xmjvGXgEy8g?)*3X%%P{zW(IV@GVg|G5;77H`lu6voo>c@%IjwZ=8 zUf5U+$5l^ouy-b7+eLCM(3htING(6G&oBOg{QTAeOd9w*3UQe>k4wj0IT^Q>6~Qyv zl(>ZXAs$4QjpEQ&ZO_7158u%->q=)1kJ(L<@;gqd zTxYW0W;P6sTy-C7_i~1e%x3FUR;Lp`nzavxP?c;ONQis zWK`K7SjYkBA67zN0S6n2rVja^QGNfF{(pU?mmD0!y?N+uFJo!u->3v<(d+#S27LWS z`%T%{Y|O@`^e=`y`AWC5xpaH`jvjx0rh7~V=FNXHy7)aE4v9oKS&U=lKlJ_ZFRpoi zVj6H)`jiNNA{X;R`3!mVh4vplqF?-iu3^Fu!tSBJQ2_2pH5!kkuRq0p{cCz16^0Pe zz=GI!Xb7kcPH#jtwuatbu?*WNhdx$V*F(^`D$zZ+feyt@IK({0A@T*?N}kanUnJtj zyVxf^W!R&C7@NCCE;IDX6BOV7OTW(&r7u^Zwfi2X;@3HeUr>xI zr_1yA^oc2#XkLMlUj_58erD+Y`zW2hL+{6b(Jv+kBLQUJ>;E$7WC7jZ|4HwdQXIK zjo1vTppR7vgUvHN#6uj+YS12Bh54{1VS6HRjbu+5zhsg1Q(O&7aW<{N#;}Uv zA|)q@EOpU-gocjz+ok|#8v%RmhvMnGhzRI+t;Js;We6V9XjV+S{AmiV4BD-y{?UzDhP}I{V6?q%ijL^B~#ix zVU^-5R)|cT@M8tbx;JxN=RI3~%;L5vfxLmQIV63V{@O&J_V4KQ`wRO0{+OZdL{`eZ z>hJHc>iUVDU0!kV=Xx%Rf`}XNga-l?5cp+Ma&1?q2_vU2)ZB3I1dXvtjMUPiK{ zB7{{Xe(bE-K|-|+F~M%E8REmPNz)i%YDs^U0jOvxp`fUtjiPFAh8VUbA=HuZ<7S*V zZAVzp9umWohzw8WV$dlLo;%I@E8_^euELef07gK$zYbKSX_FVPMRm((vGNE|FvB3+rz2V zn+Xp%%yz${tnoX^Cf`U_uRp=mfE}z_yosH&g?()~#KCRb*?V{oCpHHXvc!+oyH~St z_kLCdM6qml5Sz{(U{%5)CY?OOq=@6pIJSfN`O!>%dK~XZp-jAfm?cSDnH{`=@Ql-} zh+W6Dq9EoKUc_zrR+b(NU_)gjbBn_nf5VS)j}GD8bb@j5n+YfkV^={Klj3*b9dm>= zC6}00lS)8lD2tD;#V2Mn)2^*y)w!Mc-9N?D#@#G0+k|_{THNBcvgqtS=AGM%Z%rI7 z_2(E@7RUHwhglaM!r`b0i5`2HmMi-G+97Ip=r|`OblyNr$nR0wPbCZsB&Q^%1&ba%?qrG zj$-zn2$pXTV(-~V=EUt`Vs;pFVt2E2+eT@-ozRTqtUIxR#YeWX=57kp;`g!kz+NsK zk7xbq!+2j$V#d*M{O-mvC1M#T&WAGdR0#7kqFE7hfZ4kO*?uRU?Q!w!TfCjki`H>) zMiB9{cN4TEfc49_v19Kc7Oe_kt$zT%i*~Yf$zJ9z2w};p7^ciQ%={TgSvfI;pk;g6 zx@t3PH*99*mW3?Z=ug1X4IEjp9lwdoSv++evm8U%J@Pa`E(h4@xr-G}=UC{R%p%ul zrr4YzU|1|M<4$wH`yj#N0tuY7hm9`#rEVx|9Ku;OI)W*qj^j5zktz1anClcm#Mm%S zIc?{_*uB!%QBF+W#YK;U+#0=)yAw_mGHN|h6GDh~IY_?GK6VY;B4atg8t+h!jNHpX zuN@@LJxrL_9+6f1nB=yXMPs9x?Q)ce@y95!+s{qM>+Em~=IH3vWV#)tMp*IXQ3p6P zE`R`^ZLF0&?>}ZE^TzKIDHX_E*D&S|-$(G2Frr&N1!lL6VE1FhO^M^Y>kdlB1yepP zl*ln5On2GC)M+7jPYT3m(k^^mLRjh?%q;g4EE^L=sN^pUKTh(*Xl_jnCBY<&rTsHl zKkOc-JyHpBj3+?<3hVmBaj4G);x%%JHb@~%H-zJZ_i?n#O~(Ej%7r6~g(3C*{&Ke5 zG6iZgThowb%2GEMBIbK=q|%ki@5DD}77~=Og5woqNWQPe(ST8G9JYXc(`PfncnC_G ziVV_FMPY!N2?JF6V5ZZGJ2B&k+@s623|oA+4#98#d}gc}&!HWQ@LxHe;8UZB4D8I! zE1k$rS0w#XCn|~*zB8nfr0#@`3M978b53=>$RdkSHk)4}QYD)s-AY-|rkdGF24 zt-_6b9nuT6h`*}Hje@>pl_`>ttV3eFEf-Hq|MA_(%M;Ko)F-J>mGt|Axs*SEm^4MQ z?hNK`x)SNx+MK?j$>nkjQZvm-Nb1CmBw>un{kfajpPPlITq@MyPPWv|?M-Z^F7fAe zNln!x`I;uTZkloYx;1BVEl5mMAvLBy>G6HJo~BAhkr6T1HAs?rmHC6H%qe#d&po?!w1Q=AD5$4NIIiKd zj1CvW2a+YeOgXN|ooGeQ9TDH0Hy|!%C@Hb}#2iv1FJTbnw+3)4t}8bqRJeA*ic8nj zxpiKNj6>b1ys5>S{knvo94WpsBs#nY$!B|$a8`>8`*gXR=)mE_Dio%vk#tmp>j~=I zNEF{hsZ$&~SjIJwbH|m*zHLR^SsiXC_9G)snTuy-EJ+5$oHOQBkS-}FjY&Q@h`Z7K zxs^1C>o=6Rnx({<)0*5ouT4>^3fWf%lAWQ##aK(uoiZiyjLhpoUve&suTC3rE=rjM znL}cz8pX*5o0wA?TumL$sZc{=PslzvI)E(MizOHPkssHe zBJp{a?8UM~9WteDLBaqUZdq|t_H0W)&NhwX> zX6TT2L79vgRj!;g;98_U8DW}~#SNe=L7((9UAZehNjav--Ed3p1Z$C%DDw;(K+)~N zlx7%kBUXGbeI-W@CuoO?+$)Kndy^R}zB!{RYpF%yd3_4w)nwcQxpGF6NKrc1uNn}0 zPKVeiCDO##S?3hVz9jou%F_4flfB8D>&FbKir1t%z?zfZD+m}F$u2_)uqs!W{M#eu zway}RP!bnSZg5)j0ufrlMCcwRyju?LKkp~{*j$?L45U8$2g>t=m=v_<{@sxradzjN z?@$7Zy;=NlKU))busPbFpo+1ilo}C!z!~3>R&1Ry5i1>2VMqPxrzQ+ZRm%uH4J{1y zf8ykRA7WOHB{Opx3$H9-_vH|Fo?6GQ>jyb=b%}t`XijZ5p)gU4d$;>jn%kYyQU$7V zS_>fcB*gvzF>RW-s+Ph=_x)_PK1`g!RgU${W<{GE(WSfCJIayN71OB+T+PFc6L=Kh zLS?X&UGpO3mMeSjnh^b9D7Q*vGv;fNS?JEiL``xt29tJ0hrkF6B5sT)HfIEh*Gz~{ z^CGfPhlKmRxt*iKolJYel8h-V`jzx+J-C=XgtNEwxs*AHU^?e5<+FhUN!GuMiT z6MxNu^db+g-f<@JLJwlk{!C_$1sCsnaWKY9+S+sch6T!}OB z_l9t(R6wq9Fjv#`1jtm0KBv#cEHCyYXp)*Yg}^jBb_IHneP#}k!M&)>A4ujcBcd|L za3;AAr8#XWy3&=(+(D#dt8y&E0l!N_*q7nSnJW_rI%!GF%`u!z_Ye@X6|gntVxAIr zDtnPr(Veu+UZmU+nSDc#)SDLMQ)Kd|9!jRA=n-Ko#$ zB$2Eu$ra{A+;<@)ZyX0N*>kgK3_(FkoQlvF&^KmJ@i2}wdW$_pif;&@l&F&s+mVoZ zYu4Rz7k`c;IL3##bO%{GL(+1mu_4fz*n7^T7t4k(HseN-4k>x<$**Wj;T;X~a&<_{ zc46l^FE*uEa5mYR%Qr1KpQ1%lo*@x+7KGn3=iJ>s)RwiSIHQ+%F?!6N@zn)mL_q>2a$HbgpzYZN!q7IRkHXa%$#sPZ7%G$ zpzNG8l~=mckl%*nQ@?QUhAa2(NI;G6L3XqQ$G43nF4~L0U7DPa7{Y}pL*gT)udLCW zPcR`RS&5>;Zrr({NK&K@H!qu$c+#HRmqv5>oF1nRtC0{sf|L6M^n)i8w#|zx;kMF` zfKTS{+`DK%<|cP;1-Nr(-vmkyO(8$Pipp?vE^M%5r;RhSRgW?HmnJrJsUTD-l4!jP zoY##f*627P8v8iWJ%d@wM>%_TEOpr(WiATT@b@P&T-)KTIN*-5?X4<-6SJc*i9v6`w$-Gb&}58kyq04cSx-$`udo6gh)sEs2JFcgC%As>3 zDBFzK`zG8d9zbS(3&W7jn$}-7aS!6J58#BbsF*B!PTf)Ga;6by&$|$nV@7<@ATsY7 z2q-vnHbtUR*3abLlEa#9!j&RRE(?I2N*3mktuObsM5O9-J=cn}NvhJf6DS-5g5VoTMyQaVsr%>XVX59H?U zft^VnoPITh3iF=Zr+aTlu4iO*17XRa^SfyJ!Sq!W6DtFd`{Tov4$l0xlK;K0~=sq|McwK@?>75hmA#>*dNMR_POXLyeeh zV{YaQAz7kt;u&G5*%llXpg5Z&fFTU7F?9gV!YZ%FwBvk>Yne)%y=YC^HE-e~tcZ^>A?n;n!s2W=lc7se zu>hU0=1aG9xO}?}w+p*)Gq;a`VrL3UdUN@_A{mKRTsqDLIfKbB z{gqpHJ8?Hp_F;-9i3c>ff7OPl9bKtOAHn%U{kd{nkJ!Eask!dN&G=urm)DNexNa1t zkD?&cgzQ^N+)N(D`6zc`ZR5Uw0q9b^0aq{Uax%0F*?AURyQ9h7I|Hc76aU=k%C*z| zD7-9d7dwQ4YhpLql&cp^xS8TejIhj<7&nr_M+rb_kbhlSfVQnLMK!J-v?T4QDH)-| zxOH+g7XpWpm!wOj*hyQxgoRq?aro&O8-Bmf3H6giSX?66=pu;*XGk1+iO>Q0EHDe@ zbfOcr#qFudZh4pXKynf~QFE;eH_qA1d9`DA#xUlebYM8b)4 z(I%{0sfvY2Hhsh43~m7=6?GkS`Wm8d+=V^6ma$q-&^&(;9xwb@UVRXMam@55I|#XN zPu?YcW*d8Od$$*jnF^HV|3G260Ft0%;ic{zatP-5kFSVUyvCZ5VXQL<;Y7E~ocX;L z|MsyQnK708RBOr#2T_sNffv_*;@NEl>V@h(&T2zrR$FSbTTxZ`A3a*wqDkdhournR zhqwG&RkZ+MrCck7nN=6G5(y!UMm9sPMBsbbKT>x02TIF+piyMx^Q@NF>$VmaA~t25 zE%LHFrw#W@e~=`0#EB1!rM}dy5c|!!t$358z>B;88B=wk)RX>NKJ`>2>4DrolxxfQ z3Z;EP@qgrM%L~pQw>-b4K%?|=zu*Unm~Cjf(@ONUluNw^d0t+lz@3U8cqnshnNxPP zMAV|+WFgzqEQhEm^GE8$R)dV|am%NP#r7ky*HTu~l3MzbIkmhlv{BkWkbKMAIxAaj z7YSvSx-E05E&B2M2Rw_)TTxc>1GQ4tDDCQGEcay1a>RcvK5UY*7Mtazt+^-d8zlch z>^-|7ePp)d(d~BBr^_0b$lAypUR;&>;@^gX-+6NT7iz^HPo>Y-(k7?nYZFrE{=NUK z+2hO~zWcN!uMM>Y?PT1oX)0(#fy^tjvX%I)6^~@TWipO3S(~~RAIbPy=HBw#$WpOU zBXys&jJ;)^^1MQ9S4f|AGT%onN$Ne8XDx3lY3-pQy^{BJDfKSX%Kw z${JeiOJ5CAzoFnqu_x>+uQdg^KT<6IDiwc~iEmo|arVCS`%voEOPea`uSD+e%NVQV zT7A0}HCgS&U#-Q5?I_C;KeYT>LF(3tFKR@(JjfECi!Ylb|5(Z!rQJiB&m+0Eqz5v` zTIr`;=KE0A==*+_dZjIESoRa;C9Nr!vRc_=55&d;nQP0(G+N$QT~#6XIX{Shf0BLr z6OUWwo!Oc?nOB+kp;YWt{?}fwY`K><55!Kb+_#LeWe)dc4O{m8Bgr?*8LN~rG;q301!x*g~0?#|im^)K_ zw-wdbv;^RKQk~Y1uuw<#CQo980FnJ7U)G$AXV`*GEZ85x&w}J# zHcCq7sH-ZYps1>auCg||nm@B^oyat=8C<%%oN3Q?Fu!U)8;TdQ^ucB#s-|)KiZgzr z=8(G0nI>7Zdj&tqL6yajNfsn`XNP?-dwzI9f_fpo7NP96is!t5Q_{dZ&P_T%>1}JO zZuaN?oql8$^rAo_OSPbC^{pN>rgh;_T4(CzdOy7@*}1($vWcXTXr7xrKq8(pcS{CS zAdH~2pbvS4g2q|>g&y|gN_j`F)^y@dksxzfcTy_*k}dTrvwMq#=})#WnB0nf!Yq2r zy|gQBx$i+vNl&s1260pDW{B*|6WUyPN0ED(iqcOHa*MkNVD#W-p+x#ZUG5h369(2n zfKupksUlg$8p5V@$-Av00INaq-M-}KNmMQrx?j?R%-r7O=SXBL`<=YvPNbC%BEHH* zHliVA5|L9YWX$)wN;K{&z7eKWFi;|TKkgLvAxoIkt+Iin77yfFi5k}{lt?S<$F*|F z-|J3#Nq2Jd`cWYD?iBRkwv6d^WfyLX%`9PIx#i+RnQxBNDJd57)p4_?HQ-GwefO~IY89hX@%NolXR%G?%UREE92l zVPUFVD-b4KI#?v9Z_f zkv!Rp*#)vE#P=Dc;3MvQC4z zBl9mV>o0TbLD6+3*`F%%thXewD|?|p=5n`X{C9g%EX@B-{s6HlOiRX^UEEE4*hThd zNA5@;8D$;Gs+6^py_Z)g_GE2~3wp~L=t-{3J-2v}C=*3WuPKQHQ{uk#SCy$Mb^4K6 zCTzL9r!e2n-)&?{`@6Cq^JI_TmA!RG#(Seok+h0|6v&wCGUWWoJnxjso|U~>CN`>X zb>(r!ARb*FOVsr3Y*z|opV1yb zwaHJ?Btz83=KFJ5@NySNvZII(P87L)im(&m#GOjvNcbw^lI%DeW6m1CL0H?GGGL%K zTIw1o3{cfYLtPnDm7j^+xQS(>-8f&glywgmvEum>)-=!HXvHcb^Tv^o>dl%FE?f&T zr$Hh|xv-il(ZMYriOap*gDrM}Y*T0;M)d}(oemISypI^Ac=pMp0_XS4}M zJDbDH$FgtTPIk=N!LE5rN!-4abH`?J;`CU;L~HKOS;hXl8`*hb8Jlje#IMqi4W$9> z$=$`?^lfa*+RDbv)$Gk$#G1O*_%$ExO?EN$4!f=9cV|L`!&AB3@{JeWDxH?iXWW>z-@u(Dw@i<)<_ zpm8UwAMa*P!BSQTKrd|)dk+Fx{opXZ1-n^ab(lrD>seT`nH3G&Sk$nIX)pX4_d@EI z%;DgLg{+NQOTe|G98TKC!OM%;dVLWG(pIta>{5B=i+{lmd>i*M`S~V%o@`*2^gH9h z4yIJ?V&0=e%zM0-IWmUXPq(tLX%mY|{h61yhDFsIS=O|LC5;;;`LncfJ*(?Bv7~4l z%WC&A@5xRkKi%+sJoB6VWo-kPm%Wt5)$5ttxPy65SF^f#96@y!BvcIMYOXR_lJ3X` z%_#4{jS^L2YBbo_WXjr{xva=s!tw?`CO5BRMv5<+%eFJ;(LUxpl<}3#BB*9O`67QF z3M+abk@LZw4#FCK;+`PkG;%&w1?^UH!|nmHdZztWP!|W_7j=YV}F)4 zY+zo&V&)VsXKDS`@4i{o7$E+YwH4b7Dp#_wVjZg*wzB-co-J(ND8Aan>@x9d&UO~x zJHmqcJ>qxSS5KF*4l zjNy?a>C?A)J5wrUtsd@_vF~EmqrJ>;+9~a1&FWS$t9YLHZi$R@lZ+#Pxlh*N+qCuj zxaQYvVtU1TmOR}l<3GUq{QdYwtiwNXH=9xdSf9F_-4zGeaDO|i>NksBKYX9cd}RO5 zE?+Btmi;K}JimDxGoEc18=EE&`FO0DvqZ{dw0;;(^*ik=% zdCk6TPuRyQ_c<)_@nEL?DE2Sf!PYI4NK2ST)Un~LU9F6fsUnKX%BTx5QW&7Fg_?FB zEVWvb5;U3Ua4&+dj$!KY2~0V^23J9|<-1qlvtlek=ckDFbRZ?9i%>yfM-ut&iv((+ zfn~P`uz&V({92cipnZ{*qt>v;cE4!NB>WVQ;H>V8>)-&+_q|3!#~aM*62l~&LhST% zu(n8N-kc)l>@C9O@FgZi++_TfOlF-gVoXR5wn>+;%{b4C+|*)T9^qLwOT+@iw!$;`XKg6h^ z7NbYiC{`9>-u#4qg+&Y~u1D{|Gt`=%)A!vY27Gvo&E*EB9Q|{a(GG^Pey2^7%DAKD04b*hx&UcJ${9D?F*EmZZM)YAB&F}n7oT;q#Ux1Pd9V1Xb2_w?YLXoR-*l{6lDo> zx^Bqn>of7Wvl&K*z6@w0mDj$F~pZ_2n&Hze?HP59#pN6S_$m_jLO5TAs^V{L#P^X^#AZw+P{{$%Q}C1LC-JG z>GZCKUVk^y{h#M_`S%$;Bw0Lu&D2v3Ok9+K{ep|wt;k^9qEyCAi^A9Z27A>KIjX&k zkije1I7rshdJmqX#w4?(c$|)r##H;$nA($+|KO4 zzK8bAelEf3)>h7}*h1h!AtVdevcYpUTh_S|vEPgE-CnF+u7jbm5=v^SXeb+?Fj#9a zN~+x$s@F!esu$-H^f-Ka3Lew9;4?pr(G$)vX3{YRdaYqy>>{pSup;BSI)%6UlM&lN zGZYY*;t;apKs-D8v zIS}_nq1gK-Gkr!mv*tJAG^vPb6Yt?OJCEhlidej?0OKu37_#{wZnNW<<9(5U1y}K1 zo{Hy|RLl;Yz-ap!rf<84hwn{hZYsv}z#WFhU1aF4XeR9_!gWIt{wteUx1fsYJBsn% zna{L6Mfj}BWA@H6JeCMR1{5=MTPAaN7GZhh2Cm0anY{7_%eGYGIqy0?`*Ik&C!bNr zZ(+STiJ99=n7*?R*N{wx9JnguyTRa%84R3X$;g1$^j%(!YS?RBuKkVX+y|KLXvVw| z27LS%rMLfLa{Es#rdMOJ|1oASK=;u%RG)rB>&|<6FD#|kna8qu|3X1FQ0K27>6`q4 zJ_~Bm3Vn=P$y+)$y<@;1-_VVI!=PFB8F=$8-9N!^Vt>G2FgQX$cWD`#7hW)+@G(ja zpHVOUO4kDo^j%Sd{_Vf$CuLop{z1ooKq>7pLzmrR*11Z|&P56^meS?#m*`!p$7x0b z1~JcR_wFBl`3$Z2M$bzhF!gt?gAX$l)3 zOk#WB3igcK!=h7XaD9=*@3j?jK)=$@zY%-WH)yF$wCsHmz`|_pa zAJg@T0MzqW0>%Q^%}w-p@j}X4>OZCHBLRz+c2Aq>_2LPgAJ)_J#Ur}Ec+7woFX>zJ zn0`%f=+XR=!H*@#JgBGFo9Ewc_I~x6jtvi`z666OkLdke>@_vf^VLgv-XzZjv>NK@ zB|)KO9DQHElKKtuT-r;%_ls8o?hP_d8Ozfb^m+D%-z7lydM$I7=e=YO9UnH(TiSJh z`c%gMluixOzqIf3@+ti!we;6V>h}=8^nCo34iy4|H>&A&C5zhP1;;<^z~z}B$^{WSAIbSo`Snu4N+3aV-v4AK6D^dv{l zM3@mCzMQT8smyRc&r0{(Odp$q`}up!uRcm(p&il1I%M5eq3B#M>V=ZG{KI5H?jVBX z5Kn5`L|DI;w?kfLe)lYn_P@mu{ScVP1h@s|p*|OvdYQJ8ir$Z)2uR-D49}*$|MlJCTT{>Mx zWn2M9AE8&n2YOb$Ksib_;cu7GoLYs70D!``H}w7MD@wbb(e<|*Xs)Wq@D=nceSzMs zr|3*?rf=(999BKUGW0oWVb2*7_b1x61*o>mWSC_sj*BaB+4P7>i=U&XQH1W#nM|7T z46B6=7_Y3xIQBit6U#8^mWIjbBAlXM(kH%_Rv-UFJ+P5czZ7D)xQZSR|EBA^e`)pa z2L|nah|O==Xb9MM`1AtB&rRrMR$)8wHnY2B;Us|F`^y8m{ar=BHimpz#0`le3K3orvMKomdj375k72Yw5K~DedkI9k%)_QYv41GY2b#3?lVH#00O0*#H^ z7#VsB_3!yedA^MilGpH;_9r6#)Be~=w54Agv8%Od$M<|oJ!`R}vt=i?!J%kNxpnYq z^tbHC_DC3doAzQIbV_`55bMLC=&jm;vG-Pt9rt1Cd>_*SPblhkxeazTlvVjZA$wVn8a#l43Kiyrdx7x*6hw026xtt@~ z(S&G)v$x+(W_TuZFw33Hg5Rmi{*}5c9d4f*OzzFToGrIvSDh<|ZY&{W)mq|b`EqgA zJWi}UOnC5et|S=|A2k4fzdji0*`lIskD6M`mupou(9kf$OszdieG>bJ2D4A)BuA8@ z$WqHEVL%$$U2-|s=^B#__Tp<3#17+&>{V^~Buk_KNd$XsLz&<*1>aE%goPaznt6aQ zwGj3U-G%$8(fE&8N|??`0hJ5f5eF9cyv{lGSfUJLxMUK~In_AMYKL=PhcMf03KLwXFyCz! z-Y%0_Xug%r)oqC%}ZeypI$Sd`2(ZQ2T8gcyT zJ(JX);;LN22$fn{%SMKGsKdR@Q@np}WTJW_qm>@w)B6c79iA|*bq&+IHDTN7G48)q zF=cQA4xQ_9_`Ly(Ud@bEeuSdgUAi}aK_|GG>AzIqCIHzxyax5h|Ip^&FR1T)BDPC0 zTwl!(U%#PP{gFWd6^x$om@z-!M{`?~u)A;k@Xz10`S=&+b6zm{=f`Lrcr0`J$}f`I zK6{P%+&X3pAlWKaFxllD9tw|`*`|S6?W^$YeTK2aSK#b43OAP#I1h8g)ybQYuI>zX zcE!%cgOM(yaq=98(`avojdEd>n%0+|l)a{B_!_{#zcAgWkv2(&s+K-atI@Sl< zmcC>>4(?;V_h&QG@%y#qx!wO?-pvCSZ?Pxib8z+i-oC|di~W|dwA62zqwW9550|`+ zy|dWy!eR7S9KFU%|8Cg3dtvV~1~;dvxR02Dr;{(PqkM63n2VF+MBF{ZzNZ&cMlB=2 zEP$ETWAJcyWsJKoZtkPK##xOsY%$-)TI&t?xKClB!(u{=;y9u# z=b+miW{tVRflNnoO4?DG{S(!hx|}-Eg~-Cb9JoJ^4G%W5K5jQl+=AI)a*}-}(X976 z&g{7(xE$xi@dKLpuOEn!p$5uoMkp(5p)gQQQ*I0}R{Nc-D-MJl=+D^@N7jy=NznAI z9GmFRjwJ!OW@RwxSttjpXAo25z}++*k`Db$Q*LYS6)KQ^t0Rjy2C&Jzo(P?btQ)?A z-PR#Q=tgr$Cy?1gXR^|I0XKW5lF=)fz4{wjC}1*bj6FVMX0d-nFb52dOZidGX~nQd z`yk0mmq_Z8#!|W8Iy96(!%M<;&JijA5vzZOL*~aB=em%24(o+VhO@oqc3KDH zDGpvcVjU;7P7$V+NOsQ*%DQH7UMrpmy(li|og-HDB4IMVV3l*k>7{czf1XkHa z;y+{`(Yg^*c0s@=oa4p`oY0Tquw5wI_4g2>a-6ePG0Yq3kG1nO#!vFa#>JcY!?&~3 zElSwsX)L;DutxJw>{{2MHmV3A0JQn*1HGExGd$)KeLCG@;DiEH-oQ^Hg?jxB8Yf<% z@@qE6(*%&Dz5-w9cK?GMzGmzciZEZ*^!{Hk#6RL4Ap&$$0kgO32;6opz` zx;|n|r)NxS^%5Up9MjrVucNyu2(J>plu+Hy7-^-Q>_ZFnY9`93&Se_>5=r zlu5X_x_r;O$su!f`%n7MeM_0!_p7_KadvT%y3TU{pXXDiPWo=c$=L}HPj{SL?c|vq zZmw=vji1iA5eqnAxte*yW()ZFFkI}6_VSSWj^FD~nmiFt$+y__@Ek2bHcIlII667t zHOAxn^?#Qicu9A0fmGO@mEr9BX*MzZ* zn=IYaPEXmbsfy{p=K?YaZ-ZO%Yzu#j1m~?`( z-6$;ijmpf{RNhe|Cb~QErTsa5Z!rg}Hn8^8Hs-mdveCU7UyBs>Z@G^D&hcEn;6?OF zJpwj&6L9W`l4cKKNk%P_O$jv(WsKC@ka1}QNikYvBu?Y>+U8j^F=gq%u!|D>vFRb=7)y+P~(6%3YS5g|Sjen2hQbHuX8q zeA6u~*568I??PcK83H^9SZu#eP;d6pofQzGRK6J{93db6#ZGKe5@U_6Vp zx3SxJzko#?2Q*HLtO_AoBb37iNAMXrgJmODa#HW40M!wq)K7D0NFd(!lkgwDo?`}Q zIH+}=o4qp0?{$;Y!ir94#}aRHQGhRzFpXdV(31oY4CS=WAx>$9vf54naM)%6sY4`a zMG~oUjA)%xoYoBCfW<}*nfVhqcpI07#IVRnD7Eu^iQ1EK@S4a1OYxV}S$v$%VA$sl zUW!jKZ`+8z#eK%7|ARr!XNKJUiu2(=Q2i|zbB|)|oYIQo6UM!y=7-OJ*FuO7`4sE^mZd*Ez|x?Yfg#V)cC5grLoL%(-ZM_n z)p+Au`o8)~*ODeWKK>Kyg|G2x_Y&hhkLmyV3j<2tqMrJikzT^!1QcERRxs7_17ii8 z<_lvQ*}9w&iq{1cwlaK-1CC>d;XK+2$1&av_j1QsqWu_Q2X4+D5`4TF?=1|##Z&Ib zd{3iYy}l<;xBukbzvut^-V+Zg_mcL`4sJ{khSYN3QsyD7!&N}VezdbNhbh9&7Bgey zMmE}PWs1vEhKn61?=iT3?{}=&6hN6UMf&#mZlh%kEmv2k|KwYqdwKlt^DX_gw0CnJ z{eQP_vHO457gppc?9a`)#jf}Fyt~I3VR55z6Sm{-<;|E06LI{WmvPDb+?*!~=t`Y& zcG!&{f}QsW>>MWHFw~!62Af6s__NJqEAuQDGG*iv#;f_^ts5W`c|NnXPI9>WH36in z1b4n6fE3AGjSxJow=mx-j1c`yHupTvwvKn0IVz1~*F7lD>p*R0E0JxzNIln{oa`Q) zI^{}u>NJ*Z9mD)Nek@zFhe>l+F)LstV;5R-;$D>^$(l2fgGft~%FE_)YQb{O&0o#o)ytW9|1ygoEa#E{QtmB1?p;wK_I%gx ze+1a_M~f-x9htm#E89kWmcv@c3O!*qJ<{3JDVNP%?=YrM1Pl7aa=T3vw>#V;pzj%` z>IGt{x0JC)dk9d8W5a+*Ru4K(uud{d4UVxzGgdTf26J_eutW6-CwpBYXh0&zT3%zK z7Rw&3IL40LhL>spp<1`u)-{5Y1CrUPn;?{RBa_wE5h9GouSXO&dgPHMG&4-&Jjaxi zh|^CcuzM`wx~c5y9>byDiJVZ2CsOSSi!EXaun1>==Oe_c-eJeDXE>>NkrS%d*lKzV zU!`p_wqPzQrx2(Uftm6g+=eY=h?X0EW(PTHaRU#dGYsjK&oE&lV}E|e(oT(BvHHYC zt0!EveodtD7sj@!XO{Xs0@uA~ddNFQWPHMY$5ZqLkPK$jGT;le<})e}zF<4~1zK$i z(D!R%kd!O%FWoYp<7QBWyTU#6eQI!wkOMyF0rtC}GGt5z>K$*Px9c$jBWh8+{G8!6 zpgOvM&I4~^<=24Lv1VKjzF^4M0<4^Car?a*k3J1JsZ}!BvWDJj$#_kD%fz2QF-rhR zY4A-Zi?lNAkb>op1p-`6*mthPa*(i@pNd%c!y`P~G-KcK5qjGm)9d|LdX_#wx%ng7 zQy=0bl5OU^XUsqOp5^Ob;Wz&|zIx9Yry%U9<9*hvwJ@ZI%xcxZqF<|-)a@KTHtTWq zXputpcnF}3cJh=%?S_}gs|l{-@w9Vi%qS1WICwJFX$;cJ!d(8p@{>I#%Xq!Nx0@*KJx6(c zxBK5$ukTl}|KH^f9*h-VcsPg;MvucsB&4SRSW6l&VCF7h>D(gU#*AT@_js&Yf~5fK z82e3F8?0c&&@D`I2oxr^oS805*evpG!BAhuiBALcw-Kw7Oq^N*X9g$XXSkD(#}@EJlwEW~EsO`&Coes&Sd^rittxlFF*#R~X^B8$0XW zY%sgZN|9dMbW>P9{35nvcQV>$n>ah373u;&gY&qm)ba_W6b@Qmqo77iC$IyjR3hPT<+KZPy&SJ`D#z(VJ9jI|78{?Jow9(FCcX`XD_Mh=p zc*Hhg8ENWI*gNkv?$^Fxbl^FIe!Ydx%ti)&`InzX;_1KnhQ*fG3~HN+;ra&pi?mbV zE4}MKW9wCi#Sb}{ZhwN~BVkPmkI*dtgvN|QlzvY|H|`DnMPhaO>l;I#fUav6y}RAO zW_JSyul~mP{@?Vy@$5T*Oz2RBty=>|$KGOku%6y4?_x1LUl>dsu5+K$dt*Ij9`~^E zEW=H?4wp917}KGiDgCN&QLVQI6IHrnmKRjdS zkXIaYeNV#hFQg24&Hf&*gyFqomB`9{J>K9Vz8e2qGh2HLSa%6!y5lw+CeLNqq?x#k zUyP^sT-?V`#c9HH9DU~EIB_nn(|vKDzW96IVd5MdeCFWfGw*wH{C+5ll5a^4<0ky)`NT;wPH8LmZj&ZUpJGq?aS?m2lg3MaoRp7e%nb3zSTCtJ9=8bs zU;^39z;pVcR1rv8N(P^g4WiF#+om4Hp8qcrSp!;8BF`bYRmGD@IxxFj#FM zN?I-7Wz*J0O;w4Z>TNlFdJ2a_4LBb?i|xx3Ike;!r+se=L(0W7Q$9pzy4Orq`^ zP<>UKJ5hsqkk{t>pTgg`-jM}UH!w}3ft8a>@!6Nnn9cc2o0E^v{6a?i-Nbdr4JNP4 zVt&jE>|)9=NXbV#D;KS6w{W>y%fu^B7?V)PjFcC+UTVZG`5CrpO=w=p$1bfBxAPLc z&(<^cibVGFav-ig#PntXx|egXzg&;&x6X0{|52i$XWzxD2828P_!@7(m;a?e=`6pVBzo8lVl-~y4p#P#qls^B>FMoVs z&<7Y8`iKt7m(br-&0vvi3V(m2=buu(qlP|%;?NDLLtW~%uYHg5lW%A&Enx6~B=pa| zKyXm%|Be20(43u3_x_g{e(W*IFaKhofZgx!|3N$WDV}|bFj`p4;F`aM**rt@{vQmS zdJ6|(xH^j;(7w5){1XEO@JyFC;iz7Xol_~!J6@p~(M+F{Z?N)t$oSS}mku z_SZisKm3B;a$#_)W%$f`$k>oSn0V%2ypMmx+f(G4fZF&U%h>RH12g(Q!ntQN3v8Mh zYLJZEqN^DC$Dx>L&`D)1pTvtai>}V)M_UvVNa0uqR_Au&b2-bV{F(OFv+c#qs zdWa!=H!yPH{f_Qh@o2oa5)}~#kNg2h6G}@XFJ1=9AxOW z%~DVDTQ}i!>?oGox8N9jM1sf;?Bu!au1(k<*}y2Pdt1VldFoGk9~2gFm|T00dBO+G5?|9_+SnX?#fUv`P>Oht;z?^E7Wja^$#3ZK`44{l@`m5P ze4|Uv2RcVoG2r@BdVfTK>Mz=V6i_OCOOI2<^v-`mw-4X=?bBa$7dF%@?**L%T>BJ$ zq{Ck#{l5N5myciReD^889lNg$uhH+d1 z)>3XBmxPV9GZuR`(#|^W0#=t&F_m)b3&~i-#xgAV0v7S-u)dtk(Adiik4=|=e20;V zw-|cv61EqvW0{nOjf}@C@iIfCoq57#Ol7QiHfi!E>@kVmwRwO z^(Tr;6~6zKW=={M4vyN#u68fkZ&Su>@2iZrN=IvOBK?QNV>(?Tk8hkro_N%ko<-CD zGFo#b@0f;)W;%*0H&N@KhGze(Xer-9Wx!Pw2c)4o_%^EjGSKLM3$1>)Q0;ydz23Jl z?0E-OsWZ^*3VL4G(D%89&ZG?bI$uG{^9CyRY3R75VY;XowS5Kj4wkmXFX@}ng!1Vc z?6=eaRzRu0 zNtYJ{%E3G9^n_ryckK86%x<5zOxybwol74WC}7m5{4E2UKhxvgSK12bwEF7{Z3ST3 z31Iy$fbh%5zxh=TdE3w5_(_23_kWhwaSK76_|5-ri=fD2qCy`4n|8w_~)cg6{ zAN=~qOFDgdONW2{HA)3<86N(e)!X0Vw)LSLwoi;X`h?|iuW?9w!>se4SrPX)Q%-zh?Xt)0p8kw5 zr-vjCD`nmAV|e>)z<%Ds@5yT6GR$Wz#c}RxhEAA<-Sj!w&k*?`$-K^Qz&73LMS=i5%>Gv0e69vhA^HsUf4M`9Qiavam$yRZv8f%(A$0%{=`@7;x4 zYzziFwqY;K#$?w{>`sP@{5_2A@o)@;y|~6+KzZvtPZwskWjPK9H%Zy$CcA(+b5 zGbRR&t=qmcFRd+Gu@5^b<@>Qa8jR(^L%2pnVYp2g*@j@ne|mKY&fhG0YAfl4~&5!ABV)b}fz_$MWbA0pLS&4k8%kcNyCqH!(kU z6|W6hEMIev87r?dZS7T@H^wu1mz>@Gdoej6EGa;kQ$Q)Jhd*YH$#pJ9EvKgCRWW%j zKMq#lR;2>m(VYlzNwK(f^B$(f@ISUW+Po3|%$qn;j{;-Ig2~L`9(j#h1DgJ~D{YZC`O*@8GoH@(WR+u_DE&hlvFlN zxx(hDlAn|+=y-!2W7An@n}VPDWj2{!W{b%swwNUoFysnrhsfluuCT%;jg{7EtR9-m z>LDqtHBVu^Sqdx7FR;ofm4GSfY?yH!znSSQ9iN8Z<>l|2_&8q#^F;7mS z_ooKBrd8l3@?p-%TILx(W1FOrJ#R9k?`@9S{z=%#M&^0evu0{70+)*;A6O zUbDltifv=cnPz{B$z!uv;97(CSOLe0`Hc0e!DwwZGnY29b<} zC)+pv#TJoioBiLhcg<(k`u#z``p;|)_{g{u9~hqSQ4Z(_EbqRd@6&g}Ha>{V`ofR@ z3h?~-Uf9VCe*EVZ3je&N)z{Co`u3OHe@3CD-oGDF-~(;`{wR#+BR~HAjvu~$l6HU7 zN`Rx~nZmy>h1tBJ?bp||5_<~5iWI)V&)jvqxf zwiRjE_Dc(ReUUP;_4SK@+(!Yl=VIe8ei3P=AmH@lx3>bGZ)wB7{Pyk}{VLuu^u}9e zo_)tEk!Pwwe>gK4>mJ4>@gEJl(1-14GYE<5HzKdc{8K1 zTf3EE8|LG&daeN48b+;H!mMTMabLI^*G22`S-uJHWg8f?CIGk9>lwX#EfbcmW6XkO z_$*n?cuC$%S2K3mYP^=MV*DCE#;jO}=fbs&_ua_&rCae@u?^={n;5;ypQ&p%FmCZ` zCNJE9|AY`yJgyL+d6+2!*RyDN2vhA>r$Erfw@S@mPw6!yJsgm!sk|4{f&v7>-|! zn!^m#U1nkIwFq^``3xQFkFnDlhBVq3K{=KCfEkzZ61!Y#Ywu@ zgfdg-CJ{P!Ic0N=-DYvDbUwu__x((qx0s1OtJv%o&H>v{_6^8m=IAW;rY$C?u=n@( zqCLoOO-Vs(8t?Yxw4OFe3oHr98pW9W1FZ00z=^G^Srj^ksA4;g>=?sZXE(Mi7>T~N z7CL5Hs0`9ZK}AawHI+da=>5*#<$mn&@FDHaA_A%c*;%rIJ*7UZY}i87{jn6qJF(qv zI!U{Xsm=VAqT=7l5_Z(`%7*d_-3T8RO7yRv3DP*pG~^CT_M3dC2gOEm+TuM*52ooLREjl(wN6m8!;pv&n}_D%fA zS>4y{Y5$mb%@5eL%EGHxE&1uX0uN(kJFB2%&?7@D4xlv ziGg^oyNILDF($7&OJ`?aTs9=3E(<(&bs_8KJz&P{VkXS15~}+Wn}7y{vRYY z{llP=kEmCCLOcCA7I!~jdi^Di7X{32KS#InAqJ)Scx2}@H1Zf@lW7rHEsDWrb`ry8#N*_XijmH74EyZIU0Lj#1mftv z85NCLIJ$1b%yKm@qj#gBH5+HQEeukbii^i~RJCR?eAGHL_2=X0w)J~{q`g1-TFY>@ z--EKgFJ`VAF|_lSdONVvTFW@=eOQ{W!_v_Yb(8rR*(}Gvaydgsu1CjY0hSgkFgN!{ z({=|g+WSaUP9j|O0@?%jqiS%P&HXb;?UO;0ay;ji6Irjkn^i+M;A!W=5<6dlwRaP4 zzMJ5Fmsv33BKtEZb1Sdo_t(Ny-w`}0?oC#q78#rE$d7U-EX#^bxjQ&?B7{@1XIY)N zk4u$)#Kkyr?Tje_OH42^9>M?(HPls9P*79WLUm9-bc}u_bi+~Bn9Lz5H-IViQ7pP2 z!MuBu82>7ekg{>)C+e}p(t*??)>P;HLSbpU|1cy0rSgm2IX>(Zp+CRjpz&UbB0j7# zJj+&te6|bQ*(B^{gHv|;G~ngWL2_}+Gxu%}(- z$?TMCOZv}!vRqTxVU{NMSK0ADQcJ!iZM4kb=&(#KPS3#p)CGS2_?%zQ+-L8UHzW+G zCRV+Hz3TTF)jORj{ZrW5>lPb(%lNt`60iS&!%CM3wN2uhN(Lz!7dbLCQv9<8KTkj0 zEoQP=Hp4_SZ=7b&#@gG5@zWOIJtLBpi>p~RqKdJ7?y+e6O9JLTXXBzq#;$K*?v{5< z-SUcIr(a=o>kS%m_-sYKOh5MtkLZ?v)B1>Y(kDir{e+w3ZBjmAbo~ux=^q)I@&U*A zw~UMZhi83;oqmgN@CW8ch`sZluuS|*+DV^@FK|8dknzzn#+Z*XrZ>1IzQ;b{yV`;L*9KjIqq7N3~UI7fZNDd{a9mtQh0=^a)#?gA`CV0q%e{J> z?>FFHQHz^Av#zSatf7_><<)pytHLF=63fUObV5@x3zHO-h^g2&-**SYMS187bF({K z!;qz=%-c|n)3g$t_uOYlKoM?%wYcmm!DD|N4qNi@*i(nCl#e=G&5+F%cpP}Zs8w?H zt-!%4h54Q(%y-HpU|v2`MqOa_lssm6rLlZQAwF)GSUMw@G0q7rn_YmnO9CtB6-qvl zCA0Dv=bFg!IeAQUO=jivGA6pEuxNH3GbW_tJLN93N8ez{_#CFVUt!*qJHq1SI_(aV zywjL9@g`FyTxIFR+bnXw&B8e)?3$26v~?!_^X@Y8U@j&LQ<?Q8aLVK~yH)nGt^XBVmDUq>-j=G;-@m_atK@cX4xjD9otz#-pD`fx zqAS~?tTCVN#Ik*tFq*lNnNgv5&L2W-j0WadSRCF1v z-<}fzYuGt#CFd$OWBYn56P_JsYTX*_K1C5&;YCrbG5(H=hzqcxKEEwxS-(+I_!D_T z*9wz+5;Q!T&|f}rc*r)Uk8)wFc@isBuCiJ`lH~@`EHR9c6f5_!tk#QVt?pUY=*a;# zh+w5*1j{8Y`>)h;-%`F-FM@Ttk*t$?YbC7_TP>-@<{G^-Vk3riI_Fsb|43^kt zfXh`jFFuRmnQ*$lc}|DO`>b2`f)u@SuBtsCT&E0=?s3dijAwth7}9%Z5Z~njWqpe& z=zX0GgJkY>OeS-12EqCf>>YXtKg-!{cG$>1{k3wxjFGP6m^f=9mL8T2Jra*`_9q<2 zK4Fr=Gv*F_M)0^dEVeIV$>PU2y4+{Q<~IyjlTV-XPvu}fMD_MtRE}0LIN|}_FFa&m z`aAk2K1Jo)D^w$EQQKLMmR}P_8=f+F!$Zu2nlKG)WZ;Sl%nm%p?8q|=R@X6L*#k6} zG-Efj8I|ex8MLJq#}=S+E=Sj}gkeixpf>Ct2E!|GUGNy)v3F1#TS4EMFEF3_oFO*( z*v%+L-8~QOZT0BvY({Zk1O4~CM0xKcH226jb~K^1=P`;q9-_7L5qevs?7$NS9lTGU zi;w6P(#W8IdX%?3Liyq=dPU!pIXq#&>O6*}eW7DuDQX$d=z25{)$7mbc&rG`D^K|S zWG+fqp7GnPJE+eWunKy?fE#a6+*8QFP381iTZ7W%5(WpRb2Q7Jqmlm%y|OnL<~&Bb>=gqFo6&gi9)sMM3=L|) zJfMM*F|W`IuE$u`L@W3nCW+6n+EK+!zb6b|*vQ=A*I4-0F!j(Y%%=!wA9zh~H@WV6 z&tT^V%-{D8m60|0?)b>y;Wf-U^oE`z?=$`I8+zN?_GzxV^*P>ovn% zKZ*o=j*Y`hMvZ-m>F7^bc)w$~JahDv;NbQV`!R2^9{mbi?^g^N^8vdtEuR&8hQq8k zcp5k3ZCQe4V1=;6DzSYT^Fha1C6erraS+i;(d^XN#~SNZI6FG9&~XFDwQjPb`z1Da zyU)}S=Qx%;jH=wWJQhHz%2DI$`GJB9ZP=V;z~bVSoW5{~;O)soovmhDP$>SV_Aq^o z7pFtV5f(gvb!!Hos%J>XlIwq^mizU_msuj%E35^vq<1L_ME*$;{h& zjpf^KGjslBmhZ@7!M?l9oqvtR6Vuo@Mp)(Obcvc5S+?;eTMy()^u5Lsx9hB(md!G^ zn=E&}LBNIrCeDvzk#iEOJ@eT$O486|Hf+qtXIwnv52fJ}o{RU1EPM{;Fg_$p!0k2; zC$pFkoQ2coG$tO&#AkmdPG{1w7s=#Skk7D~i}(ado8x(S<_i#BO2acEo6*anS(j6X z*@YXzUaE0Fe~ZbL_tCg>0f*`etgdA+Ia@$;)ftxVDQ5JAT!z;P8we8ix}}f_OY&GS zB@18wy9A`vGb6Q%Q5SO7`g_++uiM7H&7vnV5W=vB|mO%L0bxW@D9cgAuuz z*j!J?E=S7lq%pEQouQeM54wiup-g6ESBt#9jaObJ!>`=LqpTF?v&qa1Ol86j@!yej zrtMB=VVL-5bsBSmGO?R}l|_p)aUGM+l2x~u<9>rZ>x=MkOJ}XG$V|^Hrmo3h)Z}c| zuPtS|_SnQ-6 z!+%W(n>Oxe?JRHNq7HB*d>yy1Ehau%9Y6m;7!K8^zm}p%HeD13Y4t-%tsiDuzf*A4 zgQzpA?2env*x)^Qh6OQc{|UyeIEL%I&74l~<#Om?DzcPl%;-vGdM^r!+j3uITUmM! zj?Fqo>@QVBscmEOuxV^;k!iXY*k}>P2J`Um$=~9CO&cU_{I6%>PW^Av z|J&YwrE*38AW#pHIWAxgQ9r3Ph8R*?-e@EnW#++}jVb13VEGS=h@ z>$TG{Tat=O(;t}lmJ-n823s}43HH6flI0g!c)W;ytM+48aub)mVJuu3jd$b?M&%1* z*%gcTrWowcUtz@ETi9HUXGB37qxQtIW5f+s_qoV))hNbk9A<^RFu+lln4x@v#pbch zHao`*wbLwBie%M5InaZmnXYw)<(B7JVSJXknzGT9BABfb$y~K)mJK?~Hm~c99eI*j z1|ck05`PVdV8x)LES{f%*RUga`h{bE@FH&8FNn;%z_`ul8F3(y5qo19yFEeV$wkI) zOJL%bbgYiVV0Yv+)AI|kJ{5}FuFE(|Tko=5w9Y1Cy(Q+gJYq%@4CR~NCCw3>$sR7W|3Ar3lzhdrE(e{&$FzYbA<(C z6NCw#!fx$pe9sr)oR-eW+v$wDaSex{7$$DMh?fA?(5ze7UQJ=xom8w+F5`YD5395! zZ1XN+e(f^WN0PDKcY(3FxmcZ##;qt9qe~ZXEiJ+*PR5#^jm54=#%_%jX?}`Hz6nfl zIVDUco{>Y6u<3pV2g7UV^b2K;QW6`ruQFac8Po138Eu@3vXr};NU+qr!lr)baq1g_ zg9KU|@wba!GUkd=cbqUNv>zn(@A8I(isf3lhBjAu681-Qr|>9 z0Ug;3<|@&`>|>d4dINRk2y`?P&>x(DnMxu$D(BG9h(}p921AYW=&Qz|sU9cgk@8&H z4vJ%p$^{l0BoH|7ED0mSxzHJGd5NDp8ohdEoL1k`hs*4rK6Lj1){}4ePN;z$Ikcp$_ zvqT)YO8GjgbtDRFi(dXenvz(neFcA=TkM)y%lHbK>U?Bxhb)e3*Ri90 z75ge|D3+VE}%C03I=fvXx?r{IiU>AJdsraB}_MLz*C_HR{>9#-!hocxqxvU zD)4Sqj8EH2JbtcZ%#RgJ{-KH~3e}8j^#Ip4Rg9J3;Qnhlwm+B3^LvbMU4>7pYLSw) zjP3G(QJn<{+vPL4bvaXiEMv?MdCc%^#-!hEOqZ6Tz41P#%kN>gzU9M`lt!cIv91Y|(;~wX8&OMZqW?|-qNO4c;~P;C-WEp?XLk!}pFqmJ2;gT|JGTtyarU;9ymkc~tflMi+W*IAbdc-7)*|hH zctk(Bc9U!WpC0pjE0OGNA2I5u20XgF;g=s8>Gabxx+^?o&<{`P^TT6$N&PNz9neZ7 zv!vdwp3=SbL%O!Eq2~|Pn71ioVz)aS^-d$*C57usmsw-K94pVE?6llQvThVRO#Rtr zvyqveDLO0VKy7}4)zX*PEP0OZTsd6po}&5SU%DK9fbQBSsPBA(dCfnx z4=hDvc>`)jx0!eAANn1uLi^TNI%((Q?)#dqF*Rscex>`ldW=i|pzHn;G-o}+aMDA( z!#^{mhk)$B57_B;*M?sUH}$qXNq% zfJ=C^wop_}Xxvv%;?4{zxC%b#>; z{hq!*{XtKKHv&9=(4o}_2K@M$zVf_(n?LCO!w34d`AGX#ujtqIEe>tp;NI;kZCkyd zQ`?s3pBeb$M**LA^lI~&F0DV(zx4Hn$hPZ@W+9D-agbJiw` zu-@U!7`_18@hEx-2H)$eX@8I+JD*m5O z3xq?b|dR@oy*Jzv-Z!lTyHi^3TIoYp(4SiDC z*FA^B?XMGJeNPx)I=9-L=e|Y`8x=+JIHY6H^(f1SSEBcO80NYW1oY41n3Zhq!-cea z_XlRPE9CIsV`sZclJy>PT)l~Xde1N(nv3IwkMvz5ky8N4dD}~t$idcYe-&-3EX;!& zPzi59`TTQXO7Ah6dJnH|%}n~~HB)|W#Hc*^i4&5T%9 zk73ilbPlURcg+KIHb2I!?jL#|E))4G0Hv4CtPFX6q5+fKzfsaGW8Cz|bUk$+-KsBi zi7vymMh^es64a(NqA^++UBpMMelB9pZ!cx6jX3@yV=}5`vh@=tCQOSG5kaJJbGR;Kq z|03?cqq4}_ZEqN}t!>UZfG9x$6%3do7yuCgB?ko+BW6WG6j8|tNX`gI&PYay5>`b&lvCd_IbWP=2*2>)m`DLwdOTvRozuOLXF<|#=xw5$Tx_= zoOmC}s$UtBAQV;YZ%BrBuq5WAIIg>F@_WlFL14!Ye#UgbZL;V8$@ZQP@n7?iWkc(+ zvH!$Sl38KIb7FEDE48$^0Oe9 zF+GH;>-vguBGLTZ{W*hrzZK;(hV^>Gz#cCJVZElGsQ2$F5}rst`VucW+~W?B8*h-W zpGUfU8vE1^uyEsg&Mmx5_RM_FD7$fHnHR>I))+6@%aPgk*e*KEQDGVPZAv9D*O2PQ zem`E)c&BhO$$lfrsTz-G$2u(E*m0)tA{pC{lVR>e#Evr@Hr~(fotp^pRmc6jBFB%) zp*&9kDH&C!Oi@8%(o{vJNG?NV+Gui<)QAWhLu$-=94)rvV|kFktw#v7KFPLjpIvi%` z?G=a9@DdW|RI-1jC;R8Rk})rcq|uHXp6iH?+DX(F?Z#-W6B`t_vt`*)+?FNdIxQA0 zk1EE#`;B?qJA^f=KwYVvEg8QtfByr_mp3D~ED!apH}uiI!n(uH(9#svZd^5LJ&Kt# zxs=6L%_!T}Bk$V8>b%daUS7=_i8{2pwX>niEsW&aFqM9ZQJ=S14(Jfpr5^R3!b)_j z7esVhkWLeN60O4G-4PwGo+W)6&|moy%~8VE2@7f2rPJDpX#pdN7IrJWVfBbxEbLv# zvhLYz(Rs=`17Sn#T2S6C)QR=0AGU1z$#xdlJZJ8PYN0+Ju;}<><{fHB#;BDIR*zVw zRe_37B!k17m}`4SD5gg&ZTrrw3r$Q{t7r1`9IW%d(>GMunDXBlKcNJZt#23}BP>pX zuy#>*m|pjVK|Zy}3&k~W>pk>CKd`9Vb+(DYYSZN&T0L&FM4|IFC6C1MH87`N88hcs zvSNp@(6O(O%@$U-R9G_4DyC}XFyn$yU-?48rFAeP_Zc$6!l`DzLprGg>7uvHjrho9 z_} z*z|D^n7imXBSs41)BecF*)Nb%|H>GZC(PFRzzCI>OkVSaadU(+UH5^JqAsQOg^3Ga zFirD46Xps+TJ(YC%ibWZC1SboEfZIKL0TwkdG&XUUhtOjE8Zi$SkzYvB3|&0i7VeR zY3W;3=lp_p|5nl0i*Z|f*AMXyg872U(xx5wgO4P6Un+h2LEO?Y@eNDzjrYEgfOuhnTLgR zF-J_VVzYe%h1muK`_AITaT#XLkrkG0p0I4nNKBbAK`{6rX3F;^!bby7rDyq?X701bYJBX`W!Tnrm^5eP-Li&$y`vkMYhlbgF-2)AlC()yr|*8o>6VMAW0>aJ9?fjBOwe z>4_{q<;a;cx%lZM;j=uJfR%B0Z-~ReB!b;m0T>6yU|xTfGtNGo-xkd=t2oYC1mSo% z0B@T(eAY+dp&!c8jUG5zMB-)|iHBAIK5K*UTpz*(tw1hm`EyRgn==+6xSK?BW@7;7 z*ZUK$6^V~p7`|$0c$~PwwjEK}9Zukcr4NT))6sScWK~iq<`Jo!*%!(gmpIJAlR0oX z2ixr~oO4g$xV1lLZLe@_OA4OC+MhQF!Y(8Wr3@c-`d;Cpdm3Ak;;=dBhrK}z2W=v7 z%_(I@oC~|^bAPDsOK0;~lM{$ZLmq2$uVC7e&XTLH*my^BI9d$$k_7hYg>YV5oU09D zn_6)k+7mDbuEQ0RY`2DioxQFFNe=ZV4R$UW@9oMrJ-n7 zN3t?E2+jN}=#|7_U6skc)O^f$MX=RAnjKYXtiKU}UgH&3-U!3wb_QytLFn90N4+=} z^M*p!rity;RbrSG$$^FhHrqSkaHE!W_awd$6eo=5c6HQ@7#?=!wt0Gdvo}iE=diuxl=Te#H4|=UGMsXkZN;> z5U|>Ty<-c}7dyVKQz5Mo9e!yJ7uDi8zbb|cYEfc3l8fs92|wDR|J!jvz;k63?#p60 zceD`gxFi;prlMyMOTpB8c#V%GY)%LsQ{1_*IvS6~2}Dm0BWzg;uCf6{438#5DGd9W zc5G9>MEHUjVkEspb~udo1`{?fQN?7FmQV)j=xs8>gdro{@!^j?BkuQaKwZm9lS64aX-`abS2AmP5)pXxz%&xf$%*ehaNxactUE!OD|W z$hsG@$VDhDl`yt#z0T4Lg3Qk}pspOqu01taj80+C@La5h2#YSZxm|4M;MNC>3MggO z*#`DnH==sB2vwOY=#0-rS*T^l%-4(!%|g5IAuDFaVsogOsiFBS&UwVFz#2gs_m~op zjG9vkngKPaW!+_o{8h|^a@{2|tigm_)Yj**XHyN<1M`FpEktuoF-9V`YlOX8QrODO z!aFE=7NK{%6updl%qkN~FXtxnN*kD-QO%;FdrWmnL^JRP8$#|Pub0EDUBzs^@f?*a zg{-K&hip|e*uTN$LLm!_ zIHVf+@y$q$xs9BFjSoQ6_%{~6JfX7S=OzA*%s}rN&U*o#jPyu(So+}b2ct` zzU$USiBB=>|B5Z$I$x;&f*t)H{J2Y}KjSqTVqJ@DI+$+vgsG>Vp?LZsQ>7Cz-Q0%K z$;T+$J!0m#9JU%i#<<&kv8~5wcYDX?E-$d^@`N3_zcAwRJ?0#K&iY-#DqVTW$Whl= zH{u}^m8!74_BX>q?y;ie52lT;#c0Pn#t5sXTKOyU9B!j{sg;43Z=tfU8O0N~nV0fO z48&6Odpu>2#3S^2wlZx|3+pvH?>gRMwTR1NA>J<}x9x>`-3k!q=n-uy0#VTQ$Vq2MW z^DC3Dv>+GW!Z6=kDBb>penEoN?tEiVbPKZ|{!Q0)DHx}Jqla2P2U7o{=h8%WkWECzA;4NH50o0 z%E)fNF|_*^`t*3ipxz%C+VegAe)_=AJ>D^-#}~1_Ul`TpHIpQsvPR+!HUn?tz2iDz zd#)2H6#h<)y)4o0yzL*#HHGUq%=E%(SumSd?!;8}7`tYjX8WxDIEzH6(=UibUjrW0 z4x+i_XWDK|BtN}BMGeD=Nm@v1jyBF>{>oK49E}QMjrS?`rMYA2u1RQw86lqXoZLSh z<+%!smmh;<=Uui5veJy7GMTw@eaTN)O-SHET+i&~fKEEbbHzrNlwh#3kkx(_=soer z`TiE6kY;hKcr@j4J!!A(@#C|HXxK-$~XvD*;hD?Gos$Z~yOyvo(44M@g`8!R|sBOEbb5{o6wpoNlLV((gp}uN7o; z9q)9$;4W5{uNWFy!^AU!fX+T+Wy7D0*jmTB%}%te-I}_9IivJ}}bz5u?}NMokdw;^cS86uw6;<2kbV zZy9^_1@d_xk-YMVIoThO?EKqG@@qzhbujD3SH?y^LH6cv3{Sp~Z0)a%h-qVH(I(3>c~#bidTCBk=?Knd3(I`HwVBJpZHHgO3!K8_~1OoyA*%cw4qrZ{5&Z56$L zd~>`uWfFcXLJ1xEkbtEpv0S0fiJ74o^$WproDbV3xv*o}8MaCu!D`AetfWq2C3!|z zi3WhClryR@JtNWkdS8`Qhr#9ENn+U_09>30_m)n{x`e93B|PE5PJ5vr=4l~bOv zY4}Svh%Bkl^(DIV-eD}1kd9DM23=mV`A2yReTibs22`@KcQtFf302nR?GNRl-SaWa zdcMY7Wa;_a-lBBk1Ji9kp>p&sQzn$NS??Y4M?W#;)O!ZWHK1kw5##PJ#XjE`gx7&a zkH@U;^9W=0&rEi`i{#O#jCOg?+&kYH;`RW!J?|MVRKeO>=o|Hf1?7LBII144?QeeE zQlFOa8>8pmLMP%I{X!n0>V(NoEQ)=GMZ@sHe!sJwvwm z6XR1JAyxK?5eZL_tNMkZqLgmq1eT z{)4H)g6-1%gW0`4(Y4EcdJle2*IpeA{rN53#CaVs;61&1JYi73cXSu$fAG)m=+*Nn zKlc^w;=B&)`37l0C`;vF^5|cfIPh1dOaF=Vl;0R9_X|^}d`3z}keG~6M~WX9A^U+L zGN0+ED2`R`BQpnn#-d*fe!2xD>6MVDP|At*e#4~A9LD-Wos?_iPr1FE!9cTRyKtQ?cJnrx5cA=CEBl@IdMOQ zL-!)s`{D@E_hgCjGhyd!BhH%aX3lISrm0RqN?sZXX(bhAD9mN%tX^D8+en7bY=SP! zVi#n>?gL8+zi7y5Q94~(PIR;e>A@Q*il0GUjx3R}1E^{IkK6vWX=CtT?T7zQ?YPhI z=9JNC&MZ#GZD}QLY6ZBj%@ItTD#{drG~Cs*aaR+AS3L{&H5vaGkcpdm9DZ5}oIiPk z)yX9cd;gkQUXLl5`Um-fECPfbI6I_-%~SH&H|qvLQ)}>*Y{hBp4bF`!!(&u6M&mQF zTmJxW`5MAz-NdwiJm%7oSSWe3b*ew6bI#(pDHL~^NMhzD;xi?jkdd{x2t{*b@in}b zd6Q=xNQ`!jP+=i#-4nps zUB1f3)mzBzzi1Qo~ z+du3VigsiW8`UpyV%}BGsl;Hnz?bdj{y6Q9;^;ze4lKUJ0fS2%J{yTielmI`S24Nd z!;W2!96TR@NntD-%EQ^58;EgXDEe7Zfi#iA?XqnQ|kUPcl|$$}W-uCm=Q2$v7}@A*n^Yh zT2z!2kW^G+io7xslcp&%ZHgQ-W_9D7<7yJ@mQZ?Kg)?_FM37gJS+0#&nVOJXl2qkx zBKUwYan7S@z4;U6wSB3n>B;SSK}hK%2oUxxV$fGyW?o^jj1fkXap?Asz-*NF515Yh z#I&;<+HW>4;7Q{a=CksLPlScEw6B4JqF%4E_8VDerdDWdtGhuE%%qH7*Rz z5vnHwhn3d}kt!rg@jknHMdLgwAD6)yLfKqlr#PNOg-SffrjRhD8UK+vc+QLC20K1hT!R>hil$e`~qwUx3$LK!<6Lgtz2|d=Zg0c zLJw`{%3*Wj4_Xp&$cDt-I|#AW=VcsNw2PR-Rzx2$BXPeqar<`> zb#OZ|2X}~aCs9W%aXYDlbLKwWijLxY(E|UY+webaOTdvG#GEuG&S@h_XEzY$s6)K1 z30L=86L`Xi@Po!g*={F(?|y=!thtzK!MQ8@a7x&Uy|)gr!3PPA*-JpAIiaWZh`PL! z7+V{z9NkOy-h)KjiuwC&$+F&!Q?4=g(OU^lx94=d74~IY2&y{BMHdZX3r}()-ICyP zYl0p0iH_LEp`xu^DsaF%@&H%L4{#>Wh=33q0%I&Wo~)0z*tY_!gJkU4ho7A|CI@3e z9LxzixEue2d+>3!Av*Xt9?2&-m3fRyv3m%*s7qw%A)HE2aj14br>^hhOr;G+D+EDT zo?`!vL!7EV#)%u-u&)vOP`VT6+QS?!*~97DBiI(1aq*Tdr!!3OE)#^FZ_T;-?d+^D z=fthUY%8sEV-KJ#9xmF?ylAh@Ri8cL zSoBHpIK(-z|7RVx;dgu&A;%98v~M5orw()e#D2U^9KiR`Ufk>tVQ;?=PrHLSo!pP} z>4Uf(+mF-f16(}0n=@y3a@NkAh-21-IqxBCuNi(vwqtk95|86YaXoZ|OUD3dK$gD_ z5#?|g=QG^OI?3*PZd|;1QJmDhn7kC(>!B7YX*%f4Gov^}m;03x+^*?HeRbC# zgjAO?1iw|T_ziiApQgw@B9ktiAf%mEJ)Uby1+EL+5GeZ>$^|O$R2Nty2K#?Ayab%p zin*+Q6Td@uS(;KU6w-5s1ho;n@K*|Z2rD`(3y-nqIj!l>{`n`#m>WUR*BG|x`)Zn6~fk)ux-F~jD`q%*8e8D zgL6gpt!9f$Gs_33V>z@QlOgqN>wg0qvD}{iRcsqrE0(XqQj|77H=sMBh$%8*tOyhq zC%P3gt4b_p*RyDRJr*m3T~n@M<-}{~jLc*6=sGqJtHWS;De9w>G1IL5xxdfRJ;XoRyb zUD(bI$?QvdE6PZAWWHsfIJYN7Icl-!MqvWVch77pI$O+X99azlhv6T!Qn1|%>YK9CeVeF(b`i{?H z}zDdC9IFhuAh#8&F*)`5AS-Tv^*%yhO5QWzgFHX*N5DIBG)~oj7yukx= z1>u@TC9~z{crrXJept3nLb_YkgN_YaZJ&>^ZdiFz$OD*ygg5 z=$837*{$M&(Po@?YA}DUB9mv0LQ+-=i3yYCm_2nmQcB%9<#3u%eHXF{t0qmW#n=8tmc*%xy zdvY69eQpppH;(fYT=3Gn#A(HoL@$cPWkR4JyAs^GREXQ z`cyq<^5Z`k;(C*fW#8!?`T*&NUywi0!2FmGjK2AZxewm6BtD-x4h|>_%b~yP4m0iB znRxga>atHU5yxjL@e*Be+?zyv%p_i8C1SGt=O$(^xy_D6uh`P-F)L(Wv1H#{Ms6)* zj(GzMeO@7*{{iW&7f4=z!}#pS%qV-0WM(_lufJz}>V0NbePB{jJIZCBnRw+Pv+BMw zBB2$<+FuwNai6(GUzrfn!Sb3v7$10-g-I_N=_B^9>2JDpUOVc%sI7L5eOZ6eV`&__ zul-5)WtnWv{+q6g^Ei_BogRuJiTM7;K;^saz4VQrrr+V<&d*GeZ@@C>4}MlIWoh}J z{CxH?%d);P@ytCm3;tk~<5Sk8d}Er^ePJViN3!$X>`A|g`a_mxeq-i|SDZZaI~FGI zu$=uGm4zMX1b<_`-8kO#A=7#?B{qGl zxMEO1*y=Q{PAkBA=2q5k*5LH43uMkn<)GvtK}e3M&t1b_jl&pEv*6_V1Ng`mvbk>@ zS>D^YUp0`s72ST2(u13VkV=GeN|;I64P~OLREfD3#RXxxV+DTZWLof3p}6wT+p?0$Tbz4zUTs59aE z)hTS1pU2J1+QK(VP*L8C=9@#P7sOPNH5mU*$8jE0i|uMxw5&HXUs;b+>gl+zEX8$Y z@&ANETvrMFm@fIh0_PRi@LQHEY)?HZS=Siw*Hd~#+#|>IPYMUL6EW)+?qkz9xxARY z3i0@i4#$05EKXy>2%4D16~zK}&-BM!_JUAEks>QZliuwXwPWuQI5m@qdAS^%d7k}} zPPj@360MlV#c^@eNIj#j_h0Pq`h?5f+xexJP-NX+aJv5+HcxrPg*7i(A}ry~c^}y( z2G-W@k1*`k!G@lX(f{cYTD=-klfKP{>5tIs@(81DZ_w}Z3ga#>F%l%CE67MstZ!?V z$848q7sS_&rOIO#xjbf0-d8Nk!OZ+2HmmQk-nbppV;?ypV88P%_Um78M)ny8yR~7x z=n)z_p0RD+GaPI_VWj^W=M!I9W7EJq_czR~_ygsXmn=MY53QWvSy=T83-ABQ_=pBJ zS&IEN`oIR8$M|~wiSwS%9FVzvD`jEuBRWOvHZ0l>=&4Jd5+nS<9#Y( z@DiPFPg&c$jdhdmV>$Y^tJa)%6Wl8(L1D4ULb${ zBNNgD+1+^0z>G&o*L-3`>SLx=eqm7Db0lwlV?@S1rU+sh5Z{67?cW&_{*dK2|3Jb0 z9?JH&80vNpmAlYgJqN24K}c(gIhgVnJy!`L%Im!CUxZc8-}IWF$KI6hbX`<}ZKf#I zN--`K<>Gwyy1irY>sjLQj@cV-W4P`mOI6y?ozRZ7@?kT+{KIW$>&lx`I0SkV5gUwI(@m-xtqD~sV(h2O=w?{>H3HHi@G$yCuzQUIi z%bYp1$yxN*GaOsvgTeHjIE=c6X`cwPeN4Gm-KmfyXc0=OB)TW{wLOS0`iX?55xC`V zWv^EVyF1^Y5OfB|lmw1??k6fvjrf?+INFb4$?|zjlAXzvsk4w6Av2vZic?sq_%o6F z_j5ttitMVroOrIw`gdnp^V$pRc2E58?jbM32)8x1#2%KUy-kA3nqf3mj^}n=FG_O< za%qzl{tFwhSbCa;Yc$xt*@Z1rT(Ff3WS?BXzZLrhtQCDYBIC&k^Q%mY3u5q>dU{{I zMUwIF)K7YV=fqN?lqxZso+pU2nhV3Th*@+S^KP*O$v(nsTpLH0v|=&2n8ewwoEuzD z{e-uq^}0jEjN5olD&f+COioCL6FVo3&~X8z%*rRHR{?kW|AviFNFH4t(AMV{;`$3^ zG`O7|VAuFqrd@OABA)yW>53 z9A0tw>aU!%e23kLTbS#=VB^+WOm;p(GvX6!K_9Vn|Hi0OHyQTj7y5nr!iK1qIIO?J zVUt&EUV0OoUC&r=*NlE(6W0E(vDSUT0{KRq&V9pP?Ji-HS}30MJ8p|VuzG4EMuWt0 z3KB9Eq+}rC(fMeEM(-zR_q;D+(2TKwS=Sbf1T=fxVdJ=mtQp%X`rRGWcit7m^On&i z!j=_2M=I$l(t?P_XSE?+CX`gxeWWXenoGTheDz00CO!~E^qFDF^~g1edfIKFpnhSH zu)wPIzcJ@hn;;}%n>=r$*d~Z)V=@M*-{`Sc4CL%T>A5xr-O@klx+071xql17$wlo* zJ3Z%Qa5_m4(fTAzi@pm5bp@-;zv;Fn4eNrxkXRd!O8ehT@NQ;P=3hv9-($W|OcT8x zqmlNF86FRXh5QXEvHe9QzcIo0KI?>%n!C3J9h-Zs+ttpNrLD*s)FGe$3$qSA#B$e5 zj;p>Pb;N5DdcPoc*n3V5eTw0r*DUVS!F-7()OrYY-S-h;8rO(g?n9#F6}Bncu-IS| zj`M;^9e)GkF?MWU?9RF=4jf*Tz*<>nte5!XA@cysUJ;~t7;?XMuy9MlB^6ENMv)ZP zD@IcuuEw?eLlobRrLd}w+&c|aS2j~~{VutA7s$`nAU{i)V@IV?m?h14DFvjbDj+dg zMiNA7Xo01{*R8j;=&+d@;t8uam6M&c!i}oE}py+V68=NE60WvpKh_ z8JD32Tv542YOh;d?RK4zsl}Whb(M%E#RM+mN$v8l;H<8eAG-dw}A_y(sey)d_pBD9h*$>TUiwB!|Hcgta?&_Q$aO_21(>u z7jj|eRZ@>-QDJk1`0xZGujX@3HwKGUfdn7TAYper53CA!C`i6}MKY11IM!K#oRSU3*f4+<9hS2SvK*l}oEj zE+mm=pUu@BNyP2X=F-75JkOP2ZyUj-eUa>29f^%f6am|P$uaOJXL1s!SGh1(a~-Ee zKh2$5#_rjUXsYZ$Ywl6Z7P+A^*@i_U4{&ryBj){*N%YxHbL9~3RS1PtHiKyINfebS zaVboa3pJ+LC&hEzO!TpX*V(TXO^|&qhMO1T?J^%v7bOlIm?{WKhKbTjLLmvuHd#iB zX;bDiXT~tDmnf52Fa)0fANfrd6QTc8{6wZz1)y8KaUW>~>_~aHdE@39uxNOygiDN4c9xdEayF-p=FFnwz}yYkJ1x{B#^o-(;>q3~SmRAfJ%OhPwOAiYvt6S`$lR zOGW!#Wb$%Y*><0Wky-3ZXl44*7}mG6qZE>df%6Ua?I{;A$z=1cG&Jk)ur&DwYp&m7 zd1f^m%5JkPua5PFw^&eE$=Z@9*a}M?xU`N_^V&H&|33cK!mg=ZBz$}rR&#c+!ekl8 zXB`jPvVic=!9hSe)KCz?QU2J-_ zk~Hu!u9F_KRrM7s$3AD@?9XWR>R`#NHa2TMM(rnI6T3cSN7olvc72J7D2=;5!${aN zgYN$;wg{MZdyHxK4xD;D;%46`Je7Gy=#J-XD*VRskax`4+Q7P#4>)o03tP4Ca?biK z@u&aB(zq3y^KUrh_mK@bzoGKnpf^}_TGZ}O*xd7>fUs@7o}$-7Sh?=P%5{5+Mc1cn?SzPnWw+-dE>F>yc)*f* z4_KD=8`D#sp_KC)$<*gez43u@IS-Moe8qeMfepP~B@jAuB7APU0VQTbaSk$A2>*w4S+l z|6-s|19P1lkoUijLfbd`r`$$fl+s?e*?Qp>;};Y#Is6%;d|Ft3yp>Iwk66~dk!j|) znSSRZlalVCRQZXi36D`Id5>~<2L?`eS?1rw^o-BUH|^lmiudSGc*T~!EktP+Q>vdq ziAAhu(3*}bfonAEK_R`=uH zO$nMS#*h;?ii{hwcruE z6KJTI#;t563WLVbUfG44HC?DL>`$zbG5+%s**@Q#Wg2rZ+p?9DTejnAV1T=U;lCYj zTMY2oVnKj|6FOHN8Iyb(9gL-$*nj2|j;?RnXWxNQ&NtQuy=JCu9V$6*(9MPQ z3oFF{cue$;KQP_YjG0{r!A9>y2mD5%!dF7)e8EDsnP8o_++FdBFT)@4SFhLn*6R3|nJkoruKAS5^Kujq_uq)z@X-uL@T!j@mLy7DJ` zW4^I%w&NTTA!msW(d0_lVvZh` zXU0q!CQY4*bf;w-ub{y2DJzhgK8BD09Xw91BHjNK=Bu}2xlsrG&8yKj)W^_p5oa9c z;p;q~jOdBnDVRlJ#0VZ#c7A!J2MvY8$=SOXSD6UTZ$6E><Ez8{uhVPpI`?g01)c zdttSYfIYkM++juhIXAY)x}*HEg4r(3+?ewhMIzIN4;3eB$V0YG6xnxJ1wMnX<2gJV zXSpD<7S?cSoG%wQ2XTIFBtc_Bh@YR$wf=?V4!larva9%xxkT)&6ylbra(ROA;Iq>? zH!7G0`Nt%6d4-k4YfSro=H#5u*v@;0mBI&f`n~3u)Hh5d-eWNKt+2G8(eC;dLx~Rp zzp_Q*n<&3xD)EaLRKH>%>i;tg1vd2%#MAXX8)V+0f8Z+)dw<1a@9&&A@H@VM8Oy(uHlc&|0pEBq|+!wz91F!f;s2z zGt%`IN{zoUcV#msE8n0zStv8jx2TA9DLdY0ux}l5Pya^3C=t`_Z}eVXz=q;KnW!R& z$f%ueDn&moTB}T{WxF7LnQ^z+wfP-gmgKN4|2vZ<^HA&C&Pe$hwx;|=msQu8+xfQ5 zfIG}>>LjEV7949r)%h{Xf*1$I++$wdpD14J;JDdWw1zdJchCDosW;a zMcS|(*;^lx7B*e=+82g8w=v22J}V;LpcNxX>&y!*_P@n-K?lW?o?+MP0sDL3B~e)0 zjIDVjEG=f2_6g?e&BJrW8Ol`C*{5!XhPEne43@A=M-{^j>g--(!EVWT%r}?foo7bN zou6qcli+swP~tEAM0J)70k_TB_hb*3)9gtzJBpvaCI04?oG>s(PgjxXuvNG?&E)v8 zsmxudh_w71rbr9RHc?Uz1vzErDE6dED4&#s3B>r@5bj&W&LejC#zk`Y#CcK@LkRU* zOIp}+G9!j_t6&Pb(F16^Dafd{H)W|m6TQ)p5Tz8Xl+97!xD^*8(4Q08CbFmXWdq6rg5pMZ`kh8zy=G4S?_gfg+G-9LHNcQT-TsriDh3&6VefAim^w(G`6_K{} zH3?f^a?bQVXODiwMA+VA%WB9{x<%=N2NX_zRG3p~qSTaz=dF=xhovLAxLp|f%^H|jJfv%e`u|NG61}=@lyx=!xD#mkUV*@?s zL}60?O(gkvEVi~GFPY2!&G+fHB$&Mge;_|Gh|PT~ky6aWw%~U`NFgkG_=RDi#i+J? zVNgH`a~(xrb-m4^rVk8{D?_>Q7o=QnVz9Q3)sr)k^J)~!m$2NSj{VCXqAPI|8S@fm z-o3~8%sOV2y<*YDo9x|vlO3jI?6#@J*77F)TDOUtdy7QHHbF)WZ0}#kd5u^usJU>q z^BKW;M_8(3!a*r7g8C-0ZH}E#MOLg@za2HLUFfZ}X2+c4?46X4@x}`L3inW1(VqwP zofoyGsJK3!%DUc^+?+&c^Ah}$Z1LOfhM)Nn!p%+z%N9UgeF&um8!0QE#j&GPm@!M1 z$uhH;Hcb(UDN|*Tnk30Q<(~-(noCHiJW(NAx$G6qamTZ``TKM7)M#ykRug2xhG6PHpK#GI#F6M=}t5y>qNmUyiAP5vNRc;ALcm$NwMTW@Lkd ziLh+Wwpd@WN9s)@(wE!GnD>=h*+=+{5X7NWhLvI@HZwd)7Nl}%Vg$|;Ljf9y8pV=tm_oZ=A`M5bk`R|e#szU47sJqLjzFi#n-d|IIiH$=e_=T;=R>%9 zA_(u8a7^z-qxmGB(?zMI?C~dKeK1vqc_!goU`W{3T7tjWjX=n0(8`*UKO2hQ5A7#%#%`RW3W zY&%PsW+Dfz#QMw2&~kLbvgHaZ3*6Y&n!=JaXKX{nIEqW>=*>bj(!4Odo59YAaDq+< ztK{gz?z&7g!p~zDl1%84A{<1VEPVXf+7ga-u`gN;v1}?0#3}a*ey-u<9*87;_hqh} z3?TiWC-r6l#H>rg%`l5I9-f?xOUCK+2aML{F@L2y+b3odH{lU$#)YFYGk}Sbmr-33 zj;!=0v}A-x8T){PYE?vLno!l)m%8e1+$mm6?1iDE-jKtuaUZUCEZOFGl%2Z8=qLyh zQ+Gi}{|uXqR}&Mk1y9F8oI0Yw9M$!Vmz|D`WG5j_lR;i)I`XnTh>u^0yN3*??Ut}( z>pl#G#4_D>6unKmMDR`#7i1>X#vBS`=TMcZM6^dg?%(Q8b5%Dgat0Hjqet|tcq~X^iPyX8xcF2*CgLY(iINRcZiM7oTM5f8}`8QFb!0`8-7 zNtw|>;J5~YX4K#}rJ8t|X0HGIg3xZipx5&WXL{VDY4AHvkGsd(B~LKz{}87!@6hhm zh)&NY48?qd|CiX(qm6Cdn=tB9f}zY!ymelYv-Cc(OUgNQtc`PaAJG+ZXmb5ihL+qx zeSb9v^;R@hb}8+eZ~Z~tWMo3D)j_yw7Fzpx_h3F9_Kay;!D`~5r6u6`xf z^M;WvFNL+d%Y`v7FzoUK-5x^ib-#y6_uJ_7xx>bvTK_S2oo%{5wV>OpiGy+-Y}@*X zvHDdgZL34&%v}~_enPR+s$GA4zudtGbyQo#g(5~vZCe3 zhZ6LAwy|qnJ30sNGfVacW2Cd$mh%Ua%6V+v+`*8!MVNa2#)5G-u;_gc=}}c2Nf8!o zRx&5uKcYIWiY+~Bm?2fpabe|T$E2Y5v#?z6h1>6})N=JG(%PbOrs zV9{x|Pl?5Ma53wpE-+i^6jKx&QJ8-onQ3;cmA%BNiLIDVyGm5N5%sqRP~Z7rWT^rL z{=+G%7|UK)S?m)R;2Lv)om=d=d@&lE9eX(&Oc4_NAq^3oSQ$Qkge~Jln$rPL1IB#s@Q)7ryNaXskatixpk+I+!zT;v^RlZKf>=G`?hH_<2JW-M<+#Gs`u%BO{ z-@Tn<-P@=c`YX4dR&f7^Vtx9Mk$MBYuaz_dc`OtcjyTI(~dDMX&pFG<$cT-{S#Boezw5YyZc% z7zpU}6zI~ycE#6h+SiVx^DX9OzDF+UInt${7?=K-NjKgyEVm6QVbunw-eX4NC&nkW zGEES|pyb=gH2uP?b%NNs-TU#5a?5p(Sa;w7)1?ZLo>zfh_FpK>t-x$)69Z-yur2d1 zk<=?N?Ry`^F?HYtFo*x4N)r zw?*7yTamf*CqpiYWO1~WMdzNdwCZ<8N8Dm@?cdBcXh*aAV-yq~vc{kpsX0Q8+CIT% zksxo0Tg)-P5Cq%wpin_B8q&a685Y-jh@~?R%!1?)4-N>8avsob`ckGEF1fI z6BTU2t*Rm1uM>oHeIVs&gSdBN7{xK`$-SXQRN6Z1j$0ELoq&BC@N|Zi1 zVM}n>ugY}ASxi-#ima?060_&b6AEdD@W0(jN}W$Zks?_cdq{{CGCnJpi1-wWOY(^b z@DeO&LCtlUAI}@q=8dN~c?kC!yV82I7iF13A#F4e{xl7%MF%i3HD{C7CX(DPlYROk znT{_1R%D!U;l>e93XZ!F7=Iq)N-rk;exG?R?UYV@N7#T0Vwcz8HvBZ_HwbyW>;mx$ zzQoLkBym|fE~DJZUY3EG(g|FIZ3~wTB5HO##bfhmk*mRT{3Y_$D~VGO(spJ%A+!9& ze1BqOBPkzVLul`pqBB3{LeE!J4*!GbK~Fe47e!Hf&7?Dl!bfv7()^ZCU38-FqB&hL!(ZDrNYJDdvm!iJQusN}q3 zUDa1Kx4%Gl`*T)RerL{I82j-%(;ohTdEVa)4rrzSZ-N}fzN{+vjB-$$up^x>@UKJ4 zznM+dpxE&@tHtj&B)n&|b|Qzb!LG1R7-fG&S^RF~J)t(k_<-^3k7yph&*%%4$dtcja^_Jc)PzcMuO0n=K3W%}B?=yiMY3``iDh^G|a(&2x*54Qq)xg}k@2DDgzVk{b zJf+ucGyNaf zclfqWe!rarXf3h8WJ*c3QU{=h-&RkT!mu9 zLso5l%9g&3Tv+`K-9s&`NPfiPmQT#;_{R8me~K(D2LHYy7FK;>a@$uF^Pi!Y`i#9^ z@7QA0zyiC+C^h}b%DaE#XwuEZ-2!*>| zs4^X$N6bBamo+C_>Aj#BqmxTIb!wH%#t4?!vbyW<6)MI3MekZgW(kmJHo$QZ!PDo^=iLMF&}_yAkgd zKIBhN!er)V3^p6HSbZ&z)xaI0tm zF`M=idUPhP6`Jg>y27Cp4-OT_u|4+;5mo9Wgy>+cD#zI!n#`Z4$fTKLm@GX7iK#Nv znJ%k{qEJX#*Je_5eLBTO`^ZeoCa<~i^p=e zzAG(NeaO2yn2Sp`616CqZ3_;guWy3(S{;hQu5!b}pX;6h|5n@($n^{%=Tabr(ViSB z4`BMIR+gM;rb_ZF$v;<+Gw&`Jhg5QM*r#`_Dplf z$-Wdrix8}q`?GRUAU11~F&=S-E#od=KE@rh{}YV%VCNt&9Hw0%b=frB$GW_s7LKo_W9qy#wm%j2cpSQ4JB%H4BJ-4bIK~3L{aW>Ol8fz zMy!3SF|mr`h<6Uj)&MLfg#fk;_hi>lPmCs9Wb;H9tVepXb(H5n$3?(mv^$2wJg`_3 zf!XP3p)_1sTAs+_%ox@dXD}z@Dr%)Sn46M}TKRQm#wN0{q6#_pU{>aqFe^3;?Z#YI z>ASK~(hJ>juIx38M(u1Anr?{<(LBuF%sQ4YI)lC0RVJ-I#=*RLmMlDl<#-onPq*WA zUJJv7y*ZnEk6Ft0Y#o1*xwGsznSYCg3J0(m;m-;sXHI3eFm~;6%Kl_vFxl0hzs2>kTcD^mL z`j!NZwaOe;)F;chQyi68ILtuLBLs?`B5O%@76<2>#T(W3$Xk#{oe!2*$c2x zR>gd_swfxyD9!)B<$SiyUdHxmb2+|OpE*%GnbKav46kNPoW9_^^(7v|N{OHQ0DY-q z48|AWJmLnYN7iB1{VK64cSXI36U$23F*S+o*_Fb^h0rY3MC#Acq%KM0!U!)i7v^$V zDqN6PE&!begOjSMn1*2v5I2_%&}*L^7$0 zjiNBrpMr!yu4Vb)QtN?Bjfa@$LE<$(BGTRPsr2FGJ#Timox{J*kD~C))VT-o^?V%P z9E0ibaiJ>4ou;S|?)awi!Xu8y-mWx?eF&}&CM^3RZ@m)e2neMt-jjP#Vbq8C5uW3R zUri{LQU3gL!IuXCf#gPCq$tyeglr-EQ@nW;5Wt^K0em?Z!o9E{8sq$V;Oa|lP%1Y< zvbg7Rg)aEy>_5xaoSb*6AHI)*E0ZiM7s!Y}6% z0dTQhaX@{z*q#qHmtqJ?2o{6SAHPf|;z~le8XZEFPc&gy0|{yfB`wmE zdXE(1V?yz{9gcUdFZONj9Ito5wK;^{wde3D^Tnq)gmWz+9BgvMrs*Q_C1JF9B~lQ1 zh4f^9vHi0oXI&;SBbh5nDTHSS5mM%gN2v$LZu#OVrv1xYIDgZR^K}87YVzRp?MsBF zdQu+eE!GoFzMoM1q72YGMVeeFMzhRWY`77}c^Al16ofX@fXznRnX^KhO_n=Zuw*3% z*B`=vS}7Jk1(4>wm-ezz-0ge?QGFL`8@h0-WRNis=B2?$b4IxI~NDSmOb}l`NfuRW+ zt2C*P&!sW&3O7UJDG7|EG&qLJ;49SlN7EQ^g}Q)fY6GKa2ocjk(f z!$?XEATBq6b^X3k2UMuIOJZ$8J8@M zClqtE@;0_Nno&9J$86gRtUc+=O1n@@ZDX+68OfqUA(&@XbFAnt{%Ng*IuvlpxfHX_ z-ncs!aQQ$k8T$oM@2L=$Hx!!zc0|jC5Vb9jkQJe1&hinGsjm(lDQ+FQfWWxXU1?zJpsezBKuEs zp=oV74Knc*E>Gv0>2(U{rV%wLlzpQTSo2c|#=QbC=;Oww{%+|1>?LC1`42Js59t5w zhF(v1tmegIzCVYVr(;pitzvOPE-S7TGdCfTWkvZYq+DUejY5>-qgYXz!HhVejIQ5c zwjl0xjYTNwd9iM!E5;HR*tsehgR?m(%sI?pNo$-^9VTcFNkit_;F$S{d6S(n?&Hohx$_*)Zbxa_2{sJ$LQeTKy9#d8Yt3%fHCG`O z8p)1=X2$G3g>ii&vVNkU+^Rz|#vhIQP0X?KLG5RImMXeptsjii(!;2qibnHj8rmBo zQJ#Ga&67baj!ZU?Ho|#B*R<9J(|7*fjbQr#77@ zV~Zb&V=f8x?8q$LWjH7w;_9Rj4x5}pb@>X6O^lekVhIK&JJ6HY#X%@!%Q0bG_1nRH zVc8xwN>D4fpruL(mg2SSR$fSvtv=y38?b(1%dso^TujvA;vG#w8fW3^HXGjqCOBBG zXZ6D6NXjZ8B{u_!DKe9hoi+t!#eS3)nh+YIOkVbJjvYLOv->4ZI6C6uc0ovJR|5R> z2nm=;QuJ_Y^2d`E)teR}(;6$fQh#H-=xn?3o*IDdT5%AI7O=~5I~(NYv0G(17OKnH zG)I+>4q1i}4{0kD=`g z&bj=G{oapkHG0jFU4LM@wrp!K&)J`U!${&6Hgtc(=I+lh z>GA|45f6hd9|ZpAxcv{j6Tf+mjoe!{**s^`*#}ICe8$L-he%d^Ww6g}re6{5X|I@3 z@s-iZPky}rXi!Q!Gpj{C<{=8Ver2j!JDS~Eu#ph!S^F!h4zE#I(MV6_5{%RTL~eE& zn%kbzU9|+WoWGc&P>Z?5113(WWl!#Rx-HJYyzp;kj%~!EOB>^*8rgB}JJZJ22$Fk? z?37#BX8wi5qBQ0{gkixCG0FNyS7GUu+rIO2)C1&Ne`iqa9p>Hs&OEcnXi3~dMeZS{ z+V>f!asxTnXDqjWiNUG|%$`xnT>BPOqMk6l>j z`ZFH-zq51n2eym8bj7ei#6OylX(?!{oIusU7%$Zeq)Vos5&4}Vkq$T&OhSE|kLDS7%G+i4;{WS#&l4g<z=a2bWt8oUJ;5 zd+iB=%5=!7Sc1Qo3@3KZM@ecPlPAw*vaDq13)&{3q#%!iTt8x>*5KnakBjG4arX3i z)@W_Q@!|zmsx8I+f{=dBi^P0M3bICUvv4rgxkG5~yt-c9n~LnA#Fz?Yr4q?L^<(I6 z(LsCddW!txxp6UsJhu?ig-1_w4D+_(@*$;D8LUBf7Ki=f0coFY;G&nW3! z<{wZjP<0`k#&bdioeHG-Y$)YtL#TERr^+#ehBFb=ob#dF^*lwv-kd7(WA%d@=pT7N z-O%sc=z165!DXBuSi+WxSy)ca`|)l6i^CgmlFGw*=yg0tX0mrq5YDSJ2pAVf{QN@F zdKHnAiPE)QSMX3ze2~otT3WYQ#kvgfngo(kU+pQIoQ4eu2{*$dL&#{vd zWH<0GCuLuW4*6J+jp#^S#o+o!bduFafnPA_{98olOWL}&3YAd7u8B7|DOtsyzSU^U zG@#=z=0|+S|Li-$J--li^moo_Jz%}&O_n5nVPx!c4upQiXUiAdgaY(ja37=CFU%-< z%7TK=7>B)K<;DtDUHgi%7#PkLpQxGmm4kvvx+~{nnee;F%QyIL^4{0_yu*C_ck zVX?iP&D)19vzcMlUKC#z~+lgC$}CCJ|_&xs;>pqgk*)SS^)fBu-0W=O!;ymziKJNNWDtxoDg3K}%^Ld&ebWt{P5A z{6^|4`u@0v)KoH+1plGb)J(u5M2@6u%QzaM%DQs~>~L|w;J^;Hx>#X&d>#?;%L($H zhrpcVri?dJtC`*tHY~>n`u3FEbl^Pscv7W=CJiJPvv-}s1uK2HUXoV&RSLtv- zZ4-M}X|rdw4*S>WvS;N+4vMye%Qtd(nJ(uK97QAQC=(N%S!$I}&E(%n>{Y_08JW0^ zPh_8JDyLTz;4w0u1eH4+>>nuzCXuKaDV$LAX2)~~vgfAbJi?7TGPfxjnoZ=aWWr~p zaz(7edu#~ND#^GB`I|Oh5L?eQf_mR!OOIQe5goL0}G9--Iu7AF0wIUrq!qk^zzLmIIf)qu0!3tV?SXXnl) z)*o$Sr_%>?jy`1KfhsmczD3%qia7zVIB@wpK64tmxaKx$Desxn{wE5RAF;Xi2m997 z;&<>P=PX`RAoG%_KnlIh@UMT-=eIwQ`1?0{{3(`i|AU#w$}lSYg?W$vK=H-jENOw|X`kr6 zxqv12;84(SzYHDHgZU9{G^<-S4nYC|-kMFWI=|zOcNFjPSUP?1S$}Y)oTY?(g(mk;0CQKbRp` zDU!xL#*eGva0c{HO=4fp-$+T7vV2f2Bd3*fFjElrm{M`>AEPw65zGCr7(KgyIhDUL z_L4|AdB4+tM-EE&{-$5_U1kVE8XR+*`9dM7n6a=W9KL}}tTu&mxSK{M0opWbZx!`mGEnOoVoG!6$?G{|l_~GrY zfuFA|rMXhn7fNzHWf=EryK|?k4>t?OlVD~}h(Z_#*W01JaTB_l`dm5VPS#0BQcj&F z`Scl59M6y{%5-~2GERx|)Hzb^&kHz{CeT@?p89`~e)7LYnwX#L;7p?ZIgIo4>H2{VNtJ?@)8O%|suOxyxR+{? z+i!9%^eKC6DzFjiWO37Lq`rJ)>XX-)__cF#X(^t9bS?=C5^egL09~Q%=2vrQa4z+d z4{1@lLx4*g7K!&!&u$mWqZQNb_nH0ZE0bS*WOm16#=L*b{1!0~{3=j-BJ9x1w@iHb zT-cG1LP5Mk_4IXAD_-hE_m5Gqu9mUGs&L&dp?RyUn5VZJ0Xj>75^%m>}ZU{C|P!|r0-r%tE@ zp){NunCMc;vfG~-v?-i@xt|%L6~lqdk1U*5z~%u}$jOy*I^%Z+t_a6I`&VRUC9!c~ z9aHCJ9?Z4{77nu$zSI$C6+YM6^;AM>L#RtftPMrAN8AIFkkA z{E;3J%A^q?j2j!k$T7jl4Gm%Ig!@=;s=z0A9o5Y}e|!q9tz;%w{fCiSISRif;jZo; z=4hfHJM|-Rw@AZk%< zHH9NdHa8Z+vDL7|S<6UR2xHD}5YRTpNlbUvowQ6un;B>RH*nJW z-(Z6&PTEE|Z!qMdmLaYhhPY@NazRWxX^XbCx?B=UDboG~`mu)@pX9_+%j;Yp^*hyr zUlBRA3co2=uv?Re!>UwVM+K0)poUXpLJ5&iB6fN%$Cg~hS~`%7+2y#7OrS~XDOJN; zh>|NJPNAAa#X8)^CKEOL#*gQcGCD0>pAw=6v=O+vn((!$#P2L1(5Z+^VKo@+66))4 zHD0T8@KsO7YeOtvT50msLDOb3V5KVwxIH{JUI9;f~viSxkxk=bX z`(k=C9J7`>wmbR|D6DztrEpGNjlef3p42nhTs#=fp~CBIcy{Vi&Q;4xjB*w6qfF)U67V)~UK_msOZ2Z-);kPy!PpyB7T`b<3 z3HU9~B*^SKr@c#98y$~cRTk@SB(kb09i5zN0JsDZ- zPL0LX)*s(hX?U$o;etk**nSGOp2=7gm9ok;fc<3!=$-Q7Y;hxt?A*9ma+iaak$5dl z#?m~Qv)6?h5y{Z8q5<_o-gqS5W!(aQXbpzK8!JO0eA$&tVQL9V2Y7+>hsx~3tX4RUzG5J2lmeJ7dl}`Kmyw&{%*@$t%o*>4jch&p%ZZ zptW=)Mad&6y)J`a{RwP3+&N!(2@fkj0=9(VWpEzHopu~Oq|4QiP58Sl#_^Oq3ziOJ znt~)#rG!G7Dm#^FQ>HLac>pD)>$nmxO+o%n?2aGD>v9-}?amMs62z&a$BB;C7Ak5o zNzo%|D4ao7*q9&Jk6NmFQkg$oWN9<}#Ll18abwfQ9c)tH&qeb9f{pzM5(qZ>f6!SE zH1WgV)JNdbe~Cbo{~DLYZ@n#i@G%zyKuiZ4`H1!U{HtBeHwh(p(^Z1(a@d$2&*YEy zn0et5$z%ScZp>2x#*`7O*npMNHMUJn#cg<=Af3){`=<))Sw_&rYL2MpVm&1lkD*yY z1?7>^^EL(jZxbqCjIU%V$qILI8+J{QQW0l{r{FU>o5Zfyi4@*^W0z}~PbkFf#A8-F zh=CgNoPJ9qG1Y5ES0aOL-KyEtwH)1lLbn^}_Q*%KXO1ZIM7>0`R|wRL-!`Equvwx? zD5M$!w4QLqCb*$+`_X<|rS~NyIGnhE$D*JQ)r0Yr-Yzscob+Nmc-}yC?AZ#)|F=?7VOD7aC zbW$9)n`)7odWD5T*$xTIW$A+#j0nqOiBOW0gG!j6Udg1$Ypkhz%ObN1G`prFqnU=% z-Perr%Vw5iDXJ%GS++5o8D?p0D0sm<-v(ADJYl6v4SI3+S#zO|W2c|vGOrcif$eB? z6aDDtD$M)d$Fz3^;ahSjFi59hY6)i+hM~UNmbL5lvQEtm{q*w zevEM6BUI#?k`lcDyCd?()(QthlH}l7n8a|$;svb1gbfr9dAXg0x2%B*Q>xG9|yWW)b zOOK;I>=Kqk)36vSY|)VafQ5jWsGAN;!gP27rX#NYmoOXlzeA!}kH`!|(y$(w#!i79 z0^0_O?TI$iacQg@6^6B05prIgABud!Wba30sQyB7|1z8ffw+yTz+hq_PAi)598=B} zg~wR;O2TDGH5Ud~U@lqA4uP~8_i-6lLH+2LT?tl=hO`S4VRfOusHGyQnT)`d&Nh#b$O44#5?pQJ_us@Cwvt6 zMc|9TJAuwmZa-o3kN!(E1VB}#nAQ{6C7KZ zpC@cw`Y&vX`IUW9f3f0N2P@;hu(9wjw8Xq+HGd=j7={JZGP~(3qdxpizc(N0^T!u@ zfB%aiA*b3{-)1|Um5Y~Z)CGRu}S-_ILD7!@A(wtau{&$3%!2h$A8%-pKfK% zEzk`8%>Kh~@Ui+vqV{k2^l#(pim%vT{K$@!@9g&bjkRLIS<1KYiUUXYuN*k=7U!cM zaNPR=qXkdcJ?1^;5-&0A@&cV6PubM{B?cls20!BSPjTzK`}PL2&ab&BylRhgd&=Rrfu6cuPDSxs+`Zi`=?jk*=Sr8R;U7N+$ zg70))k%MvZce*Uk!%Eag%9pck(?`ZhK0tHoT@+?NWLD=z>>#l}d0!aee;b8+e=#EJ zE^{0HU}Quqi|W2JfAe!R#r{lREn?RC8$&J$yL+mGg@@Z&Yt)ANwl)ks-m=v6E~|Dt zK-XOKhwU%$Qhi3@sLx~${K~}vzq73KDfgdWVLjk8+xs{DQ0XD7UAR2bPmJB8tkPY_ zD!rAgGhT|u236K=P-FE54c2bhg4RYYOjqk*JJp{Z8`23Z*h^98mxlx)J**l=Q(k{= zRrDqDt{mGxFXMcL36W;Tgm2nMu<1VhZ1<25ahN!NebOSeIC^j<3Myj-NlObtnu)|T zS!u>koyvq+gGr5EP4wAGBuA@Zv||zGRN^IS+gfs54T=t$sae@>L6+e-Y zGJuxqfi%~3;db$OGA)mCNirEb4M%YR8`0Kq;Pgfzp*KX}Dd6>=&{_Wvg#Z5pFRe(t z{|TCrT+|K0LDvsohb+`muF~(WM7f@?c=mk9i-CWVJ>WH$#{bIBpWCsY@*e9R?=b4td9nKWe}NYQFaL$k z>CU#!uZ}!rOV_6u30O!x;?U41xUBzx-=43y+q}nV_a{QPf5my}L(Ej0Ik4>oj&{Ft z+VCC!AKv~us;VSh`$aKzx1C#UL)#{DPJ$p9z=R?KB8nggqL@&kM2R9QDxxBYO3oQ1 zOOy-(f`BOItZnMHbN-#ez0Wz{ICtMM?mpwY`;T|joNLvrS@l-c^FGg7b5@;S{+ct= zHz`&6UV!9V+P-{3^}=6BwS)C5Z{U#o3#)qn!7%>~tD3$?UufLmA94uPN4g%39ldC@MeX)K)QB)(F3?`;pjPKN7kAB>|cb2%hl@ z%lG@xJ;7nr1JPyyN+WyHjsE}cJFAi1SS0S@tn~`hz_Yh)!0}3%b0~iLNy6MG8JsQ z_Frbm^omLS14}-;hxus1QdRo}Yy5}tF?Y~Ad>v``R^|ynnw&DoitgX|D&Z>X0^k?f zKF3^Q7#ZV1RBwpS6m;;`=}`***>06ZUJ9X!{K|es-U26W-uBzK^sGwOrm@O1VZk`;0iUe#+tcuVYr^5OFmiY7 zB4LXc8C!!m1&$)i(19~rgO@@gx z@v61#)OE$o$_k?eL2URoi#;={**&A`f7mK9lHi47b!yUDzht$gtAdn8Xk;{0b% zs1v|)Y;q~l-_~J0s~q129mLBC;8E|u`||>#zHKIUaywSDi*Zq@BTu!HI1yFbW)D;K zX)k94KpmM>N%7q49GAI7re+hBpI3A3n+N27)x+7Z?qf2cjXl$P7+m~4Nz#LyHh9Fw z>CNm=xyPCbgIIhygx!Y&*naf?#)Rzq_y&Gc?vk(igm&ErR4%?xp~ZK!>wiz2;xP8B z`mpi5&5o#7Y%2ZnZ8vTc6l(%k#_dOyNC@ewWuAF+MeFcv!=px6I1U%&mGiGo!vEP8-<#4pS~ zGsvW$en9@lL-bz$jr7nvHg^7n)VY2vngkG6y~RpMm)!|(F>@MZ`8U1T@4U;dVgc00 z-w@&bob+Y=WKHcMYQin7J{e}oq(LDwuHroQ8rwg(!Di9^|JpADSbyBdrm_8O)qcXN z;QL61G+~hX0IiGn&?zx0e+jgY0m5O60Cb zxZ4hKWbZ3-v>wwv`7Z5~o-i=|9c@$IaB}L;XpX*x?Uyg{{Y&aNc+ypCYRGAvl4jHIF_ zvuDpmLQ8cK8mj7OYL6^KtImzy$(+5uh%NU6F?w~LdEZ}P?UPKR2bR$$ZaUQU0apY7 zUF{mfy^e7_Y!Yejhjf=urOL^d6SGqZG!0^ng(VwJf(TfdM8t{&j{FbX$UTEs#c^!; zIdZLvu!=9_t3U4Z&4pVeOnSzxiO)#+wv1f0diLrRvVO(|GBqx9_}g5d4XWAXc)50<|F_Q@c1kC7U*>cKW}$OZCj_Bu~17 zr*t#n$~9CixyA*DEBK%3XVHd>L~iNFT`($N#ZJ8C|6iJ18$0DH*|e^jWBxrfuCE}+ zyOOghSILVQz%95Q!{b#fKUTu=^Z`OowBS-bi1C>M0)sDc?)VkTcQ*5rYCV^2u3~?* z4%_BFRt|JvT;0gt=KFZmJ;OSx1s@^X>{|v=`*9ePwy0mhL!pg8={CINy6{xE@?ZN!n2%gL z!81EJvSf(e2d|;DCmjp_de)um!SqNQ9?M$ro?gXHi+Zfi-)8;sUd&e(3Po_4^(noq zOlil^wgMaV2E3*Eh@CM&(9A0Qwzp#&-pov!1bi<&V$SYV_BA|2YD*HXwJ%t2B>Hq# z9roH49Lapb9P4u&Xn2GChVz6pzG8-BJcsIDV>SPxsQ+cWR2$eW*MPNACDsGiP|j<{ zuJ<8YX=SXwBI;dGfx&P;8W&4h+xrO9peEeqOEBJ1h2iaC^kXh!wZECoQQd3{?7_oT zFm<06e4WbJ7kq^SzTMPp=%Q7*lWU4M7*M`Xj`A%Wr1A(*&*!M9gWvp4JdQTtbFm-a zxF=XdUf~<<^C(HjFlTlUYRc#McJg`DWlNa(br$o~v(cUyh3CW`yp~pyUAd0#{_$M9 zGKM?V24siNq`q1ZnpSfT_4#3c#0P(yNSu})W6Q=w_G~*T*xNEv6BiJBN|M09*{G|_ zp`fxD1tkGUYU+zo)tiTc`Y7%-%JZ!1a~ivs;@Ihn-R(}S_=^y>x=O>M$=dLF00 zZ6`yu4=1I1>}CoOnOMz{iQQZjk-7VuM}i^slB02xe1%&CeO^J-)Jv3nR860dP+1># zllsMDjwsXi?@@%;vu0NGVQD8bbo*C5c zsi16k2~iFSgk89Z>Ae;l+8asqEavL!GRid*h&tWK)J zzD}?OVHN|qEPqvj{f#bk@*-LEridj^n?>F$tgsB@Xk;V%yt6rYMqJY(*vHd07K-t; zB`5_S!z7%tF5!Bw1EciXKX3T`}ecyTt4e_3R!VF5$|=m99B%nRqH&v+)J?Cl+I3#)9l+> zfK$pPEHd&i-F%uoI*IscU*x2;7!NB_@d(VrDkcXh_k;MXwxmU9770i971kyxB#SEn3zYidr1;I7sukbAO+hEu{hl> zMKdQB`{Abd{{Id2}@Eyp!0Ha~bc- zJ~kXJA~>a(2%i{2BXe;$l}X^~Qo_9oIlH%-WaBD27PU|<+EuXV1SfUV*{5GXSU?UD z`*Mj`CX~gNOf*+|Gk>W+nhTv-ymUWvwF6mVcm(aa{(?Cj!b0IV`@inQaZWnvsWuE= z`-*{f32rvXQ;{)&j@BvUrt6ZNy_%TpO<1^_aWo~Gy>8oxPs(P8hY{uFCZs1Q6S996 z`Z_u&sTiQ3tc1jDSuJL&$fKk+nmbLBJZ%1e;-2|22kgDpbN`5)!f+yY!o{8+MPHMWBOx#%VnGC!N3`C0$t z7N(zu|Gcw=yHuf@lh3Dr+-3T?`=rf!!=q`xlQCVe3FQH{%8RHxyO|T;v=RDsGkZQP zAXRCASRp067xm&OSDfQxMXq z>TirQf5d&qQzAAz#%1|c?4n2h&k0U|?>|EYK@c!5Aj(^G0)>r5b{fJKI zPpE`EL&xP22DR_lQ2GPvSAJyr%YQJq_Aj5MUP0oYcYN^EKPcb)lX2@yusrvUDd%pY z*6|n1YefFWA6c673bUnMC@Wt@uK6dHHvWZK+K(ta`G?tE@6fcl&R)6iL_6=|An{Dt zeKwD}i^Z4+tQ#%l@BhOu|6z}WUqu@w`mqyIc2(eWJ{6$0@bEBt>93e|=mCp0?udLt zSdJOMT=^j;-`vAoLP))Z_gQuDHF_eix?p5xOt1gTmuK&wJ@6NwrS+nF<8LOU4WV-54mAB@Bwm1`%nwK&*D=LS##zkpV+sd(fs=TLUSVzc+M$&h(gtCjLtm4C*&nTi|)`i z?MIp=UXeHc1|u$`G7Q(+2>BMo(p5XyXuk~$8)K~P zm$A}fCA*fnb6l>GO`pe;746Ke&gl$~Y!rF*V}@$SFw`=Gg44#d6>cW2*o-~L-8hyP zPox0W$f8`5Dt42WzKZe;Qx5v7FkejvSvd`4Lsk}A?VW9aXk!-LLGx!9+I z*Aq9K9v@@ZqYJnVpCt9V4Gm473Yj*VyKST2ucdvh>qBm|enx-yC_0)aQ|Wtxm?h0P ztn$Tpvkl7^?Z;(a0U_F@1ZkK2k6VaV3H!7Q@$+ayFDZ+u4?Fq%%pk>Ue&_8c|Ik14 zF)`EHge1GmE`@#~1>l^VbA!Oi4aCguBUj-u+f=&QJiUSVSv?&3x|`|=!!$?=u$t9O zwrV>URl7+Lvgh3N3OZ+WGc@rfO+uf?fAAXnF)y(fz;x`>mz{(ELC%yQgI%tt>X;^W68&wtKA0U9nR-{BShGilDh%p0Z4K8(C`0?FVe4}b^UK9 zCk&z|fO=-!1Lk%A!PMki=;Z!_jNdg@_+DY&$hJ&=qW(V5v3GjNbiHoAjlF~H$P2xt zZ;?y6i%QcwjE_9zn9mD>cRnY{>{n_gy`y*PFC6^nu>hr;oHDB-!#tC-vy<3i?1rJu z0>R?^QI*cd!Xz07`&|Twt;Ne%3tPukI4*MF(CiW%K0Z(JDOc{ae8c@N39eq1;9=Vs z9<=J>vB8|wPg~_K|gv z1TcxTr$>U0_Q^B`o+QPjgI(4^%r!B>!Xgw$^=SO(CgD3b@qg5O#61tFoW)-wmOcAQ zSeTfGWX(ln!me|1*`NIV(Z94y^pdZ1Q^>We_|Ch}Iq4fD$cuse@kLTJ2Z<1D#@C<+ zca%)FWYv)5;=ZVPu;T_h0@e1pa)e!%iW+TjO+-niJUkG{moS^-`W6}ef z-T$yH>MsiI|H8rJ60hiGVNK{A3=4ljGW88>a{uJ(#jRK!dyCHD z`Ko5ZzZ@@VXN!3rlak&FK3l-&<> z>b<7k@CTCi{ENbYr>J-ThH1;67_|Ju%1eLa9q~Jhw)DO~*Sz`{n*CrRj<-2IW!;oP zqFsLB)ZQ0tOZx+@o?lp!@{A281MHvuN-)h=@3(w169D2MnBg{wr-C6q|1W*>ANE8r zq^E3E_!SF}pO|Rc!OG2#P+H%|;x$5sOFtD1X@qS(!F=o!Y~H_&`wEBUZ&(^|5A~ov zRJK1rbIu*%Yr&dUzCbtoZ{|fkXNE={Grz56YsMdZXk5we(m(lVQ5m*^AQ4o;+wg?ZdY7H~i;F>@H5w!fJjDA?W>!H$mIM?K^_9BrPkSz!Qu zxjw#$yo3D3C#*dGoM{L8Q11L0l?#tpeEK1JXCAQn`&mGeZh8QdgW9pbj>{ebD{a}TMr!q;I`k2}-!C{FvN2Kd<9Dk0=lRE_n zP2#R#NLPEtaP`VZ47DvJc!L+&dp463nH91 zvQ$SOIi+uqQxpto`Ul1b+X){mp;uu-~*@|)kEZ0?QD^1!9(pbnX1(!%2adU z+w+{1&m-!a6d^g!a%e?133C$2)vlmul8fHG4(5J8!0cZJF@A9$mHcA9ebIq# zK?C+y70g|d!_vSy?89oY&uGV}wi~m6Y&MrPqx@01n_flGEa6M3YFwlm z@DQ@w)2b7@@ES~x7P97a9ZQTW*gm5I)7{Nj+_=G-_*x8C=CVPl1mDBGNL!^4QhXcr z)p0mQx3R)7lklC*SZkKyzo(gRHpb!7bsNdGQAC$L;9KihJX(jDvmut9KFxT^ma%{O zMOKPFFnW9w)tqYVT87X)ox;Y!o6JtV#LB}Ztc|-Y*z67D(u=XoZo$;04#PD$tUl9# z`LRYk99pscwg`vCrRbfnWl?hnX608{2>eD}3)0)+&N< zx$r?gCv4L>WuL?;nOs5_MX}a&EemyyqWj5h-1TY+-{D8N&vJrK&BZa{2&OhC@m8wF z@#_q#BVBpaIgwie0|vUsaYc}mfwlz%FL$8Abun2z=GZ?u#gUdUl3OE*xM4xwEd}C3 zmU7a_pUAD-nKxgPsVcLCWRpTdR#{$9B}LTLJ|{nK74a7|$V#*&F5(R5&Lj~Q8o`+p z=Q$b@LQ~^5(o>YUTq#FStvt0EUkeE*!Jw$jP~9|MFN+hBM(F94o){Qyznv=iRWjbq|PrSPBxK{)iJnggp;q9P2{vw^v&#}YC=AF3yVmQ$tPd4oR|sG6smVo zJ+_hVZ(4|-lFzZ}ZNzLZC3lx2z3y9SIKG3%{6mz*1at9(Kc@rj$%zagao29aDxCk@ zc46;clD6+5+h3$*o+NhdCce*!$Xk0!=pT8Z(U~LlegxbN;>55oaov8TUO7T&sS92= zJUL$FMr_b_PDZ;DnC-%`tnD1B+>Y-PZ`^wAId#(=-}^r7FWeo86>=qL#%KGlW% zmZO}=+{J~ooeYM0(&rjX&h~vgcMjv{tvk6H=0sC{C^>C`oKM_Ka*!u!yF5tV?M%Gu zc9Qq(Aalna@!6jL(k~;H;<`hmTR9i($I-0)1fI6z{9#W*PHiVJek*YyZlvyTCVSTo z;@q4_aue-w+RNDpFMc*8ZMmL zNA$iOBy6+ic-SU_`uF3VXF=i>f1IN92)pi!UDIv?lD89+=S=vmK->y#NjU6CR)`0O z!?$v{%#F}IS5go7QDEatp4&E#X79kKLR{D4iCe=?!kc#y*uI;fb~jGPIguZ{lgto% z%0jkM=(3H(y#d4}CE$JFBw_a1Y%o8>;&m=8S{#GXSM`MJSF&FK?%^%bL>@ZMmh*+| z3M(PNpqA}Q1=OGO=T_Gw1_cmZ@A!b;?lBCvE#a8u9#Qr(5?b7F=x^X`ULi>dM>#O) zK*lX;N}~<%QCP+i2TSBM)tIEAA^=Gm2`L3hbqKxSbHmXv1_EYW%sx|^h*99QGHIAoYMgx0SdkfmPjb#h> z;-X$&hpoJD=rfVgbi83dlZLM1Dji z$M>ah@<;|J{1fmCOcH=|nLVkwSe-o0)|vvrhKktQSHkAuBK8iJ;dba00q3&W)my=_ z!W0@jPtvgIBxRfP3HD4SE2*4vhdj<)5D~MfoQT9~+z!XFV<;cz?gI8yXR|XmgNVyD zY>tj4SL84DN})6)pLX|Zs*H-spP$L?m6g0*k;|p9i|o2`i48qPY>td0!Xb?ajd&u2 zpZp9H@L!xP7P$;siqU<2Y`W&%Todn0p>4U~4jVdttk5IDwN2o%jkF=Ahe+t#|>cw`6gg(6-|U^KC5<#0MJmuBJXf~f|D?}Kg6NSRV>*a zN=RT1dko_UT5y&lEY%>A_#TX*4XlY#OIH`KMfSf_m+^SMVb&sLcG(HaXl7> zcVi5x*VmJNPKAtpOT^q3bRnx_=vJH6XFx3xL9Dvc6&Rb zW8>Jm(}lRWRCaFLLSd0W@C))ZluOfHqdwkFf$7SOlVLq~9g2W^CEPBgI*XJk)-a$KX z7}bEM$QfT}hTB7Qd;VczVoo~*z5(7cr`)qgWxsvh$#Pnamx zhn3wAjMi#m=g96O3xs_2{*ke2ow%3(%LgiL0=#|_^}R3J^%%_=w=m28iwQff;#mAA z63ZJ=xd~sLe!wDk5kyblNB;7k=x=<&iqX$78uJvB@j_1P_haDunjOo2731Lt*3Eg# zGSdf4U(m_7k&l^O@fz9ApOMLY&9(zS5;gxdtuue7XY3F3ehB^&zp-=FFQltKqENMw zlCQf&85hu8c^F;Ya7-5*Mo)VWi_G>iUw!VN3mhr0UPksAX+qs}P}Wvt zrs6_mq~!!4DN7+OKMO6bPpNM(BP&~h`s&@Bj5tDJaS10*#gdm-Npw^sHMRB>7tW)l zW@Oh|c_BfjaOXcI+yBXs>ZaET$+qu3Lt3%O4VOh(9MGvCM7QjJ)Ix>%%r7Eb*y%l8 zEY7TC#?LP>KJbX4FaP3ii9czaaGylk$GE6J!FKAfU`uy6Jmro6idJGIpKw;{1y0)6 zu%6k>1=#^Yr#4VK`7V`T3b0bXMwIk@GR5RRGEwCJ_BK_Y-oYd47e>AP6T_{8I7TU4pMrASKjG~00(H&H1SI@ThSOVW7T(9l^*ffuzrxV=mIx|Og&*&+W7I>|jk|~0$UkhO zUo!GK&41+^8{rp=arZGFcbmN`BA>@IWY@H@QSUmM23;syUuU!8E8#nF{g}I~lfQ%M zlp6vZ#OEs>p%?G~#qc3?!Umak{07Pi&zO4Z4mwx<#NhZ-=6hY^L)|8}+uUQ}^m^QL z|Kh{7m29c|i>aEI*m>+HW=pqXyX_UD4JvUHfHYoP1nJn{7_+dAZIN#VUzp|>x=~Z{^%i_6dtqa zi#Fz|383>6V|2wk%tn95TG^+pF}==on-=7YpEJAY>HD2vq)Q&LApb4f?8SIr_$$Z0 zen-ql?{FXgg21s)$ory`+&Kjl$`#_E@5|g3_AE8n&N72dtXO5sf@P){tlq#PeMjup z?P0I3BS+?)WV^#&k}CDM()S5NZKJr?G=tj2kLhdpjLghwROT2Ga%d|yL3?pJ?uHeU>CfK?2D^N5#%SxZw~Wy7cyH$19=4jNYYBuNXkf}uKp>hDMI@ln@vi*g?GRIQ@@FE^`Gb-zK`?SzgXk&R1DUq%+l+?)#$C5 z2qVuGJ^F9i24S|sMm9_g5z+n1r|-BS_Y+stzN2D^U_v(Eb8yi;&X~L;VfQa2IDXGL zt6z!P{TIQ;f)xN&K&rpZ`;L6e*Q9KEOTY5>{P@8u;yxJ^GF?R3LvOJz{0+mD*O=7& z$&L*VsnUAKY3m<}-SV1tqc>by{5^-YUlA_zf;y#l+@JjqFDCuSUlMP*{`udm-1!~f zyoI?p|H0etE+>M2z&YQv)V4abiDOs2^T((S${ z()Jl{8pF6ud&VXKNSjBCej0U$b)wDdMn7T0C;?3Wi%Y6%MTi!s$vJb@#H?WZ(`G;#5^REW6w|~wuy&(+7c44*rJ_~#wpb|ceTIfxtL=7^( z`47H1{{ZFwKhQYw6y5M!j5e%ggZ*ukX4d0b_!l27EfEaqPd;8$jc2i7O0vzYa(~OH z)fH^77mP@!4DX90|F*TUeES0e%-*o_<37RCMxKAV%lZ#*uz7q7$sT{B{y_u#weGTP zaxJlTe_%1OiS(_%5wY?io^p2xR(Z`v>t}qVP>0NhPL}&UVw33e)uQd2XFg<=SubC> zw4v7h6VkblSXlBJ?YO(F$a>9+kjHFu`32n>Ba7WVW$PDj2^WA^J+X>JnRs&K3h2=u*}39kHs!T=%2yFbQMYE%Jg@B!Trl)dDJ3L z{e_A2)l4TnPlkdtBN9&S74mc^A+gc81f3>QNKl`H8!0W@Olqy#hj16$~L!NPA~6ZcySLu zN!{c(xgH)!HF8CONaXq^Y&))Dc548~h>L7*9%k+E1GLUpaq9`IaNY}Ut+sry^v#dc>EWB zaTDexU4_@IN<2)iu=zwAO1om&8gUtukZM*tm*ZpJjgx#4n>LoRIjtXy11(rDD8$vS z4$A|VS#!J=>m!wDp3lSddLP=k6|CqR#3H5@^J8Vqbj!ltzllZ5k_gDZgZid;JgROp z&EYKjEPTXTPg@W+9^Q3mH5S1aGP}zFZp`>HG4QM)kcwa zF$XNmNjA90IU&&(&d5eiDgotb=^UOpz|BdIXi#cqtFa?Xte3H4fh%E);xJlcKt`zy z_nRm3UEO#dG%HbcVFsPeQ;0}bCOhAT;~{I=y>2Z#{13Crb_XGDd)Z>AN79+ioQlvT z*jttbDmo}C%|J?+gsfmk%JNbutB$9*(wwY3eX`SbkQAT5zR*BoladGu2_ZWtow!s( zl5}O@emW=Z3$Z;|Ou(U1(k*kyT#?4v4gva^gz2Ueq@PZx@Jr}OFw)Bw&w51$7qs`HS zruex9vSIU9JpA@!XSDb1c_-CFpMahQ}sJR8DWi zX|*5Atv0h}xhs3-#}hO!1E0C)@Y0CKM?D)KwOo8OQt;7?6P6&3(}bn|Z#8dWfy!dC z3k!CwW?@lVhoJYj87LJVk z$Txk6-8xSQnA9gE+j9!X-68wqVM4wh!f(nQ3YGpQfA(FDeQ}F&xo7M+`wOGr{f++C zN9>ju!fWD9QkQ+lF^wJy4eybxdV_TBXLx+lOUN7{-$Z%#A3nz6gBNW1;5(5%73cSG z_~q<>G(pGPdV#W1aj8tK{#HFL{b`_dAwWKExvBAv;dJU|qbBB9YgHzQ2n} z%55Z@Um!L7J+toojIN0EhNrHfb@eTZ_1~k~_ZqF*x2Ur8XIhFZ)Wl#UHEbyYK~&4$@JOGvcwciBB|2%j<6aQbivyYVACt~_V$XHT*E^d3$h z+`{G)A<;y>o#TX@`|P>!jqsV)L)OH-V4`ay^H1Gp`JVe&eB6z*kY*!mkAEB0h5XeY z&?tI}%)(0;nD(=(?Qc{vAE3AYDpC=hsNeXR2^V`%9{v%%Gj}lvy2_N;9(14l&DeDr zY_0f>kJgmnS|z00nk*bH|HZiFMR;8LhYy$K<5K$%<5uLcr&dU}Wd$q>yU8{oUuVp( zCph#+Ju#ti)Z51s<9HJD_3JUW zSc$X#7WOZQ#&Y=@N-{JU>im+fE(!Xtd`xHQrwq1!O=iMuE*38*DbbY^M`MYJizg~3 znzONSoQw7#^PB~RnX&}$pN-+-Ma+?%jk1yg5^{11C@V^%r1mi-Rf|bVnoV5nYIX&= zU~}dWrl)+cj&ftqQBTgKFC!~cil)-J^i<8IApQ&bdq3oAp9Dj--*Cq)k*wMEY+bca z(7BDQSmPt8=~)geNZ_p9LC$P(MlvrKVmy-WNDE{L`-;q z-Sl^?oA!*L36I$S>2oSRcunr;ryTg|IR~e{B18HgqQAN!0O9NqOhR_lh@=lLTpHctq#_zA12*Vs4nt;q8PJBg9sG~SBzdy&2t_8hxW zPuU{Qw~YRo4P)N0cHB$Wejq?)^jjPxeqv-Bpn2nOv+&?sv>IQd()$BaO+TX6`Uf-e z2AF^4A&M=1$ag(rM#U@CyZ%JB@;g*}|3E$C6)JHLkxzStrkJFw{2!qa^AerdXDFO~ z%oH(sWm2Co!M@q% z1aONyyChy=J?;(bB%ZNa^Cx8VVcTg9ZzdcgeT7tD+vLS^80zAC(p%HZ$lo)iEOIKYH6gUq|n`|XN$6#vc# zM)i1I{F@J!may~E-;7yu38%V$-oGlcePn^QCFSqaQ6imp;5HjGe&Y+BZg%>;W&ZRV zxXpio&F42rTlNPwU-WTS?{D0{xJ#N-zqw-&vVAhFq{oj0fR)Ej(+blTq z9Hri0kjlEx>VzMd;v~TS%FoE2y}?TVTd1GBi$bReDlwvb-{;uc+~wfL7aXv8Ow^Vi z*fU{>Wpn%aw*4jJ5bgNmFD94XL|X(CmFz!RTX&1(ihX3H+u?IQ3Ja&bLQ1Y+ul{y| z7oTCns+CmaD01WK=X7>UFxWYny0i%lHO=OD&@_@0m$Pl_B3$jf37xHS3=e)rOZQw-23$Ds;sm>& zg%I$|G79a9CUBTyjp&as!5mdUFOZI)z&OC z@nGAML!7eb3fT|lL402e=xp?Jg9G88?@oBd>HtCjNTLUj^1JO=m*%2e)!%-jvYqb!+z9Vkv_#q0Fk9& zM&>i`U@7$g3&DyU#bmP@^Ax4e`_Vr38l~!c$h19YO4WBrw*AP|@@GhP{LG}XTgYGg zo^NySGfxc6*;#^#bpFWv(_+B+4x@1B3DeaYS-++iO|Sb%A9#TL!Q1Hi3()YqhhpF( zj6DQ1T?yyn#W|zbRwu#T} zB<`|8?*W>Pe=srZ8Y{!@v3T!o=B*lHNq}I4qTbUYyP0+E9gi>gz0+2Mr2Kh2>1ds&KtS)A2iI~X7b-0%bKoauuJs>Ts zV%rEHEic7+R-kfG~-|LFRBx|IVk%U=dm}rsP#7oC2r6> z=^svg@Q5tkKT)62!|Gj61VQ+bdD_=-76EA5u5PqlhH!9wfpeq?Vs69O?tjZS8?Le> z=Q)dGZm=Qx3A$%Rz3YEMFYP>29o?hAr)3uD9Q#4YY1d2u#}9TRX}y#puHO$03S!+*gEtW1pGudhGY^AT4@ zwn1u_r!!xQ;TAcHQdFoYF(Le*HOWH0?+*+iF)ayS-w-krqbN<^Mt-^~0Y1{`&eK6n zTOAo`0Z2;P`Y37Zpfm47dRs(cS0!la|B~oIGd2yoV)pz1me&I~*tdtvx6~Nykl=C0 zC~mflqkrV7%&t*_vi%1`dTbF-u}m$yS9!A1*c!7H{@AOXC0Oqq$ITCNVuPS>RxU(Z zdJ(zKPneH5-bdv6|NVA+!#>WKx|6upjg!I0S(*TqCkY9dYg8jh0f>`>?>S!RH!X;&B)pwKdLfOC@9I3f3# zU9%tIIsGw*rhdoqDZ`jV-)Gz}KcIB@CW}98X2<7!9B$g;83VnU9r z#odF-Crub0e2!{z8;X^E$X4{C-1?9?#Y2KMy+B4#ca4tM%qZ$ZwdV;la~ql4_X35) z`^-AfhsJ?nX3H02wV|82K{t>Kxrs_xAG-SmquAFkjt9{Yl545YAi4p9bp;41;C&VC zfU9VXJf{=XiFRNYN+Rmd3mwF^>mA>myn;&rFVM zX3?FWn3U3l&hSr6OKw1~_Z<^6t}ws%M4`f=^7 z6J{%XV?V0*z1ayLZ4{~b2Yr}+(2JGupOf&}R*B0(3Ur{=_5+hb>RIpI!`hG`7Khzp zWz=mJ`LrT=qz0v{&yf6ZahlSwc;>DpLSF+D0uf0T zmlLcz;-gXmvMhRw#msyoq!uG4(=;Y_HZm@@?`MGQw=s>4D6{8zhm{(QE z`s>$Nnmh7LPZ!H#FS5h0f^aqA1N8>{wQBL#tq>;0j&=ney2bd-6aA)9Oz_+?_Rp_k z@4^!H3japv6mdc&k1g9vSkZR{jU?g2Qx|c{X~epv7q{G2_JtN`oG#bcF>@F>F0kM|8(y47VQQO!+O`%u`5Q zQNlURY#LXzb5SXm&c$t{spg9F7EWqkAls&d3dXRdaVi4SjQq={2mOVSY0CT1mtxRb%hi z$2T|cA%O@cKmLvW$tTR6(}1dM1uJJ(5k2i1)#LlgpIRd3^k(Ke8n8{@fxrbq!mU_B zNtPtnyFR76XDmZ)D&$8^q^D*AndcQqxS&T+;2I&lx8dRC%X(`oyhOTrqk)imcAP#o zn?Qd_=4uMbrXYj7+{klCiZfAEnvRz8M_g-K%C*){XzEhsOlu%coh4Y^C}H!JvxK&L zQgT&|Ygb2cr(-n3tsl|fHHNEQW4YTdWLv{@G7qNU?ApnyUH+IZ-hk!m5H@PZ;;WvF zmwEzTs+o8xm*b^WDUNf+XNh>JrHkXd|2Y5u!My($`>($LU(HuJ7Z>#mf_GH2G^&hm z8hV*_=n92K-}B3-zmV|p6})9?u$xiNDYe zM4T@9c1nx}IJl#Wz|%u4U0+Q2rW@>2Y-5jBBipoV@iA&6!u%R83Qg=2Ov*>4i-Y2L zyG9XqN4l^-brpN9LJmqd5@B+UjhjnZlH4f(r;DZSUFbAkV&Ro0v>WPK+AU~(WdqB* z1f!{H#aIl`#TP3u=^Vl)sgG^pm$5t6$wu>Xe4JacIeL}N;eBj8F7n27vhr*L>yLM{ z?qnOb$6MHVq8*DU0l?yW^XPg^PxWAavYG9N8^tw!tc-4D?So*RUce8}pW{EUv9!*~v22Ms~2NU9i3428?@p z(5NV6#Za#Z$n|WvdXsGzy0JM@helcjYljEW+I1eEil->=$tASpDcVj+?9UgHNr3#3 z1NX69mO*sT1FV*25P9$(OIN33vh@-h+%IEnmO$L0>m0XiA!YSd5*6#Xu5*JznJNbK zZc!wAiI&AT2~#N~c5MgwCWC}4RIp3sA|c9of^~ONxac}nYi@FGLl0+nJRy8pFNb}v zu+z7Sc(?0Rn_j2h_y%=`H|We$N{g zd)1*Va};geD6~{gVlSUS@V6;MNS(rdjU)P&i*Q}Koj?&7ZC0^+>(f2)y7V1KP*;Tv)EuCdzMy+XkJU`;zD8hgf1xMfPS?| z%LyI%J6FH_{R@2mm+AdK?UK0u5@8D}#WfWKFRUPF(TG)w`e@X+%T8FfvdqImV9&- zv#}$)vp&O7;yz10?8ngMIjg;2vviyQ6NyJ`*LsK2s%oa3?_*Z|YvdZ=F}?gga!s%K zw)7D)?eF-y@D^$<-!n7o2|6vmFfIEw^6fvf;Pi7A?z@F*z@iCFUmzKJ56%7e#dj~66Z{0_ zdw(-A=@yHw|H~)m`5l6C{N;lKGR^(J$Be`j*4Xj}K6 ze3^0!rT*WUly?X9{@?iYR5w!FMx<*uE)pX@@Q>`~ds|4dVb+Wt!a(B=4!R=`h+b#2 z#9blX#Dw~In5FuUvDduM8i^|`nm>eI-5*R2?nN*3Haf+R(MWlQ`Tl#X*m8}T-tDMW zf6wfT514xNHsAO^7E>lKA{LE*0x6tbQ9i3y3QTOlXtJ9)wxBq3# z>WkPG{muugL_jJ1n{mskaLN}xP!Md!?GNTot0&6!Pv%ap=a}!W%$j})-KE_uaT;Wj z@?~~!dBOVS53rs8h^>N!lu!MI(9u^Zoc0^x`5Q|ncCl~4D-tw+XP3kft49`< z`>2bMDUV5*@I9Slf1>j9@5qt*jjYkn=$-hA@~S`X2XW_s2gl$@d_`L7I|W9>A{M{o3UTE z2m7V=SXiuO_X0;D*-l}xbTt)O^SRMAgT8A*F||$SR`V2*3dYnroAwS}t`9}i(bmnt zzzrH2Tj}e+N^frr&2?+(Y?C7-cm@j=Xfa1l1_e1~Bow4o(3DhRzUl{5maC9gJCTHj zWw_^s;n7`=#idMkwWZ-z975%t4dhpT#GT$r+;04m?qVU`+D6mcIf^bJ*)IFU5Vx#_ zt!v%UH(iQ_SrA*K1v8S#Wv@yGE~;s`%cbHin}w%*7Osj}xGCn~u8{lQJVs1Dmwo?f z-m-c4OBLcTU4WNdp2(krvvQV*5?Sn2$!4!|CLW5Zcq*j)7nUsUCGyB*5VXCKm1k-( z=z4~UdpF%$Z~5bcKj@tD3^(Ok*2y;FDb-EX*L_4y9b)t7GR`aA<;+(@c+S6r#jI?PsTD|js!V)^JS4r%pcGou%8q0d8Q1&hi4neo4aX2Y*&ebvDJ5ATvD z{R?|VIS!|O#31MvrqOShzOET3+gB|A;3oECZnA#-O_pfgW{2HNmMwU|g0F6hNp_n( zQ^e#EGIFlZV@v}^w%{HR1M?Af^L{|yq?u`PH<;b<9m-8_nN|G|*^ak-Tkr_^)*qN& zd>h@Sk-vc-pxpHnQ}geja^+{VW1gcLbQhI_kC`)9ut4+I7`eSeBlHfk;djy4FKBzf zOLX@?X8!(%==r=v&+iTM0tE~37xxNzjB@ZZln&fOJ>(wq{Jvve=t~p@(0qCRKFja^ z%NKFim^b_npQQ+ycIO|yNFG3aP^2k0-@ohkWkx@0eSh*zNC?&ez#@ z(Chz|&*FLo=-tPDR6jlvZ$$bXE63eq)knju{-_^)-2rTt4`U_Kh2@9BhaWv;<)^n< zwB$b9b^c>DO@l@E&~E&bNg*994;LVIQ2@%Nmn=woj84>Trk%az2WqPK_1BdO!Fr%$@uJNj>~>elJ56pt3D$~<0WSoJma9{ckFR_iL3k1m`mPc z|G1|VPrppzth1DUlZEp2+MgMs>K+!=Yfwo`((`ibQ1@aKqT2|G;OFy6EVQv(-5^;0>a zpT)tYxr8jq;_%{ZPAtsf*rFT`8VahrRIsKc1swQaEbKq+pkblNe^FR5hs1HXVZKQ7 zIAV~)(ZxAL803ockVg3_-`0O`IzkJ4` zN$t#<*vW>Ow+WnfpMziD#$ohjB4^(s?z3CC%Razv+A!f?4hR-g$H5P8koNISY=wkV zAJdGd+)H*%d`{qmy97uLpc{Fg&;J13hM&>+yaumNpHaH(Pn;J&z|sE=R-3Qm>h}}t zHr~a@?njo48)W;K2WG(63x%MYEIaUm#UHl` zpc-QRs<+76UFGYzJ4~&8isa>2Os{{)%$~PQEq#b|$2+E#-$6?N)3-(UnBD*L`&}(m z1u)6SJY{C+eH0>|GF_(;YfC}ZJ$^#z@NH&B+(B6Yk^25OsD<4}DeN{HzE9EG{~ERM zhXRNMhzSsqKKKNsLwAuocn8J(&(J*l8kxI)^F``?R7VyY%Mbt~0O_NgJ{0f$!>74J zDBcul)@|hO{>5ivvdazq$;4~{wu682xv1N$>woZNk+`P-q)9o$XeIxE{^uQR8(ArG z;tR}{K4;!M0chg0rRKNTvhz8nQ~EGfyvw4`@1pnRU386K;-K?FFsfk|FM5b(%^yrU z*u|odo6OIB#%uxHbBZ3KR{oN&^KPTv_7?fPmyADto9X**vn1g)vku=!E9(!`0`Fj$ z`a2U2+`usL7p!-^V7c96zS({g*~IVocts6PVZSj^$fu%#xy z^SK>Z9~FQkzPCI2H-^QLVrbG zdl%DWfKR3e#Lp? zV|Ix#W_a)!Mv33EUG&vS*B^yyc!kfrM}pZ5W4Hb%R!w>f|o)o~0pNl}wF zooj6qNspJIvSJN^0V{EHab>T|ZhZFnv1exhKHfH5h%w@Pv>y9Bl+e-FW`^Wk6cx3R zn64ztH_9?7YkW*&wGnye)#xb=;7njNr;f&P;&3i;M=OX8zCeDQImL+zG!!V%J@V>E zcHM6c&2(wP@o-Z+F&Oeea*HDXX#Bf0Q2 zb{iWp_3c70as#qA>%;LZ%<_A|WKeUn+0WB=w--v!l2@8W7&<(3c_dpH0A*JXY z5cz}K&=0C+#m)Q7Nhrm5_z|;{N?180($s8L3=0rTEyif@9&=L4S$5+gk{K6SaqTV& zX$2Vf-a{s{oW*^&kjbuLMUMc%oNA1UuOahIBo^b-aFQ;;$*TvQ&E?FV6oJLoD%`>a z7>S@`ZQF#7S`I7qD$rX~!S2PEgp@nO;-x7VH{29`lESh>W$5R2qS7{iYUw2wbzVWT zs_6YLDoO>V=#&T_9Vy2)yOSlMWrSR~&F;;m91~J*&AxiP1v?5`+ltft5;P67(c9IG ztVTL+)LH?o4^J6R2&Cs~61x_hVzIltZNF7xITvt#`=v}b3q#kieK%S1iC z&SdtqB(yg-vN~XhZ&buMFzZBJp$u!QK6C~9-@ZkFxndG#mbEA;okv$8k8SH~n71T> zl@4jFF;B+J>k78pE7{^*kNWbnIC(d*$+ZHmfr*&~=9X5hHe1Wu^&2rZ6{!HFl_o22 zHFPI<@kutVT}5Sql#py6(S3C+gN?JP&zecs<*zBr)1)AO1+g((h>eaRD(WbwPn{w1 zXd?0F11K-FCMQLU{XUv#YHKn_Ru5TOEy0jf<(Q@*iK^y@l;p1@DQX^R$80#|cLYc4 z4Fvmyv30!@r}l>u6Q)UOtR$tG)99$5NqO-n4D^oS`hWy|rJqw{v6CX@Y7VUMW0i$D zM$7yN)5<1sUKuBrRuQP5$05BUj?F9Nm~Igf^NTsGGh!ti(z(bX(bBv7U|L6Gu&0>zt zE#mafOXz2nG41D9Xq_0KLF+X?e)I=Dlm5Z>Gcl+q-(}Clr$h=1`{FhmB&s=~{D`10 z?%|;IhE+4~;`NnaFcR&AefWs<@lV;I`U<6SZ8)llXe_`gY{D)4XSbucwG!ofKeDv_ z6}!U=ITMvYLSa5f(jqxpcaCFuCy36A<4pQ_@{%$+9UMcX&uOAV&Jmh*o|EZkIdlAk z=)hB)&QB$%=p-(6(S&p+bExYK~)tOUYyPjWQt0w?p+3C};z!Q2?abIx(JIG?bLSVC`Qu(kFW z{x?(ES|3U9a5mfP4&pnU&i0051mDcWvHlc3H&WQyaGcPg44mr^;Xjzlp35f)7#ztL zjbDEfE^Q|{*q+3R{l|!RizPMS97%b3gk~fW6mgUzg=aY4AnKcagwU!O0C_eE}ghQZjh+2w>L-Q4iTGmnj=T{ zbK%TMV!{uTbo2!0f&#d3>Ilb91``v1goDApq#ukV!#|26K_|rI&LG(@iQ1qt%DfAy zK3GA%ZzdIiIn;#~Pj*n^v=Eev^>KV(; z7HRTMPok@SGD&9?xNy#Z!-v+hdDCWm{q|vFy@>#UD-I6&O$TA8rIED*a{G59LvuycMco95@>pqqn} zW;UDTlh~?~&OYTl_Q~YpBAJP^WQJf+d4eSgZLTQJMbz6VpMi^PwqQLMag)Bt4!J@c z_42V;o-UX~3g%{MtTj!;bX5Xt*QC5Z{*Uu`5kWGs){iG#L=fGxMNE71npH>ca&^*c zev|l%D{`;cDx%sFnM4liw{zm_GIACA@EV^_vUDH0lUmuYTEg~O*&>2m#^jS2vJ~1W znmqE-Y$I#FI6;U`0rs=ANY&`z)U<4z*Jlg5-ow89A6UCK39rp@oIP5_asLFe&Xox2 ze1_BmrD8DT(d<%9m31MhTXH!qSX^*YK0c`lgr>4rfc@VRn@q!?XM} zdovHSFEbMFvN-Hd1hX;u5Dt~6@F7yyCr08F5rIqm zaU7%l@IHGO*CQfdLL}Qy@5k+Y6t>5Y;+7bNUtKJg`N8ZF0BD&P#=iRV*kuQ?w<#X$ z+=F=5oo8MCFGK5ppgO z&r>48mZssB8p)2h<5&j;u{r1E}xR*`Vfh=FP3p?u|RvGNZVPg=+ zhTGU`?akU{o7rXSfv1x<4pw$}AM?W{F@$|t2XK#c!P3!$6A8z#UBCAI>a31}odh2V zVa--cg3lh}PDYzE~J~v2j%(7DmCCFOLxI2xpto0h0ByshB6gSLOsoQWd!Ahp>8`<$Dks zVMr$C>)wOXdxj+B+ZuCY_AcH_*pg_hO^qnap2Kj@M?$iVVX$Ei4Vkm)ZY-)OD~ znpWcI#yQ0T_N{Tp$aWP=)_AbNC<8+Q3PxKBSsT!e>Aogb3A(MZDxGCE7g=XjCV-_B zlQkEG11ec=l8wp6GK_62Szs!zHP6F#%Vjp2*P<=t+zjbx47QbGc1BR^xOxmyJJ3Gc zz~Yn+bjA6iluoo_#ph|AER5|!eqA|h-Fs0w-Hl|+E7rR{rsu1l_(kF!%}N6pO!Hy& z>f_i62cNMlA#_z9!4Bo@G|A_rpvqR(DeT@|&Pk(u+*hO%JxfHTS^4bMNWoJ*UZet4 zmtH19_A>FxwOC4@=D^Nt91Z#oMa>+Rd0)lh!uL3b+~J7JFvnJR5odOX4E>vwFTF?d zqMMvpKE(d@H*wrQ#ES4HltlEJ?UIbVdj>Ll6OeXGLf$PAc|Y;FMd6fuhb_v;SiD(MBHGTInv;s0v-kX8Cb3W5; z&!Qx*nQa$`^6o?=Z7!g(BNr)~^Jw{s{5H`j?ag9_O)ARH8O*Xh&b(bIXl^S&-6M}_ zR*`5p=P<=C74_ZeD7vR1;~$T#Uox`3NyvI-qax}n@122yS30u$vXS=9dVj3skuKUN zj=d8_{!Em-a#8mwM#dvUT$_fpeb^{LToaHNHhaqjWGo6$SXGRq zc_Fh`W}&#D7&Y@!6b*8bTbzUZ>KwimlIBa}D5k7B!}O)8NEzfHy)X%7!$iK-Jdezx zRA%VLBW0MvM7>02uF2u^1@U~lI-SqvCnK{wmuYj;kufUdW9Xz_zFkz0()4F1y4gAz`;<)U)3iSaGb9W&QtCP=i~ zY?(f#IkUOhEhO8>b4bmSRHw|Mvw9jOSqmsBvLHV<2rmy`QqvOfaCavqIY+Q1bD_qJ zNxC3QK!7}2dNRl;t0FtHrnZc%67rHtXsUfkZr(z{fD|};!h}P<#|ZQ}OmIL12SWlm zEUdK1p43D&TFT}#a7lxz3tzq8_GGAQ44qXIDB9vmjCvlfD|fQea-m=^JK1UyBDC>7 z3T@;}bvUHe(NBZx)T`!L=fg7GFlOl^ZO+Y-vUokv(|>&xWrrO{*UgWWhfZR?-wp30 zdx%Ipj_KYY^w*tXlYR>qjenwD*ugdTSzsx2wQDPjcDJ)X@;N&W-eSv%JEG%nu`}{1 zdhs_g%6!32|68mNxQ2&$8)ueZV~zbF>m3AZ+A_fAT~Bd0zQ>;RcUfR^2@jv!9NaG? zo7dC#3u~FhNGeH2on~!|0mA*{6xh5-#8Zd6XD_C<9^~fo04v07~jX- zq-$uW-#|ODhk0pz=v?SwZfZXo8GYy{UBNK61%q?F=wyn|QhG2kqLJ8uLH1SVo$p{p+8xx-b}+wq5VdnnEGZmf{;6)(B;G~u zWE-pUZlfOEiecV;)J|Q+DDM^;r)yc4b%T}BH!#W_7N3jYlJx+&Grg?Nzl-XL%Pg$C zj?`HZ5Xx_(aJrq<1-HcajVv#|heC7*dSwq#JR`2lyNBwjb_|MdAa$XI<#~5me4!ux z?As{CwX!6An7Jb_v1Z*vEuov$nZh5j)yymIM@O`6iSUzld_P*EK6(i~EY2KYVPYS; z=lYqKFvQCAyBMY2Wl>^3h9lpl-o_~LA;#jm6D?!n;VeYC~-#`JsW3l?m3@hJvLo$P44$HwAToO*7u ztfm#4!C_WaUdF!v4y&r#*xG-K)n!d=?YoD0Sqt7B4=^riz+|YG6}8puZWDg5z08W? z>loE_VApq(mDLScT_0r0+R@e7nF?f$9E!|jl z-C#{+JDa=uv1(|;zPTTZ>K-<9-o&K!8s=@+F>C0-uC*7(<}NlR*0RN*6pwEU37?ur z=Bz3_7w*H<()>S}(Rz`N$hQAXg^V*cTZxN-8{x|Z6Box7`BK~z45?c%r0Y#{s7s&C zwI(T&qLl>$T1SAt1zYT$aNe_1NVUzlItQ@TVL55>X2hOQ!)Kog>YD0E$>}32qlv_9 zX=!9-712=th}vpX63(kqp1TA8-ToXq5RH$Zz!74Shwcj?{lZ$(<5eh4m#4Qzg|dVx z3^$MBPTMGkt40`-(8Q`jrL}qkmA6 zzQv@u&ls=v4DDs#vwHb!alaQVc6ovJvER`@@&=WN`)EYoV?o4gH2nrx9rA*eF5jW% z^At6|KIVr#LCgCA%YENs;r|vLuN$oOeZXdq7X%*riNJ_gY(DvxjS)}T6nvN6qRf2} z?+6P00e=BZE{A`>?a*61jy`8w$N<3;9J6)?-85J|@z zq^z@$UN0DgjbIb@BJ$g&Atlb`Y_kMw%4D`fGKy<6uy*KYg;g86s|ryy%SB~#3UZc$ z?O2OwZz;+a`DWOrBfTx18JiMO-Xa)@RXWO|oY|InC^=>`!@2-z`#fe@<)Ln$gNkV( zmQGhuURQy|f3^eTGnYlTEIgY8wTjXP~t`;sZ#vJS4awL#l(K4PHLAjgvetQ`tWp-PE5gC9 zkp(uQuGVQN+7%(YRg^0jw~}K%^0s-X+2^C_P>iZo21?fXXl%|wPP9eV?gBH#y(PB_ zKUo%FVAO!QlK?ie9<+?R_;z6>@*7%Fu@x*(^ox>1BC|!Ruq}z1!pBOEqOGEzR0S(l z6h2n8PZ!KI6Lp7p6xN<*?zUK_38t#*l<_{F!q!w~ZORmFPDREl8~M%Y%n@ytwNDn; zC8Dt9JacRX6Wt>EP?RO*AjX7M3QC(qe~RyA>;(g~5oKGS7xhU*%1$t8TT#}C4@DjY z(cg-zW0^0P!cO_ixXrmlkbDWAYmTyJ?TA#f#?*YXn2(!SZN8Q@7Q)tUz}$R2o0sfm z07O8$zqdi8kbtWxDVW8zYvbtc77VFLhMM&0^f!G=PLez+3CjoxHs@%>VZ43zb4W-x zf4?I{MA*}CX+2pf+W7g&u*hI3vn2IVP!xb9C1{eQ^c=M2enCOr8gjBU$xd-3)F*`a z_$)mAgSe2GN`SWytqtyECP~wMS%&U9X)3d(33fD!+g+o%UNwzlYy7dAC?=#_FS0W; znLJ%|!mNu(&Msuu%p$&>Uc~HK<; zWnLKS8bN5Qhq6E?9Cf9A=*{&*SHqvh^ADgR>&Jq5htW{rFhH1!2@MnB>MiRXMi>H!9m-=O!!6QsYq z%f#6a7_WGfar15ndGs7(dLUBza4trj)YW)x@>)M5^sYhl(8Io%%klrk=x2r+fz7=_Kjp~**G3o12 za}Z^3tU%3H$VTfVp4?6XdJZ+YcrjNZQBXRIl+-a4 z<s+`T@`i=TQ=xF+|P$v>~#Y5=NJHkB802b?uIj0c7lKJ82O7F$i z;3ONCT2gjVL9n9_7`*x!H`->)EmN>XyH0HgyXCgn%AEv6#5iQq&Yl($}C!S^6aUJ3r=H#|K>Pm_z7JPh>twMPIH_baFN`zpdi4 z$r;R2%HpHR2~3(*$d}Uu5Xsc=@z*6x{UAg^TcRP@lG0K;)Qz_?Z|!b$*X=^aU_I(4n~*aS zdUw7j?viQjnOltiRN?S1D_J<}5?d!-WXVUF%%7ZyiAF7p6jM>1na5m}0zMokz)({} zMI|vPbTarvEe;v;EI!pZf&7{zCTJdG`HlwkHdV91sRbXEE2MofNclJ4<2tbkS;b;@ zFYLf$bT%n-e`1%;cTD=ci%;gVL-^r8~@!8AeX+I$ut0;>%gr`E*tv<7c$;@$3$9+|MVouk+EIZeg8_m+a+ZNm1VH zK4DiGFWtq5v)cG(dIR>-H;7StL%`DKnAktV!S4@zH@(A1@hV@NkBT$qf*q_nI^Tz~OVRa$xBr z>{baWWb+bf<9@~})-qPUlaIu;A4!Tj$%wj1i*$}CN0c>Q9Dg7^#Avyjj8z%pW6=(^ zDXoOcJtRTt8BvONNKt=8yxbrsqMsXK`K{%?523Rxcb;7wcKN+=0bn?int1WXbYP;@nm+nXRl`ZqMok z=4=pku{ByweufN#10T`b@)6e?)X6zDnd_}ni8=N)nQ2CB-KxZvEgM;D;eeg}Zq`|D z7O4)Y35z*#L>q7KS!nC%2%l^H2O#M#(m_X03+=gIQB!5ZxwCp?CTu0;Tq-+uxs#NY ziBDiK=g!2CeBMm3C!r0~X3$%wN=3%VG9+JdU07}71R~GOXX}m)_=MWy>~1FL+HRb; z2)5Cb>j-WyjS6OW*vtrb`w(SL`cOR zysA8Lt@L7F?S4Ej1>jy8$eywgkw&nm_#kd&;doX>;9hkY*NOwURR-Z&<;}hZFM>+E zI9M7+Xysv|5<&=1If#3C6n+KaY|aj3S5*L^_F(EYr`3`<=q4@x}E#%1GoJd+*gOR!GQXMJiS)=5chPReFuS_YQcNvzL2|Ng$#S+Q&@OlC`V3R^N# zaLPK5V`dT?lCrQ!$;CRakPVpytV^oGD(Mn-8Clq+r(m0wjkSnAo6`%hOUqq69iPs& zR8d}bF&h%I*d*F*ot%xmDBCI}fz4S-I0%336y;fpcG+g;;hL1r(d0}{X6A4>H=Wpw zJkpYLNXtnkD(f8X*-OwvEKE`iA&x|oYJCj7w4|IF~T=TamkIusq!cr3;Z~g9mc`36YL#GAt*nQjPztq*T&*| z*$ub4O*q$WVRw@Ujy2wFuL@!Br9-%i@wm6#2ah^$+)MljstUuu)Q6C|AaNdoYk3SC zQ$5&Q;f80aC;Q92@xADZPniqeHG6Qa-HB`6K6X|FvbWZsJvIAruMcGRC3p7J?qk;_ zVU@u+SBm?I@AlSu;Zi5ctMw467w(rtdF3AXR_(;M#*wh9?L_75#x2www?kI!3^o$; zR|h};xg0pK0v{heLJt_=<)zJm(AD_5FC{w6hM+x$oI0RSOO3Q3BOh@6%6M)FAnK}^ zKySkrwARYeShtq)(f|qzv$=S&f%MEG3W`c7tq7r}Yy(wAtA&J}0!x1INPkiHeY6k|vifNYX5%-ayq< zu2qk`8T&e$G;~{WB3_O9ZUb5d^u)QUNHwWy z745t#NA;Cilw4OP?}j2(1JabaMGC>6*~r!s`0usqa(a@--FFc4eBcX;XD&f%twomv5~i^^y+Jsq@G# z6+W#pB&Af3lBRVeiu&hNn^D-fhQg+WRQE2V{@MZ>yR~Q(9{IEd$B8AswNxiK^&TSPMugTNdCB}fLf5Wgk<-=lpUREP2 zQ=81H6mrKuUxq49Fw3gIr4N7}-$g0;Oxm25`Yb!aGB2+=sTw1Q{ z(b=iU^=@gJy5>;ZqaggE{@xdL*CeUDE=gUF1`T}+skv&*k@&g)M_XU{A7#2_OFQmv zu}Y;%o)hAF=dj`do=aAQ^i@Yu|(yI;8I&=*o$r|Wl4w~jPQOi|1 zp^TO}P9ihP=p?4PxL?=22#r(w(Y&C9#&Kg5lwC$~ojLNVEK&6C6bkBfP*#5e6)h)` zQK^omQ3I59sS#7m&3oR?h|td4PGW3;7#NsRBhHjCG_8WEer1f+DxjlSg0eIz-epTs zmLf$@1?wzY4=sfUP@15R!U$6oC(*~&{pf7phJns~j3;Y%9HR%GqxVHB&WH~HTclB8Si`6W1@E*Mmm1Rc+YB#bpC|d;gxtl z!nt$gCo=0A{4ux&fA3#OVp~D*2%pFFJ$h$;#?a)CnC9cT$?xg(_n27zXWq3K>RgGD zu8kP$*}&&kVzm1g40ryF$^JFmdo||9fBx(G$rb2+|2@fU1r|nDEbsqicm+Q8euvM) zB+;>-F!p{8hC9|^sc9QNSMSD`mc5v0-GZLpRTvuN{{5R7_+KzL^&>`xzQ_FB3e3!K zO|QWGIQQg!3tV%fE3i1W0#m#lV?5qZtVM6vPZ%FuhtB4;++!2Q`kAc$)mUUq=G(Sl zq;)MOhu83$pD;8218o+*j+l$dog4paO%KzL(H}7(_%ua7`+mYuEB)-;f)Cwm@v&At&Y6*o81CJG@qvx#Y5fV)A7kD#_X8$of5KGvPnc}ngxU6;RO-YM$Ayoh%u(+TSnT@+ zv#q~ins}HV`f=IM@mao}dF$ux;2Tv_%ONwv&|bYU%L&T zx;A61>j&ceXUr0plbx&Zp0S>2U4>6WD|!Bp_%`qhJ_&guKK~T{eTsOSA0n<8iv`B} zLqBm&-25@J6~lEK@S$ffW4i-$tvfN-&D`|U&+d&_Y}PQVlG z7M9@u0&i!yukg`7?}?QUgTG*bHqOxZMb^|@+a@d$uOGU$;l0q{oA`Y92F!K+y!^== z9|ym~6899oA!w2N2;?y~^Bwx;8572QmiIpiwcEcAWAt;jhkG&aAA7cAs%1SExYtbE z8ca2Aq)){0FtIvK+)RDXQH|K*hVID7d}xH{jGB~z7bGD(aaEt zLVGIm0F(&KE%-FOodmIxq_>7ZT+KrM5%Vk_p(wxf{6umj2xr!iWVVo~6}kUzb`aV* zx{qMk%e9d}B#?(UvUBgpr(Q+;&ba;F&(U{aHP88e*?(azkUWJC!TU5of}!7Y0|Xlh zZE0XD3qXW#{VKdqu<&)ChIWx;6d3<4SR5d^l4$2A37F}>{G6H~q4V(qV=*H<&-i|! zEr0eX;rHH6%+t?&%)Pr5@TpG`pT|jZjQbzG+wceN`!*oP=UycObO#ohyLp0KAmMqT zGcu;LjO{2vK0fgan*a%HLim5CHH<6w9bU^KfmfgkYu z#5&r-*Y~W&w~3u3jE&6uX8b<79)C=&BDs)MSQ{TklzF=wbKGx%d0wE>TqFs8qD`NO zttHmLeE$zTU#O`q_{4^!k`AIHAqW9E!?Ff`33G`kH`cjAQ z+Cx7ENvh1#^vDk+uub^TCns)q(SBlrM6^U37I|$$#U8XZoW%LF65PCg3kMIL!EZNi z;Ls5ZPG0)RioJ}07q;XZD;Sw;Lrqgg9-=~0(bxl3nF8b*>ye&x5#Fy%;q7L}k?kJL zEe~@O-X5@l!>yY*dwLs6inUpkiUg$~&DhzfergL9Q53fa3!Tbn%iWBT8iKZSCtCBi zp)FH{=6G#1#H+GXa`egBhS7Ix*)3L~v+^hOkch@fTnh~H5^ z@4)X}W@yb-KxgSHs;dnwu9XBb)eCK1=wrf!ZbI^DsaTDUiY=IF6w!`tm}`-sI(j!2 z8z_NuccZgtJDLi1pdo)hYBIJ{mF!1D;X3rUu3lC{bE7}de|C6wtdAt4(faME&EJd0 z;yoB_+J)w#EwqCwu1*~-MSD?Su$#BaD2m^S_HqRbG%8@QWdqvVR-?0vL{263Kik&g z-`GKC7*pZ>B1`P#6NUTHmtu~dY!MbHzl&p6Vxmcg*5bWrsalElvP~SfrRdIyiK%iWH06lVUaW>p0Vi!T zRMqT7arJ6^CH6nD$h#IuXlzFR(JtVNgfzJo^%eV3T_`kRU8II@rjk%cUFjZ-^z3GA zHq)-%Xvz?=9&}L1eP`O#c*Z((SI`!!ulGZ%Sp%#uVqs1oijH+?%HD*gBF2d-ZoGpd zch!1~ckN}orD!Z!&07W3X41awtr%@%E!J*EKPQP+Vryh<71baW`MA))1bf$Gv~M44 zcPrY;H{ef_&gbrRtTh2oN~p`@$XF;rZqyERvF@s~cd=eY#J?EzITRG!_cI$xRiZMg zQ`!8fDE}b2&-VU;DK?|g0VCL7-3AAHJ6MrNZrMLt{`3B=yH{}d*nXtOTtL8c8!9BT zWkTAgrUJ24h82p^9T00N^TA4FCf|Xd#{ncn9EFX!F7DoTg^|HA+`WGj#+IhI?Q{Xh zPwc`#$0;U)Y)pn;>>{J~nP7$inrEkg z`ksxvZUe7dMK&fG4esP9ww3DTdph+!I|TP&*Bs;iv+P{LpaVmF*6smyZBn&4Qt)Fr}VlLkivRZMj%QGJQH*5M1g{Fhd$x)B`$oN9oo zVrg8RiD2jG-Aa2XZM)f>yV(6HqvyJ)?s|7(x_!%Xm!0b3eX5Z;?m649gps~oBqKrD zP`Pw*bm`&QwEY`Nda-XOeU@U3#5G6Tgl~A5>fS_RP{j?RcZRO)$ zVuC)-_o;G!79>a2j~rtbSb!gzTzsW3Uq^H~0`4V7wApcW zFw)HtR~P|@)?kLXnd_myv~{|3Bh@3D0~OsON3ki!d!~!Zql-ChGawmKNsd#kjcw<6 ztx6x%IAY51ZB&_~EwR^2pGlnaR5X*!+XQnl$=ofFTtBcm2})t2gV+t0-f&SDA~E6xME%PkO12`Eih)&yg$vcZb4A{tg-P{0Vl##LgEyD6+lJW z)h)xt^Bc$?r(kAc1&6!NFgLS=C`)UO?zuTe!b8hNi9tRJ3GJP*cVV zB{c~o5(8++S0N+$G`wFM!}FCk9ywisp|Lp}Za?R(0q!}uLeJP5j!xI`>#?19muJX1 zRt+hhA|$>(fe1G_YI99dUm`|F!ya_DtV2@tkH|~dhrC!#M7~uS!#|L}|JPY71m&DAMDe>L}yh?IfrV1jm1LP$e-~%QBeKd~jwnZQF(n?`;@v zH~|0WyU|;xhx#HZJBAvX%QX-mvH_WXnrKP3hwo!5ky1lc6&j$qLW)!$MHIU|fTzWI zlsMnTt0Ri22)4vbizzZ<_n@Ul6P-=w@O`xpgUx#IeX$-*r8;<*tIlq@4?QG;!bDBP zyxv3Qa2VBPmXxVP6rJE`?A}v~ls!apKEY!Al$|y)zV)xZW zS-K9ALljY%EJ0DK7@c+O?sXz|XGP>h?7{>)c}LzBWO>-*`6&lPJ-P{xjNnK})WjDr*nMNSXn!*RXqQF8iKIKib|&@Gf73OkX|J z#a=ssenZ$T zL#j+m3{uGsbgt*vxf+#)N+?V*LVfN*#!rIbMne=Q2_IOmw)}NJIf)XK=7^|N^f6Sv z8+l=?k>m9m_m3Y(;&T@~vAT%-rzfep?T`|>o4MYLk#+;*#!FF|tx8pHfQl@2v{vXN zCvHCygG5v!RELGesLrK-l~f;P9KDBE;qP5P;UAqp<6HNSRO2MWo>j!cZq(#xpoJ=> zv-}WijWtlbA7#nr$cWp9o@#SsM(QCUjD$GAm{jbf-D@$@%I3tTvqXG-8UBT2w*uct zKwqht`)XIAI*%fwl{MSU>q~SQQ%xiV??*|hCh`-gl534nzaRWQ?i`1KzhrWyNvywjaRA=nhOwQBDi9Gv)Oc&fD!(YN#kU zj;_{o=ugb9ewsG$j2a`1&7H^Spb8T} z79Zv4K=m~{^b0ztG?7zz2o0sSm>RiD`%E#|eFgcny@zL%76|*<5Zn(@oOl`O36|*Z zvqg4}97V-isI9bx_oLG&^Lm1eTbEJx`WoUN*`j%8O5-wc!LZlZ=s{Xo>R7i%3l|MPq~%zmwq6HKHHN6GJ%ZZma|jDGLs1_6s9{`FjM36)joKO$G&Go?y;BE0{Sx%I zb0i2jjJy{&k?3>|nJ=Fp;K3OZj|)n(FCsg`5N&M)TY&|0a2;j&=aHXni{|=cjISly zTWpZW0?f-bL4WsY3=CMJhuwU%)*9J&j-ve4StQ=SfjHNj@O!O==Jq#8D6&CfwiY|H z9nzAGF+6Y%IXtH@-x|H$wmi!eZB^F^_A@BTu|iLmHS+VdQCiMo$U6f6Cl}c)?jy?i zHzdEhg%ppA=&!to;uH-uvI%w8SRf<*07~+XA}`a5{#&D|!4}n(hf)8|hH;l6E9($) zl9``mE40+=AwTFklAc^cy6dmVbah1Ri_;u0kD;!dqcj!uIJ^Ca@pZ86d-{9BeOaj#t-SR>$#9z0xaxam#kn`m=fyG>@(B(pt*mce1z-+KvT3v1kUyb3ET6?nb9i~|a9yq@_C`(2s;v7zi6{1?I{H!GYIMQT`Hsey8B)dlf!j*DyXFgPDc5 zXd69(vMzJfOz5F_Se>^vDC#uBYj11#d*4K9p%?o5!{Fn?T!h#oE8{6_5A9;J^5Ao) zQBw2*Z#|E|`^{0L#JZA9JP{D5g*L`EzuS!V9zbp10n`s1L0;)Cy!Ny~X!s>G*CwL5 zAsnw?okL2(3%q)H7H>RGAUf(c?%pv+cGgqo>;k+!FC&$N6q9%k$z>8$jfzn=Vua#R zU6hY%pr-v2%1fRhI`ksdTp&lScBCadfKR|d6c#?mk%M9qStzbv(nlgO8XW8ZkGJO$ z7;*!7`LE#Vbpb6y7g64?g%XaI<)ac*_8&r3zby(Y?!nY#JBkWlV{|kf-mH<(;M?@s zo5g+$r6oSl)7^-gcLB8j{Bm4lBJZ)`xgsR^6edXwnNz3WJ!p-jnTv>-y^S~$PD;#a z`1@W#X3h(Q2Hl~rFAyK+grLBCICn-9C57&c%PC}KKOn)zQ8hh8O5_d3%LDH^?jfVc z7$qO{kUJws$&@i#r}UA|MiAhA6z%oC$SZz{?7Y{wcEy6&xJ0}@#A~;UY)UamPIRT6 zY^v!`5EOJ4jm;kTu#|~}cn2J@+l3d;?Qr6_0`A>8M>4X;?YmE*V{C&vPhUdE`~Vyt zK7xUf86G;`hmrP16sKN-_X`Q868{AtA+)4E#`C z)r#7x9ymL`MM88T=fohKJADfYvDtY1&>abJnd~%uhzn0bVsJdwOg5_Oo$1s(=p?+^ww z0f!GAhqrquvPO#G{qZr}zTCv!f4qXr*DHwmdIEvd!8jTC7LWY`sK|z4VQ~P(g+(m7 zK*U5PvQT{Rz|jrW zcoh+bhtF;yHav`ydKjmVoTCD4g0=M_q^D-W^~rN4&K;ql5eW1R!Nnt&DR(pQI4BxE zt>p-wyN?%tgyL*tKQyc2@Zdi~5nslx7;+sxv3bbPt46qo5A3hIumhLj`QtFWdLD}8 zgc4l2NJSBzN;0h=0mLE9H!C35SZBed-vV*hYJ#FvwZo$|tycz;-)vVvcLAIZiS zgF}7z?aFT~ng|33M8V0CMg1lasYyi$35rB+P9bB!t`?TT0vo~jbR!bR*kt~37H>bX z3Hw9SKSam+!P=Zk zF{v8y;R(pDaY5er8DzYtLRxe}%4h&Wsv~j2=@w4hzJ`dbU?k^cBR;VZzn-{+w zadAa#Oe~dY37$TEj`;W_JaT%BNA}K$_K!nAxI5B1osd39)iURbz)#N+vGf=(Go0Y& z{}!=H;T+j|@Okn-aO1*N7NRQ~Wd!cuet^!F4)}WoklcKbk(q;oHir=u9=`mUK53~D z$nSSR{QIkj{M%WCe6dC3Z~|@zc)}~{Emgn^)`AZP2S#9KdVnf8on-NbL{A*BNS{A* zgO4|3oRW>xzg|E}awcB6xl>7|;eBHjPDVPx?!W4B;6DqY`!x&aBCaCkxho1I^QkO+ z5f>jrF%XQn*kn9;`~)wbzePl31RK5woE)y9n|p+NGsdBDh$>7#cz+CTcR$75Z_n`H zZ^Y`-6U2VH2j?hLJaE3oajll>I~D#uFY(Ov6>afaw(a~m5@1Fi92_3twYxX*5QgxG zVD$C3;r-kMZaZAX&6`(YVQzst4vr+^gK)UxM1C-Z{lmL3usVpF_grCQbO`tFK7^^R z0x}a#QJQ9i*kD_{^wfv0r2&*QHJ~PZ>xi=004ier<-f8`OrFK)_y&wlY(Q*;9A^*N z;m-BPFh5|7+xP5YYH5sHcW=YO${II>!w=Zt`fUeT9I%43%Oga^MItekB#{`7+@ciN zojicp#3;BtbHzg!7bGMnTKcS&{_r;OmHvaOXHuhsv@V_<5zk(a9OFJl&9-Qh}(LRAl6&IxMSpM%qoco_a@cnuNfkWv~x4VwO@I>?tb-=~d8G&K`+$RMA zA%4itPouA92#raH+nZ?IB{*XO5|EXeg6F|D$p7#gd`C{hd+9BnE&9W2HW5!My%E=% z2%Up+O4uxnG>_xeQ#Z7BHd4CYM09)v_x6R2ofYC!V(`TEF&^K4MD-U6kL)t0GTIFh?PH7Qkr`-e8|0W1g-48GT6zg?-F$#M_Z}lGG9C_h zA0W4&m}6ZdBBP@b5}AP7!4gE4KSyx658?(%HWMVz_vyIXl8MU+5Ao8Epp1`3|L_pI z|0}%peaSAF4oe$LDgl4I_6T6{C-G6#tRX#1$g030>AeNNnzGECN10g5OLK0tS-coaKqTf!=Tn4MIgrAqva#aNp?xlG0=0^4uBE zUp+-+Y#1(HJ&(w^a6Ei)7w#@B{)AF^g}s4a#SO&F+(*ESC*0@V;IZJ2XLbIFY{`Lp zj5n$pD)DiC7JeML-oExAsb}KI5stsL6}WKmG~Rf>f_IP??454HGsqKhxzTVAzKZP8 zdx+|FK+H68_x>S#X5QgSRTh%QOJHQ7hbY=KHaUd*&UXW7Tn6a*1x$?0)0G}T?k zf!ph6tPMv*M28?TEdl=io)~H=#)XC`nEhJ;4*h2pO#Y<+cT(;lNwCA`4U+Pb5f&AQ zBwimK9|AAmw>Wz82xA-t=f}=ePr(@OoIq+M)qhw5;@;KZb$11hc0}Ryzn9?Tza_)| zTQCB?xZ-)vc|3jb0&O*YRPKdHN{Pj#D;H^#GeW|HamUdC74ItGALI`=_ZLVep?Y~m zp}M+@%6f@SI~UBb+*+ zi|aQZ!s>t>ZreL7zsbq|#w{GQK7#Al97#@x@!Rzqusw1TF>$Hz_6tK%X+5fH+HlL^ zA(B#4nb0>lbKxu^Vq);%(Nj7agYLc&L{NCDcPmc(GZ~>&H39j7aQ^Kz;yl7h!b`OM3<84_SRmdko_M@; z_kn|>Bm5%bkeHhW>w|_!3`v0RYi}f!K0)%-ZM+$F!JBy>yjr*o-{DMLjq*WuPb)6p zd4%+gRJ7H$;rNkX(bmyV!nQ?RVg{Z(b0?X)AT6^1Z+(Jr()Kj>O~H$(7zDPaBYo-$ zygt5wOKTktcQ)YIe-$FWQ4e|1m+>sR2)V`0NDT79^;DOG0-?5t8!#;akkEHl72I$ymHuipGf&S6oW6M{E|!hRU+D>piYs zzt3@nBZTKG+UKf+M1+sNB5XVt9NCW|mfniI2xKx0kTLbBFg65TBfekhmm7BuB#TkU5e< zQxF{^# zCS)%Y^dlD!WKkjBb9{^&H}4@ZlsSv@L`wHV#Ex7=#KcqhPdFlQ!55cvec;(#jF_4x zR5lG@X=w?L*Ie=DX*2@8BXR476Iz@5@chMFcv5+1=9R z1GnHi?S<#FFYtOX2Ue*skUCw8+m0j#s=ndTd6-$)5$nk$_8>l&gy(K=aozqN$vGW~ z$r(6gcM{=jDsO#wPDUa6n~PvU0=n^U<+%T)0+zoQ;)aPrq{$jz%_OrNufdQnwVZAD~rni|FX z`H#HT0cS6rh4o<@T)BA*R#r!G^V%KOj45t9T!Q6+gRsAL3x_O~k(G7|gMG%Bomzw0 zVGYEDn3FHgLaKih3Q`kDB+6K!qP`Q#(ru8+^jP@uaJ*}Sm}n_qq=w0%bsXRH@!N0u zxOMG3tWE83{l;~6P<>pyaSk>|PjP&@MMgA(lhY&0*c`;gB_cc|3#G*!l+`y89u`B^ zrsEG>IP;d_=@U;pV`0|S*W%6F*9f9>In~WbYf6AqHPy)H8eIIn3>W_#!o^~afSkSK zLY>iCUxKp2JkGIROy)Jb@d-c@M}x%pA||njBjI(tBzXJ-0?E>M;PWN~Swm&;{u+SC zUtYuIOFaBOgd=Cr6G;hPxMFe~32vbn8+wnscb;<0No2B%5XBL&Aio;cmZuOL5ej#2 zXWahH4h7M1$coQ^OPDQUKVN{`d$w+Kh(E1BZ*4O+0_A=RE76Res}F3A}lx-4)%`7&B{k3N5uHpL<9%= zqp>R!K~-ky8#nE*AUZCXN-G$4$IZCkTb}g1il_Z?xS9SI_rf3Hb?`Gbrb4{S&w(?QRc1yhN1wMGQ>f%(GjQo5i8?ffqk$9L zpSU6~Jr_PvY+UcIA!s%NkCu{g;U96h^R)_(sw0rpn~K*dKFF^t!_?d?Jf4Tc$29|m z>CHHK^df5CwcsX+`n9`1e0;-k<%$CpM+%JhI$(mbjLR4qP(uI6P7F*PVr&d>#8w^d?k>xJC{tCJK%}~Z zBb$;U)zuZ`@HR2=D&pf#GU*PeZwMgx#i&ZVg_2nM)pr&Zzi&tK zqA}McWPCV`oS9R|>A#4|o(EKP7g3nyjNaNXymHY;dz%}a9CeYO>qzpvg7}zAEc(01 z&$)`nk9Clq_ke!fMD3^}$|pJQecZ{hMG?jC<*1v{KuMh<@{7)*vcd@sb?!)ty@NNe z4lVy7AUWnH5~F@Y!@E}q^*@Q+bbHiQx*#v>267Y5VzT`j`i2joX7&VfKUpK?4^3n( z+9Gf4B!V-{D7#&eo^}NTgY53FO_7#z1F1<4NJ_knsHiJwst-V7>`k;ac*F6g5sEV( zVQxGCGh_GBKB$TEB~4T=njmS(0C|f~klOhx{F6;FQTK*Q_A-Y1qhNnkisJky$V|P% zZhe(lvPV-R$L(ZiR8@Mw`Hl!xDNd-%eu37J!zlh^2a*=8ki@Y#?Za_o&0IiC!>@?Q zJ&*D_SCke!M`^Yz++3}g`+G=CIER`VCp0!XBPRAZs@~m3RKy_`p!`jNTDF zG>;uX#Rm%{e`XB6*r90LhA}^l>b^VZ8S};5LOhGZ2(dBNB)VS_pFms=dLTEK4Uu?n zZg$3#r}|Vhmr;<+nAP4!mtgOd1u}luLF#8>X#Oy=hYz4>e>RF&CdV#EtGQ$cw9#&Y==@lH;L2no3a$NPo|jkpA7XH&#Roy9{3 zWi*tTV5ECLRndBmusV3^VS^(l3~}kwHN1G{4Lw~WC@LvHE|+43Ori&c-7?5D%n+Ym zj^pPYU}bXvSFai)Ey)bs4VFmu(?gKUL4-ZKis-lJ5ael#U>`g9zc~%h$98bJYJ`-~ z6J*%)D9JvK`gewCs2(XFGpm!AxewP z@vc%0V`E#WK7@bo5Z(#>Jyq&D^mJ`OL57I2GeKvaB`UH^kshg!`odEPa??kshZgFK zjw3$E5H*E1XsNPBMZP)eGUfRFod^rrHb}kp6afd55MY;xpyQzkK6e3y0Vh$GMRihO z!)9;<@sTnVWgT0#GdlPHbHq5%k38;EU21_qPbsPktuWqW%tob%zT`uQw+u&u-3x@B zvPbYqcZA)rKttFAw1nM2Yl$`5TMZFKu$Si8a$nl})|4?d=9y;5%F;!4z9Aw4bkWKt zP@iIlrW_?yMwsL6v8V7p?ggI=_UQIT^74b?VyS7nA!AAO`pTX7vk zQTkz&<(@@+*ddhV97jrmA%eX0kQG2XQmiqWXoafl?npd%7wJds5qc;9uIFx`n8jQ3 z?f`m(H>Zy6M_Qr;S+S>4S8xj+Pvod6K7-U~bL1vlp)k!F(f+1<&1p1e=wmQZiqZIk zh&}fh0k+WyIL5dh4@U5X)0nAy43|6VD9JdBp0>lR7kw0_o=067Nix+Ab*z&ISNEWb z=T|a6SxIWB%%NW--Ktz;{A;r+zSJvVq)CdgZUap9=-^YiI%*R&i4{wf=UF1so2nzv z97UOyC`h@0=nzwsXP-uLtQC?oHPPOyNt~&oK2L&tL$Y!HSk3PgR4x=PT4ZR&Y zm>RNSJd6?PcK{)t2NC-AIKqAH@Z$DS_&g+6yrw$#HpEz;2qSG$eC)o9S57uK{;MU< zoIcNS{Ssci^us}_NwG)996uKR}jvT<^@VJ z4N;hUoa+km(#XoWC#fdPk)26}LzXVdJ^=*{`tpzLR4Y`LS!0Ao4v%P}t49kt`D&=G z)k9m$L1dAHYFI37&DN-_)W*b=E}A>!oXri``7M^;Vn02o$dOZBq~Z-{4I8rkf!Vga`blDp|q48yFd$rEmC|L z*o|*PoA7mVGiC=i;r-ZdOpGbBW66+TppM}oGu~>ma~Yt$-4NyF2Hb;%UrrF^97fNe z5wa@R(HA)bdmit*j-xg93<{ktU^pZcDL3uW5I}YC(i*cJjws5pKI3NNK1kJIj+%n=80@-$nSMLW4vDcix`DoL!@|H$f@n8m zw+k(`V$6(-F*Uvqoy}5|=1S4}&I0YVhp5I((N=GZ#%c?+Hjsq-3{hJpM}D>(eO=1v ztJO!6E7f8^05TsxK#A`|#JioMGPTA~&pGsVAHdMC5ef@5*c~m<-Ok2RWsA&gT?|lJ z6qhS;q*Ft2p%RMoR58@2jJdh3sAf@SIX*?LZy?g0&Y~{J1<^0f(Oi5OL!-Z;wPyzg zC-)$~SPf;Ry7c1!ib=es6`GXoQmPv*^o{Bvvtk|c3k@+ja2_))Cr}@B8WoRkq1QVA zc@B3_`_>6rZU@m@dI3`dhcGrFXR(Qye|3_{L3Fm;a~upk zm!HCHuPp{EEs*PV00n_|NcEs<@Oy?N7E3E*|9;FA!(-bqHoFPcbxKsO#>CUF%olUi zaS)Z|W+==zVq-Xnk|Lw!_qYx8n6g>yMN6SJQlHU=C_lvCyNaryHzd#BFxqp8xU@oU zrapRlwTLYpHYr2&^cb*4^wHaX1T`g8cxlHm+V?98b9GQzVn7_&QF)lLA#cH_@eQnl z-54icr-yf8tYZiAs})iAQ4cjex_DP2yeCSZSkhum2};Qj^|c4k-eHTPYHh~W3@vqr zs7lvGPcfTQj}%J-Dp=^-g{9uj__KE_{w_4=GBL{He2>P^@q{aVvJnoznyhe zQODFSrR(PoVCaS298MVK7dM>*{-B&KsRj!>Zs-?w%Gl|^Te9dnBf-w8R{ zCg>g8gV_ZW)R#U+(3=;?OfQ7Ts}SCn;I)Sb%qe>ma91n$rEWX9xFO3mu(3(9qy{+N*gE zU(2P%>vT1^2kq45(wA-F+Q$8MK~GHqI#T+iyO!7TI)n9)YH(lLv23G!3v|`Q(3hw( z23w&f6GN=O5$dMjbKf;EQdQ(q<~54YQQr$g&Hd1lY=O2AE7~FWBbRUBUK{?hM`If_ zHFhlTE5t!qI_f)NEZz@2nG#Gi_A^F|i`HgnYBRo!p`rS27)rOnK(Y^p^xu#_H)Na* zB(z^F=Ia%hmo3oK-Uc1+r=`I-2(i#w4Jpr6GFS&yeflI-fWBA+1GOE{Q4>L3B85c0 zn`iBTuI?77%YK5EkT^fP$@f5udueDhUW~=^ zUP7+^duc9XnM-3c#9E3_G}sL_#!r)ZFwszersx;wYVKs*cR{K{{Lp_5Vpq;{1$*S$ zEBSif=hD((%}9A3@us7(o!C@_RI(EK`YWNU!#L=yg1W&PsOtzg+72V;T%SHmd0$yq zk+I+P-?*Y(+O(5cQI`?JdRti=j5qPD&G_gtX8Mxt(C6#*7*{QA;)_d%e&`eX2I|{j zEZfdnV11}>g`O63Bh>eDz4DfMU(TZr^T>P){%iAjUG4RZy@0dzyw9^G^i{r>*NPZ- z+C&V>WqX)!H9o%^Qa#oUW3Q$mhD4%EuF&Occq{yezdCbB-cVyLNS41!TSNFSTP29) zJ7HiUhKjl(lqFhFR^#G^O6oEwNwj&Z4kalc3v2m#bzY|dk<5TUm+&wHXd4{heO@QB z;`!hJ<91*mAK&=3-$vO3JA+Ax;uK#`!`p`nExGDGZF*P}hE z5J|)kiM6?S9t%Rm*NS+Kvd9>Uss_s+U7)RZ0_t)rC<|wZX_Klk_G+kNyS5nHwItZB zp^p7h>9WmYRV_$G+E5i~(OxN3WxCKcwuD&lMXX1gg)go%f|~LHNR^DCs;&%0f^Ihp zV7tyYnj|h?K3|1IsY<}9(9UI_>64N~&PC#9VJ^py zaS*F9X;PAtzA|)-)L1M#u|uwoEjmirtfN9(rBEX&idFS_t?)H5yO=-)E+wdn<&1}% zO4Uyq>CVoZcsiMWK<%AqKgKv}jIY9tAnsw(7aEK=bcLKSSGs%*?y(pQ-(lnEwX zGsagY*vOdtUwfH5Wpyc3Bx*cQ3t|-$s4?aeRSoQwilHbo!yc&)i%AX(JzK~{s?b*? z8L3Di6Yt~Rg8!=Ak4-3RsttX;gOKuEC6NjDFy}JmK89Sx!~bU~s9G?#YRs=9;~{~C zk(?yC8!}~WXs8^5NX3ZP>Ck58nb#^2bIY+%6>7o)%Cu9&+=@sBs_GgrH29U+Q-g@b zE+$U*GG-zHLu%@@PYwe`5;qCjKtTe7z4}lk<`r3!g1=%7BWN2EdqS=x+*e(PuiFoa z`X27B!2By=pIiaEwD(|}{#I<&+llRRP3)8zW4AhqLC**p`ns$$5t}Y?MXW2SF;8M+ zE+G!|gSlSLo0vjH)pQvPA~lX!Y7%H08B$OXYiuq`T53>`3AMeSb)Z7OS}*OhVIScvO%~5#sHzCxN}#t~5NZsBP-H?; zsi^YxQcdU@5|~=tpXB&|3saei-Ooe|fe-*K6u1frLHL*h;j>p%R3&^&-({M#-2@U1 z7NuY}nR?ky0Tk*?F5@Fp+Xtz#5mXhAku4)QGXWw5DJ5o?NA zoXX0KqdAL}Kc~%xrk2dNInUvuy(+X@g#;?(Ta`^ph?hiU0Cg1u7P1D^R0u}FKIU62 zmBa9W;HMU3#DWG1Nh%PY&@6;l66_+9sE8o_3mY1Y(>|zc&<3h19SsT0^iD!q(QY|c zd$hM;Kb5(F17RtWGz9`sWJ6I@C-_NhDvXmVyQ~mHEnO3mvI(E#-pg@U=WD29WV@G% zS(Q1JumEJr`p{C;r=lZXNwR9py_ke=U~EEVBYdv2kUutMAV0#G{HxANq!Z?`9+%;)NnYiNZl;IZm`uXkFB00001b5ch_0Itp) z=>Px#1ZP1_K>z@;j|==^1poj532;bRa{vGi!vFvd!vV){sAK>D|D{PpK~#8Nl>KK| zRoS-pi<+&n$};Cj&OuN>6j98WvzP!epdv|fj*^1`L{y@JilU&H6%`O9XUsX2Rkf;W z<+b+S@BQ62s8#3Od)~dz^R5s58FP-&d+RO!TWfQ6COy=(wNTa6Kub@z`)VbEp~)0fG}QmMdH-)ip`op>$3#^X z%x743)B5k`{ZB*TU0FX#O%>y*rrms~{)?~wlcDUd?5SL}L>JShTXfU>@8dey{NL@A|f4L&YBzef)0Ye}{%L|94#YU50;my@}bh z?zPIg-*oWr*+h|B@yFl&q8qrBp%7DU=osk#Z;SpyIvF;|2G|l=im1HEl8Am{|@N*04k{`c|U^YuIbO43lqzw3{`+feZ*<*L}>-|?g0Z1}qjzxjfe?*Ami z|H05wY$@Qc(EPt>|4(-P?}xU&Vu#;#`qlF}jBKcvN)8Fk0;2`cJJ^`a$nwdJZQduU4_ zY8%LF*<5c5lQpFp(3#S`W}=o6!)3nKBrPlqSDW)CoP}b^>L_=#7CaR;*nP7~z z(3z|yhSXF=O@EZ|C$j5l3OzNcmZqU*V2**MCF8V>g+I}m&KR^Lcr?`Yg#QV`mw>dE zndnJF_E3}OQ&AT~YHLoyXz~Qn#{|^p8lhq$K_j}Gq-}(nx|!(5NbIB`^GC~CeI}_c zmi^`n@7h9VEEDwCdXzQRU`qYwV z+L{s=`r1s;5&lI6Z3At_PnIlgIU6k_ZLy;`x{it1cpOHm>gbB?^i(8kYw2O2swP7R z1L03iO`FLY6GV^Gn5;Gn-D&E=$5h6vO2r~FP8JZHB)U-3G?Tq8g{}@`B&f$~t1(_| zIm$rx(3ibb1vF(JBXw0~O`jpMDoIgQ{7hFewb)(VQ2a;yNlU5B6}n?3I1Nx$wUT?r zB8LVO^hSzpM`K|n6`R;rLwTs2fb`)}5M~?5V6(0!n|3(2=As;Gm{0YlJ5?&BG_Fo*84rKIStQqOCq2UERTg58}tF zCf$5a6rWb~Hc51==ukyoEB92jO=Vx9Cw?|reAd9s99RHXtKFLZSyc&eHJsy^nUCt|I;8C@~5zVK+SKLt~*nM~JTE5R|C>Ez)e1y=Ea$9{KMn=ofHq>N_nZD>)#+1pJm`bZ`Vjy}F8%mH* z)m|t1n1+$XIE?j7F`OpAZZQTOld+g+STkMMf~jHyZQ;v!ijJ&Tl|V2Nf0!$8eg^GiIidrOcaysw5lpSrgHiFq3Key96vIO9IdnS!beW zI90N?E>mYo#uh-ZFjy*k2o15n#SCdd)TOPQZptM6VFGwY!h-~xt^l90B)aKFVq;T` zr_EuK1h45-+1J=m?4;GrTem)@OvF&z8hx>e(NwX4;b^(1F7^<=G*Dxz{wx8)1(=F% z&6Ku9{My7s;b~euu#{@mrl);tTVHA1$VcZyD-ZV`M%} zfNU^REG6L?3AjlSplLoA4SB6$DQk2`F;&)?DYg-?o@!ztaVT@A43QX|D&V9ev8p3F zk~k7uPO*>}HB`k&dz!49iJ8F!%%?6wU41^r76uZ#rgC3jY^fw#(W&WNiEVw^M^*ST z5MNd*Q#DM*c6t*3rlwOcGc^$#O%VI2h>r^R=*t{^!3TL}Y_5gA>@mea^l4x%ekAJ* z#-e9DR{YoiBV%g;Ndw_gbY?L{67K}jx1P|`!EE|;dMJIXp*WSHIJCB|K3+lI?D5=> zyO$T9?jE@B-c54!Dk8&%5FMq3#{ok;{mk(Qn2L+1KHff43G$uIfnAn(Ihzq3IGq3w zJt7133HCL?*F&4waAW*EbqMy+A;i}ZKTma{L-h#p*TmmTh0tIXg5p&;c)*C1-3xHt zybf>AtpseI&*7cR3Ea6*<{1zYJb}=V$@q9{5FDsMc!)lJ-g@}@Xc8KxMwqWUfdPgD zd#e%XJB0Y?;l%lA{-FWWWhWq|mxb2e6g7&S!Yxisdyk_I$uaAe9Hj&XP1p197++PO%Q%mWsT4aHjtq&K02Q0Fb(lXbs|G26Bjd( zr~_6+Ij9@gv+(q?~*KKs25IN+jASb%0XZ?WOxJ8qLD=EV;qbP4g+#dn`N z;Q`}`i`Eg|bqMe_#>-V~;SfU3w@VjVLFjf(}+nD$4r_=T#5||v9pNvn&Ogp(#*JZ z$(C~`=aZRaLH@BBoXNE0Lf#zmGNw>)YAVHNrqNMnz^yBD30=LM5ZgT*Sert$tsN
    F0aK%PGy z$;0vmIBu9r`uYGu&0WY@9Y^47TT)%jDaqc%$&6VPC(q(e;cT*xE+zA@36=K@g-;W% zpPfZc%5(}&SaSK?OpYGYqM~CIW%WZiC;$;?<4eZMT?EYvAZk@Kq3eCgPh8E#Y;#T> znL<_7cupSI;B3|!&g5B=oijzQLN9L?r)18(Te_4TA4SdOIb6NJ66ZZ@I56Lt#Fd8# zo*BTAm7zqgp3T`ab1A&0#pR2#KHHk?6NZ$Xo5uN*=HwmLq2Zntr;kqK>goC99iPpi zW0PsBn#l7iNf-{(IKIM%Br|vNR{9Y=%Yh^ZGj3+ulOJowwJZ~EoHi%(m=Sj$>vBBR zhAeqqly4<+ETS;SkZYGrxLh!mE5*9hwvC{xwI9vxy?IgJlb0=hX=xh3v!-vkdv%=f zXGDCU2}N1+xR__k#Z!iyKQ^D!$F-@vt4~(OOj4t!b1uV_(yR%5d^nu?`wL0fYfr4% zHcqaNA;@wU>05l*x59?YC)ZGV%$DOK)1_-_6y|FPU47CHn#o><-F|lF*kUr%j3_CZ z!HM)qwAX&ii?(r8-Bsa2miS1vj_^K;yd%@7t5gx+nJPA&Md>*!vW}W@HrteI#ikUW zGv?|!Z9YGmK<(*OgfG}l;zCy<7KIZ!KaAK#E+n~3mzY?{#hjU(J2get8jF2r3e72; z&zr`z5=-*VTXD3&n4>wGoGY~8;`w2`>KMVL=)HJO-!HxxLb&Bl(l_tJ#bP}dl6R1M zY&usj&L{i$9FAvbP*twa=@XN=cG^PpH%sh0gIgu0S0Pyd|6-;!*9s+Gj#>+!v$%A23ON}j+$)!a<0p1XUnx4A zNWszBLeq-2uEE5I845HbfrSAPIdAsqwbN z#m*!tS^V7&(Eq>V6_ z3Mnd{6`OW5bCwCm4q4M)qe0i>ujs5%<8s~%(i7&96uX9`q*cTxE+;v438~4;gqf8b zOckeCHv%T%I|CwCtD}Lv`1*p=Tyd03C0x^o>@-jacgd0S z&Sc2EBQZ9V=k@L6Rs;}o!I5iGOSl)ah3ny4DN9^Wnt*5F^$o@@(h*>S5V$79-9TXm0 zO>u@f^;hi4Jh+ za3yyEA1gL-s@{WL0`3Jl%P2fJhlcpsl!OR?=C0(@g@qKwFDFstF1+VSR`zNt9;_!v zfbmr30&bUhk{-W`V@IsGQM#7A+)?7MqiCr#qM_W0lc}4?J++pbw-<@;uH(VI6=LHB z96z##OXut<$X!on`eJV1*uwd9E5t`v3QzO7adkFFqgHb}+lJIg@#hnEXl3M;s(dSO`vzK%Eg6wf@0Y{Q;xN~#6`1}$nFqZJRawFB1t2vXq zkRvIJNsN{2;pL>nF6LC?MvjQz9ZOk7YLYyQH>0M?g66uh6cvnP#R_fKteeX$8|frM z60xveiMqBilT{}C23(rD6HwD0iN2vB2V;`3wwBD8wTk-ssWdnB;A#6vP8~L4#k?_i z>|MZ;Mf&WKR={?tHv9H2Wcf0EcI{rzf<@9Q#=79Np8Zq3j$ab--#hKIOxBOvWw}& zB_ALr!w&C=tz3InM$psKM06(L`uPws?^ojS$&G*yTgiO0i>;Ac@k_L)tRx+`6{k4l z8Bbo`Bpx)4Bdf%SV=;?3eaMTg9<#~6ES1`xS!A7Y$LrHgqMrwn`%JRYGe=@x>|oaq zQ8<52VRMoL7tiH!HLHv*OBdtiG>7tHJ8oYa#e@4}$<16U8T$wqP9>6l(2X^_W^m`$ zaf&;yvF`h;guOmM+^bzgzT1NT^I*b0dgEESk40|QTrNFM=(fEaSYl7np*SI)*C(>U~EHX-jf z68>oq{;z}B@lz^}7sbI32C{R@Vp3y#iQetP`H~p+xZ4mNc|d~m0uHM-;_750VB*33 zyC!thPvG9QDHP`=ar8(OQSrXS9I+!Ha6h;2ClVC4McU7;ghg#<$DX<3qx(3Vv4_(| zLBywSqw3iyqCV{>>fLURJ)cS3+w~lNA<4FBC*g0m#f zZX;1?P8=3qZ=X-cch^dMc35)p*d89-Fyi*@v79?=iPv6#_PcKU3WQH<4pXW zJ$SZ9k@0XH@$In`Hmv3TqaEz9w-O+6CpmGSw9#IKg}Vp zBp_%P@ks|rKIF?5ds|}S-0=3^L3qeMZrwggQ|oCqZnkE}?zPNcY|YMn>#c>tVK)nP}-!5KbvL7rnRc9T|1SixPzEk*`lF4wfjT+Zs3}% zGZ7WN$xJhzB2MSWImt3DO*+&z4x_Qvlw*=1X3x;VVe?vMSuMbB%PwZlv0oRs`+c~_~otA5B zX?ie;js|tS*KZ>^>L$U7VR(8*5-bL;zgxw=FYj6UA)kbHPwYQSKz)qI<;792u0S`%rm=_M6}C2s3Bayh3N#~nuqN=#ynogEjS-DTIOTR6Tv z!JMD6aDVU2j_*D3{kR*yw+?JMnUCk;J z?)IkQ%obW}`_s|>Eg=WyGyR2Y)#15|9sO8wxXQ=$Gj17Nw!tuvIHvi;> zo#=P-+dQ__hOsd&fV<`IvDH{X@(w%UZ4;f1V`zQSLnz z;2z?IUvjWy=qyg1&n6@$2*+(Mq(xq3zSce>_ip7}q8sg1`gGI|=3M?L@(&ktsq6~& zdwmH?@Mrn@^<2GmnY9}nIT&}0kg#OD{iDSH!X*%siHSeN0l#qZ#TK0Y7>MJSy9KKhRM zg9nK%NGJRKGxokNV)ds2R=q!m{ij5>yiQ^FCs({W&rdN0M5 zp7?le$JfV00NaPn8>JvfOeG+4AFc<2DZBLokAU68#tO)|`LJ=j6Y*DWaOm|5cE3J` z?T4%EdmF^=53Yo~$|k(si}fAZEIqgvFY%k8lnmC{ZKL%1ZMklhI1b~0uf(!%kmxdw zxVQ|~uH3=Bd-rH)u4KQ*K56ylv&nuB=CfzB)o~*WXPe^auo}zRme@J&V7AQ+9PM?b zV;j!XM&+Z9@s#H3V7GcAp<%l)n_C zZap6Na&UWdg7x82wqLzY;I>QbGIQb7PBCcdEM8al<5fd1l6TuulzCIKXNqKmLUyg% zM*X7_cK`5#g`aM7qV51kA4t!BZv)}wu0+%yW7io6*1K%up#2@Z^>2{vWzEa`qj>qK z2d^uP@LaKii(M9<#pkhNXaT1-x&uje zt`vvt;(g@=Ue@-Y@{%dev(h*oab9FO#fdZFxF<+ffANC2tPpIUo?uT?4s+htvgpSG z3O=cGHhDXN_OW;@KZENWsdAmR^XjG!Z$$Q&^@DI*yo~(VI@~2;d4wmj&)ZF^;_G<5 z{E@})uM_uVH@?>c@I7otMAz@GfzW7ut9gL`rqdw07@%Y6cSM**v#aE=9? zWxZMq$&LaP@vC`XuEuKt^pgDHoQbDG;E0s^A&@C+n8Jb|_A z9K^n9GSbP-y+mk0Dy?;&3I2XBzOS~D-ROzKiBy7%=5n!ksn|J@^^p?f0-!FAq4=&p z&5jw;RqS)*>19hkSNGsqV?SKC+HfT3CZU0G?1}Ry`}0d&o;9-cQ!#s9MBtXQh{UQ; z{Hx7KYzbzaPYyomkwhFyqx95U)=t__y3>9hB=6=!eLr5d_mCv2N#wS(>~r)WH~$3p z+F#&Q8^OB5)6%V!z;O>lrOVF8B;l$i4m-NU*K4pLb^ z#BSRnQe%@PIc4G+?8%XuV&dPwVe9KNEdG3l;5UIRD_%*!;{zOdF0u0XC<|P?@$ins zJ@6pzo<7{U_mF*(SYo6YNk}@xR{QM|=TZnb2jb@*&&_Lhd0bP;hK=jwnIl%S7qDf! zJu_!pvE6YGmNwJb;Jg9jIkVWZ!xd{QOZM7Zb0kciFAe>9Q8j|nGgf3~>|=(tIqJHm zsA=f^R&gokiPUuTFw!3(J^M;-m8nzzcs9{tOE9-Elns5DX|+DENq}G1JN71)6IgXXGH-~qH1YVq+=czyH1@yCVXagWA>;Q01D?i6X$@n|4jwN_+= z*|X1n0|#QbPKF^P^{dG3pPfM5+vKudP>QtW! z>~+Oy5A32=f~clp1P`C7av)+cP7cR7b)=d-+ufxd*h^VaHANTyi?!*6#6Dk3cxNP$ zt*!(Ctqu3V7HGf3LoHTk$M3ZZbn2QP1%&{{Q^ znzkw8Cp)>G_g0cw5?;p-GtWVQt-6Ekln=P)rsMN|7a`B%NNw0l;L`+24pN<@?Z&x+M+IhYVjXr$tkVbTc%5*?RFlq6eUslHn4-V%2!kocEdiEj0l z%0GZO3EY&2QOTcQ*dzE&85uGESwZgvZphp znQN$T9Y^_-Z^$Z`#fBLHBnIb@cKkFYT~FComw@w$3+!zvA|xx1eeX{aBuTaCVI%<| zJMk0H3KW}c+U!hX>LG%|gCyQUxOcmTzyOJp@D%n47_Hy2h9mh`xLomtok_mzeH+it zcggI15sm-5Kxy5jh-k`WwSN*J!O0{gO2xHt8&|L2l?qmAy@T=a^1<8BUt&Lo)I%rO zu+ENKx31FK_#QX604%MHq{7;drG<0_0$Ns<%W!hqi{&g!XH=K<`fy%dSKdgqWo$HvBZsXB57Q?lVIH&1&7~^Z zCMY?dZQGogJ=X&J9V?}zo6WW@9?~|hW~;+i%xCC|V%B3}VTzBhham7yA|irVw{|rN z@yh26>13WrBP=YiTUmhtp#=CT&)1Ti94Gtv@~r+jE2p0zpF*4Cg`{e*c>nppAr1}lENz`VZ{VbXYwZOu>EdFmWt@p0I%T1{s~ z3kiGM@pe2w&WmZ%Hg3Y}!&(x%wh{5cm!)TSGCp8G29MuiRsV|RZ8zEY`3y7up3dw) z7Gv5e+2rldc*o@t5i40H)QOhHKjP{nK^C-ylBVrswl5)}Yb&uYSCI8;BXi?-vLikX z$HoTcm40H$(?`tu=@K(PmND!5A}pTWVs_O-bUk*EcU9k^O7| zvG1l4_{oyckMoH9;KG{2>xjR2g3S+F(5Y+2`sG6wylZCm4>y_h=kw@4zlX__2W-7j zB5imm8#c`3{>?|6NP5SjrIBPm*+gu|R#M+ulPJNr=SOKTsyAWjv=qN*KcUzB8uMpe zEbVGy`LFp{{dk6%pC2-<;x>!3F5#Jeo@1v91UM2o^|FIAHx>|A7erQ707oi9asJ7H z+ij+t%-n)TtTzi^{mhIsgIl(WT#r`Qco^&NLE)pkc+ry$maoR1FVOEK>8g|%6Cb8mt zBgPM#F@O3Io6p6}{W*;pzuaZo>)R}E{)u(DS8+)XBrG-zU+++E-l)J!IqKu#Mo>@y z8#k<%b}2?$n}g)!WDy<`LhIuPc!zA{blZN8Hv4e2YYl17mXq?vn&fxe*cK-INpd!m zB$yKtD*!@EQyJUCMG(GxR`jUG`%=*zzw?% zo49%DDo$Hh%l!lawn#jD9l3M6oO8!(SifWu*{uT9UE4X_u}MI7tH{0`_p7eVmiYG! zK7hBER9+tL+`e@kcXu};!o#|CXlJ*9u+U)k?QzD(b2oQx7SZsinca@Nr48Q9?CEoH z*szZ|v*xkQelOOu7fI3K#GKjI*bA1-o-;=y!74fl)C37#LwAhWT zlUq4_XgMb`CHqBK^6K#+&g7?a{rXaBAB?4_ViBiXRJc@O$;p~+xE;49^~O3}KBu!- z0&DZ9EEaq@$>tx@So$#;+rJ*i^M?RJ3)Yd7zJ*h#mvXtwnG-h>Iem5$^%WCnxH5s} zdnPoN8B$)g2#@p2*>__b%l?+n;!o0Y{BVV}?+>%^&r*K>7{SIbiMW4C##wX`lCyvV zhs>#H3Zv{&2sbWlphBvXss|?ADc9##`2x;9*hKi_O@x%~XW#e7+4lJ$%YQh*{6FLh zuL7_?7qa%p7(!Z#aXYw$$B(7uh@HXBCQlCC^riUrN@{LQ;!ceL#g8XZ`q+Z(rj_`e zSV-*Y{kVS0WwywYcmDABOo~&f)NVDBIsgvgM<+`2rdq-=Abt z&US)NZznE$GeL(}bFn^=n|FuspkXRCwS#%stVi~{!8~diBD`LiRH9s6; z#fJjc{*+CUv|0y`FXqDiZInHY=Wu}s#Sf-)r+Fx44^+7M*pi}qD=4bjE*07&LeA`9 zfBFsrUmRxBuW{^sb%YI{OIZ5hI!+yhtorFB8-9)^@a|4-6}r&ajW!k8YZztavp9TY9vSH?IT*Q|*n>;BApGX$Y$hSbmikH`QWLB>d)kR$|7Fqz zt)QfAEsy2?g)A2;?=9oO{c%)0n99}r(>VKRi^QQF3B|TV9$Ll4%XgQ^0T< z$I{kw{McHG&Pin#w~EWfj(B4ZH>|7XKiDLy%C3#mf^Y2gza1BV?BQXcJ@24 znrkba+HNU~mI_$yV2;f~DU`NjGsi$W9UFr7P36?lMa;3%LQ~TM4b5r)s&4n}rM5xOF{q=h@(}Wjl-KEM&*#m2w?N;b~jy>XuM` zSC?Z)v^jHX9%r)_kez1DsWfvgou5HLo-S7}+K_vEI@#$nDL%89th5=NIBd?9q6Oq; zn9@)^jncB2c-YP%Ytw!_E#o-ov>C63(&7ay<6zE8&fd1c<@HfEeU27Dabn9K;t2V$ zir}{k*!2E1`@i?Zztn=$1zSivY{AWkW>nr@#4-CNq|A3EV*UYAmK`9`#-7w|OUaCr zwzY5(DKA#y_Q4*zPkHSB;>X^f*An&8f!MB44z~ty>f9WT<_ee}-$r$V6%}_hIpVs6 z1Lk`;Zody->sh2ZxUz4t2dU>*5PQyq#CKBJy;CRpsRv1|dr5t{i1pw5u=UR?h-(WY z>Zl!$%Eyy`Sd|;Mbt$QuhMVm&64rPVF(Z~E>)r6O-9y}-6=dfwBI}AZ(a&53R8rXg zCWwHSL4>|_AmsHjlArG5RAT^#F0LW7U4tw;8Njy@!OePyKF=LNn_fojkqO#yT@i7X}i`E zX6Z$SohKfPB{4+^0A_CD#`#(JXRly~v{d0zg{8coh1>f;QlD(b{Z%B}KO~U+WIKUp zS8)1@2CcQzNeFf#Wc3zeS1cxA?NTDvZ^3`zF3u(7rBJ!q}(K|^B?9+s<+ zecXgg1-24%b1BH0MXAJER+@##Y{sKI2IQuibM@?MPNdD|Tv=XB-#<{ZwQ zSV?Z$3hrJrprvg%Wkp70gzh5N(?<55LtL~r8|~*~HQxd|M@OvYtzyI0{aDXgA)vK` zxwDtDY2#W_V&{|SV@sy&F>8iCsv2gfYW=I?QtkeGAVa1Yj3NE-BIzxsk&(IvyJcHh zV!M)6>o>7Lf@;gA9oPyw+OMC_@`c}0RG>|3%Oq+ae@#>Ew>-K#oa$Q|+`A-!n6Jw9 z^OGpQHioj|LEO7Kii^3D3C>Ou2iKzX%w(<7{`rIp-9V>>TeF`g*fxWyvZEfJCDl|2jptYwQxIf_f4iW-GY)r1D-xGgk+n+bjXMl4CC=8+Yk)<<2PX6%M2Q(g^P745OuCAWf}3_*~V4@9+1PEZ>V4RbNxr z+LMaP@tiEw;X;#S-a4tYU+Iw5zJRo+wj6(DNY?YI6gICQ@9ZpTWd~E5J&@L_QB+or zCf?tS^N}8$*gl`~5LYtxnNxCXI@fc|1vIs}SvW=bHR5)OHjj#RxRbBTr3-^7c%VsE z#|n--TfyPyHWW3_r=zYnP0c-|3hYPuEfa2D(Bg8|NJ`FWOXbv$i}_=yyf#&QP+fd* z0{5?qFPuJ|7->U_a+L6^yhE42k_vyI+uf7IqA5A3#pqq z>N1CG!JgcRnL+uPLEUk1;ffBqPo{D7l_}SnCzJeqIf*Yea=k^HLmi7rf3}*dC6g&H z9!*EX5UN{x(5Za!)6jzt4}0_UQ6HXG_mxU^sK_~j@=^^-Pfw)0Yz!4QCUg1p1WHbi zrK9>gN=}X8VW~bvx#PH;r%g@8V4k%1;9Qalrw(l4VwfHI2P`NK+DVe@O3JTp5*`h> zm8U_C@L7C%2#-a+3%Mgik7K!4s!5sHzpPM``^D3^l&MGk{h@RT&B|-SPtq!m_-Rvj zXFSa{Q;ChUVzd2p<}5O0)ut_2FIbP==6%ewSZs}K zF-d#UzdoGTQtAGg31b1SBgspM37IRVJZl%{=}-=} z%IntZ{&cqVjqF;KbrRshVrpuG<8jrcv3r#rxoAu{kuVb72TD~rfTsdlPiy+p z^|-glHi*v0nzYnR7SNt7{EQTShw|aR@=eHSX#+>{wCWowS_bl332p(=7k9_``ytKI+Ts2ZMPb`cq_oRwIs6IYszYp{ah9=v_^8HimWy z&i3Z7yXW0$9?hGFU-A0k0P5=dbHA+*w>x`rUmUKnxex6^yS08$x4xR1ddlb_0n&@- z4~Oym!I19JRNtHWrhYVtUOJ?8e*R#*$kki;?#Xkh&boo5@jKdu@8=Ik(<1xViHajFe50T_CQ0zHSDvjRM zwDjUe$JdlT9l-UjzC5lQ#G40S(Iv7siGCFyd?&v5sj?q$Zja&lBgHqBebROUbK#bjdz{yWU&u(@T6wRrc1G1TdP;s>!^(r^DO( zV|g!go>z*Wif<_XS}%OOzu%MUy6>nFKAu-fTu6L8Zup9y9`vJ0iiKv0t41aEM1L*9 zb7$3eV(Vc%sTv?M^z4qkj>q!)aX*o*pZHcEk+;88$>Pg30&@!Au?rYu&=sgpCc8L5P^`f&{xzJhrx?}vw*tClHIBD}k=X3bbRLm?VF;PdANqVDx11=3c71T7}~O2xH`b*neCc9}J|OLvPB47wS9CTRbpS^`jlxl;*fQAkI%yp{m( zc+{UR39k04uXrkgb&4RJHR3ReaN;=aRq|W`Hwm~Gvi4bxWR4mEB~fOx7_LQVcQy+c zJyx=3A1Y;3O8_)KWyp(FAp`yRgP>dsi@u&ws z-0s7h`(M#4&i+&?hH5doV)*C6ZS%UVh zynZA1K9q~DgnpaM?GPI%`#lqyb;3)fR8?)=_UR&*=;y?fY$I^jq0u@2#(y{?z|UVll* zLPKKg+2dX!o8tFM?0qYK_O;Lye^UHHW$l2xOg#kcAK!7KZ9dw zYdIKTE!VkN&Q?Rkz>rD$8uU-g4TmX*na%* zKmbS#ss#IEsQ~V`e$4}^45}Iii}AkYg9P1=V$3I!$saWjsdLn@N zMD}HwvAn)Jl;>i+3ZY*k^3)0dHi@A>O5oLrV}7`)+HK(b!b@GFR0@h?iBmrn zhw74j6aZAf@6{s#T-oPMl?00LTQBrl8o!b(+eg~7UQ(g;q*e(8(OX;PV5u6v6?#3z znZBV*Kv1F6C>2qM1XHKbQa<}og0o#JqG#PQiTn}}Es|N4vuaO-kLyxZJ`-?LKubv+ zid;%SDH*d69d(0Ot$Y=Y>?IHLd-m8WS)QzMqNA@0AKf`0kXwn?<%( zv3Gr2FY2mCi9by0ZeL!BK3)qSU1H~EaeSq6Yim&G3UJB&&dNbj@rk?=;O!EauM~a+ zz*-~;HH*zUBoNv}|Ba$kC2>5zCjt0CDr|ZERP_J)zEs{~e?|V<=KfSXvZPk3;U@w- zihK&7y^sWO2C+|dt5i%v@0|e2$GZb~Cqe#F z30%cSQVlgq<=rSryjjtoRDccAc__)_nb@wgVkEWV_Kfx)Yi3*<39#RxwG20HoNgU2NPcJT}Q(MdwN^D*)G`@Fsp(Cpzeq_gz&| z*-AzEOl(+taU^GxCeu|uQWClNWBCwj9xTE?U@j}yEn}|jLN;t($Gio$(l*)=7Gg<4 z=m1MVw7=}`(+;y{8!%bRf-$O^zx}L@{uFKW^>r~b8prX&>jb#W$jjWtnkCyEUlAfR?NUan=$ap4zsZ=9RD|+%+XjFCzKeDfq z9hEun?#n)6$S!f(E_tp5ykg8&sRCOSgUda|u^&mLrhJyuDtomm^d$J6D3zr+t5O9h z0nnisPH{e=uYi|wRqiVWRNCnmk}ZFfmiI@wDjB<_Q370X9ND8m<~GYd@8#JS;pvqE z0J27@x)eIySzq{3t_qJW@=V!70WxKMo5&dQ>x^4 zDe)DDYgUG=?{-pIqv&4YRdM{+!do|g5*W&dz0Go8vC~VL($;kLEp`zQW?p!Zrh4&lmJz9UL(&M<+W1vD88ZSzfRFlB-kqdGE{PR|W{ueEsjU4hzW!NSMukUZ zAElaCbk(I40^;Y*O5zfpl?tg%czz-A@w(fef3v5e?{1yT>+Ye*D^~?%6dfvdS2_!& z;%t#ZMEUINRb~I~0-&2$;h|ebsf62vUnP+!MMIl_Yg2u{ZhN=L-kpk%3Oxm|TkF1- zqM;{`BmpTg@=o?>5k8+uoct(VNXIg8*|=pH3zp7d z>oyl`W?PecYAG@Prevf|VdhNb&lBgMZD39h<(CDgS{O3LSexlnhjAi9Cg)7yWadIV zUEJ{S^b<0ySP zmg2TiTy0gOtWB4zZ8}_U)#6^Wrc_Sql2O&DmU8oYqc%5MO{u6dr1p^scWS0^rF9CW z9n&ajFyuj_J~ee3+-_9kW}^y^WbLCG73w9!+-p+jc8dx(+sAXOZ9I2cCvvxCBDZTN zQqeS-`*jnjt=8q$bsIWv%%Q%@n)3RoTyN9hM%P$wKlzS(Z3B2zt4rl$6Yez_akI&g zvL-!lv>R|mc)Q)ILq)AB_uDkND?GG5)a1h*U7p?3rM+CAS5iKIxvI^_8=ACKX>+q- za`%@G*W1-7Z&smN-d8kE>gMZ~@OPta64zTMbF*2UdyVQ;HmGyAL5o}Mrrd2Z<8g%r zFYeCb<#kh@-qxYxo|@=+a`)$l59>x#(LPS(9Q}Wd^5)UpZ5v0?(+OPZQua{cuIT)Z z?EUbuWXOl=e7dc{tNZH0pO#bv1~gRZOENI!$sKK}cyy_&QRP9K7I!;zxGmQ^9h#K2 zOc1@QaII~k$fClHW)0z4Y}Fz%%DC5}OZj7U0UCW8Dy6ln6wT-d7JjcZ>2RUdkn^o3Tx~GpLB&++E6uvUHLH9y zMOqajp5HL!#SH^q+!Pr^KW+E4Xndecb)^n>Bmv*99Vd32#GOXjuX&Qtp2SU&;kM|# zu3D9*3U%tG6|JmMr(EoEOYC%|L;O|z_ExJV_v$q$Z&T-5>tyj;Rq++^JJILe7By}+ zXmelqXel=l*^FtwIfaG?W;`r6mFmNciW*&Rw<~`*Jxc5~N_=n>*E&aW`ROR3KT7O0 zM&f3)R7hi`>L1SA+cNGBqwB%&?h5xo(-=ygj-&XQ#Eud_-9z60>)s`~Ug;7U#oiT- zjl6S>ni zfqNaIgD%x>pSjsFn%hr@iLD2T9W{7*eJXD%hSH{dJo7Igep)?(!qe)K^wmiYnn+ye zG!o*KV^N3L;;@E@*a&v)^ds(IB$??pM0)9wn{9&CObs;kW-?{kOnOXEnJg%#imJ*O z%%*-z;aN*AO90)txrU49Pf>Q~1}E|hxS>elxcToq%O(g zjRHE2wJLb~=o9W`LU4c)F)k(~cugUA?=%uUXA-{Cic?7&aP^&wcl-wIJZ%Y1+{?@>^`1h!;3r|UKg?Z!&PRay0Fo6A9FXX!6We?(WSZg zm!Duy-65Hig#C|cZ26pz-Dg?%;~7?e$z}bQ9JYMSVtq#{``_iVrz4wXU(T}l=VGiQ zcM`VOlVC>=+_t)s?i4|(OFS7-@wk==Z?7-1;-?bUet&^YpDti0*VR9rVdXEmEdM!I zt_7_8@ht0~o@7%)CJv8}<8V2H&4-U-zSkYgot|v659D-U4mU%yI2(JIn4(1Xygh>b z$3txVa+u{mrm*yv!`S}%+gSQb8n)k`WbOM?tZqnR``a_PJS!lmCYOk;7+iKb;Izx1 zxyyGkcbyw^*BoHkI)9cf+>71z5FEUt*-?CmZEg8%e0Yd;w<1|yagfdLve@u8lZ_uU zvHkHl^Z%I10vU^b&1C7947P~Q7v4RukaY%|||DK`C~ zkc~fHWNx@8=Ib{z&ut$r7qi%UNo-%1%BuT^Sy6io+ln~m)upoXhf8838DENp&LuW| zyoCL`OE|y1fLCiaftM1AJsCqnW+Dzzo-9xBV&%yQb~fj*`46Yq{9`6|KV^!1qN~4V zviPqjSo3ox+rK}~zIR91_Ryboxen~Vd;q)b9qcL(#OZa4*!HOSipcPXTvq=-#&7rX zSpKWL7C+h~e!AhuELQ&UI7|PU!iv8}vHnlNEdFyUR)5dJ`tLa`{bN2mo@L-!5yQ^P zK-P8o;aK5--;GUpUfDswT|f3*a^=7sFPyJz!|#zJ+pn)A`Bpsko0bxsY|6C;73mD5 z1y?$Whl8mvSCtBGFb~QE$ZuG2`I0*&7s|=bJ4tbA87EJcaHaGtr6p^)RccLPp)s>< zlwYPbLPJkmDlTail@I8ZpYJs@9mdf#BeDezGf!A@FfxFk&=>+EQV9ukyuat68`s7PxgIKg=7E9MSV76chOV({<`g|Lf*sYNsbrGwV z?`H9857q^Wq2pqi6X(IwR6i_tonoh3J%>DhZuwmPS&87eFu%3?U)t6WJ_WL&Vd!I_Pc^v*d5je-6V2X6-Rv^ z!06g*M%;dddC(oA_qVfudo62Ss&R6tBgnNChoo0byYvz5yI(N6{+{{Q zeqqLix2T?fhWg!?jJVo?%KbNtyxbvkJ~HuG17=xWm?k%|(&I6E9Gh6=UWw-3O6K|1 zv)Z?dE!jVzmeY<=^=F1>wln$mXAEEd4b@kFX6)-fF-peBH-BQx>%XA#^dG3V{mS@T zFEH%-C+02xWbU26uqpq0?Bkv=@6bE+V_%@3^ccHEDn9bH0=#qxvl6{ z|CtGwUoq}{GsDZCqWSW#OnmldCcYB-uYYCon_rpq?ia?t{e=myeqhpzFQ~Mc&m<8yXo|b`3qbj<|LmgT^M& z%{7dgsu_1Hn#khExUL@ctCg5lKEQCrj5&e{XX8Mgk$X@RmcC`t^>(4MNc)_9@ z-!mbr9lg8Xi|ij5bM`$$&iu&G(?51!2cP+&dyLHag29PjS$6)vn0oe4Xo*d<@;@`- z)F*~#y=PS3Cq|$7%qSVdWc~^{+G{vFyg`4)BTUx4#?;{j{th2-TwaB--5pfd7c+Ikb!N^hW68z}G*(vD|YX$CXtAir29SJi@f73@kmRLUNPpZCf-u_a9@b zPcn-ljx)zIlEwZh%yErpYuIVF`JZ8j|9KXyNs`yOO!IQVE?%mXt>IEl-el9pB4)0; zfcB50#mk0mfn6H&z-fbSbvF$t52cloQL|_WTx)PXX2_O z%-neaoy8|v?s$vUc6V`Fdk>fOjV#=B7X!PS*gD;0we4k`w%cKhzrzUicJi{QR1LKqztT_5JtHRzgH{m%( zvF(_|wPP64!1x_iSOzs>o&1a`vd%QQ3$=so=p;U6LP9HAN86d0Qj5ybI!2~cVH#75 zRmx}P9Qy&o_$O!|Y(+Ed5k@(mP|bLU+WrfutV>|7^GS9_-ej43HjBhoOFc!-9Y?YA zIl-(0kt_*I!pbw8#p3MK-9uR}ZN_xBU{*vP!fLM%Ya@;@V`l`;q377WkNjW_Hpb@tYF1~%S_#vCO(*n(V8sg zIN!kB;Sxsn*U{f}6~kS(QL($o)Lmuh+MUJJ`7){-a1;2(pXm(gt@UOB#?Or53p<7 zK@P3F!t|Bals#18h0>YT^x*Zwq14|UO?!1e?v+lUti+0Q=Ug~@`YMNxrf{~Xl;q?B zii@+kcySSB#U|1T8eutWEUE%rDmuRdm-0^&Gz>A-A4y*J3QiukAS-hP@ewI(-sVPR zdoxq6j@8W%J&B z*!p^76}pEt;oFHVK8SDj9>T7`tyE z;EpGb=}S3yd=CLh_5@w>!Qt2jg04twaeNCAQXX$Sz5@5#9ysT0A~&)id^#q@D#P{ez+)C|mKDQY6OKZDFSm6fT^Oxdvb~SG2mg9PE8T-zyVt@V$ zBChSmGkY}=7k3a|Xivb|wd~AUir;1X?jOGMxwxBMC#5I9;Dk%^LJk)0!8dCgVI}); zJh}wmYkSys!WPe4yRbXHm=neR>`dFt{_CDNC#@slm@{tj-u0#zj>py$Smw`~jE%V6 z@@0#>Pq^xb`>`zqUlCnoufj`Ya>`tXcd09zv$o(};>q@mEd-qNCLq^?fNVE>&U&y* z%I-~t4tN&q#{I-5+zPkge0ClC&aA^PZwnr$HVDlGC_+PMN z@3FZ=oVJs>>j*8|$?j9j@XB0`d(t8juZe7#%ka43%(k?Z_~bg`oVuLoD|^|Nv53f< zt~eZ8K-iVtY&<%Lkef0ueKvvD9ocYfCXwfN6PCH3^gHqF&fJdoWpC_{tjGO|7aNYs z^@g+|$JY^jaSyJ?mJx7aD=xX>Cvx>Wv6h&tJK0mP2+vE)#f}T5Ra=Zp(Nf%smazAN zEiMI1@GIDgN6r=woZri?L!0nUcfzO81FtLY*cEOT-rd-nX-7cuew@?S5PI2#ed#Ol zzq*I*$CrrAJJ^}In2@sFIHWBh?5Z0MM_1!hA}v|k27GSrW%J4Tc-`K`#uJMOzP?+0 zbO9m4n^U^z;IbRLlGhP=)*bKTj>KQ|5j$=ZnLKe48NG}5vP1kQxNtij8DjS{+i}mf z!~L8y`?BTrIajt9ir$MPM)F19=Uv%duooAxZ)&y=r;d7%8o!H}6Rw0_3t`LYUAULH zM2Dy6Q^c0y^5_A0^_6Z7bOS~kA z-z0hA5aGd|gaAB_M6*9G0+)l4cpeNVCi@ufsS#`q-%CJ-2Z2Yn5qZuXx2&BkJG>1& zw?(W-4Mf{%8*37!;8~EzjU~Tgv0wuwmsI)ms6Q=_2Jog_m&>Qd(DnFR&L7t&E6s+a zIbRGp~rZQuuDk|EBsA&D`-;-(RPDD$4Jf?=j zNlTqa#?hG^Nm+)kyAJ_jp}6>X5*!+ihwA}O=k1fS&ydT7`m{aLpe#$Bmd9Upe;xa} zwg+t&Mp0lIhu_!>B$$>kYkV{tX5V1U*g#x&Ch(~E0PXiwyASWR)+y((zUJB8QM5lA zLSxm}wAT!vLn^mUx!+cyd`&)3df{)WX&6XN{dcl%2(1slrltN{s_MU@rbXGiAC00E zNBHU5MCyt)4k?EX{+EcbQyv52~+ z{?s=1qpq*Y~HP>1$e>22fqsk4FunA1T4x z9xI0x2U5}S4Gj&0XqETM(TKL{J~Y?#5gH<=wD`@9UyIKAiN1T&B9(JZTR&QBdv_lJ z`B>hUmldMd>i%NuezcVL?mms*P}7&X<^kP@a+L$5Pn2_NwO`ZJ(y#kWm~zOmwMO}x z{kNkpopoYYp{<;a>J&RYs}tSTd_{}cN6~we&}perem&zW>P5afkxj8#=VRehbX(Wn zml~PVRy9DX`>$zG^e#H6uNB)gd?oKiMj7?WH(d=vPyC`@o-4--x+IxCmki(aus=0o z%X=M)&P1=GkJj2j!q>MV-*+Or=vI8HUe+`U53S02(RZ`lYpfIBs~Ot;x0#P+O+%yT zK=^9xwrg*(Q9o+M_v%E~k7e)5=5M$!HmzxrwX#?1<3Tiu%^QSHL*sW;JpQKp*hjn2 zZ>{VvbNY+F4CtQUEWX%O(@*AoC2RVLkBF{ChgHpAiEqfBqRS_8|5>GUIKpRV^+3_n zZ{O56iZ1I#|BoMwFDg0^{nRUdU8{V%Dtc1hKNcUC_chIZL|=U*zC`yG;gJ(T z*Gjx~`;W*jc50~kR@RIB-A9LnKcUwyKJ==(C(j;=4Ij?r*x{ATo;#hj8(pxn-YA{O zUS`Z*#2Mo&6!(A0%GrCVEmr3z@to&5<6*w59{l^j20%9%4JOrJ3kb=_&G{{BOP+UhEpXsakBgtfl`PPtmy* z985e+Qd$^^$>GGNoF*nRmrLg&IG1NZ**P_uDo1c7PdVoz3X`CJQYX%tH;G)WBV18? zLdBTxS@_ja0xa4XKkP75$7Zt2D3H#xbNJlci#KgzyhmU2x_L0q#dw|K2%T+xc_%^j zx~?xT+GI|3Z=Q7aqWVb>0TJa4RbRe{qr6dovf;PWzpo^4--@wc$#@}P@uICi9Rh;y z#0fr0zoy{(Kf^`CgnuIq}`q(vy~sp0o*%uOx`xHGM;uIK<0#(TD8O zCGAvD^=AD%TxLK7NKT82^EK9I(?fjq4nB>Mk~*8)Z# z#c$qM^y=1QmvZh+);?8^vk2HJr~6ylemgp#oTYmAuoqt*_2G>?f71O~tk_3@!3}>Ue%(hlKFata@+n7YK8lR* zTEqs5Z6(HDDsqecpG&-alzo1BXhf#F9gDQL5ovv+0A;yEnexrtc)9BL+f z&q~uR+`KZBkD^cIc+HcCqbSLdWL!Oh>w-5$g^S2ND_xG8D-n?=+2`R;L`*!MuA9h{ zt}It7`i#To*w`q4o@l^i^?w1EzN$(0|H(78=+EhNJ#OR=;^e8NxJDi!Gy5blfia}# zWf9h7GmK{Q zfo_0r*puQnMWn&ih=kr6bNQ=vw3 zZ#20f6G;l3M553r^czQZ=mb)u)j8@pj+4Q9917ItT*y>11B^%xn#2+RNt_PW;f&Bb z?KhKCVdkWT$bNoCoC}yvUZ5Vw!Y7iIs?CWwJr4V8lIEvPny&$;B2_pVJc*+rV>lc+ zfus-v(qoKC7ru{#sFEC}!PSHEK1h>@2z5^Gm-*p_q#V@aNU)ahGl85y6;gfFNenk2 zHqwxzp;}yv)aH1II!A&`$n`NHK0t-&s0kePnZ$_@Lyp923Qwas=`)_>z=<4;(By2q zDWyTOe~2ED(YnORyl8n}7B!O`PaTru^+@tpr7%Er9;!k@uwy!id8`8WcpDh`x5&m;LRy$kw;c|N-cv-* z#1MVrL#Bys^*J7>L3Y$wGDAjCl&C{ih!Lp=v`LYX7NsS!iVp>=aw1G*^D`zkRGauv zO|rwZxOiBF{DYcYj2cBaISQ0ML$9h#rmQg&IF`YcV_^9^`(XC}4fb9ivUQh?oxYgv;i zNz>v&#yGB>Hs^en9)%~=X}W1adzlW^XLYH)X3hiUZ(c83(|%(%wS{Ipzc+(B*?QbA zQ0H9wc*=71xS21$lcXut(L6dHnNuxQNK3H+@2*ehc99`Bvvp{>XTyhv#S|Q!!2MiH zZXKS&)nj^;7HE-matv>tte~NM78NCC)LxiE#VKv-FIjN!@>HQ~BOpGH^T`vrdRlCC zPLqO+Nz|Osqbl1>lIwDAT(_ns*MtWm`;)6?JiI@XTV)mkMjN%fFUOWrjQk;$C(5z4*O_wCVCA;0xB2RrL%qHWtI=Q%d}DP*qFy)WZ;8^xj*{T zwh}Nrg|6N|B%HbNuXTw0k0;u z;$q=Ns!c8%X2mjRVia4ys$oZuKjZ!FOHQrLqc}2>nB6X12n{8{A~ixO3dklgpXT+{p>!pu=wNpLXHinPpr| zTuaT_O*}5zNzBeQ91Gb+yr&B%gWM@h^dvQS2iL=VNON^2DcYUJj3XTK^C0`U6Sbwb zT#TJX^=SvPZzqa^y(RG7D9rVzCNF}^QGq0fY^Sow zmAi+W$O_*jI@{0f!~{-n@gOfC0UwtgoX>P5HEcciqBn9kW(#>4j#L!wr!w7%!b6VS zIUgiI;>7ttXU>Y81#v+{xO#9jD3*99e@a7lbNc8yPG_&AC`z0+#Gdk!-P|tT!9{<2 zPI|bC4V=mF-a&qd3+JM}NcV9e!Oe{X&meiWg}a$MC{9@-fwxtFbuAC`eRy;>i0lYE z;@me$G72JP*GkSuZlNH?kE4;!`0ZZLjpK(o=C_)=IU8xaxSFRWE4guU4wrJ)NC&Wy z`xiEo9x#Wir#1`7&gW9b8m^w)#+75c$&Xh4!O3IDNvF z=9BArUMO}xxP*fA`J9Zfr8rG!A6iILsS{O~<^JKdTsXOf(!6avJiCp8h&kLyU&*E8 z+enYwOiJh~E~Km{J9s|Lmv)iqvxW1C4pbD2U2m-5%87YgPS{Ci*aqrLT}0kR+&Q+D zn}>FC_Rwxl1+F9S$X-c!wv?V;NAa=MTtBgbYp2#xb9V`c;;cB6ZOg$x8}40POHtA+ z?xZi^YQ|y;Ggok_(2kmGj+{@j{WzHtc7@l5asJjxbFs%Be#;3yqgQDm)SR_ zoV`6hv$^*#tRC9P?#1UY)AM5MhAi~7U9sDCkeHE&`To0qa$uY%*GonUAWJo<9CoeJ z#Z zZNuMyOI6Q^VFr^>o%1zW*)zyZROkHpjV#}_kjOX>_N`q{yiYvN>s?7tb|x#&h|_0A z@w7&tvk4P&K{dxQ27b@1cyc8xl>8&^6czZ=n&C>tIcHAGoZDwZIC{*5+lBtz zJHMaWf*st>a^l)We=g^(=F#;<+&E`Kd&PXN=Sv2@xIhd%o5~wAczD&Cd!?(ncy2Dw zZmp#%&xV_~R&ewDGU~4hs$ZN(dFd2tBvUt4%KFPD6ie25e0?d8&n@8LDQlYV%$45U zma9c;C@o$tbCz+dcqL_L#1ZmWQ*vP%k8WDibYmW!*BA3Be<=^o?B;5guLM^Z_pYqt z{>{adTv|v&fh~6mmviyPW~vJuD7s+BsnTiGl^D=|)r6*di#aFGd;YR77qY@czDOyn zm(p<8nv&xAR247bQm#3->z47LXm0mjd1q&E@3uL$CDV9(XCAk%Eu$iTJ)K$3lx6Of zWVC_Dl~!E6q|dvO88qci;r1P=E~HARIy;@~SLSf#sx8;g&!*|>Y#PcIa;>4 zY~tKmCoY{2=8DMk_~tTdN@vjVz>1Q>sa(IloSRoyOO>>UN9UG`&9`wWZv~Y%H*@*S zYKrq5xhDQ{A>UqFmMv7?o6H5(p35Pg>~;oN0AE?yJhygyfbVLpx5=S$)cU%tJZ+ZA@)y}3qoCVqWu0~e|U zIPY%a`lSt`qwSn3x2NEaBag2*ard?)t{ZE~yStv^$}JS%SVvLyIv!kKAQk5#avs=n zvT7$e<-VLL-^=;?3%FjnQowUNUK@`PW`3M#ts{8po@BdO0Jbw0uyva~RyK>+ylWK} zHpX0>dWm=a|3aiztn8)1lS%=t$I71uPVBCR+pEV(60oA|{07ofw-6r|hnwpj;^Gt8 zzb}^9gjh0;&yosv23b<&&b6M5mX+*Z?vF6B=*Z;`#V4 zoTo~4WOEotn}uwdyN!rt6~vfza(jCj1-6aM>G6;g@d4x|IguLU$@)Fc#7B6se}@|p zQ68M!zJpw;N_IG}<6uYto1C|jdN6>j!=93{wn|I3m9#@XMEiS?>>t6YgA(Ye!9+Oj zC*3cIAuAJ z1mNqspZvqo9N!hsB`J2QWJ$oBfP1LqF}a4*JJ zoIZ%XJ9iNj8%Bax6j6Z@Bqr^mhDRMwB^wU{U|;WOj_tJ>XHs}dao;KLOm=(-Rqp2OPG~?Bd-l2z741)I^bWFuwo!D{gXlP+9kzp#Fb}d0?Bc}n z0MeEJSmP%Fd-wpk3I6ywZ6-nZaoM$ti0}wvBMykK?Bz`2K2E2&5a6|ol(c97@C0nZW%q%bB&Lxv@I)vld>BQ$m zaw;x_lhHv09E~Hq)Q^ZlUs8+QIF`Skv$=`5FUx16+7<43R+ByF49QjvgxHp`bL}2x z&ogABqaCy6*<$CghxAG5eE#Zx;V~wRl2T1+M|*Z3RBx#qB*{;ewz~cTT3TE_J)g4z z=()$v6PFT1Ms5M22QP8_OfGrnY`IcoPVqTyW|>byUDX2B-+yynOWm~l|M%+|59D69 zv_yV$d3bX*LHQ~i%$q_=mLnWB18!h3>>)fnmm`=!H*5Di#f0xQ`t#hmtd922rMr(K>(?*vteN+)shMi%@q)My@ z-Rzcgj_@~j$PEUMIfLi;Vh&6cI>Qd5H?fdm!jJiP7cm%9gxcgR<_^nY+BlJM$OV>< zxPsc))7T0P_X%~3A99OHLrR%G_zK3~T)}i?DJ!M&-6Z<79B~!RF;}n}byjq9j$OmA zv19ZtrVKB|Xz*1mhTLWBch}JvR)NLnJ4_#V4a?yd(H?q+@q_cx5gSY$c##Cv9PG#D zVKnAED#KGz8GV%DqI2`H4{%q%%3R?~ZRjNy4Z4ik(DQ5^cMqE}_b?uG7u~@Ru^3*C z&Csh%7kg?961xq)&ZL14M7~-yzq`WB;kVHfT`U`Q0T0b$rie}r2Ax5FTp5E#-N1CH z%#nLmqw+Bxa#Hv`jh@J8Jh+5q;umh36Wx@FnZW^@uSO3 z6F-?R_ht?%m3=Q^HtIai>UUT;_zaVV9mi<&1q=q3$-Dxl4iz66T87$?JSGgi&gj9n zMRw8W=v=Hvo?zP04D?5zm-|I%PP`#LlZUy;XEpK?hGKJ#5vR}@cNXnI7nwffDt4;( zq|M6bfX;blj5>t*;G^h_$-_)^u~Fj@Uyr$pn%Gry*hNMSxyabTXIY|si!~F@Ge>l5 zH2xl=Mqb8L>^@^eK9++|VVyJDj699zD2bnu=lND_ zHhfSnYbMNdzwpXwRDW{WQXy7a;@@+*2rOp zIMHU6R3a8Hq|8Z5>qW&Z?ols6_D^*B{*%Ey{y~pEe`7$8|DeCT?$zUOEF18jOds%1 zdiMAyeR}*SJ$n8p-}d;6j2|%g`ezA_fAY1={Z7WXufL$y?*nQ*U!&9K6_a{=LF=nO zF}m+hjO_gpXu}UA5riBnQ6T~$h<$I+3$<+ z@t#S2rHB9O6W-taiQupQ7v{ZQ(@*aA?D4-C+xLGlspnfJ_WgmrLc4#jKQZC!pD+}f zGkSbt{g5A+BXqUbnLu&LkAtRL`;%=v{e@@#U?ztFqK zANaQSA5oR^UPEYT^!Yo(di)~#{gGk)e-@fQ5I5itZ0h|BD!u>4H=^JE@~mgizoFIt zKiD5A1!uOXin+#GwOZ67n{8op8q7{KNG%9IV`cBzJ^#wS!T-tR9?1AF;q!mdqwjy9)=OFccMN*}%y`kU;s@jU{V%jc z?wLK_uy^F&(3QPZdVSBNKA)M;PvPfpqL=@ohjQ)ttL*(BOq2P>ijG9zI(>d+%kcle zT>NT~$TYs+ufpqJQT^&iCieOxBYXZ`bnrp+^H-tqAF|)y(e3>QjCy}Yqt|=J_WrZz z;jau6KONNLXLN<8Ue6yHA-oOk^&_Lj2SepOEAjVy;oQvrz$Uo%7 z@l*3yxpgK^$%{B}(Vy+PTL~%HLSD%>PQ|;Bm$HHR7E)wsPh_&r1bS%bXrrk;mPwiu z&@~^%k-(i&740SKsuvN@!U$_W&A$7tc)fAsaFajfjYec_cO_|-AMYxL($dtE<_6`f z&0aiFzSccsz_!V;WN9~WU-c=jYZ3`CKFHDehuNW?$*R6jMWAMitR8Sm|1EQSbfG7J zpwjP8^zSXo8t_;8^pPNzKb!Ze z^mxI@UT@|769JwVjQHvY38J?Wz^_;}NI>PQ_rlLd21*c5>isL4@_MYuY&Y}|vhO>F z^!y%eamao`r$_H*b_u9>2vCj_dc!23lzp^&yk>0Aj|}+ctpuFV5g;BX4mDl&8P@-a z-0Nb`z`qG!Kgj&g!qaDQ-dBw7{e&5^X7*Q~88434UubFcQRw~1n*M)hnFQuo(fJSw z%2C~G-_p153&wu+NE;teq>a? z&yqyniS3^f%Q_qMOMg>j=?7Pmz0|B!m8Zp7$N{Vv`0Id{of04{S$Z5eZZiG@4?*9>+(Lb?am;hKmNsNmfl^VKitNODv8=FbciFdQK31`GXBegBH3 zfQ*_XoA1O&Cx7*y43Xr~M`B^Pyk9f=XH78Vl*06s>1B<1~Txk)2-Fi=H z7wy=%%?XobGdQ|1pW6~U9&?H*u3JWJW1sF{BY7_E;#1{J-XnF6c&x>J!(8sxts=W3 zh{NsMiF&f1m`~ftZr@Dt-CfwvTf`Bc?JTq~K-XY26Sc-FKWn3fp56q;8IET1{BKBc zIY78-45ej)m~ZU~ZH~s}ktJJxj>N0epF3US30Oa$^Y)(ncz<~JPq8S!N%Zl4Prk3} z!QFFun5!1CZ~q^O%lRjBtDiFG+6&fSeaB443YPbIPQ<7duCJ^i-J%Us33lUe{vk#c zM-~U{A=#{FzgP6`ErBG}m-XO3qCfPr1ocniH2)OG`!C4?QknJond#qrVfJvz8gjp9 z@Bfs5`wynd8l7H0NrwK11pBY(N+mF^_kW3D{(+eQp`JL?f?@w;`!_!`QNU|d@4riS z{v+S?`A_uv{)H(LSh@n5DpJ*a*Yh7t?)M+kZgmmA(vc&p6L7OmV53z8>#Qoc&Q zeUJslk63FV?dv>mR?TxGe10aeD-W?jU)nr_bF8xoW&6x1w#=@W>z#dX7^ZZl8f`A za5m4*WVux~i|58NMWcwNRyVMn9f{Mt6zr{iaIs0kb;do`Oh1X;TsJn(KFo5PIJPfx z#m@K?TTJhW4nkQwD~e5vV%cm}fbHaB=?2o-X?+k!>qBgw7s$prrVkRvvZ*bb~gU3nV*cgb~&@A zX0c3cY`-8%?3l(5;oZ*Y0V|C1SZ@=?2Adex&pL>M$hTHI8@s6`te+Ex<022HspU%o z{Sym^{sYgiKHxj{C+6zEVW!O&RA#?t!J5}>+4BRF_Ps)7**o+Wd_mtylJjP%wB~%k zdDRz8rnNG8j#TcpKVUTNEjlJ2m_73cjLkn|y#5oqtG}Qz>l5ZnWsSj4Of&z0<=XGj zvyx=7Nx*i;PiQ%OX6e=+u-N?rI*uRFm!Z4kJ=)tpqUrb^hn>G-vF<%ua!f@rnXP8n9_>X_|GiS>f+e)8geZwaO_vl^3i43FOO#KqGhb#;>3c58>C&_N}xs< zd4vCs)3m#k-(TAOLyymuU$m8WQTa)tH|6?7ub5B9z71SxTfmObVH|kvAl+RMyWR(q z)4GZq*DZ-!wvAlZt*kKDMbCJQ0GGZ1m$oLeO_p~5RD|lHuQ?gAlcP3XoVu}!Z6956 z>U@mr(-iF9mJ|5SgR4(QlCWnNv9osb;m&ZBpY(008%kYmPhJZ%wP*C%`t>ambpA@o z((gGM*G$-sD*S_5S-zl}^?hElv3EP3X158S`&2UZGp2p}9`pV$rHuVWDvy6i_4I+E z0y_Pr9a}c=A6N{O5?#vi9(@G3s5GMr_b}pDm11orzJIt&MLj`pZ{sBRj0OUCqB-*sH!xj{ecBt6D?JTEmwF|r|O;s0lVkZUcHERk?+ZU z<-bTT;#gQTCyvPddJ{TsPvu3q8SRhfb38SiV^Q;XS+7C!!eMW z9bf15JgzaN^`YqN;cV(^WL@Pt0-g3#es`M4Zc2THiRjdX`$Y@L@O9!z)l%9X8PfPr zhgPv+-L07%+V9Mh2g`U?twvLo4zrS^gT!+v1&pdY1j`i$DQzcTT=@9F>b6S?<2{YLyu&oMHFyq0A1nGq9z zpyyDjB;{GpVaoU*&wpV4q(3t7JE^jVeWd5`xAYwOf}Vpu^WAs#=ziPAEal^w-mmZ+ z--utoY8<54SUBh!+t&xP&T$TFwk*KW(U~nPHc>d|EGGy42aAUkapUX^I-C0P`u>pa zA7xNJUTS-wOV*xkM9kUA)#fd%`JBPNT0i#e%p&YXAg8Ia*vP&=?85E)bi7{$;r`K==(=Fan)VX7 zbQ5Ry`}6vt1n}ejJZSosyUNe{Hud1q|Chc04y$Tu^L1fzPKsG1BZ8nPs0gB9z??8( zjwt3FFoKFXXAl$SoO2}S98?f7E?q9OuDss2@9(LpZ+D;XoZWAq-TPcuuf5kF;{qmg zjyXnEJ$LT`aHMPb)M%A9q=~h=*T3dQmtF=rJX*r;ZSPr*0#Cp7^ zt=S9OReM7l^Af7+{D6sAJ|mIa)wDi|Z2vobO@AlI^be8ZVub`)2J)JL#+$#Pt??^5 zRS|IM2)M-B)spXQCW^~N)LM1pw*tSHG%)^&ngTCNH@kM1_wEwTO$VL&Djf6cmZl&C%mtngJ#9`m*KK zHFJy}Wm;$wzZFX3Pzn2TcR5oMGl+^z18FRB9ywp8DCl_;{mIHUmCu_BNVUGsBF}2c ztXV%aNbg?$luMw5}B7xoaj7s#)Xr(@n{^V?%U!Ux82yO=_cua-9zg z&DkG4fMdJr^FXfasl2X9NS9;4(Vc_Yy~K{EVi#1xMwJ+^xJZwv$XIz5MKkED8@4NUIeC`kGnurCEBUU$&D@+D~kYgC+r=$|S1lDf_l1J7%>Oa5-rb zS*oOcnT6F@zp59<57*aRpPKbvl4;3(xvtBx!JLe#$~|!&>b>eH$k!%jVNG%``cssr z!$bMswBchg)_qMk>vu$%6th@>(L(<_>gs$?E&ca+h}8_O_N&o^R3B z7Henq8~rRE(L(nnwT<3OXZryUHO?qDv61|2U?4GI`WAh$n>EBmm>Yhgp{aDbdOu*S zQz0(m6PCI^Vu{8fa(Z(?(Ql{ouFEUh|zW?YruanbroT#a%u;(AQV zG_h9Ayd1BZFKAs;fLpDU>9!RtHhswii|-ihc#Pq_CeSvxB?AVHATXdOQ~OS3OO>sh zF@c_z$GLm7E%&7?Dy40yB%x}o>w!RiZHMk$7&(EvS*;oMJf2yFM_IaX2OBfC5&y)K z^U_H!?-EOFcobcI{c&n&hohGTT6Rv3G;(pq!?`vMea$#=pfza;4OpAfi#Bn$X%&}C z&-lx9o}WaER@p3A5>MHkmgL>EkcMoeNe-5DPlps~SSmYY;!!Wg8{XxJ)$iokRkFIv zUe>$BbHV8jbDB+L<*;Qe9#RKL)9umdi@V*ifBHyK0t#+)Gml)O09Huw=`u0PZI> z{+gXqZQ77$&E5?m#BX(^Tq^Zz`eH+Yo(YM2J92Do4IbsG^QuFOO8GrzULBIogpix7 zBf+C5-)+FXLLH)`>u_q%2swuYt~89&bUhyBYIAB;ZEkJsFXvQiGF5=;P?*-5`D6Xb z5%`ozK&wogDpO~Ex-WC4bRhAL1?6elJXGnLrB1J3tIm>1T?CYFJd)s68AR0v8Y#(^ zEF3jNR7OV%)n*d{g!|Ga_DA<8ewz!$0xp#ipi+(p1$rFWK9s#n8u3(st1^n_E5H_* zv20pH?wssLL9RXp0uTk4;u38(EU@RwzA>6LjS3hFc9q%soLXzm&FviplqT|;Dfv>y z1xbDaC{bT(ZK7H~nk=7@^D7m#VtgQJcTF`*jY_k0HA}tHPS;`If|hdcc4Ax9rYdU5 zkTwN3tFUW&AkR|Vqz(n7Dca;0)@1YYUL215ng&{B1uacAmAVmdZEeTtt<`uab)(WZ ztK*&kHEy;5@mwbXx`BXNkGX^AimLyW-j=_!(&z`)nSH`nOVqtU!ckstqV<_!)_d0i&D1$yh+7U{poUH_YlI&H^qSdEHVD^*~2oM1lJ5FzdfzC$A}S zU@RTCj$ES}zYFxa&D4G;nA`UR)B9{;_kb0g?6!{GJrA)YWF`ID_hvw!FoN5SX28JF z^!4h+35zq_F#0<~s-EJ`fez9()IJWXt?8il8_3D4$^Hq$1zx?lbG0k|j$UHK=^e}; zxS5T+=dnsu`H6&9jBPcUoe_g+)3hD-ZVj<>ul;Rs-lI15o_aL$5%qMT8_5?fxhWER z>VhLIjm~6d)G=nPJ;~yQmx)?Ejf8X6xqaS}%p60K&+3y=tS@Rq8oD&B)I;7((@)~M z+0Q(#UQUFg$UL9ZoU=(_hR0;)cA3TO4y!pWo;WpV4s%=2qhIg!^zRT)70df*8~lW} z@e6dMchWNWOh=24v@rkqt3A~HJKEan_?!Ix6aFSY5?Dok*Zy7J`v>-N_-X$}W9^@? z)&GflQb|p8|AxJMrmp_a4AoQMdM7IGGm{Np;bQnvV-;)ZzQg<v}xSR5|ID;*dsu#hQKdym*viqXFGN(hHX) zn6PbDa|sl8O+Y+Si;iFRzo9y} zwzs2FRGdn!Tq6G~O!6UWTw@9{Of|49OBG1T`%BUrutFf6nV>c-kusC}s*rlhI$MoH zi+tqXYic?pRZpr8i*A__H{FltDNdRVM9P(|DX?PeiY^@8U55&(kB4#|m6G^TjxN`? z2XSVL6_xV3TFyrJRJo<7pY;#Za%J!O5h4+NOMp?=j* z)T#B32DP8lwdn^8U7k^=-a8y?yur-z1D18)(%tT72HHHQNtH_K)_Fs1d4FT;H#k*& zhj)#)c!&xzvwwrR?K^5!7pGDC9bQ#F(@?CSZM|pm`$sJ6e8#xmXF1<%db|99v-4Yw zZGNCit=E{=dyTREYfS2W&rtU-*w^_UQ`?W2*Ao?H`!nWp{#Jn(3G2O%>D|{ewd)e% z2G1w9?F`lgZexsZ1pNhAJvvUJXO9R1JN0By-zXBTGPtYzFN_kacz1ti)SeShq^yh5 zlv1uqx^z6(uGQk~wYD5coXoHXYw7lMDRUF{u^?qH+p-pLDZMW@&ow9UT1$Le+EcHI zHMaFN^SJ8c=-LW9XK#F(>v8s2GY%hU#Qu}rnYU&s6X(ui;hN=)UpSQI%U7~u$!rd7 z^W*6DX3{%W<8pje>2WQnO#C{=m2lLD2?l9g5{p+}r-GGTwi4+R%PPM!baG!pSc6Nf zYV?G=US$+Fs$fo&$Mo*-h!K95L@||Npz}L=(o-0VWY*IAmF_0L5Mc5*O|M`iJ%NS1 zZZ7J}KqPN>!?*M_|4DkLpU^V=m6`&*<~l#qK%{M5^N-Zh76~rrswHr$WAcUm29KC7 z4I;$&6H^S8;`;Ef;QFe#J_xv83Alb0aH-zulYr|T{TdzQeu@KX!vr<+SLGjjlp_9o zMq3K5n(-v98jsS{Mj`s-Ub1Dc1YCvm*kz)s9*C7I6ZKPaS8Vw7wv;C+HKr7si3C<{ z_O9vAzD@O|$5z{)sem)%QI`GXfSb6juMEe~ervQBJY*owI2orxF~LFAZT99`W_UJqnlR4dj* z2Qs>UCzj8g#fD{_mQQ<8qOs|33P3S>N6#=c<)uuVi$8-~0TSWk;D+)-gTc!~ir)@c~&|m7! z>Hkts1=p(+H?0#-lRTtem0dO!XJN~h<$XD_%SE7NsHvy>Vnr*mExEQegi{-BsmL+X zWWP}PrXJ*2N*i#c_-sebg8OHpi06$MMHTHI2(kRaGQ(ol2|)Tc{ec#KA8_=4hF`Y| z`hu#_;_vqa_hv6~ z>i+`!ZZB|d{Q|eHuQUVqOJNN^ z)yxBX5+gQwEF&tTg38bJGjJsIUcoqKlQaa$bHaEn8txO!^x)~o#Yg^AW&+g*)U zcZ|7o(w5lMzMS4WgGDQLF)3~#E4Phc>4t4A+PayDiS>U(#WN;NN;oFcylHhW^>!{?>sfV$2^x=Y!BQ)bjuA4Y`&e2)(4p0<`Bn* z%^@RnI?Mg15Y=TWquVbPtC)h8(Fe3FU!raG0xgRYd}>tUTubDtc?G&=kI*-HhQ8?| z`8$Ue7R3bAeu1`S1zKhgv9x|A68Jf_%*!yZ_7EeJB5ImH$HM9*rl!vbF?!6z>Tl?2 z_K^vOFLBh27yL?Xk>C~r%q9Yn*YV2O%CB3+4WY0D`QB7jAlp@G5)C9-v-Q766x}N;5_w^j|nJ4ml`~pYPq*Ey=kN}a_E5s(1 zi?v#}%$^hb22q-?vSf%_N)}ia>a%gX9lLgfh%Hr?P!x!$lk8NniWB_ER(k~~_{sID z$-}uhO_@ET19ua|D$0GTi~~hQT3o$l#ggeA1=_(RFCWbQQGVoIs>a>(Hr%@8%C*bJ z+>#^VtQCo;jktZ%nM>C!xNymoTjz~PJ#Rq5nJV14U`OgzD}hEm5>GjCP2h3qf*H52 z+jH%lCznpumX6lZrzXv%wa!u9QAL%XkUi(`eiOrMq z=iW9Gs~;dr%tez~qEtG8+@fl1TGfMtd+PB()RP(#QE6lzMxb>v!frCn%i z>}jc}+g?Xqn8pJ z@2Do9HP(HDo9Rc*JT?=(N4SVJH8PcRi__53e@e$1uc&MFNq+x`q3%aNA2xJ;PbneHQ!2E@VUJ)r@wyM&~-$=+t}- zt=mqdjVP`L0aKXM`yyw}Q%N-Y!houKxPBmn;zF_H(gyD*I*_o`tn^$_vHM z3a`*S8isz9UO;*p>1~`wm(z35L)s30f#c|>IE<3lhQGpT#B*%NJfuTtB^^geC5(Q7 z%dpot`F&4I_wNX7{R-Dsk7?2A1)g4SaP|9v#=h^EEE0U2`3HJizGI@;Q73_9?Qh_+ z{8w;&C*b<+8@PTGaQ#X@kF%5}*VpW3qITiYH2(DZ#0j`^t{aOrkzi5d8}k3G>s5$b z;4NUX(*&Akyh#O6vI!ez`HF37sDXmoQK+EMg4m5c*uBkG11_}_klHA>T)=f}Pj?Qj zv86mu4JNAq5^zay#4i+Zo%#p3l;sqNip^XXZ703fAh9p{yp+25E&)G|RW#SA>{C&p0+%^a(|QS1 z+flTl4-aEH@H*328ljbxi7ll?&OFTaq%zZoC#fC+LoZP&YA=FT0*MAZ&Th!#l(upY z4S1Scl~)N(s7wl^BvY(*p@Wozy(l|ZDKAs*ml!i;$Yf@X31jv8TAVyQT(jv)p|mZ{ zR(4{SPj0HiwU{oH38YnhsBO-&Q(Ce>z?GA2p(%H@ccR*jCtt2cae~DQ4xJ6=}FSL`-8;IREWY(~Sn!)dGRzI`G@IEI5P&2K6!Bgw& z#Nh%-^sfK1f{+7FoOJi}A}ivUc{t*a);zGY~YxAIvvsrzr@VAS-Y zuZMwj*kM)whJ$82`Ln#HrW*Z=W-P3I)62~6x0VIHR#5KTd7x%&|RQwDAwdzOgs;8n}N2m$RD1+cl?IzumqVLt%7sV( zNbjt+W=}cf&nUyYT(J0&hZgr3>ot}w0S8#t;5I!>_A^N*lWE!y*kgKdPcW_d2PW0tVOHf6M%6yi&E^fQ>VCn%TKs?YFBn<>EU(MoHD41{`z0M5 zzo1|JM+|HJOuec<<8S&4jm+L-Q}rV@(l|UVe!ev1R`F*6*RNl}<#|#Rm&3n-NCmqnuDCe?WZy86#&4Tmw+c#l-~aN!D1^Nzm>1pJb8cat*Y#t87LOgSyNAH zJGcD8YHVGh_7bh9$>pZ%NhMG$&o$x7_AZ>*QbTiH|7aWcr7ri)b)@)ocj=6@c`D$V zJ#>+POWLzo#c0E)oU!Hmn9?#}|2pXp=v zcZQk1#NF^U_J%)ENBd_Q>r2~}_S;Y#K|?(?xc(F7>Iu2wFX-ufrlGN@If0pl_6xjp ze#T7aZvvp-sj30j_tZC5PY%Bhz36-!xHr`P!i4HS;iCN=I&uzey&puCy+vF5N9u~p zX;$SPZLHqoBT8_j!}mI69y47kxLAwygRKNycQ`K+@paAbSlVqF8(QpP zUBi=%cG=2Gx0|eV&Ekk}3KxCy80D5juO=z<-Lx2KVd5krJ3#@ILrTQ>HWq) zy*Er1aP<+HKEv>PoQywfz*S4YWvv0%Pv5}x?i;wiDXvB*xSwMG6-1iG{Qn28Yyp=9 ziw0cs_wG6E1YDv#)cYkMG4TyX-feFCmW(%99&?!SSn6NlH< z;-Lh}{{>u&{$p^J3An!QG%VmMG-2nqY8()7-Om?0D@sgl5}K#LHMxamvs*QWRgqYY zhl#aC(bzI)MgR$SEj3B*G>P@ZhF!m7K-ARklnDeLE(_+KSicJSe@;>ZoNSIuKt808 z$38Z#n8WsEL+I0a0z0FQvZC)UW{w}pnl)osJ#0JE22A3@x?!vjUO~*9?X2815Z`78 zBzT?^U>i;LrH)jJ3LQIg2IB|MVZ$nSjvpPsGl8V$TBLl+r94hW&iwazw1zgS=_-Vi;ujk&f7H|dqE4c0pxC#VZ z+XP%k1zaitp{AY$Tn`0YR|Q-rw^WyU`Z^?_jtBYr?4RRI$*EofLv5aEz_s|_f$Ih5 zEZ!1jrKTRd!N&NbD3%`>QRhcYq-|Rn{9Q9%tEH=+Z2wAM0oQQTSGXCy!Cn-VjrOlJ z)BA+8!Dkwa`f8&4E2i?k>f#!l^?$`gN8n@p2Q~sZ1Km%!>i!KwJ>-1yQ|Cu|iwLka zRg<~@j#>((Ew5|oiL%oDosl(vlke+GLd zJ|U{{F%~zv!c?!@w6A{%H@D3=Hrj=w`)Ve5Cz9e)!F7w@8Ezg!zJTj~o(ZXi+GJ!@ zA^p4^DFr4REpE=D=OHX8S;DS)qT3_amTHeVp9A zaCT|)4P34sG;j^V%gv4x2SVAsr7^e8jb`4&sZ1OXGSTugJUeTom^H_rTL}U*v0rK( zYHpz+7ZVLwIIF#UpC1oJaos;>C~8OQ`*sUF>@G^sBr~Q>B*za7mTT}MY(P&=?w-Kz zspD9^D42tXyg4v?4(p^`?i{Vf&Qa|-wxu5j&%4sI(+aG$?$FLQg5qmKL@`!n?5MxM z^&f$&j`nYOiM_Nn`YcN4XWZ1oES=w|s`m$u2ESsa`y&k`{_G6IwTOFglk*sf8q*R- zq5ke&?X9?x&vO3H=xEDN1BoG{AJLZg52^h#wRGNyMHPD~ab_ronbsqEHQ&OeozvMo zr4!S81#)Ba7}kb(vn+Tx3%abKLz5}=9k!IFox0I;R3DbKTSZRIBCZ*UlC;=BVO)2q zD?`$x{L(V(O1qajEOcUjQ7`5{8N;%YP3)hxg~tBT!-5?I&$UwPWBz$$H7Y%i8*qXb7yXI z`D7%CS6xZFVJWaOBroNw1TPVL@^9d}%X#s=FRMS-D6XyEyNGRcn*KJCtnG4vc|G?M zKY1ND$8TkJm#xg}eT0Z^vD7oaBVbaq=Ra!(GIgX9yNVb07iFa-l3M56{|vO=%iqsw zum6nBY8|8cTP>tE{YVq>_YPX$QPuRd^yE)*G5ka|sStCKz5Vqnm{jEh-Q+V9q!HMd z{)o;0LEuume=FOnz_nm}8?vP5Q{zVJDVf?bKRZ2ynNz~JcBwnrw_A~OyE7?CT{(H7 zGxMiTq2$&~%I8n#!HK$JBTdDg)uVsEIjoA_$AMK{iQCYYL#u`}tldK5HwLq^!1)Grsg{wweJh$K1xgp(8QkVXx}5K$t`AtoMT?E<18Gq zmSc1Gu&dWzwspD4#Adr0*>eMa9YP2hJ%HfOqUil1x#gP1Nt-uxv0F*Pptp_)BJm@cuuV1qbiRG^BTjhfFtboy2~W@1B6z&$oS@IY#$oW z#o;Fz*X#yi{^^AHC*kV*J+=LRrCvZK_P%eZ-uesu0^ZUt=n=I7UQpNn6Lu{>VcYT} zH3Qzzr{z0B+I+!UUaJ`>uyKEjZ@p*uyMKqX$9FXK{vI!fA8@Y!J&j!6Ft5rhW?8+X zo9R0yN=2&0taSuj)%D)}?{5{qflCE>UQ#<2hPNd*%UmryIS(_VLdXpjpF>)22|$Rvv+QD@^AL0=w5B|D$FT*Y{ZV}x@4T_ zN=1SBU*P%=z-1@kve*8FAl)DE5H;f_>d0N=MBZT`>d8juqnzUn&BS?lYJHKN zGNV~ObAqn2cW4r8T3%z4%^5;!Z>NLzB>ImWOxrHLjO;szB|)1w<(S5~ZeIxOu$sfi zeJL!~r6^rTIzVTVj(Kpis454F+p)BA6iZXq6FYP#oBM1aw$B>&gzabFuBDtk+k;DI zd^mihApwE5ICu!FT))lZa&h;-+0lb$jiiz9=|J3`W^CHig&Au%(QZl<19lvt&5Xqi zTe_2lt0!^bU`r0|sHd6RboHP;CCL`jP`+8k1OAK_#dX2_N1j_hA+k#p3mliT&UGLC zYpi3S)qbWm-@~zq5v0r<%hc{6golo!!{8|Dwok#j-D_%gD5pldN~(5#h+pRiH0t?) zYVxysr^nQ2|CAcRkFoAtM)Nk0Y1Q==RXRSVdb{ti^?XZH`xkh5K9k>{=ysH|$luWQWsVZF&s@6ELhJy<%~hxi?i>>E9dbDKOUFESIm(U^V9{D|LP zoxK}e*tgM!B_qAaKRr;uWb&6){3nXbR{KYK*?*^5+ZfnD+Pu>j?EK#2;`=kM5(CaH z-%#J}J?@QP(y-$XG-&*Rx^2HuD_Gim;3s;weUE3CFA_6fs2eDXEm(e6N88Vg>--B% z{NH2K_Br-}udomJOatH73>$WW`4a~+t6yIt`p;nB)Ce|qoyruaU34%yLbs*|31}HX zJHOHN^O?wmdeNM4N#=OSM?$9^<5F5@vZc+cRUr58ICExWJ<@Y6Ii1&m4UZz2b?yKI zY&J91_bz>GPBT3?i&@jgu`4!!eKCzWc<}3nu#OGwv2*&CNYK&M5jUY&vqm*JexwuU zZZ;+EMi47*u44F=8HDF557H#~HQ)*sa0P0% zv(f~9wjrmFwa3S4Dc3H{BK4LpS=RzdzSfy*w*t6wV*q!r_b2b<0LrGUr~G7XzEfjh zw`wtF;9L&uT+OYRW?bAB%$XfS88<3~oZMipZf(ojh&G&$@5RZ5E2!r8j4ExPQMJ`Q0$Z2iBqG2vs1oadCs>LYund+X zsEi)L0xRDls zP&nHs?_k;V4MZ>5#GF|>SQ<5tom)Cfr`d*`TfJ%3${mLWjd5`BS4)0eadz^cz9=rQ zMpj(D>Pc3C4vD4Jh$-#E#5wu^zak1#~%dj?5mtgH5#-POONgZ6hc*ZYwc z^17A4%0TyT=;%C=3KWSd_N}AY@Ayc?eFfGRbhUm)Pf1zbSF|^NNwAgtuA{uTnjNna z%!!m1C{>Zhpp=xW(N9=QW&0U?;iUNo7FxV!fY}>n8NH>R@sFCB^EGwfV)=i7;?jUi zt|ceAgIL85ynY|N#v9zbZ9)0VQmL7%5OMB#Txc#F@lc1q4a7wm@z)1 zx!Qdx6=xhZOM*(1O&LFOB(k3Uvnj0r-O53Z- z`TY)TJ>7)qhuboJ^DO*V_hiV4QFIa&KPqM{t53A&z$tHzpK44ie=q8Lw8GZTN9E(H zk8cYsldu4BTl9EXJqybx|inDIV*|K!hM{%H5j$$zseqvmt{gu zjvFj6MOhnvrnc5wnuwyRt@k^@+Fv+l`ibzWZ|P^|2#Y_JscBX3J{UfHjpK;Xx1v6>K4a|O)czA=c-ZL5+ ze8NQkcXTB-EVSP-*!m65rV3Nn`%ypJAE=V@nqf+bCkvcB$iCfc8%i{}o8b(+DbQQc`fumj6_tmcZx6$)G)aJ=Rv zhO}r)@tNjdC-i2iiOwQ;RQs3ud75O(V>vFCc(Sl!In!TGXUW4^BARBg^wBut%iEG% zQiH44tqE$?1gC~RG!JNvmc6qRjT<@P*~kd5W(HiiTAv4^rm{1uusy9m9a7_HQE-|T zw`1`-AHk6uJt<48u4zPSd5YTQS*;jLqT z9DM=WV21bE!K5MK%pWwCh{2PYIA|&(`cI=%i)iNc-Nn&%8>u|qmdB}jl-{ss>V!U| zl?G8>EPod{QY_y&duAg6#Q-j@>BZrA8;YMAk`&jLL+c$WE3L}rh#Hh8^rR%$fs$ei z9^SWRca$TUr`l3k^w;40KLb}|Q9xVF{-A?=#zX5p^#nM|k*G`$D($tt{N6&^wYPxG zOuJH}xb#GAsYC_ZS|90P^?@4VP`*wrQa@Gauj1%udR6(IYHIDTs4p#vA1$pHa=fFB zb0L%4YKu}UbmS$U?ZIZ+7dc$EYn&p;ZF0rT&r@Is~#Ej zZq$jCJ$^jO))R2)k|)Ybt@|y?G}QcjnySUwVn@Qh+f3-&SjN6s$;`_6>@Dg=YJt0E zVSmPDGg>vOhkZjY>NIpmtDb|scw`q`oa_W(mR!5iL>iQyC@C|J6}D&Qqj^mEVLDSD zk6_yO2TP^0Q2bo71}c>N?5D9TGJv7_ZDd@Mgo zp(}W)r3rt5>%VFhv(o~InCMGZj)7)uQZr2=vpUP?`{LuYmIV_dSvz$S>*j_rZ)zyh zrgbGMVlm6cPUB+83d+v3kn;;1?$#u*R=Hi9*+SZaU1!G z1_RzQXyAJS!#?0S>>b_%-_dBqI~olAK!f3*m^%C?{Q7;M;n4Rq9xCS^`ivI+-q5js zHp4@&F}YtlVSUcCwf`=EaQPDL&)#Na>Ryhd_)7g%;X7%! zxfc!S;_rfkM@#-+Y14eE>X^0eUmxhCWm7?B_i>|ntlmONR&^onIfJzT+@ zr;Ax}y$?^4s&PNloZ=)i^0TZo3ER{>E|sJ%>tItx8m6$<;5Q!Hy<}X|H7v8+O;nvT zbg#97LH6sI;y;a(V}!zC?HCgfME~x6=pHx-OUtWh3rJM&Vf?S)62)bu=A5X(`oF;S zzXn|X%$wAlOi{~f&E$hr9kGj!gb#3GRLB7?om$GRoT@tG+0pNSik_uab*% ztq0V!eS)!L8Kw?Tsaoq1CN>YKRqF-SYTm=bwt(8TA7kSD93z)!7~9<^z^#IMZqG2X zuf)uzQa<|#Bc}>E%IW6$mg+VS<#+Y^3pt)(BF@RJWfa}Jw`FM00Kx|Na&pmdwzcWU z^oAP?^&t>atTyc9sNP{^f>=-QNX(q~EN0S8eS)#Tk zIYaV;ugNB_XE$PC&UwPJ%b9npjIhG$^9` z*~t?xcPp-6@+Tp&9@mpvaOBo9*4)_4wp)kTdi6SEvu>~^We$m#+&G@#L3y${S+}Z- z>QW6vC56)>^H39p876bc>Nj$#Rx&4WKdYUOv#0qr#x%H0ACm_}Hh;>cK}X0Pype_N z4l$uy79-jhQ{CzrI=Vl}Ar+{`x&%;a=Dd%nE;T^-msR{_K4`fuEN%U+!lb{y8Y1d>5gBmR%)@vOV=UP)Hom8&CVeGUXB$srO zYZd_J%RQx7Fk_-81(|+a+tiFByPbJZQJsX%0>7=+l;jx`GgqwS)g~0(6W|mHFp90% zxzvudGjg87Du03NpIF5vV(oSq{f(aT*```QV6W1e>%YT9tYKY=9Rt0$I2!+pW;Y^T zo$qj!^BAb{D(%0^HT*)T)kn<+8cGP5Ni3-$89j*~T@ef;s{KJdWgW%&Xz7cH(ElR; ze@lmkc`R(7#hSKhtm$-uOB1fKul-r3IVBQoewHD%Ruj=+W~s z(gQcLN{qO2%Z!!*uGqPG;_Teu+XPe>KkVvtriF(Cmru6l#F2(vzS4`Gn`2nFHl9^W z4zqsQZU!IP#K@d^9KCAC)?{aL(rR%z&PjS#18JxNE(sZp&es6x+szS@$o!3+Cc)+fb<-5f;!ElOZ%hjikL!4kpqSK)tNcfpW>W;T-(xv zBk=;Uvf3nU@5|9G&U}||NBsD96yNDZR=E|+R}P?m@D7FrjV0?+FUkx4zW`U;|INm@ zej(2M@ATIBBoO#m3PKVKI$H1PR`nA$ zrYfF(#z@7L8jRO0s{WbKs((;Rjc@6z&1%$nCB8&7wD-(pM*CYV4!X^7-lNNrS)N}X3QQV;h zTy}2mIMjEinU^I;_PVocrwd2pCo-|mK?b)xPpI1sLYp3-=dLtDpIzZ-X&bgx`jVAr z&)Ll`nhPjU^SC6K(hnbS=c<-}}cG$u|!&?lHW3$UK4mutn z#PS#cRnO3(+CIz;?xU^!8`@HdCfe^bJwYe)pJ;BO3RxB4pB-GS1YGV^s>UrAO_Ote zLoWfB{l9|ieufbhxrW?P`MB29rb1w)QUxnWeFc{Tsi(XCC%~mA;4&6)S#w~^00~O7 ze+RC%%p2E*O!ZVT(@7Z99tY?fWvI<5b25_2X*) z{*<3~qpVPu)GS9F9rjCoJYi7%40f-YLj0!g^zS@^-O+Ju8n%fAlX|gxTYol>oX64; z!??SlH?ch@aB$%Ywr!hCK=X6xYn9+vdlfl1I#DhP?CTiUT-LAj_*ZbL2S5s3WdaVB zjp4?&0aRw2Ys%ms;95ArSHLBJ%2MDm`v$J2M2`*rS8%Da{Ii3rfxv1}ZE62v@ftUL zj-BT#YPWex-GEorZTy6~ewDbnzQoP_5srTMacumIT0zgK-sw3t+f~q}!*g7_KBH#) zO6sK&gF((xTm0hQG1Ttw|o#ncXdgpJ>O+*)6viQf)k#2)-w#xO1T z2ve&apubT9z08xD?y!eN?H156D1^vvE7@6p6UhzpIpp+^&aQJvj_=E(LT!z}Db1-) z&gH5SF9uxP@5I@CUD&z41KU>3WYTnhX|uB!A3l~%I~EeVA(%5UE*ul(-pbDhTMr-V zHE{R}E_;vK)c34Ob1!oa#s;u|XG>1TO(SON5mt8D&8{BtZ0sG&=(sYvzqm*Aqlv7l z=p|O6E_ctlYjT(8XKIsCs>SW2e)Kg?;#^T%4|~-wvFfb3J3Y!L^_7ASvQ}Ewc8(A zkJJl3ntWU;EpSDu{4dXdwac71y=OFK(ik-0N|Qh;)+T1V6$iI<;eNi6CU3pUYn3C4 zYvI_AWT?$1vh*~);MaR=&g^O7OdU3alq>!ernDjL_Arue&m#TKI7)Byr)=>U9v`ct z*#IIv-GIDJwE&;^@gt7&wQGD_U^;L|@Gqi00a}1;zzN z9NJ!;%R2_~FvFfwDMyX{O=``YNq(efI%ys@sPsLW#E+@1i5@wUv;->+v`Z9N{>0!? zgY&M^t{2t(Ku4Q5G;@BAllxoh_`@pO5Cv(F1WoIl9_f26wK z7wY=HVL-qeyaGQ{tL10vHTyyx|IgG^N6Yt2X#WLI@0ZkS^@ciaDyh@z74^Ko(7x|Y zMvd9Z$bQEe7P^Z=V|TK>`3e>`J_#sslqRd1petYYrmNi2?B$NcG=h+HsE*u-k{yhPlKQWw*)8`O3VJZhljp4-5 zG0fX}nxHqSOng3;Wlsn4BEf}g+g*5+WX`=*ZE}mXxO=z_gG>@RCQ7N&x{{?m7qYhT zDh_&|WR%}F=C{1ViiYR7(tj^EM;&0nuyf2Cc7o_Fi8Kj&K>Z;CjnO|)f5i8=guNuB zkH}sZftF@H)}I+%RoOnd7mx4y@H|CVlji!q0@w2CntWVk_XJv^wv>f@Bv$cm%s`H= zv*J;nJ`V(34@7l5$*9Txg$+nO+g22k8dw*_m0~8aGW`m!xXBV!X5YXiRwYpD|c6{oc!dejKg zk}N3_*cPk2X@6pHDaGY3c5i*vpJ}iCGj3u9>zSzagnwYJ^P6U8auey~8|nXolioYA zir>*dZG@ryFY=zhVJd2EpygZa4Zd#mr*_Tynl9?^;&6UpbhV$c(RwX^tF?-c-UuJLgS?ORdF(LRYSI1muQ}f*{ZkErKCaKJB5YJktQ_NNSZgyMGUdLS&yX11g z0KGs$zpjiv&a+90=}v_xUbPoOent(lF4mH=)#uW&2Anw&%<`2T*|;v2nRBMHe)CRd z%v{CBjSD$-q7O&+`>=OUQvzDs;n=V~&h?vpQ(SVmxHiV8VRe!9fyBo*k)FCG2i8Oq zJ9Qen#tdNZ#170p6HB`v&a>=!H#R(LOnF8XGA`BSyF2DoChBNXp=9oF#c0FZT(SDX zQ?mz*aT-W`+XHN_Cl=9dJIgztW=HT!5+{x&Vfs8K_S(kuZpRosUy3&pljDyaVoyI1f{+Nqgbm1 zN0H=q?AUB4_HDR8(uDi+y$VwKiS39S)1J)qn&gPxQkg;T<%x~TXvEwJUAcS3kE@4j za7Eg|siRHV5$DX5kzKidv;_&_J-86oO#swWtfD8$d3GeEG$t|Km)qGM+{vyjFmj|M zsV0@_I-0G_)Wk!j^wQECd3wc*?=IJ)AkB`OS?=7(b|WRwMoLgI;yPHFKnqwesP(YDZh2pEDH96<=^8_q%pUOh2 zl^jKKUCY8dkd|zydFox9ssE?9ivIvt2Z@P>y5D1K_8uMGKd{tSnR`EArV7j#``$l{3=sgE@WcM2rjj_z?~{r zI9a!p9`@77*wahmKnetYarOkBesA zsO9V!5y_H6*J$(cB$M6_V#;>|d2+WQ2bS3I{q3(g=aqfQ-0#O&gBx6sf`4W8m~DMG zu+e=7@l9?Bc{k9n##%P}Z{_NcSzHaD!`L2+nA3X`)7x#v-uxCu2G1}yyhk;IN9bui zqmSCH+Eh)2_>+T6di>3^{J5VGNJWx84^r!pe@|N~$44rwHYLTPS_NLEd3D78cynpn zT=pz$EuZ(HG^;+PxpjG5Y|Q?s8l;^VENa3Cm7=C1O_v7}&}-LNa`Ip=dCgFQ*+A5l zg;*#%wr#7)zHK3LZez`A2USN!sopFZ-;AtuM{=^NX;K`k*GseQSTxn2%ddRVB<`At>1y+f^Q)X}7tF3d5a;Kq2OM)eRoDXL7M zQX;*3f&8yP6xY&8ElEq2pbcaioHvXY&4n<;iJ;F|qY`Z&!bZFhIgc6qtt z%!;!d$iCnxYT1~JM?5%nq!TMvwrBmS7$!{#XXTnrOq#reRjcL^zgIf&T}{~&*OWGG z9B}q%h?C1VaM`)Jh&Oh_+rvaWWdL_>dUE&X5OzkzGQG=c=7+>EukR`bL>^^mWjZ_W z4>F z9L&WSANI`?OLlZJ_w#FWUqDousm8cWSR2`rlQ9eA^{<1|#cCaCu_@cO+i_@X6s0L$ zd2p*O`BwwTJ3p2A!#Z&1vKK|U4Mo1&3Gk{@D(Ym>^fuDVnNfVV0g<6YSva91b7r(* z*7z~3n%;@46WVdC|0Wi9Kg#If;|%XHk5S#WFs5HLp?yX(yk8uP$K(*!x(`q9G}mkf z`yjOn=k`QVSLZqdq-L;nU}g^POWKW=q+jxqpLQhP zu1n6X2`ri1inJ6xQLW+%(vAKC*MA0Fat`&RQA_W=#M@iEZ67h%yO1$WDj46ggacEb zFs)q@J#9(|wMu1M!<(FIoJ2&xe8O7{=bZl`?pWU7WR3guw4X}KuFe{(s5UXqPPFCF znyQp%S#V-c0}k#9ASQMI1N()sV)YXG4j4@I@>m8A=*scKq3o3Uj*D$Vs{m&l+}v?= zZut#d9*)?%JJ6zu75ld}WA~2wVln&~-fbk&V`Eq}@f_19pJB+_D}=tf%-rXLng3)g zsReD>v8e%Bna1SEMJ21%-`Bel-RK@C4Bt>;UBTi$8(7*Xj(G1AggCFEx7isM)l1__ z_uHKBf1K%k_p@;DUM6(DLv`!Nn#$7BeUG8`Pntax+gp90X_ZPTMCH5x%o9+>DoQ}y zPxPQNxe66xrxMQ$V0@Pyba&ao^06V@JnqYt<8`^NcECB(itERkartC*uAQsOh4_Z7 z9^RYrUXg4Vv5bSO+fa1Njq)@z%1iWEzQ~a?yVh&+Vck~^L@ZNwb}d#c?!c%H%h^0J zlBK-|F}H0Pi`$)`x5HM3w;a#L>C;#?K8VvX%_+P)ipc51xRYo=%6T_>)>%dRg&kxS zs$Hh1Q<&D0AMZNw!_6Q{GJ2Dq-j^#r!Gb1n7-9 z5zh_%~7v2zg@9m?oae+sE_oiyMoNY~ZuwRvuv zIEz$kPVR2Ro*n+|-aUkc5vy4ey@&;oOIaMbg{a8coIN>|!@GSsb;1unKLsui>>R%3 zoVWLMrCtLUnt4>?($Nm=jdA44l};?0HJlT>*Aug1IR`dxCVJy?<{lo$zLOptKj1?_ zk_Wdo+EbEfA{V4XL8&y1Q>}?~Na3R4Yo3}vVx-#?cCKRWD{al7?J!eO~ zC&ait#Y-m-Kf@f{Quplgmse>0YbmpoT(sNpWO6n+`KBb z^qe=j$Ah?e&Yufc-35BCa zXezzE0?}XK`cEq^i9apfFX(AMr-pVpjkF7CZ&E}H{RAdkq%uh_flz~DLai^cw80(@ zwLHS~Hq)5WY&sWOoFTE=U5?i(rMvxjGWH0#Bu0wWB-9iyF2|~!-fM96Xfuu-=+2=- zBbhv50g+4RGkN+<7A)MvtQq6jvY`X}rA=+y(v;S%8{p8u14k$Suh}6S#3nkrdD6m5 z2pH$hnL~9rcCIBu#sv|%Wf1+xwj_G>7zT~>B~BXLx$F9(796CZIdCJUw)Ci`nq?y@ zo92^KZCF(`nH#!q`A(G5+D=>8;&zgqo=Jq(Kgl%bQs&rXbFf7MhkXkOv3){+`xkU~ zNW|LkA%;3?mhT&?XsgL{f6(3h3w{>gNg=Cr&3|&mHNGR?-R(;06-V~Z=*{iJ9u(wR zQ=(>%XIM~>s;7ChUz8zbnQcmOni{K-*A&cBrHoT*QkiQeaH>cA@@Yi(@6E&1dXyGx zvwVd$hYt58Lpqc7lLJ{5){QfJ+K`&+Lta4xQAkca%r>M#Kyok5iju5q6crj$Tw*Bo zS6|drBhDRmXThZ5tX#l3T`+&&+~$>X9J z2X*DvslnVm>(A{|K9r?2<=BGpO!V(cS#nDaEbgU<#Y?cEvOvI-sr7Y-=qw$|3$!$Q zOuk6c=lLCDDpRHXIl_$qr30SN& zIi3oOz5?lak{;issD}-rHgohvC3>+!l-t7a-lQZ8xWq!2rRn}<75^Eyl)I4_`l`5o zmw0=RdyVIWG^k{-OBucGDp=+GiVbxi6Jh(3aZcA+(qtFg-FFeyIg04cD>&e?pFF#4 z?$|zNfYUV6_H~i66mX>*2)H~*I^-rDTWt>SZNRZzEm^;^6RQ_(W$cJiEML2Z!K25q zYTYunZEnx;eSYkV^P){_51bpe!1f=ho9nyO$Hmo=291rmbG9+x?fO@X{5# z*v0%aEmdOwKl>P0VpU=%_vG2FU?N6^uxDi-&EtGEFs}ASyq{*Q2{`oxQDgN)!QPWf zRx`cJvkc_>1Xzi+DNk`GLEzA(O?yr*8%C)FOwm09macMR<%T_k4ff;6zLw->*hxdF zCf6pPPcr9`z~P~MkFrYl(k%r9HBcMMs=zEwGtta%D$CL3aY_SHF12M)*XC?r)tyK8 z>XMac#h_LT8Q*Uj$A|Rh;<$mV9vsf79;0dLF_lq6LYXqUHRF4>BjHR42bYF3wvD%d ztFfjG9%SgtcN&R})zxgATahAVB6d{4Mr}J*o+j-kMVrS-T0E2M9y)9dgT_oGc8LpT zr6(>b*5yTpfF<9Oqmd&yH)<-cldB3ORS&K!P&P(w16Q7G#N$i>OR6X|X`A;8su4AL z06kl-;%ka;1`xxJ65|evRA-sDOF%x50 z(`%;0^9mMsUCO-Xb2;vFf=Hiv%xpE8{mu81R^u887WWuxGn*TGJ5ySur|GEj6KZgK zpB48~tFiTfEoXMwup+Vv>t=3c#n??uogcxZd1Dwoe>|rzgt33CJ(o_|)1ql3>ecT| zU3Ukx99*1f;pd05j~ku=Ri)v%@m6}JWAV+2xN?JuMRy5%m`v|SIZV#G!mixT(u3>p zJVlGwcT{gFftRL3kyM!4oU`<#KQT43xoq~5+YK|A(RmFA{Ld5H>B;PnW9<4Mib1$azgQN!ZlnJry)( z4)mk7+W-nr^`=~`R?qE?pT{z`uIWK`zF4v>BT7?^G|PD&W~%8I5`+@aB@)nT z2Kqx$DP>9xDX3)X$syM-s_s#up)@dKc5Um(lwONTJ~omYN8D&tdpl9nBT1S!iu9;n z99}Sl74ySb5IK|iD?5vA97go)(PGa;+D9#9T$@gm-trffC+8LTs{IBv6GG*>3NuAL z70bPsX_HqX4Oy7BRb5~nv9>qUSm9qE?T>mk+ zv=z9-;i$w0`p+fa$_Z?8iE&-`F^9%dVhrEf^W)mCgc*j$wUN}?8Q zX6cpP%-c4fwdWUd^uiEs9_`BMeT@ig>5G#`Fiis+eYJ{q4c)2j?Sg9y3lfesa3U$aR(B)~eG$3gZNw)%6 z=$6lZw-=o8y~EJP<2WSrjcs;->CN^t*6KD2qPf7z zl-$f}`#>66qZ z(gBQN>!L+uXGp;0R-;&B&(!xAi(S*v%+)H*wiavIiu=iJ1!~PCz-nl~spdDSLG*i~ zyvmYlYC53YA}h|H@?!n8zMPE