From 6ae96d9717c998de1496f3f8de97e7c91b4b749e Mon Sep 17 00:00:00 2001 From: "dependabot[bot]" <49699333+dependabot[bot]@users.noreply.github.com> Date: Wed, 19 Jun 2024 06:23:30 +0000 Subject: [PATCH 001/230] Bump vtr-verilog-to-routing from `e099206` to `2ff460a` Bumps [vtr-verilog-to-routing](https://github.com/verilog-to-routing/vtr-verilog-to-routing) from `e099206` to `2ff460a`. - [Release notes](https://github.com/verilog-to-routing/vtr-verilog-to-routing/releases) - [Commits](https://github.com/verilog-to-routing/vtr-verilog-to-routing/compare/e099206db3b2d1b0eb55c63edd90c85c4937fb95...2ff460a2459fcb8b225cd18ab23c4f6fdc528a75) --- updated-dependencies: - dependency-name: vtr-verilog-to-routing dependency-type: direct:production ... Signed-off-by: dependabot[bot] --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index e099206db..2ff460a24 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit e099206db3b2d1b0eb55c63edd90c85c4937fb95 +Subproject commit 2ff460a2459fcb8b225cd18ab23c4f6fdc528a75 From 2d6c9c3428de74f8a6c06732dba2c1c97b986cb0 Mon Sep 17 00:00:00 2001 From: "dependabot[bot]" <49699333+dependabot[bot]@users.noreply.github.com> Date: Thu, 20 Jun 2024 06:48:40 +0000 Subject: [PATCH 002/230] Bump yosys from `74a1dd9` to `6c8ae44` Bumps [yosys](https://github.com/YosysHQ/yosys) from `74a1dd9` to `6c8ae44`. - [Release notes](https://github.com/YosysHQ/yosys/releases) - [Commits](https://github.com/YosysHQ/yosys/compare/74a1dd99ac0bc7355b5d8913bc4b7bb0160ddb1d...6c8ae44ae7ebe5cbad7cfaf2d0934701e29c9527) --- updated-dependencies: - dependency-name: yosys dependency-type: direct:production ... Signed-off-by: dependabot[bot] --- yosys | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/yosys b/yosys index 74a1dd99a..6c8ae44ae 160000 --- a/yosys +++ b/yosys @@ -1 +1 @@ -Subproject commit 74a1dd99ac0bc7355b5d8913bc4b7bb0160ddb1d +Subproject commit 6c8ae44ae7ebe5cbad7cfaf2d0934701e29c9527 From 1edbdf9f221eba78277e7805362c99b48af233f1 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Thu, 20 Jun 2024 17:26:30 +0000 Subject: [PATCH 003/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index 5506f25d8..4c6029b42 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2262 +1.2.2268 From 9ccd14bf4d674c3fdffc5b7fd1d03367398e40ca Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 16:45:05 -0700 Subject: [PATCH 004/230] [lib] now default switch of clk ntwk is split to default_tap_switch and default_driver_switch --- libs/libclkarchopenfpga/arch/example.xml | 2 +- .../src/base/clock_network.cpp | 30 ++++++++++++++----- .../src/base/clock_network.h | 13 +++++--- .../src/io/clock_network_xml_constants.h | 6 ++-- .../src/io/read_xml_clock_network.cpp | 12 ++++++-- .../src/io/write_xml_clock_network.cpp | 6 ++-- 6 files changed, 49 insertions(+), 20 deletions(-) diff --git a/libs/libclkarchopenfpga/arch/example.xml b/libs/libclkarchopenfpga/arch/example.xml index ac6e722dd..ac251bd3c 100644 --- a/libs/libclkarchopenfpga/arch/example.xml +++ b/libs/libclkarchopenfpga/arch/example.xml @@ -1,4 +1,4 @@ - + diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index ee562bfd5..7ae5b9a4f 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -18,7 +18,8 @@ namespace openfpga { // Begin namespace openfpga ***********************************************************************/ ClockNetwork::ClockNetwork() { default_segment_id_ = RRSegmentId::INVALID(); - default_switch_id_ = RRSwitchId::INVALID(); + default_tap_switch_id_ = RRSwitchId::INVALID(); + default_driver_switch_id_ = RRSwitchId::INVALID(); is_dirty_ = true; } @@ -172,11 +173,16 @@ RRSegmentId ClockNetwork::default_segment() const { return default_segment_id_; } -std::string ClockNetwork::default_switch_name() const { - return default_switch_name_; +std::string ClockNetwork::default_tap_switch_name() const { + return default_tap_switch_name_; } -RRSwitchId ClockNetwork::default_switch() const { return default_switch_id_; } +std::string ClockNetwork::default_driver_switch_name() const { + return default_driver_switch_name_; +} + +RRSwitchId ClockNetwork::default_tap_switch() const { return default_tap_switch_id_; } +RRSwitchId ClockNetwork::default_driver_switch() const { return default_driver_switch_id_; } std::string ClockNetwork::tree_name(const ClockTreeId& tree_id) const { VTR_ASSERT(valid_tree_id(tree_id)); @@ -417,16 +423,24 @@ void ClockNetwork::set_default_segment(const RRSegmentId& seg_id) { default_segment_id_ = seg_id; } -void ClockNetwork::set_default_switch(const RRSwitchId& switch_id) { - default_switch_id_ = switch_id; +void ClockNetwork::set_default_tap_switch(const RRSwitchId& switch_id) { + default_tap_switch_id_ = switch_id; +} + +void ClockNetwork::set_default_driver_switch(const RRSwitchId& switch_id) { + default_driver_switch_id_ = switch_id; } void ClockNetwork::set_default_segment_name(const std::string& name) { default_segment_name_ = name; } -void ClockNetwork::set_default_switch_name(const std::string& name) { - default_switch_name_ = name; +void ClockNetwork::set_default_tap_switch_name(const std::string& name) { + default_tap_switch_name_ = name; +} + +void ClockNetwork::set_default_driver_switch_name(const std::string& name) { + default_driver_switch_name_ = name; } ClockTreeId ClockNetwork::create_tree(const std::string& name, size_t width) { diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 0b9b8a42b..ad8d5e61f 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -145,9 +145,11 @@ class ClockNetwork { /* Reserve a number of trees to be memory efficent */ void reserve_trees(const size_t& num_trees); void set_default_segment(const RRSegmentId& seg_id); - void set_default_switch(const RRSwitchId& switch_id); + void set_default_tap_switch(const RRSwitchId& switch_id); + void set_default_driver_switch(const RRSwitchId& switch_id); void set_default_segment_name(const std::string& name); - void set_default_switch_name(const std::string& name); + void set_default_tap_switch_name(const std::string& name); + void set_default_driver_switch_name(const std::string& name); /* Create a new tree, by default the tree can accomodate only 1 clock signal; * use width to adjust the size */ ClockTreeId create_tree(const std::string& name, size_t width = 1); @@ -250,8 +252,11 @@ class ClockNetwork { clock wires */ RRSegmentId default_segment_id_; std::string - default_switch_name_; /* The routing switch interconnecting clock wire */ - RRSwitchId default_switch_id_; + default_tap_switch_name_; /* The routing switch interconnecting clock wire */ + RRSwitchId default_tap_switch_id_; + std::string + default_driver_switch_name_; /* The routing switch interconnecting clock wire */ + RRSwitchId default_driver_switch_id_; /* Fast lookup */ std::map tree_name2id_map_; diff --git a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h index db7216f2d..a172b88ec 100644 --- a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h +++ b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h @@ -6,8 +6,10 @@ constexpr const char* XML_CLOCK_NETWORK_ROOT_NAME = "clock_networks"; constexpr const char* XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_SEGMENT = "default_segment"; -constexpr const char* XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_SWITCH = - "default_switch"; +constexpr const char* XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_TAP_SWITCH = + "default_tap_switch"; +constexpr const char* XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_DRIVER_SWITCH = + "default_driver_switch"; constexpr const char* XML_CLOCK_TREE_NODE_NAME = "clock_network"; constexpr const char* XML_CLOCK_TREE_ATTRIBUTE_NAME = "name"; constexpr const char* XML_CLOCK_TREE_ATTRIBUTE_WIDTH = "width"; diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index ed083f483..372ec0c81 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -263,11 +263,17 @@ ClockNetwork read_xml_clock_network(const char* fname) { .as_string(); clk_ntwk.set_default_segment_name(default_segment_name); - std::string default_switch_name = - get_attribute(xml_root, XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_SWITCH, + std::string default_tap_switch_name = + get_attribute(xml_root, XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_TAP_SWITCH, loc_data) .as_string(); - clk_ntwk.set_default_switch_name(default_switch_name); + clk_ntwk.set_default_tap_switch_name(default_tap_switch_name); + + std::string default_driver_switch_name = + get_attribute(xml_root, XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_DRIVER_SWITCH, + loc_data) + .as_string(); + clk_ntwk.set_default_driver_switch_name(default_driver_switch_name); size_t num_trees = std::distance(xml_root.children().begin(), xml_root.children().end()); diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index 720335aa4..a71051fe1 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -168,8 +168,10 @@ int write_xml_clock_network(const char* fname, const ClockNetwork& clk_ntwk) { fp << "<" << XML_CLOCK_NETWORK_ROOT_NAME; write_xml_attribute(fp, XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_SEGMENT, clk_ntwk.default_segment_name().c_str()); - write_xml_attribute(fp, XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_SWITCH, - clk_ntwk.default_switch_name().c_str()); + write_xml_attribute(fp, XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_TAP_SWITCH, + clk_ntwk.default_tap_switch_name().c_str()); + write_xml_attribute(fp, XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_DRIVER_SWITCH, + clk_ntwk.default_driver_switch_name().c_str()); fp << ">" << "\n"; From 1ab75cf76c4920eab9e1b7cfb61a1683cf2a7d8a Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 16:52:22 -0700 Subject: [PATCH 005/230] [lib] now link clock arch supports tap and driver default switches --- .../src/utils/clock_network_utils.cpp | 34 +++++++++++++++---- 1 file changed, 28 insertions(+), 6 deletions(-) diff --git a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp index 79737b46c..45b367809 100644 --- a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp +++ b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp @@ -32,18 +32,40 @@ static int link_clock_network_rr_segments(ClockNetwork& clk_ntwk, *******************************************************************/ static int link_clock_network_rr_switches(ClockNetwork& clk_ntwk, const RRGraphView& rr_graph) { - /* default switch id */ - std::string default_switch_name = clk_ntwk.default_switch_name(); + /* default tap switch id */ + int status = CMD_EXEC_FATAL_ERROR; + std::string default_tap_switch_name = clk_ntwk.default_tap_switch_name(); for (size_t rr_switch_id = 0; rr_switch_id < rr_graph.num_rr_switches(); ++rr_switch_id) { if (std::string(rr_graph.rr_switch_inf(RRSwitchId(rr_switch_id)).name) == - default_switch_name) { - clk_ntwk.set_default_switch(RRSwitchId(rr_switch_id)); - return CMD_EXEC_SUCCESS; + default_tap_switch_name) { + clk_ntwk.set_default_tap_switch(RRSwitchId(rr_switch_id)); + status = CMD_EXEC_SUCCESS; + break; } } + if (status != CMD_EXEC_SUCCESS) { + VTR_LOG("Unable to find the default tap switch '%s' in VPR architecture description!\n", default_tap_switch_name.c_str()); + return CMD_EXEC_FATAL_ERROR; + } + /* default driver switch id */ + status = CMD_EXEC_FATAL_ERROR; + std::string default_driver_switch_name = clk_ntwk.default_driver_switch_name(); + for (size_t rr_switch_id = 0; rr_switch_id < rr_graph.num_rr_switches(); + ++rr_switch_id) { + if (std::string(rr_graph.rr_switch_inf(RRSwitchId(rr_switch_id)).name) == + default_driver_switch_name) { + clk_ntwk.set_default_driver_switch(RRSwitchId(rr_switch_id)); + status = CMD_EXEC_SUCCESS; + break; + } + } + if (status != CMD_EXEC_SUCCESS) { + VTR_LOG("Unable to find the default driver switch '%s' in VPR architecture description!\n", default_driver_switch_name.c_str()); + return CMD_EXEC_FATAL_ERROR; + } - return CMD_EXEC_FATAL_ERROR; + return status; } int link_clock_network_rr_graph(ClockNetwork& clk_ntwk, From 486cd01c1505823c5696e9dd4078ba9503de54a8 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 16:54:22 -0700 Subject: [PATCH 006/230] [core] now clock graph builder supports two types of switches --- openfpga/src/annotation/append_clock_rr_graph.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index a52a86ed2..d6d6fe1b7 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -526,7 +526,7 @@ static void add_rr_graph_block_clock_edges( /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); rr_graph_builder.create_edge(src_node, des_node, - clk_ntwk.default_switch(), false); + clk_ntwk.default_driver_switch(), false); edge_count++; } VTR_LOGV(verbose, "\tWill add %lu edges to other clock nodes\n", @@ -542,7 +542,7 @@ static void add_rr_graph_block_clock_edges( /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); rr_graph_builder.create_edge(src_node, des_node, - clk_ntwk.default_switch(), false); + clk_ntwk.default_tap_switch(), false); edge_count++; } VTR_LOGV(verbose, "\tWill add %lu edges to other IPIN\n", From 6c5988575cae36962e0ca976ca66fff6947de26b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 16:59:21 -0700 Subject: [PATCH 007/230] [test] update clock network testcase --- .../homo_1clock_2layer/config/clk_arch_1clk_2layer.xml | 2 +- .../homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml | 2 +- .../homo_2clock_2layer/config/clk_arch_2clk_2layer.xml | 2 +- 3 files changed, 3 insertions(+), 3 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml index 0570406fd..6aaf1b9b4 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml @@ -1,4 +1,4 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml index 0570406fd..6aaf1b9b4 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml @@ -1,4 +1,4 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml index 46fec8fd5..fdf1dc149 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml @@ -1,4 +1,4 @@ - + From 3ddaefc2a2af2f5460b65df3ae592d3b7e9d27e0 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 17:02:37 -0700 Subject: [PATCH 008/230] [lib] syntax --- libs/libclkarchopenfpga/src/base/clock_network.cpp | 2 +- libs/libclkarchopenfpga/src/base/clock_network.h | 6 ++++-- 2 files changed, 5 insertions(+), 3 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 7ae5b9a4f..eea5c8dbf 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -636,7 +636,7 @@ bool ClockNetwork::validate_tree() const { bool ClockNetwork::validate() const { is_dirty_ = true; - if (default_segment_id_ && default_switch_id_ && validate_tree()) { + if (default_segment_id_ && default_tap_switch_id_ && default_driver_switch_id_ && validate_tree()) { is_dirty_ = false; } return true; diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index ad8d5e61f..3873eae50 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -73,8 +73,10 @@ class ClockNetwork { * information from RRGraph */ RRSegmentId default_segment() const; std::string default_segment_name() const; - RRSwitchId default_switch() const; - std::string default_switch_name() const; + RRSwitchId default_tap_switch() const; + std::string default_tap_switch_name() const; + RRSwitchId default_driver_switch() const; + std::string default_driver_switch_name() const; std::string tree_name(const ClockTreeId& tree_id) const; size_t tree_width(const ClockTreeId& tree_id) const; size_t tree_depth(const ClockTreeId& tree_id) const; From ecd31955b1e7d9e11fbffa4807403a8bd9c6af4b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 17:11:32 -0700 Subject: [PATCH 009/230] [core] code format --- libs/libclkarchopenfpga/src/base/clock_network.cpp | 11 ++++++++--- libs/libclkarchopenfpga/src/base/clock_network.h | 8 ++++---- .../src/utils/clock_network_utils.cpp | 13 ++++++++++--- openfpga/src/annotation/append_clock_rr_graph.cpp | 8 ++++---- 4 files changed, 26 insertions(+), 14 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index eea5c8dbf..c235bab7a 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -181,8 +181,12 @@ std::string ClockNetwork::default_driver_switch_name() const { return default_driver_switch_name_; } -RRSwitchId ClockNetwork::default_tap_switch() const { return default_tap_switch_id_; } -RRSwitchId ClockNetwork::default_driver_switch() const { return default_driver_switch_id_; } +RRSwitchId ClockNetwork::default_tap_switch() const { + return default_tap_switch_id_; +} +RRSwitchId ClockNetwork::default_driver_switch() const { + return default_driver_switch_id_; +} std::string ClockNetwork::tree_name(const ClockTreeId& tree_id) const { VTR_ASSERT(valid_tree_id(tree_id)); @@ -636,7 +640,8 @@ bool ClockNetwork::validate_tree() const { bool ClockNetwork::validate() const { is_dirty_ = true; - if (default_segment_id_ && default_tap_switch_id_ && default_driver_switch_id_ && validate_tree()) { + if (default_segment_id_ && default_tap_switch_id_ && + default_driver_switch_id_ && validate_tree()) { is_dirty_ = false; } return true; diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 3873eae50..3cf37a79a 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -253,11 +253,11 @@ class ClockNetwork { std::string default_segment_name_; /* The routing segment representing the clock wires */ RRSegmentId default_segment_id_; - std::string - default_tap_switch_name_; /* The routing switch interconnecting clock wire */ + std::string default_tap_switch_name_; /* The routing switch interconnecting + clock wire */ RRSwitchId default_tap_switch_id_; - std::string - default_driver_switch_name_; /* The routing switch interconnecting clock wire */ + std::string default_driver_switch_name_; /* The routing switch interconnecting + clock wire */ RRSwitchId default_driver_switch_id_; /* Fast lookup */ diff --git a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp index 45b367809..723fc51ea 100644 --- a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp +++ b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp @@ -45,12 +45,16 @@ static int link_clock_network_rr_switches(ClockNetwork& clk_ntwk, } } if (status != CMD_EXEC_SUCCESS) { - VTR_LOG("Unable to find the default tap switch '%s' in VPR architecture description!\n", default_tap_switch_name.c_str()); + VTR_LOG( + "Unable to find the default tap switch '%s' in VPR architecture " + "description!\n", + default_tap_switch_name.c_str()); return CMD_EXEC_FATAL_ERROR; } /* default driver switch id */ status = CMD_EXEC_FATAL_ERROR; - std::string default_driver_switch_name = clk_ntwk.default_driver_switch_name(); + std::string default_driver_switch_name = + clk_ntwk.default_driver_switch_name(); for (size_t rr_switch_id = 0; rr_switch_id < rr_graph.num_rr_switches(); ++rr_switch_id) { if (std::string(rr_graph.rr_switch_inf(RRSwitchId(rr_switch_id)).name) == @@ -61,7 +65,10 @@ static int link_clock_network_rr_switches(ClockNetwork& clk_ntwk, } } if (status != CMD_EXEC_SUCCESS) { - VTR_LOG("Unable to find the default driver switch '%s' in VPR architecture description!\n", default_driver_switch_name.c_str()); + VTR_LOG( + "Unable to find the default driver switch '%s' in VPR architecture " + "description!\n", + default_driver_switch_name.c_str()); return CMD_EXEC_FATAL_ERROR; } diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index d6d6fe1b7..dee65e605 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -525,8 +525,8 @@ static void add_rr_graph_block_clock_edges( chan_coord, itree, ilvl, ClockTreePinId(ipin), node_dir)) { /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); - rr_graph_builder.create_edge(src_node, des_node, - clk_ntwk.default_driver_switch(), false); + rr_graph_builder.create_edge( + src_node, des_node, clk_ntwk.default_driver_switch(), false); edge_count++; } VTR_LOGV(verbose, "\tWill add %lu edges to other clock nodes\n", @@ -541,8 +541,8 @@ static void add_rr_graph_block_clock_edges( itree, ClockTreePinId(ipin))) { /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); - rr_graph_builder.create_edge(src_node, des_node, - clk_ntwk.default_tap_switch(), false); + rr_graph_builder.create_edge( + src_node, des_node, clk_ntwk.default_tap_switch(), false); edge_count++; } VTR_LOGV(verbose, "\tWill add %lu edges to other IPIN\n", From 3f08b83b3a9b1fd692b08c6a1986f76b1ec7d0e0 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 17:12:10 -0700 Subject: [PATCH 010/230] [core] remove restrictions on 1 clock tree definition --- .../src/annotation/append_clock_rr_graph.cpp | 16 ++++------------ 1 file changed, 4 insertions(+), 12 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index dee65e605..ac48b5cef 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -525,8 +525,8 @@ static void add_rr_graph_block_clock_edges( chan_coord, itree, ilvl, ClockTreePinId(ipin), node_dir)) { /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); - rr_graph_builder.create_edge( - src_node, des_node, clk_ntwk.default_driver_switch(), false); + rr_graph_builder.create_edge(src_node, des_node, + clk_ntwk.default_driver_switch(), false); edge_count++; } VTR_LOGV(verbose, "\tWill add %lu edges to other clock nodes\n", @@ -541,8 +541,8 @@ static void add_rr_graph_block_clock_edges( itree, ClockTreePinId(ipin))) { /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); - rr_graph_builder.create_edge( - src_node, des_node, clk_ntwk.default_tap_switch(), false); + rr_graph_builder.create_edge(src_node, des_node, + clk_ntwk.default_tap_switch(), false); edge_count++; } VTR_LOGV(verbose, "\tWill add %lu edges to other IPIN\n", @@ -638,14 +638,6 @@ int append_clock_rr_graph(DeviceContext& vpr_device_ctx, return CMD_EXEC_SUCCESS; } - /* Report any clock structure we do not support yet! */ - if (clk_ntwk.num_trees() > 1) { - VTR_LOG( - "Currently only support 1 clock tree in programmable clock " - "architecture\nPlease update your clock architecture definition\n"); - return CMD_EXEC_FATAL_ERROR; - } - /* Estimate the number of nodes and pre-allocate */ size_t orig_num_nodes = vpr_device_ctx.rr_graph.num_nodes(); size_t num_clock_nodes = estimate_clock_rr_graph_num_nodes( From 8d7dba2d57ab136c95b45d0e781efe270de7264c Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 18:13:37 -0700 Subject: [PATCH 011/230] [test] add a new testcase to programmable clock network on supporting reset signals --- ...acff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml | 255 ++++++++++++++++++ .../example_clkntwk_no_ace_script.openfpga | 75 ++++++ .../config/clk_arch_1clk_1rst_2layer.xml | 32 +++ .../config/pin_constraints_reset.xml | 7 + .../config/pin_constraints_resetb.xml | 7 + .../config/task.conf | 49 ++++ 6 files changed, 425 insertions(+) create mode 100644 openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml create mode 100644 openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_reset.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_resetb.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf diff --git a/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml b/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml new file mode 100644 index 000000000..e8b0e755f --- /dev/null +++ b/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml @@ -0,0 +1,255 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + + + + 10e-12 5e-12 + + + 10e-12 5e-12 + + + + + + + + + + + + + 10e-12 5e-12 5e-12 + + + 10e-12 5e-12 5e-12 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga new file mode 100644 index 000000000..83cc44860 --- /dev/null +++ b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga @@ -0,0 +1,75 @@ +# Run VPR for the 'and' design +#--write_rr_graph example_rr_graph.xml +vpr ${VPR_ARCH_FILE} ${VPR_TESTBENCH_BLIF} \ + --clock_modeling ideal \ + --device ${OPENFPGA_VPR_DEVICE_LAYOUT} \ + --route_chan_width ${OPENFPGA_VPR_ROUTE_CHAN_WIDTH} + +# Read OpenFPGA architecture definition +read_openfpga_arch -f ${OPENFPGA_ARCH_FILE} + +# Read OpenFPGA simulation settings +read_openfpga_simulation_setting -f ${OPENFPGA_SIM_SETTING_FILE} + +# Read OpenFPGA clock architecture +read_openfpga_clock_arch -f ${OPENFPGA_CLOCK_ARCH_FILE} + +# Append clock network to vpr's routing resource graph +append_clock_rr_graph + +# Annotate the OpenFPGA architecture to VPR data base +# to debug use --verbose options +link_openfpga_arch --activity_file ${ACTIVITY_FILE} --sort_gsb_chan_node_in_edges + +# Route clock based on clock network definition +route_clock_rr_graph --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} + +# Check and correct any naming conflicts in the BLIF netlist +check_netlist_naming_conflict --fix --report ./netlist_renaming.xml + +# Apply fix-up to Look-Up Table truth tables based on packing results +lut_truth_table_fixup + +# Build the module graph +# - Enabled compression on routing architecture modules +# - Enable pin duplication on grid modules +build_fabric --compress_routing #--verbose + +# Write the fabric hierarchy of module graph to a file +# This is used by hierarchical PnR flows +write_fabric_hierarchy --file ./fabric_hierarchy.txt + +# Repack the netlist to physical pbs +# This must be done before bitstream generator and testbench generation +# Strongly recommend it is done after all the fix-up have been applied +repack --design_constraints ${OPENFPGA_REPACK_CONSTRAINTS_FILE} #--verbose + +# Build the bitstream +# - Output the fabric-independent bitstream to a file +build_architecture_bitstream --verbose --write_file fabric_independent_bitstream.xml + +# Build fabric-dependent bitstream +build_fabric_bitstream --verbose + +# Write fabric-dependent bitstream +write_fabric_bitstream --file fabric_bitstream.bit --format plain_text + +# Write the Verilog netlist for FPGA fabric +# - Enable the use of explicit port mapping in Verilog netlist +write_fabric_verilog --file ./SRC --explicit_port_mapping --include_timing --print_user_defined_template --verbose + +# Write the Verilog testbench for FPGA fabric +# - We suggest the use of same output directory as fabric Verilog netlists +# - Must specify the reference benchmark file if you want to output any testbenches +# - Enable top-level testbench which is a full verification including programming circuit and core logic of FPGA +# - Enable pre-configured top-level testbench which is a fast verification skipping programming phase +# - Simulation ini file is optional and is needed only when you need to interface different HDL simulators using openfpga flow-run scripts +write_full_testbench --file ./SRC --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} --explicit_port_mapping --include_signal_init --bitstream fabric_bitstream.bit --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} +write_preconfigured_fabric_wrapper --embed_bitstream iverilog --file ./SRC --explicit_port_mapping --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} +write_preconfigured_testbench --file ./SRC --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} --explicit_port_mapping --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} + +# Finish and exit OpenFPGA +exit + +# Note : +# To run verification at the end of the flow maintain source in ./SRC directory diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml new file mode 100644 index 000000000..fde2c515a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml @@ -0,0 +1,32 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_reset.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_reset.xml new file mode 100644 index 000000000..abcf209f6 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_reset.xml @@ -0,0 +1,7 @@ + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_resetb.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_resetb.xml new file mode 100644 index 000000000..cdef2ad86 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_resetb.xml @@ -0,0 +1,7 @@ + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf new file mode 100644 index 000000000..9b038d744 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf @@ -0,0 +1,49 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = false +spice_output=false +verilog_output=true +timeout_each_job = 3*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml +openfpga_vpr_device_layout=2x2 +openfpga_vpr_route_chan_width=40 +openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/counters/counter_8bit_async_reset/counter.v +bench1=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/counters/counter_8bit_async_resetb/counter.v + +[SYNTHESIS_PARAM] +# Yosys script parameters +bench_yosys_cell_sim_verilog_common=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_yosys_techlib/openfpga_dff_sim.v +bench_yosys_dff_map_verilog_common=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_yosys_techlib/openfpga_dff_map.v +bench_read_verilog_options_common = -nolatches +bench_yosys_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_dff_flow.ys +bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_flow_with_rewrite.ys;${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_rewrite_flow.ys + +bench0_top = counter +bench0_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_reset.xml + +bench1_top = counter +bench1_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_resetb.xml + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= +vpr_fpga_verilog_formal_verification_top_netlist= From 7d67b9d5b92d4c39143e71cd715b2ebdd00fe3af Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 18:14:54 -0700 Subject: [PATCH 012/230] [test] deploy new tests to basic reg tests --- openfpga_flow/regression_test_scripts/basic_reg_test.sh | 1 + 1 file changed, 1 insertion(+) diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index c4b3ebcb8..0f2e1514e 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -232,6 +232,7 @@ echo -e "Testing programmable clock architecture"; run-task basic_tests/clock_network/homo_1clock_2layer $@ run-task basic_tests/clock_network/homo_1clock_2layer_full_tb $@ run-task basic_tests/clock_network/homo_2clock_2layer $@ +run-task basic_tests/clock_network/homo_1clock_1_reset_2layer $@ echo -e "Testing configuration chain of a K4N4 FPGA using .blif generated by yosys+verific"; run-task basic_tests/verific_test $@ From c2e759fa70418c408b08cb8bc49fdcf84c452c90 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 18:42:29 -0700 Subject: [PATCH 013/230] [arch] fixed some bugs --- .../k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml | 1 + openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml | 5 +++++ 2 files changed, 6 insertions(+) diff --git a/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml b/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml index e8b0e755f..36d5dce05 100644 --- a/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml +++ b/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml @@ -197,6 +197,7 @@ + diff --git a/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml b/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml index cc4d5de0e..19447dd7e 100644 --- a/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml @@ -194,6 +194,11 @@ With the 96 nm half pitch, such wires would take 60 um of height, vs. a 90 nm high (approximated as square) Stratix IV tile so this seems reasonable. Using a tile length of 90 nm, corresponding to the length of a Stratix IV tile if it were square. --> + + + 1 1 + 1 + 1 1 1 1 1 From 2193f108ee77fa88d95f125b14711d859f05e3d9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 18:42:35 -0700 Subject: [PATCH 014/230] [core] add debugging messages --- .../src/utils/clock_network_utils.cpp | 55 ++++++++++--------- .../src/base/openfpga_read_arch_template.h | 12 +++- 2 files changed, 39 insertions(+), 28 deletions(-) diff --git a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp index 723fc51ea..138ac4087 100644 --- a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp +++ b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp @@ -22,7 +22,10 @@ static int link_clock_network_rr_segments(ClockNetwork& clk_ntwk, return CMD_EXEC_SUCCESS; } } - + VTR_LOG_ERROR( + "Unable to find the default segement '%s' in VPR architecture " + "description!\n", + default_segment_name.c_str()); return CMD_EXEC_FATAL_ERROR; } @@ -30,29 +33,32 @@ static int link_clock_network_rr_segments(ClockNetwork& clk_ntwk, * Link all the switches that are defined in a routing resource graph to a given *clock network *******************************************************************/ -static int link_clock_network_rr_switches(ClockNetwork& clk_ntwk, +static int link_clock_network_tap_rr_switches(ClockNetwork& clk_ntwk, const RRGraphView& rr_graph) { /* default tap switch id */ - int status = CMD_EXEC_FATAL_ERROR; std::string default_tap_switch_name = clk_ntwk.default_tap_switch_name(); for (size_t rr_switch_id = 0; rr_switch_id < rr_graph.num_rr_switches(); ++rr_switch_id) { if (std::string(rr_graph.rr_switch_inf(RRSwitchId(rr_switch_id)).name) == default_tap_switch_name) { clk_ntwk.set_default_tap_switch(RRSwitchId(rr_switch_id)); - status = CMD_EXEC_SUCCESS; - break; + return CMD_EXEC_SUCCESS; } } - if (status != CMD_EXEC_SUCCESS) { - VTR_LOG( - "Unable to find the default tap switch '%s' in VPR architecture " - "description!\n", - default_tap_switch_name.c_str()); - return CMD_EXEC_FATAL_ERROR; - } + VTR_LOG_ERROR( + "Unable to find the default tap switch '%s' in VPR architecture " + "description!\n", + default_tap_switch_name.c_str()); + return CMD_EXEC_FATAL_ERROR; +} + +/******************************************************************** + * Link all the switches that are defined in a routing resource graph to a given + *clock network + *******************************************************************/ +static int link_clock_network_driver_rr_switches(ClockNetwork& clk_ntwk, + const RRGraphView& rr_graph) { /* default driver switch id */ - status = CMD_EXEC_FATAL_ERROR; std::string default_driver_switch_name = clk_ntwk.default_driver_switch_name(); for (size_t rr_switch_id = 0; rr_switch_id < rr_graph.num_rr_switches(); @@ -60,19 +66,14 @@ static int link_clock_network_rr_switches(ClockNetwork& clk_ntwk, if (std::string(rr_graph.rr_switch_inf(RRSwitchId(rr_switch_id)).name) == default_driver_switch_name) { clk_ntwk.set_default_driver_switch(RRSwitchId(rr_switch_id)); - status = CMD_EXEC_SUCCESS; - break; + return CMD_EXEC_SUCCESS; } } - if (status != CMD_EXEC_SUCCESS) { - VTR_LOG( - "Unable to find the default driver switch '%s' in VPR architecture " - "description!\n", - default_driver_switch_name.c_str()); - return CMD_EXEC_FATAL_ERROR; - } - - return status; + VTR_LOG_ERROR( + "Unable to find the default driver switch '%s' in VPR architecture " + "description!\n", + default_driver_switch_name.c_str()); + return CMD_EXEC_FATAL_ERROR; } int link_clock_network_rr_graph(ClockNetwork& clk_ntwk, @@ -83,7 +84,11 @@ int link_clock_network_rr_graph(ClockNetwork& clk_ntwk, if (CMD_EXEC_FATAL_ERROR == status) { return status; } - status = link_clock_network_rr_switches(clk_ntwk, rr_graph); + status = link_clock_network_tap_rr_switches(clk_ntwk, rr_graph); + if (CMD_EXEC_FATAL_ERROR == status) { + return status; + } + status = link_clock_network_driver_rr_switches(clk_ntwk, rr_graph); if (CMD_EXEC_FATAL_ERROR == status) { return status; } diff --git a/openfpga/src/base/openfpga_read_arch_template.h b/openfpga/src/base/openfpga_read_arch_template.h index 829499c45..91e25a607 100644 --- a/openfpga/src/base/openfpga_read_arch_template.h +++ b/openfpga/src/base/openfpga_read_arch_template.h @@ -236,9 +236,15 @@ int read_openfpga_clock_arch_template(T& openfpga_context, const Command& cmd, openfpga_context.mutable_clock_arch() = read_xml_clock_network(arch_file_name.c_str()); /* Build internal links */ - openfpga_context.mutable_clock_arch().link(); - link_clock_network_rr_graph(openfpga_context.mutable_clock_arch(), - g_vpr_ctx.device().rr_graph); + if (!openfpga_context.mutable_clock_arch().link()) { + VTR_LOG_ERROR("Link clock network failed!"); + return CMD_EXEC_FATAL_ERROR; + } + if (CMD_EXEC_SUCCESS != link_clock_network_rr_graph(openfpga_context.mutable_clock_arch(), + g_vpr_ctx.device().rr_graph)) { + VTR_LOG_ERROR("Link clock network to routing architecture failed!"); + return CMD_EXEC_FATAL_ERROR; + } /* Ensure clean data */ openfpga_context.clock_arch().validate(); if (!openfpga_context.clock_arch().is_valid()) { From 292f4a9273b83c7f87b58cfb1514e61a4ef2f298 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 18:43:25 -0700 Subject: [PATCH 015/230] [test] fixed a bug where ace is no required --- .../example_clkntwk_no_ace_script.openfpga | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga index 83cc44860..1cdaa1932 100644 --- a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga +++ b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga @@ -19,7 +19,7 @@ append_clock_rr_graph # Annotate the OpenFPGA architecture to VPR data base # to debug use --verbose options -link_openfpga_arch --activity_file ${ACTIVITY_FILE} --sort_gsb_chan_node_in_edges +link_openfpga_arch --sort_gsb_chan_node_in_edges # Route clock based on clock network definition route_clock_rr_graph --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} From d2053db21c434c83e9606e0f76a8ba68acd014a1 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 19:00:01 -0700 Subject: [PATCH 016/230] [core] removing the restrictions on only 1 clock tree is supported in programmable clock network --- openfpga/src/annotation/route_clock_rr_graph.cpp | 8 -------- 1 file changed, 8 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index bafc8215f..d669304c0 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -210,14 +210,6 @@ int route_clock_rr_graph(VprRoutingAnnotation& vpr_routing_annotation, return CMD_EXEC_SUCCESS; } - /* Report any clock structure we do not support yet! */ - if (clk_ntwk.num_trees() > 1) { - VTR_LOG( - "Currently only support 1 clock tree in programmable clock " - "architecture\nPlease update your clock architecture definition\n"); - return CMD_EXEC_FATAL_ERROR; - } - /* If there are multiple clock signals from the netlist, require pin * constraints */ std::vector clock_net_names = From 9bb076d89296508c5795b6647897b4973cd71192 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 21 Jun 2024 20:29:21 -0700 Subject: [PATCH 017/230] [test] fixed a bug on pin mapping of tetbenche --- .../example_clkntwk_no_ace_script.openfpga | 6 +++--- .../homo_1clock_1reset_2layer/config/task.conf | 4 ++++ 2 files changed, 7 insertions(+), 3 deletions(-) diff --git a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga index 1cdaa1932..f62d62ecc 100644 --- a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga +++ b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga @@ -64,9 +64,9 @@ write_fabric_verilog --file ./SRC --explicit_port_mapping --include_timing --pri # - Enable top-level testbench which is a full verification including programming circuit and core logic of FPGA # - Enable pre-configured top-level testbench which is a fast verification skipping programming phase # - Simulation ini file is optional and is needed only when you need to interface different HDL simulators using openfpga flow-run scripts -write_full_testbench --file ./SRC --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} --explicit_port_mapping --include_signal_init --bitstream fabric_bitstream.bit --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} -write_preconfigured_fabric_wrapper --embed_bitstream iverilog --file ./SRC --explicit_port_mapping --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} -write_preconfigured_testbench --file ./SRC --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} --explicit_port_mapping --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} +write_full_testbench --file ./SRC --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} ${OPENFPGA_VERILOG_TESTBENCH_PORT_MAPPING} --include_signal_init --bitstream fabric_bitstream.bit --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} +write_preconfigured_fabric_wrapper --embed_bitstream iverilog --file ./SRC ${OPENFPGA_VERILOG_TESTBENCH_PORT_MAPPING} --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} +write_preconfigured_testbench --file ./SRC --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} ${OPENFPGA_VERILOG_TESTBENCH_PORT_MAPPING} --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} # Finish and exit OpenFPGA exit diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf index 9b038d744..d47ef31c7 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf @@ -19,9 +19,11 @@ fpga_flow=yosys_vpr openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml +openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml openfpga_vpr_device_layout=2x2 openfpga_vpr_route_chan_width=40 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml +openfpga_verilog_testbench_port_mapping=--explicit_port_mapping [ARCHITECTURES] arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml @@ -40,9 +42,11 @@ bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosy bench0_top = counter bench0_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_reset.xml +bench0_openfpga_verilog_testbench_port_mapping= bench1_top = counter bench1_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_resetb.xml +bench1_openfpga_verilog_testbench_port_mapping= [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] end_flow_with_test= From 253e3e0cbac13a06f0483670d8bc19343808c21f Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 23 Jun 2024 17:43:38 -0700 Subject: [PATCH 018/230] [doc] add new syntax for clock network --- .../manual/file_formats/clock_network.rst | 17 +++++++++++------ 1 file changed, 11 insertions(+), 6 deletions(-) diff --git a/docs/source/manual/file_formats/clock_network.rst b/docs/source/manual/file_formats/clock_network.rst index 9d6baad98..fe6d89b79 100644 --- a/docs/source/manual/file_formats/clock_network.rst +++ b/docs/source/manual/file_formats/clock_network.rst @@ -22,7 +22,7 @@ Using the clock network description language, users can define multiple clock ne .. code-block:: xml - + @@ -56,23 +56,28 @@ where the segment is defined in the VPR architecture file: .. note:: Currently, clock network requires only length-1 wire segment to be used! -.. option:: default_switch="" +.. option:: default_tap_switch="" + + Define the default routing switch to be used when interconnects the routing tracks to the input pins of programmable blocks in the clock network. Must be a valid routing switch defined in the VPR architecture file. See the example in the ``default_driver_switch``. + +.. option:: default_driver_switch="" Define the default routing switch to be used when interconnects the routing tracks in the clock network. Must be a valid routing switch defined in the VPR architecture file. For example, .. code-block:: xml - default_switch="clk_mux" + default_tap_switch="cb_mux" default_driver_switch="sb_clk_mux" where the switch is defined in the VPR architecture file: .. code-block:: xml - + + -.. note:: Currently, clock network only supports one type of routing switch, which means all the programmable routing switch in the clock network will be in the same type and circuit design topology. +.. note:: Currently, clock network only supports the default types of routing switch, which means all the programmable routing switch in the clock network will be in the same type and circuit design topology. Clock Network Settings ^^^^^^^^^^^^^^^^^^^^^^ @@ -94,7 +99,7 @@ where the clock network is used to drive the global clock pin ``clk0`` in OpenFP - From 9bdcc27913b28598cb4c9f51956c1f6a662e1c47 Mon Sep 17 00:00:00 2001 From: "dependabot[bot]" <49699333+dependabot[bot]@users.noreply.github.com> Date: Mon, 24 Jun 2024 06:55:52 +0000 Subject: [PATCH 019/230] Bump vtr-verilog-to-routing from `2ff460a` to `6a4f0ca` Bumps [vtr-verilog-to-routing](https://github.com/verilog-to-routing/vtr-verilog-to-routing) from `2ff460a` to `6a4f0ca`. - [Release notes](https://github.com/verilog-to-routing/vtr-verilog-to-routing/releases) - [Commits](https://github.com/verilog-to-routing/vtr-verilog-to-routing/compare/2ff460a2459fcb8b225cd18ab23c4f6fdc528a75...6a4f0cac3b180bdb78f53f58c9f3f23912858173) --- updated-dependencies: - dependency-name: vtr-verilog-to-routing dependency-type: direct:production ... Signed-off-by: dependabot[bot] --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 2ff460a24..6a4f0cac3 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 2ff460a2459fcb8b225cd18ab23c4f6fdc528a75 +Subproject commit 6a4f0cac3b180bdb78f53f58c9f3f23912858173 From a436afcc4fb979b848c6e4ddd467e371a70e432b Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Mon, 24 Jun 2024 17:21:57 +0000 Subject: [PATCH 020/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index 4c6029b42..2a9a5e2f0 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2268 +1.2.2272 From dd5c3dc769b542ca27c246444154d632d3d6eb69 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 24 Jun 2024 15:17:57 -0700 Subject: [PATCH 021/230] [ci] use a new version of cancel-previous-flow due to node16 deprecation --- .github/workflows/build.yml | 18 +++++++++--------- .github/workflows/cell_lib_test.yml | 2 +- .github/workflows/format.yaml | 2 +- 3 files changed, 11 insertions(+), 11 deletions(-) diff --git a/.github/workflows/build.yml b/.github/workflows/build.yml index 8b04312d5..c2ce66fcc 100644 --- a/.github/workflows/build.yml +++ b/.github/workflows/build.yml @@ -37,7 +37,7 @@ jobs: docker_repo: ${{ steps.changes.outputs.docker_repo }} steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} @@ -120,7 +120,7 @@ jobs: CXX: ${{ matrix.config.cxx }} steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} @@ -216,7 +216,7 @@ jobs: CXX: ${{ matrix.config.cxx }} steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} @@ -262,7 +262,7 @@ jobs: CXX: ${{ matrix.config.cxx }} steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} @@ -308,7 +308,7 @@ jobs: CXX: ${{ matrix.config.cxx }} steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} @@ -360,7 +360,7 @@ jobs: CXX: ${{ matrix.config.cxx }} steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} @@ -397,7 +397,7 @@ jobs: needs: [linux_build, change_detect] steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} @@ -450,7 +450,7 @@ jobs: - name: tcl_reg_test steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} @@ -508,7 +508,7 @@ jobs: - name: tcl_reg_test steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} diff --git a/.github/workflows/cell_lib_test.yml b/.github/workflows/cell_lib_test.yml index 5d590dc90..feaa28a3e 100644 --- a/.github/workflows/cell_lib_test.yml +++ b/.github/workflows/cell_lib_test.yml @@ -22,7 +22,7 @@ jobs: runs-on: ubuntu-22.04 steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} diff --git a/.github/workflows/format.yaml b/.github/workflows/format.yaml index 2631f135b..2a4fd8bbb 100644 --- a/.github/workflows/format.yaml +++ b/.github/workflows/format.yaml @@ -35,7 +35,7 @@ jobs: dependency_version: "ubuntu22p04" steps: - name: Cancel previous - uses: styfle/cancel-workflow-action@0.9.1 + uses: styfle/cancel-workflow-action@0.12.1 with: access_token: ${{ github.token }} From 428f5b480377640f6c5881bc1fd8d76946629497 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 24 Jun 2024 15:23:55 -0700 Subject: [PATCH 022/230] [ci] now use upload-artifact v4 due to deprecation --- .github/workflows/build.yml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/.github/workflows/build.yml b/.github/workflows/build.yml index c2ce66fcc..b324c8c89 100644 --- a/.github/workflows/build.yml +++ b/.github/workflows/build.yml @@ -153,7 +153,7 @@ jobs: - name: Check ccache size run: ccache -s - name: Upload artifact - uses: actions/upload-artifact@v2 + uses: actions/upload-artifact@v4 if: ${{ matrix.config.cc == 'gcc-11'}} with: name: openfpga @@ -475,7 +475,7 @@ jobs: shell: bash run: source openfpga.sh && source openfpga_flow/regression_test_scripts/${{matrix.config.name}}.sh --debug --show_thread_logs - name: Upload artifact - uses: actions/upload-artifact@v2 + uses: actions/upload-artifact@v4 if: ${{ failure() }} with: name: failed_${{matrix.config.name}}_regression_log @@ -524,7 +524,7 @@ jobs: unset OPENFPGA_PATH source openfpga.sh && source openfpga_flow/regression_test_scripts/${{matrix.config.name}}.sh --debug --show_thread_logs - name: Upload artifact - uses: actions/upload-artifact@v2 + uses: actions/upload-artifact@v4 if: ${{ failure() }} with: name: failed_${{matrix.config.name}}_regression_log From 0c442f6238abf3e5df96693ac3ffd4ed4e0f04bf Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 24 Jun 2024 17:54:58 -0700 Subject: [PATCH 023/230] [lib] add syntax to support internal drivers in clock network parsers --- .../src/base/clock_network.cpp | 46 ++++++++++++++++++- .../src/base/clock_network.h | 21 ++++++++- .../src/base/clock_network_fwd.h | 2 + .../src/io/clock_network_xml_constants.h | 2 + .../src/io/read_xml_clock_network.cpp | 35 +++++++++++++- .../src/io/write_xml_clock_network.cpp | 20 ++++++-- 6 files changed, 119 insertions(+), 7 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index c235bab7a..4972e303b 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -32,6 +32,10 @@ ClockNetwork::clock_tree_range ClockNetwork::trees() const { return vtr::make_range(tree_ids_.begin(), tree_ids_.end()); } +ClockNetwork::clock_internal_driver_range ClockNetwork::internal_drivers() const { + return vtr::make_range(internal_driver_ids_.begin(), internal_driver_ids_.end()); +} + std::vector ClockNetwork::levels( const ClockTreeId& tree_id) const { std::vector ret; @@ -325,6 +329,18 @@ vtr::Point ClockNetwork::spine_switch_point( return spine_switch_coords_[spine_id][size_t(switch_point_id)]; } +std::vector ClockNetwork::spine_switch_point_internal_drivers( + const ClockSpineId& spine_id, + const ClockSwitchPointId& switch_point_id) const { + VTR_ASSERT(valid_spine_switch_point_id(spine_id, switch_point_id)); + return spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)]; +} + +std::string ClockNetwork::internal_driver_port(const ClockInternalDriverId& int_driver_id) const { + VTR_ASSERT(valid_internal_driver_id(int_driver_id)); + return internal_driver_ports_[int_driver_id]; +} + std::vector ClockNetwork::tree_taps( const ClockTreeId& tree_id) const { VTR_ASSERT(valid_tree_id(tree_id)); @@ -410,6 +426,7 @@ void ClockNetwork::reserve_spines(const size_t& num_spines) { spine_track_types_.reserve(num_spines); spine_switch_points_.reserve(num_spines); spine_switch_coords_.reserve(num_spines); + spine_switch_internal_drivers_.reserve(num_spines); spine_parents_.reserve(num_spines); spine_children_.reserve(num_spines); spine_parent_trees_.reserve(num_spines); @@ -494,6 +511,7 @@ ClockSpineId ClockNetwork::create_spine(const std::string& name) { spine_track_types_.emplace_back(NUM_RR_TYPES); spine_switch_points_.emplace_back(); spine_switch_coords_.emplace_back(); + spine_switch_internal_drivers_.emplace_back(); spine_parents_.emplace_back(); spine_children_.emplace_back(); spine_parent_trees_.emplace_back(); @@ -544,7 +562,7 @@ void ClockNetwork::set_spine_track_type(const ClockSpineId& spine_id, spine_track_types_[spine_id] = type; } -void ClockNetwork::add_spine_switch_point(const ClockSpineId& spine_id, +ClockSwitchPointId ClockNetwork::add_spine_switch_point(const ClockSpineId& spine_id, const ClockSpineId& drive_spine_id, const vtr::Point& coord) { VTR_ASSERT(valid_spine_id(spine_id)); @@ -563,6 +581,27 @@ void ClockNetwork::add_spine_switch_point(const ClockSpineId& spine_id, } spine_parents_[drive_spine_id] = spine_id; spine_children_[spine_id].push_back(drive_spine_id); + return ClockSwitchPointId(spine_switch_points_[spine_id].size() - 1); +} + +ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver(const ClockSpineId& spine_id, + const ClockSwitchPointId& switch_point_id, + const std::string& int_driver_port) { + VTR_ASSERT(valid_spine_id(spine_id)); + VTR_ASSERT(valid_spine_switch_point_id(spine_id, switch_point_id)); + /* Find any existing id for the driver port */ + for (ClockInternalDriverId int_driver_id : internal_driver_ids_) { + if (internal_driver_ports_[int_driver_id] == int_driver_port) { + spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)].push_back(int_driver_id); + return int_driver_id; + } + } + /* Reaching here, no existing id can be reused, create a new one */ + ClockInternalDriverId int_driver_id = ClockInternalDriverId(internal_driver_ids_.size()); + internal_driver_ids_.push_back(int_driver_id); + internal_driver_ports_.push_back(int_driver_port); + spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)].push_back(int_driver_id); + return int_driver_id; } void ClockNetwork::add_tree_tap(const ClockTreeId& tree_id, @@ -717,6 +756,11 @@ bool ClockNetwork::valid_tree_id(const ClockTreeId& tree_id) const { (tree_id == tree_ids_[tree_id]); } +bool ClockNetwork::valid_internal_driver_id(const ClockInternalDriverId& int_driver_id) const { + return (size_t(int_driver_id) < internal_driver_ids_.size()) && + (int_driver_id == internal_driver_ids_[int_driver_id]); +} + bool ClockNetwork::valid_level_id(const ClockTreeId& tree_id, const ClockLevelId& lvl_id) const { return valid_tree_id(tree_id) && (size_t(lvl_id) < tree_depth(tree_id)); diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 3cf37a79a..836781ee6 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -42,6 +42,10 @@ class ClockNetwork { clock_tree_iterator; /* Create range */ typedef vtr::Range clock_tree_range; + typedef vtr::vector::const_iterator + clock_internal_driver_iterator; + /* Create range */ + typedef vtr::Range clock_internal_driver_range; public: /* Constructors */ ClockNetwork(); @@ -49,6 +53,7 @@ class ClockNetwork { public: /* Accessors: aggregates */ size_t num_trees() const; clock_tree_range trees() const; + clock_internal_driver_range internal_drivers() const; /* Return the range of clock levels */ std::vector levels(const ClockTreeId& tree_id) const; /* Return a list of spine id under a clock tree */ @@ -116,6 +121,11 @@ class ClockNetwork { vtr::Point spine_switch_point( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id) const; + std::vector spine_switch_point_internal_drivers( + const ClockSpineId& spine_id, + const ClockSwitchPointId& switch_point_id) const; + std::string internal_driver_port(const ClockInternalDriverId& int_driver_id) const; + /* Return the original list of tap pins that is in storage; useful for parsers */ std::vector tree_taps(const ClockTreeId& tree_id) const; @@ -172,9 +182,12 @@ class ClockNetwork { void set_spine_direction(const ClockSpineId& spine_id, const Direction& dir); void set_spine_track_type(const ClockSpineId& spine_id, const t_rr_type& type); - void add_spine_switch_point(const ClockSpineId& spine_id, + ClockSwitchPointId add_spine_switch_point(const ClockSpineId& spine_id, const ClockSpineId& drive_spine_id, const vtr::Point& coord); + ClockInternalDriverId add_spine_switch_point_internal_driver(const ClockSpineId& spine_id, + const ClockSwitchPointId& switch_point_id, + const std::string& internal_driver_port); void add_tree_tap(const ClockTreeId& tree_id, const std::string& pin_name); /* Build internal links between clock tree, spines etc. This is also an * validator to verify the correctness of the clock network. Must run before @@ -184,6 +197,7 @@ class ClockNetwork { public: /* Public invalidators/validators */ /* Show if the tree id is a valid for data queries */ bool valid_tree_id(const ClockTreeId& tree_id) const; + bool valid_internal_driver_id(const ClockInternalDriverId& int_driver_id) const; /* Show if the level id is a valid for a given tree */ bool valid_level_id(const ClockTreeId& tree_id, const ClockLevelId& lvl_id) const; @@ -245,10 +259,15 @@ class ClockNetwork { vtr::vector spine_track_types_; vtr::vector> spine_switch_points_; vtr::vector>> spine_switch_coords_; + vtr::vector>> spine_switch_internal_drivers_; vtr::vector spine_parents_; vtr::vector> spine_children_; vtr::vector spine_parent_trees_; + /* Basic Information about internal drivers */ + vtr::vector internal_driver_ids_; + vtr::vector internal_driver_ports_; + /* Default routing resource */ std::string default_segment_name_; /* The routing segment representing the clock wires */ diff --git a/libs/libclkarchopenfpga/src/base/clock_network_fwd.h b/libs/libclkarchopenfpga/src/base/clock_network_fwd.h index 1285f069c..e9602d384 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network_fwd.h +++ b/libs/libclkarchopenfpga/src/base/clock_network_fwd.h @@ -19,12 +19,14 @@ struct clock_tree_id_tag; struct clock_tree_pin_id_tag; struct clock_spine_id_tag; struct clock_switch_point_id_tag; +struct clock_internal_driver_id_tag; typedef vtr::StrongId ClockLevelId; typedef vtr::StrongId ClockTreeId; typedef vtr::StrongId ClockTreePinId; typedef vtr::StrongId ClockSpineId; typedef vtr::StrongId ClockSwitchPointId; +typedef vtr::StrongId ClockInternalDriverId; /* Short declaration of class */ class ClockNetwork; diff --git a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h index a172b88ec..6856a49f2 100644 --- a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h +++ b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h @@ -22,6 +22,8 @@ constexpr const char* XML_CLOCK_SPINE_ATTRIBUTE_END_Y = "end_y"; constexpr const char* XML_CLOCK_SPINE_ATTRIBUTE_TYPE = "type"; constexpr const char* XML_CLOCK_SPINE_ATTRIBUTE_DIRECTION = "direction"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_NODE_NAME = "switch_point"; +constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME = "internal_driver"; +constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ARRIBUTER_TILE_PIN = "tile_pin"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_TAP = "tap"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_X = "x"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_Y = "y"; diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index 372ec0c81..bdd3c6ab1 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -56,6 +56,24 @@ static void read_xml_clock_tree_taps(pugi::xml_node& xml_taps, } } +/******************************************************************** + * Parse XML codes of a to an object of ClockNetwork + *******************************************************************/ +static void read_xml_clock_spine_switch_point_internal_driver( + pugi::xml_node& xml_int_driver, const pugiutil::loc_data& loc_data, + ClockNetwork& clk_ntwk, const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id) { + if (!clk_ntwk.valid_spine_id(spine_id)) { + archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_switch_point), + "Invalid id of a clock spine!\n"); + } + + std::string int_driver_port_name = + get_attribute(xml_int_driver, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN, + loc_data) + .as_string(); + clk_ntwk.add_spine_switch_point(spine_id, switch_point_id, int_driver_port_name); +} + /******************************************************************** * Parse XML codes of a to an object of ClockNetwork *******************************************************************/ @@ -90,8 +108,21 @@ static void read_xml_clock_spine_switch_point( XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_Y, loc_data) .as_int(); - clk_ntwk.add_spine_switch_point(spine_id, tap_spine_id, - vtr::Point(tap_x, tap_y)); + ClockSwitchPointId switch_point_id = clk_ntwk.add_spine_switch_point(spine_id, tap_spine_id, + vtr::Point(tap_x, tap_y)); + + /* Add internal drivers if possible */ + for (pugi::xml_node xml_int_driver : xml_switch_point.children()) { + /* Error out if the XML child has an invalid name! */ + if (xml_int_driver.name() == + std::string(XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME)) { + read_xml_clock_spine_switch_point_internal_driver(xml_int_driver, loc_data, clk_ntwk, + spine_id, switch_point_id); + } else { + bad_tag(xml_int_driver, loc_data, xml_switch_point, + {XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME}); + } + } } /******************************************************************** diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index a71051fe1..ce8380c77 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -59,9 +59,23 @@ static int write_xml_clock_spine_switch_point( clk_ntwk.spine_switch_point(spine_id, switch_point_id); write_xml_attribute(fp, XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_X, coord.x()); write_xml_attribute(fp, XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_Y, coord.y()); - - fp << "/>" - << "\n"; + + /* Optional: internal drivers */ + if (clk_ntwk.spine_switch_point_internal_drivers(spine_id, switch_point_id).empty()) { + fp << "/>" + << "\n"; + } else { + fp << ">" + << "\n"; + for (ClockInternalDriverId int_driver_id : clk_ntwk.spine_switch_point_internal_drivers(spine_id, switch_point_id)) { + openfpga::write_tab_to_file(fp, 4); + fp << "<" << XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME; + write_xml_attribute(fp, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ARRIBUTER_TILE_PIN, clk_ntwk.internal_driver_port(int_driver_id).c_str()); + fp << "/>" + << "\n"; + } + fp << "\n"; + } return 0; } From 2eda2825b7417501a8bc6ff6e92b3c47f041f6df Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 24 Jun 2024 18:28:42 -0700 Subject: [PATCH 024/230] [lib] syntax --- libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h | 2 +- libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp | 4 ++-- libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp | 2 +- 3 files changed, 4 insertions(+), 4 deletions(-) diff --git a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h index 6856a49f2..9eab6bd8f 100644 --- a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h +++ b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h @@ -23,7 +23,7 @@ constexpr const char* XML_CLOCK_SPINE_ATTRIBUTE_TYPE = "type"; constexpr const char* XML_CLOCK_SPINE_ATTRIBUTE_DIRECTION = "direction"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_NODE_NAME = "switch_point"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME = "internal_driver"; -constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ARRIBUTER_TILE_PIN = "tile_pin"; +constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN = "tile_pin"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_TAP = "tap"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_X = "x"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_Y = "y"; diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index bdd3c6ab1..be73eb689 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -63,7 +63,7 @@ static void read_xml_clock_spine_switch_point_internal_driver( pugi::xml_node& xml_int_driver, const pugiutil::loc_data& loc_data, ClockNetwork& clk_ntwk, const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id) { if (!clk_ntwk.valid_spine_id(spine_id)) { - archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_switch_point), + archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_int_driver), "Invalid id of a clock spine!\n"); } @@ -71,7 +71,7 @@ static void read_xml_clock_spine_switch_point_internal_driver( get_attribute(xml_int_driver, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN, loc_data) .as_string(); - clk_ntwk.add_spine_switch_point(spine_id, switch_point_id, int_driver_port_name); + clk_ntwk.add_spine_switch_point_internal_driver(spine_id, switch_point_id, int_driver_port_name); } /******************************************************************** diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index ce8380c77..e107a4606 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -70,7 +70,7 @@ static int write_xml_clock_spine_switch_point( for (ClockInternalDriverId int_driver_id : clk_ntwk.spine_switch_point_internal_drivers(spine_id, switch_point_id)) { openfpga::write_tab_to_file(fp, 4); fp << "<" << XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME; - write_xml_attribute(fp, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ARRIBUTER_TILE_PIN, clk_ntwk.internal_driver_port(int_driver_id).c_str()); + write_xml_attribute(fp, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN, clk_ntwk.internal_driver_port(int_driver_id).c_str()); fp << "/>" << "\n"; } From 36ef555dda41a9fb63c2be06b34ed21d778b0581 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 24 Jun 2024 18:33:47 -0700 Subject: [PATCH 025/230] [lib] add example arch for clock arch with internal drivers --- .../arch/example_internal_drivers.xml | 30 +++++++++++++++++++ 1 file changed, 30 insertions(+) create mode 100644 libs/libclkarchopenfpga/arch/example_internal_drivers.xml diff --git a/libs/libclkarchopenfpga/arch/example_internal_drivers.xml b/libs/libclkarchopenfpga/arch/example_internal_drivers.xml new file mode 100644 index 000000000..2b8a5fe0d --- /dev/null +++ b/libs/libclkarchopenfpga/arch/example_internal_drivers.xml @@ -0,0 +1,30 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 22bee35fd178a8b7bc5dedb8e2401949634d7bbf Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 24 Jun 2024 18:47:56 -0700 Subject: [PATCH 026/230] [lib] mem allocate --- libs/libclkarchopenfpga/src/base/clock_network.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 4972e303b..ecfdd48c6 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -569,6 +569,7 @@ ClockSwitchPointId ClockNetwork::add_spine_switch_point(const ClockSpineId& spin VTR_ASSERT(valid_spine_id(drive_spine_id)); spine_switch_points_[spine_id].push_back(drive_spine_id); spine_switch_coords_[spine_id].push_back(coord); + spine_switch_internal_drivers_[spine_id].emplace_back(); /* Do not allow any spine has different parents */ if (spine_parents_[drive_spine_id]) { VTR_LOG_ERROR( From 272d78eb43fa56d2d793f943b2fb7ff9d6399809 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 24 Jun 2024 19:13:36 -0700 Subject: [PATCH 027/230] [test] add a new unit test --- libs/libclkarchopenfpga/test/xml_io_clock_network.cpp | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/libs/libclkarchopenfpga/test/xml_io_clock_network.cpp b/libs/libclkarchopenfpga/test/xml_io_clock_network.cpp index 7a3708c67..fbabf50c5 100644 --- a/libs/libclkarchopenfpga/test/xml_io_clock_network.cpp +++ b/libs/libclkarchopenfpga/test/xml_io_clock_network.cpp @@ -22,6 +22,10 @@ int main(int argc, const char** argv) { /* Validate before write out */ if (!clk_ntwk.link()) { + VTR_LOG_ERROR("Invalid clock network when linking.\n"); + exit(1); + } + if (!clk_ntwk.validate()) { VTR_LOG_ERROR("Invalid clock network.\n"); exit(1); } From 4619e3ea53966b36e78e479e8f4b26559a301f7b Mon Sep 17 00:00:00 2001 From: "dependabot[bot]" <49699333+dependabot[bot]@users.noreply.github.com> Date: Tue, 25 Jun 2024 06:59:40 +0000 Subject: [PATCH 028/230] Bump yosys from `6c8ae44` to `1288166` Bumps [yosys](https://github.com/YosysHQ/yosys) from `6c8ae44` to `1288166`. - [Release notes](https://github.com/YosysHQ/yosys/releases) - [Commits](https://github.com/YosysHQ/yosys/compare/6c8ae44ae7ebe5cbad7cfaf2d0934701e29c9527...1288166f7ac03456b6beb0acff5d4fc84f63f57c) --- updated-dependencies: - dependency-name: yosys dependency-type: direct:production ... Signed-off-by: dependabot[bot] --- yosys | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/yosys b/yosys index 6c8ae44ae..1288166f7 160000 --- a/yosys +++ b/yosys @@ -1 +1 @@ -Subproject commit 6c8ae44ae7ebe5cbad7cfaf2d0934701e29c9527 +Subproject commit 1288166f7ac03456b6beb0acff5d4fc84f63f57c From ea5ab5117c423eefb4ca771b6605365e0f0312eb Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Tue, 25 Jun 2024 16:36:44 +0000 Subject: [PATCH 029/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index 2a9a5e2f0..91f6656fa 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2272 +1.2.2276 From 31d4b4c40258e65f207f87cebe749f6285d0ddd0 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 25 Jun 2024 11:27:22 -0700 Subject: [PATCH 030/230] [core] now support add internal drivers to clock tree --- .../src/base/clock_network.cpp | 40 +++++ .../src/base/clock_network.h | 1 + .../src/annotation/append_clock_rr_graph.cpp | 145 +++++++++++++++++- 3 files changed, 185 insertions(+), 1 deletion(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index ecfdd48c6..9c493c9f7 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -389,6 +389,46 @@ std::vector ClockNetwork::tree_flatten_taps( return flatten_taps; } +std::vector ClockNetwork::flatten_internal_driver_port(const ClockInternalDriverId& int_driver_id) const { + std::vector flatten_taps; + for (const std::string& tap_name : internal_driver_port(int_driver_id)) { + StringToken tokenizer(tap_name); + std::vector pin_tokens = tokenizer.split("."); + if (pin_tokens.size() != 2) { + VTR_LOG_ERROR("Invalid pin name '%s'. Expect .\n", + tap_name.c_str()); + exit(1); + } + PortParser tile_parser(pin_tokens[0]); + BasicPort tile_info = tile_parser.port(); + PortParser pin_parser(pin_tokens[1]); + BasicPort pin_info = pin_parser.port(); + if (!tile_info.is_valid()) { + VTR_LOG_ERROR("Invalid pin name '%s' whose subtile index is not valid\n", + tap_name.c_str()); + exit(1); + } + if (!pin_info.is_valid()) { + VTR_LOG_ERROR("Invalid pin name '%s' whose pin index is not valid\n", + tap_name.c_str()); + exit(1); + } + for (size_t& tile_idx : tile_info.pins()) { + std::string flatten_tile_str = + tile_info.get_name() + "[" + std::to_string(tile_idx) + "]"; + for (size_t& pin_idx : pin_info.pins()) { + if (pin_idx != size_t(clk_pin_id)) { + continue; + } + std::string flatten_pin_str = + pin_info.get_name() + "[" + std::to_string(pin_idx) + "]"; + flatten_taps.push_back(flatten_tile_str + "." + flatten_pin_str); + } + } + } + return flatten_taps; +} + ClockTreeId ClockNetwork::find_tree(const std::string& name) const { auto result = tree_name2id_map_.find(name); if (result == tree_name2id_map_.end()) { diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 836781ee6..6a972cb46 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -125,6 +125,7 @@ class ClockNetwork { const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id) const; std::string internal_driver_port(const ClockInternalDriverId& int_driver_id) const; + std::vector flatten_internal_driver_port(const ClockInternalDriverId& int_driver_id) const; /* Return the original list of tap pins that is in storage; useful for parsers */ diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index ac48b5cef..3263c838b 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -545,7 +545,7 @@ static void add_rr_graph_block_clock_edges( clk_ntwk.default_tap_switch(), false); edge_count++; } - VTR_LOGV(verbose, "\tWill add %lu edges to other IPIN\n", + VTR_LOGV(verbose, "\tWill add %lu edges to IPINs\n", edge_count - curr_edge_count); } } @@ -557,6 +557,147 @@ static void add_rr_graph_block_clock_edges( num_edges_to_create += edge_count; } +/******************************************************************** + * Try to find an OPIN of a grid which satisfy the requirement of clock pins + * that has been defined in clock network. If the OPIN does exist in a + * routing resource graph, add it to the node list + *******************************************************************/ +static void try_find_and_add_clock_opin2track_node( + std::vector& opin_nodes, const DeviceGrid& grids, + const RRGraphView& rr_graph_view, const size_t& layer, + const vtr::Point& grid_coord, const e_side& pin_side, + const ClockNetwork& clk_ntwk, + const ClockInternalDriverId& int_driver_id) { + t_physical_tile_type_ptr grid_type = grids.get_physical_type( + t_physical_tile_loc(grid_coord.x(), grid_coord.y(), layer)); + for (std::string tap_pin_name : + clk_ntwk.flatten_internal_driver_port(int_driver_id)) { + /* tap pin name could be 'io[5:5].a2f[0]' */ + int grid_pin_idx = find_physical_tile_pin_index(grid_type, tap_pin_name); + if (grid_pin_idx == grid_type->num_pins) { + continue; + } + RRNodeId opin_node = rr_graph_view.node_lookup().find_node( + layer, grid_coord.x(), grid_coord.y(), OPIN, grid_pin_idx, pin_side); + if (rr_graph_view.valid_node(opin_node)) { + opin_nodes.push_back(opin_node); + } + } +} + +/******************************************************************** + * Find the source OPIN nodes as internal drivers for a clock node + * For example + * clk0_lvl1_chany[1][1] + * ^ + * | + * internal_driver OPIN[0] -->-------+ + * ^ + * | + * internal_driver OPIN[1] + * + * Coordinate system: + * + * +----------+----------+------------+ + * | Grid | CBy | Grid | + * | [x][y+1] | [x][y+1] | [x+1][y+1] | + * +----------+----------+------------+ + * | CBx | SB | CBx | + * | [x][y] | [x][y] | [x+1][y] | + * +----------+----------+------------+ + * | Grid | CBy | Grid | + * | [x][y] | [x][y] | [x+1][y] | + * +----------+----------+------------+ + *******************************************************************/ +static std::vector find_clock_opin2track_node( + const DeviceGrid& grids, const RRGraphView& rr_graph_view, + const size_t& layer, + const vtr::Point& sb_coord, + const ClockNetwork& clk_ntwk, + const std::vector& int_driver_ids +) { + std::vector opin_nodes; + /* Find opins from + * - Grid[x][y+1] on right and bottom sides + * - Grid[x+1][y+1] on left and bottom sides + * - Grid[x][y] on right and top sides + * - Grid[x+1][y] on left and top sides + */ + std::array, 4> grid_coords; + std::array, 2>, 4> grid_sides; + grid_coords[0] = grid_coord(sb_coord.x(), sb_coord.y() + 1); + grid_sides[0] = {RIGHT, BOTTOM}; + grid_coords[1] = grid_coord(sb_coord.x() + 1, sb_coord.y() + 1); + grid_sides[1] = {LEFT, BOTTOM}; + grid_coords[2] = grid_coord(sb_coord.x() + 1, sb_coord.y()); + grid_sides[2] = {RIGHT, TOP}; + grid_coords[3] = grid_coord(sb_coord.x(), sb_coord.y()); + grid_sides[3] = {LEFT, TOP}; + for (size_t igrid = 0; igrid < 4; igrid++) { + vtr::Point grid_coord = grid_coords[igrid]; + for (e_side grid_side : grid_sides[igrid]) { + for (ClockInternalDriverId int_driver_id : int_driver_ids) { + try_find_and_add_clock_opin2track_node(opin_nodes, grids, rr_graph_view, + layer, grid_coord, grid_side, + clk_ntwk, int_driver_id); + } + } + } + return opin_nodes; +} + +/******************************************************************** + * Add edges between OPIN of programmable blocks and clock routing tracks + * Note that such edges only occur at the switching points of spines + * Different from add_rr_graph_block_clock_edges(), we follow the clock spines here + * By expanding on switching points, internal drivers will be added + *******************************************************************/ +static int add_rr_graph_opin2clk_edges(RRGraphBuilder& rr_graph_builder, size_t& num_edges_to_create, + const RRClockSpatialLookup& clk_rr_lookup, const RRGraphView& rr_graph_view, + const DeviceGrid& grids, const size_t& layer, + const ClockNetwork& clk_ntwk, const bool& verbose) { + size_t edge_count = 0; + for (ClockSpineId ispine : clk_ntwk.spines(clk_tree)) { + VTR_LOGV(verbose, "Finding internal drivers on spine '%s'...\n", + clk_ntwk.spine_name(ispine).c_str()); + for (auto ipin : clk_ntwk.pins(clk_tree)) { + for (ClockSwitchPointId switch_point_id : + clk_ntwk.spine_switch_points(ispine)) { + if (clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id).empty()) { + continue; /* We only focus on switching points containing internal drivers */ + } + size_t curr_edge_count = edge_count; + /* Get the rr node of destination spine */ + ClockSpineId des_spine = + clk_ntwk.spine_switch_point_tap(ispine, switch_point_id); + vtr::Point des_coord = clk_ntwk.spine_start_point(des_spine); + Direction des_spine_direction = clk_ntwk.spine_direction(des_spine); + ClockLevelId des_spine_level = clk_ntwk.spine_level(des_spine); + RRNodeId des_node = + clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, + des_spine_level, ipin, des_spine_direction); + /* Walk through each qualified OPIN, build edges */ + vtr::Point src_coord = + clk_ntwk.spine_switch_point(ispine, switch_point_id); + std::vector int_driver_ids = clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id); + for (RRNodId src_node : find_clock_opin2track_node(grids, rr_graph_view, layer, src_coord, clk_ntwk, int_driver_ids)) { + /* Create edges */ + VTR_ASSERT(rr_graph_view.valid_node(des_node)); + rr_graph_builder.create_edge(src_node, des_node, + clk_ntwk.default_driver_switch(), false); + edge_count++; + } + VTR_LOGV(verbose, "\tWill add %lu edges to OPINs at (x=%lu, y=%lu)\n", + edge_count - curr_edge_count, des_coord.x(), des_coord.y()); + } + } + } + /* Allocate edges */ + rr_graph_builder.build_edges(true); + num_edges_to_create += edge_count; + return CMD_EXEC_SUCCESS; +} + /******************************************************************** * Add edges to interconnect clock nodes * Walk through the routing tracks in each connection block (driver nodes) @@ -614,6 +755,8 @@ static void add_rr_graph_clock_edges( clk_ntwk, chany_coord, CHANY, verbose); } } + /* Add edges between OPIN (internal driver) and clock routing tracks */ + add_rr_graph_opin2clk_edges(rr_graph_builder, num_edges_to_create, rr_graph_view, grids, layer, clk_ntwk, verbose); } /******************************************************************** From 3b2c13402a3cfa2ea35160ba9f6bfa23500288c8 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 25 Jun 2024 11:44:25 -0700 Subject: [PATCH 031/230] [core] syntax --- .../src/base/clock_network.cpp | 62 +++++++-------- .../src/annotation/append_clock_rr_graph.cpp | 78 ++++++++++--------- 2 files changed, 69 insertions(+), 71 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 9c493c9f7..b76631c5c 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -391,39 +391,35 @@ std::vector ClockNetwork::tree_flatten_taps( std::vector ClockNetwork::flatten_internal_driver_port(const ClockInternalDriverId& int_driver_id) const { std::vector flatten_taps; - for (const std::string& tap_name : internal_driver_port(int_driver_id)) { - StringToken tokenizer(tap_name); - std::vector pin_tokens = tokenizer.split("."); - if (pin_tokens.size() != 2) { - VTR_LOG_ERROR("Invalid pin name '%s'. Expect .\n", - tap_name.c_str()); - exit(1); - } - PortParser tile_parser(pin_tokens[0]); - BasicPort tile_info = tile_parser.port(); - PortParser pin_parser(pin_tokens[1]); - BasicPort pin_info = pin_parser.port(); - if (!tile_info.is_valid()) { - VTR_LOG_ERROR("Invalid pin name '%s' whose subtile index is not valid\n", - tap_name.c_str()); - exit(1); - } - if (!pin_info.is_valid()) { - VTR_LOG_ERROR("Invalid pin name '%s' whose pin index is not valid\n", - tap_name.c_str()); - exit(1); - } - for (size_t& tile_idx : tile_info.pins()) { - std::string flatten_tile_str = - tile_info.get_name() + "[" + std::to_string(tile_idx) + "]"; - for (size_t& pin_idx : pin_info.pins()) { - if (pin_idx != size_t(clk_pin_id)) { - continue; - } - std::string flatten_pin_str = - pin_info.get_name() + "[" + std::to_string(pin_idx) + "]"; - flatten_taps.push_back(flatten_tile_str + "." + flatten_pin_str); - } + std::string tap_name = internal_driver_port(int_driver_id); + StringToken tokenizer(tap_name); + std::vector pin_tokens = tokenizer.split("."); + if (pin_tokens.size() != 2) { + VTR_LOG_ERROR("Invalid pin name '%s'. Expect .\n", + tap_name.c_str()); + exit(1); + } + PortParser tile_parser(pin_tokens[0]); + BasicPort tile_info = tile_parser.port(); + PortParser pin_parser(pin_tokens[1]); + BasicPort pin_info = pin_parser.port(); + if (!tile_info.is_valid()) { + VTR_LOG_ERROR("Invalid pin name '%s' whose subtile index is not valid\n", + tap_name.c_str()); + exit(1); + } + if (!pin_info.is_valid()) { + VTR_LOG_ERROR("Invalid pin name '%s' whose pin index is not valid\n", + tap_name.c_str()); + exit(1); + } + for (size_t& tile_idx : tile_info.pins()) { + std::string flatten_tile_str = + tile_info.get_name() + "[" + std::to_string(tile_idx) + "]"; + for (size_t& pin_idx : pin_info.pins()) { + std::string flatten_pin_str = + pin_info.get_name() + "[" + std::to_string(pin_idx) + "]"; + flatten_taps.push_back(flatten_tile_str + "." + flatten_pin_str); } } return flatten_taps; diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index 3263c838b..ecfe5e874 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -565,7 +565,7 @@ static void add_rr_graph_block_clock_edges( static void try_find_and_add_clock_opin2track_node( std::vector& opin_nodes, const DeviceGrid& grids, const RRGraphView& rr_graph_view, const size_t& layer, - const vtr::Point& grid_coord, const e_side& pin_side, + const vtr::Point& grid_coord, const e_side& pin_side, const ClockNetwork& clk_ntwk, const ClockInternalDriverId& int_driver_id) { t_physical_tile_type_ptr grid_type = grids.get_physical_type( @@ -624,14 +624,14 @@ static std::vector find_clock_opin2track_node( * - Grid[x+1][y] on left and top sides */ std::array, 4> grid_coords; - std::array, 2>, 4> grid_sides; - grid_coords[0] = grid_coord(sb_coord.x(), sb_coord.y() + 1); + std::array, 4> grid_sides; + grid_coords[0] = vtr::Point(sb_coord.x(), sb_coord.y() + 1); grid_sides[0] = {RIGHT, BOTTOM}; - grid_coords[1] = grid_coord(sb_coord.x() + 1, sb_coord.y() + 1); + grid_coords[1] = vtr::Point(sb_coord.x() + 1, sb_coord.y() + 1); grid_sides[1] = {LEFT, BOTTOM}; - grid_coords[2] = grid_coord(sb_coord.x() + 1, sb_coord.y()); + grid_coords[2] = vtr::Point(sb_coord.x() + 1, sb_coord.y()); grid_sides[2] = {RIGHT, TOP}; - grid_coords[3] = grid_coord(sb_coord.x(), sb_coord.y()); + grid_coords[3] = vtr::Point(sb_coord.x(), sb_coord.y()); grid_sides[3] = {LEFT, TOP}; for (size_t igrid = 0; igrid < 4; igrid++) { vtr::Point grid_coord = grid_coords[igrid]; @@ -657,38 +657,40 @@ static int add_rr_graph_opin2clk_edges(RRGraphBuilder& rr_graph_builder, size_t& const DeviceGrid& grids, const size_t& layer, const ClockNetwork& clk_ntwk, const bool& verbose) { size_t edge_count = 0; - for (ClockSpineId ispine : clk_ntwk.spines(clk_tree)) { - VTR_LOGV(verbose, "Finding internal drivers on spine '%s'...\n", - clk_ntwk.spine_name(ispine).c_str()); - for (auto ipin : clk_ntwk.pins(clk_tree)) { - for (ClockSwitchPointId switch_point_id : - clk_ntwk.spine_switch_points(ispine)) { - if (clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id).empty()) { - continue; /* We only focus on switching points containing internal drivers */ + for (ClockTreeId clk_tree : clk_ntwk.trees()) { + for (ClockSpineId ispine : clk_ntwk.spines(clk_tree)) { + VTR_LOGV(verbose, "Finding internal drivers on spine '%s'...\n", + clk_ntwk.spine_name(ispine).c_str()); + for (auto ipin : clk_ntwk.pins(clk_tree)) { + for (ClockSwitchPointId switch_point_id : + clk_ntwk.spine_switch_points(ispine)) { + if (clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id).empty()) { + continue; /* We only focus on switching points containing internal drivers */ + } + size_t curr_edge_count = edge_count; + /* Get the rr node of destination spine */ + ClockSpineId des_spine = + clk_ntwk.spine_switch_point_tap(ispine, switch_point_id); + vtr::Point des_coord = clk_ntwk.spine_start_point(des_spine); + Direction des_spine_direction = clk_ntwk.spine_direction(des_spine); + ClockLevelId des_spine_level = clk_ntwk.spine_level(des_spine); + RRNodeId des_node = + clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, + des_spine_level, ipin, des_spine_direction); + /* Walk through each qualified OPIN, build edges */ + vtr::Point src_coord = + clk_ntwk.spine_switch_point(ispine, switch_point_id); + std::vector int_driver_ids = clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id); + for (RRNodeId src_node : find_clock_opin2track_node(grids, rr_graph_view, layer, src_coord, clk_ntwk, int_driver_ids)) { + /* Create edges */ + VTR_ASSERT(rr_graph_view.valid_node(des_node)); + rr_graph_builder.create_edge(src_node, des_node, + clk_ntwk.default_driver_switch(), false); + edge_count++; + } + VTR_LOGV(verbose, "\tWill add %lu edges to OPINs at (x=%lu, y=%lu)\n", + edge_count - curr_edge_count, des_coord.x(), des_coord.y()); } - size_t curr_edge_count = edge_count; - /* Get the rr node of destination spine */ - ClockSpineId des_spine = - clk_ntwk.spine_switch_point_tap(ispine, switch_point_id); - vtr::Point des_coord = clk_ntwk.spine_start_point(des_spine); - Direction des_spine_direction = clk_ntwk.spine_direction(des_spine); - ClockLevelId des_spine_level = clk_ntwk.spine_level(des_spine); - RRNodeId des_node = - clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, - des_spine_level, ipin, des_spine_direction); - /* Walk through each qualified OPIN, build edges */ - vtr::Point src_coord = - clk_ntwk.spine_switch_point(ispine, switch_point_id); - std::vector int_driver_ids = clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id); - for (RRNodId src_node : find_clock_opin2track_node(grids, rr_graph_view, layer, src_coord, clk_ntwk, int_driver_ids)) { - /* Create edges */ - VTR_ASSERT(rr_graph_view.valid_node(des_node)); - rr_graph_builder.create_edge(src_node, des_node, - clk_ntwk.default_driver_switch(), false); - edge_count++; - } - VTR_LOGV(verbose, "\tWill add %lu edges to OPINs at (x=%lu, y=%lu)\n", - edge_count - curr_edge_count, des_coord.x(), des_coord.y()); } } } @@ -756,7 +758,7 @@ static void add_rr_graph_clock_edges( } } /* Add edges between OPIN (internal driver) and clock routing tracks */ - add_rr_graph_opin2clk_edges(rr_graph_builder, num_edges_to_create, rr_graph_view, grids, layer, clk_ntwk, verbose); + add_rr_graph_opin2clk_edges(rr_graph_builder, num_edges_to_create, clk_rr_lookup, rr_graph_view, grids, layer, clk_ntwk, verbose); } /******************************************************************** From 7bcbd8a88be36b1fef8186465d74c1b8a3c5efad Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 25 Jun 2024 11:44:50 -0700 Subject: [PATCH 032/230] [core] code format --- .../src/base/clock_network.cpp | 43 +++++++++------- .../src/base/clock_network.h | 31 ++++++----- .../src/io/clock_network_xml_constants.h | 6 ++- .../src/io/read_xml_clock_network.cpp | 21 ++++---- .../src/io/write_xml_clock_network.cpp | 13 +++-- .../src/utils/clock_network_utils.cpp | 4 +- .../src/annotation/append_clock_rr_graph.cpp | 51 +++++++++++-------- .../src/base/openfpga_read_arch_template.h | 5 +- 8 files changed, 104 insertions(+), 70 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index b76631c5c..20e1f116c 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -32,8 +32,10 @@ ClockNetwork::clock_tree_range ClockNetwork::trees() const { return vtr::make_range(tree_ids_.begin(), tree_ids_.end()); } -ClockNetwork::clock_internal_driver_range ClockNetwork::internal_drivers() const { - return vtr::make_range(internal_driver_ids_.begin(), internal_driver_ids_.end()); +ClockNetwork::clock_internal_driver_range ClockNetwork::internal_drivers() + const { + return vtr::make_range(internal_driver_ids_.begin(), + internal_driver_ids_.end()); } std::vector ClockNetwork::levels( @@ -329,14 +331,16 @@ vtr::Point ClockNetwork::spine_switch_point( return spine_switch_coords_[spine_id][size_t(switch_point_id)]; } -std::vector ClockNetwork::spine_switch_point_internal_drivers( +std::vector +ClockNetwork::spine_switch_point_internal_drivers( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id) const { VTR_ASSERT(valid_spine_switch_point_id(spine_id, switch_point_id)); return spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)]; } -std::string ClockNetwork::internal_driver_port(const ClockInternalDriverId& int_driver_id) const { +std::string ClockNetwork::internal_driver_port( + const ClockInternalDriverId& int_driver_id) const { VTR_ASSERT(valid_internal_driver_id(int_driver_id)); return internal_driver_ports_[int_driver_id]; } @@ -389,7 +393,8 @@ std::vector ClockNetwork::tree_flatten_taps( return flatten_taps; } -std::vector ClockNetwork::flatten_internal_driver_port(const ClockInternalDriverId& int_driver_id) const { +std::vector ClockNetwork::flatten_internal_driver_port( + const ClockInternalDriverId& int_driver_id) const { std::vector flatten_taps; std::string tap_name = internal_driver_port(int_driver_id); StringToken tokenizer(tap_name); @@ -598,9 +603,9 @@ void ClockNetwork::set_spine_track_type(const ClockSpineId& spine_id, spine_track_types_[spine_id] = type; } -ClockSwitchPointId ClockNetwork::add_spine_switch_point(const ClockSpineId& spine_id, - const ClockSpineId& drive_spine_id, - const vtr::Point& coord) { +ClockSwitchPointId ClockNetwork::add_spine_switch_point( + const ClockSpineId& spine_id, const ClockSpineId& drive_spine_id, + const vtr::Point& coord) { VTR_ASSERT(valid_spine_id(spine_id)); VTR_ASSERT(valid_spine_id(drive_spine_id)); spine_switch_points_[spine_id].push_back(drive_spine_id); @@ -621,24 +626,27 @@ ClockSwitchPointId ClockNetwork::add_spine_switch_point(const ClockSpineId& spin return ClockSwitchPointId(spine_switch_points_[spine_id].size() - 1); } -ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver(const ClockSpineId& spine_id, - const ClockSwitchPointId& switch_point_id, - const std::string& int_driver_port) { +ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver( + const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id, + const std::string& int_driver_port) { VTR_ASSERT(valid_spine_id(spine_id)); VTR_ASSERT(valid_spine_switch_point_id(spine_id, switch_point_id)); /* Find any existing id for the driver port */ for (ClockInternalDriverId int_driver_id : internal_driver_ids_) { if (internal_driver_ports_[int_driver_id] == int_driver_port) { - spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)].push_back(int_driver_id); - return int_driver_id; + spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)] + .push_back(int_driver_id); + return int_driver_id; } } /* Reaching here, no existing id can be reused, create a new one */ - ClockInternalDriverId int_driver_id = ClockInternalDriverId(internal_driver_ids_.size()); + ClockInternalDriverId int_driver_id = + ClockInternalDriverId(internal_driver_ids_.size()); internal_driver_ids_.push_back(int_driver_id); internal_driver_ports_.push_back(int_driver_port); - spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)].push_back(int_driver_id); - return int_driver_id; + spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)].push_back( + int_driver_id); + return int_driver_id; } void ClockNetwork::add_tree_tap(const ClockTreeId& tree_id, @@ -793,7 +801,8 @@ bool ClockNetwork::valid_tree_id(const ClockTreeId& tree_id) const { (tree_id == tree_ids_[tree_id]); } -bool ClockNetwork::valid_internal_driver_id(const ClockInternalDriverId& int_driver_id) const { +bool ClockNetwork::valid_internal_driver_id( + const ClockInternalDriverId& int_driver_id) const { return (size_t(int_driver_id) < internal_driver_ids_.size()) && (int_driver_id == internal_driver_ids_[int_driver_id]); } diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 6a972cb46..8884f5c55 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -42,10 +42,12 @@ class ClockNetwork { clock_tree_iterator; /* Create range */ typedef vtr::Range clock_tree_range; - typedef vtr::vector::const_iterator + typedef vtr::vector::const_iterator clock_internal_driver_iterator; /* Create range */ - typedef vtr::Range clock_internal_driver_range; + typedef vtr::Range + clock_internal_driver_range; public: /* Constructors */ ClockNetwork(); @@ -124,8 +126,10 @@ class ClockNetwork { std::vector spine_switch_point_internal_drivers( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id) const; - std::string internal_driver_port(const ClockInternalDriverId& int_driver_id) const; - std::vector flatten_internal_driver_port(const ClockInternalDriverId& int_driver_id) const; + std::string internal_driver_port( + const ClockInternalDriverId& int_driver_id) const; + std::vector flatten_internal_driver_port( + const ClockInternalDriverId& int_driver_id) const; /* Return the original list of tap pins that is in storage; useful for parsers */ @@ -184,11 +188,11 @@ class ClockNetwork { void set_spine_track_type(const ClockSpineId& spine_id, const t_rr_type& type); ClockSwitchPointId add_spine_switch_point(const ClockSpineId& spine_id, - const ClockSpineId& drive_spine_id, - const vtr::Point& coord); - ClockInternalDriverId add_spine_switch_point_internal_driver(const ClockSpineId& spine_id, - const ClockSwitchPointId& switch_point_id, - const std::string& internal_driver_port); + const ClockSpineId& drive_spine_id, + const vtr::Point& coord); + ClockInternalDriverId add_spine_switch_point_internal_driver( + const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id, + const std::string& internal_driver_port); void add_tree_tap(const ClockTreeId& tree_id, const std::string& pin_name); /* Build internal links between clock tree, spines etc. This is also an * validator to verify the correctness of the clock network. Must run before @@ -198,7 +202,8 @@ class ClockNetwork { public: /* Public invalidators/validators */ /* Show if the tree id is a valid for data queries */ bool valid_tree_id(const ClockTreeId& tree_id) const; - bool valid_internal_driver_id(const ClockInternalDriverId& int_driver_id) const; + bool valid_internal_driver_id( + const ClockInternalDriverId& int_driver_id) const; /* Show if the level id is a valid for a given tree */ bool valid_level_id(const ClockTreeId& tree_id, const ClockLevelId& lvl_id) const; @@ -260,13 +265,15 @@ class ClockNetwork { vtr::vector spine_track_types_; vtr::vector> spine_switch_points_; vtr::vector>> spine_switch_coords_; - vtr::vector>> spine_switch_internal_drivers_; + vtr::vector>> + spine_switch_internal_drivers_; vtr::vector spine_parents_; vtr::vector> spine_children_; vtr::vector spine_parent_trees_; /* Basic Information about internal drivers */ - vtr::vector internal_driver_ids_; + vtr::vector + internal_driver_ids_; vtr::vector internal_driver_ports_; /* Default routing resource */ diff --git a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h index 9eab6bd8f..14b2f1204 100644 --- a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h +++ b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h @@ -22,8 +22,10 @@ constexpr const char* XML_CLOCK_SPINE_ATTRIBUTE_END_Y = "end_y"; constexpr const char* XML_CLOCK_SPINE_ATTRIBUTE_TYPE = "type"; constexpr const char* XML_CLOCK_SPINE_ATTRIBUTE_DIRECTION = "direction"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_NODE_NAME = "switch_point"; -constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME = "internal_driver"; -constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN = "tile_pin"; +constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME = + "internal_driver"; +constexpr const char* + XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN = "tile_pin"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_TAP = "tap"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_X = "x"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_Y = "y"; diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index be73eb689..152b4ae35 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -61,17 +61,20 @@ static void read_xml_clock_tree_taps(pugi::xml_node& xml_taps, *******************************************************************/ static void read_xml_clock_spine_switch_point_internal_driver( pugi::xml_node& xml_int_driver, const pugiutil::loc_data& loc_data, - ClockNetwork& clk_ntwk, const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id) { + ClockNetwork& clk_ntwk, const ClockSpineId& spine_id, + const ClockSwitchPointId& switch_point_id) { if (!clk_ntwk.valid_spine_id(spine_id)) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_int_driver), "Invalid id of a clock spine!\n"); } std::string int_driver_port_name = - get_attribute(xml_int_driver, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN, - loc_data) + get_attribute( + xml_int_driver, + XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN, loc_data) .as_string(); - clk_ntwk.add_spine_switch_point_internal_driver(spine_id, switch_point_id, int_driver_port_name); + clk_ntwk.add_spine_switch_point_internal_driver(spine_id, switch_point_id, + int_driver_port_name); } /******************************************************************** @@ -108,16 +111,16 @@ static void read_xml_clock_spine_switch_point( XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_Y, loc_data) .as_int(); - ClockSwitchPointId switch_point_id = clk_ntwk.add_spine_switch_point(spine_id, tap_spine_id, - vtr::Point(tap_x, tap_y)); + ClockSwitchPointId switch_point_id = clk_ntwk.add_spine_switch_point( + spine_id, tap_spine_id, vtr::Point(tap_x, tap_y)); - /* Add internal drivers if possible */ + /* Add internal drivers if possible */ for (pugi::xml_node xml_int_driver : xml_switch_point.children()) { /* Error out if the XML child has an invalid name! */ if (xml_int_driver.name() == std::string(XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME)) { - read_xml_clock_spine_switch_point_internal_driver(xml_int_driver, loc_data, clk_ntwk, - spine_id, switch_point_id); + read_xml_clock_spine_switch_point_internal_driver( + xml_int_driver, loc_data, clk_ntwk, spine_id, switch_point_id); } else { bad_tag(xml_int_driver, loc_data, xml_switch_point, {XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME}); diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index e107a4606..76d10fbe8 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -59,18 +59,23 @@ static int write_xml_clock_spine_switch_point( clk_ntwk.spine_switch_point(spine_id, switch_point_id); write_xml_attribute(fp, XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_X, coord.x()); write_xml_attribute(fp, XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_Y, coord.y()); - + /* Optional: internal drivers */ - if (clk_ntwk.spine_switch_point_internal_drivers(spine_id, switch_point_id).empty()) { + if (clk_ntwk.spine_switch_point_internal_drivers(spine_id, switch_point_id) + .empty()) { fp << "/>" << "\n"; } else { fp << ">" << "\n"; - for (ClockInternalDriverId int_driver_id : clk_ntwk.spine_switch_point_internal_drivers(spine_id, switch_point_id)) { + for (ClockInternalDriverId int_driver_id : + clk_ntwk.spine_switch_point_internal_drivers(spine_id, + switch_point_id)) { openfpga::write_tab_to_file(fp, 4); fp << "<" << XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME; - write_xml_attribute(fp, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN, clk_ntwk.internal_driver_port(int_driver_id).c_str()); + write_xml_attribute( + fp, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN, + clk_ntwk.internal_driver_port(int_driver_id).c_str()); fp << "/>" << "\n"; } diff --git a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp index 138ac4087..3d82f9c23 100644 --- a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp +++ b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp @@ -34,7 +34,7 @@ static int link_clock_network_rr_segments(ClockNetwork& clk_ntwk, *clock network *******************************************************************/ static int link_clock_network_tap_rr_switches(ClockNetwork& clk_ntwk, - const RRGraphView& rr_graph) { + const RRGraphView& rr_graph) { /* default tap switch id */ std::string default_tap_switch_name = clk_ntwk.default_tap_switch_name(); for (size_t rr_switch_id = 0; rr_switch_id < rr_graph.num_rr_switches(); @@ -57,7 +57,7 @@ static int link_clock_network_tap_rr_switches(ClockNetwork& clk_ntwk, *clock network *******************************************************************/ static int link_clock_network_driver_rr_switches(ClockNetwork& clk_ntwk, - const RRGraphView& rr_graph) { + const RRGraphView& rr_graph) { /* default driver switch id */ std::string default_driver_switch_name = clk_ntwk.default_driver_switch_name(); diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index ecfe5e874..38d06efc6 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -525,8 +525,8 @@ static void add_rr_graph_block_clock_edges( chan_coord, itree, ilvl, ClockTreePinId(ipin), node_dir)) { /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); - rr_graph_builder.create_edge(src_node, des_node, - clk_ntwk.default_driver_switch(), false); + rr_graph_builder.create_edge( + src_node, des_node, clk_ntwk.default_driver_switch(), false); edge_count++; } VTR_LOGV(verbose, "\tWill add %lu edges to other clock nodes\n", @@ -541,8 +541,8 @@ static void add_rr_graph_block_clock_edges( itree, ClockTreePinId(ipin))) { /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); - rr_graph_builder.create_edge(src_node, des_node, - clk_ntwk.default_tap_switch(), false); + rr_graph_builder.create_edge( + src_node, des_node, clk_ntwk.default_tap_switch(), false); edge_count++; } VTR_LOGV(verbose, "\tWill add %lu edges to IPINs\n", @@ -566,8 +566,7 @@ static void try_find_and_add_clock_opin2track_node( std::vector& opin_nodes, const DeviceGrid& grids, const RRGraphView& rr_graph_view, const size_t& layer, const vtr::Point& grid_coord, const e_side& pin_side, - const ClockNetwork& clk_ntwk, - const ClockInternalDriverId& int_driver_id) { + const ClockNetwork& clk_ntwk, const ClockInternalDriverId& int_driver_id) { t_physical_tile_type_ptr grid_type = grids.get_physical_type( t_physical_tile_loc(grid_coord.x(), grid_coord.y(), layer)); for (std::string tap_pin_name : @@ -611,11 +610,9 @@ static void try_find_and_add_clock_opin2track_node( *******************************************************************/ static std::vector find_clock_opin2track_node( const DeviceGrid& grids, const RRGraphView& rr_graph_view, - const size_t& layer, - const vtr::Point& sb_coord, + const size_t& layer, const vtr::Point& sb_coord, const ClockNetwork& clk_ntwk, - const std::vector& int_driver_ids -) { + const std::vector& int_driver_ids) { std::vector opin_nodes; /* Find opins from * - Grid[x][y+1] on right and bottom sides @@ -649,13 +646,14 @@ static std::vector find_clock_opin2track_node( /******************************************************************** * Add edges between OPIN of programmable blocks and clock routing tracks * Note that such edges only occur at the switching points of spines - * Different from add_rr_graph_block_clock_edges(), we follow the clock spines here - * By expanding on switching points, internal drivers will be added + * Different from add_rr_graph_block_clock_edges(), we follow the clock spines + *here By expanding on switching points, internal drivers will be added *******************************************************************/ -static int add_rr_graph_opin2clk_edges(RRGraphBuilder& rr_graph_builder, size_t& num_edges_to_create, +static int add_rr_graph_opin2clk_edges( + RRGraphBuilder& rr_graph_builder, size_t& num_edges_to_create, const RRClockSpatialLookup& clk_rr_lookup, const RRGraphView& rr_graph_view, - const DeviceGrid& grids, const size_t& layer, - const ClockNetwork& clk_ntwk, const bool& verbose) { + const DeviceGrid& grids, const size_t& layer, const ClockNetwork& clk_ntwk, + const bool& verbose) { size_t edge_count = 0; for (ClockTreeId clk_tree : clk_ntwk.trees()) { for (ClockSpineId ispine : clk_ntwk.spines(clk_tree)) { @@ -664,8 +662,11 @@ static int add_rr_graph_opin2clk_edges(RRGraphBuilder& rr_graph_builder, size_t& for (auto ipin : clk_ntwk.pins(clk_tree)) { for (ClockSwitchPointId switch_point_id : clk_ntwk.spine_switch_points(ispine)) { - if (clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id).empty()) { - continue; /* We only focus on switching points containing internal drivers */ + if (clk_ntwk + .spine_switch_point_internal_drivers(ispine, switch_point_id) + .empty()) { + continue; /* We only focus on switching points containing internal + drivers */ } size_t curr_edge_count = edge_count; /* Get the rr node of destination spine */ @@ -680,12 +681,16 @@ static int add_rr_graph_opin2clk_edges(RRGraphBuilder& rr_graph_builder, size_t& /* Walk through each qualified OPIN, build edges */ vtr::Point src_coord = clk_ntwk.spine_switch_point(ispine, switch_point_id); - std::vector int_driver_ids = clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id); - for (RRNodeId src_node : find_clock_opin2track_node(grids, rr_graph_view, layer, src_coord, clk_ntwk, int_driver_ids)) { + std::vector int_driver_ids = + clk_ntwk.spine_switch_point_internal_drivers(ispine, + switch_point_id); + for (RRNodeId src_node : find_clock_opin2track_node( + grids, rr_graph_view, layer, src_coord, clk_ntwk, + int_driver_ids)) { /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); - rr_graph_builder.create_edge(src_node, des_node, - clk_ntwk.default_driver_switch(), false); + rr_graph_builder.create_edge( + src_node, des_node, clk_ntwk.default_driver_switch(), false); edge_count++; } VTR_LOGV(verbose, "\tWill add %lu edges to OPINs at (x=%lu, y=%lu)\n", @@ -758,7 +763,9 @@ static void add_rr_graph_clock_edges( } } /* Add edges between OPIN (internal driver) and clock routing tracks */ - add_rr_graph_opin2clk_edges(rr_graph_builder, num_edges_to_create, clk_rr_lookup, rr_graph_view, grids, layer, clk_ntwk, verbose); + add_rr_graph_opin2clk_edges(rr_graph_builder, num_edges_to_create, + clk_rr_lookup, rr_graph_view, grids, layer, + clk_ntwk, verbose); } /******************************************************************** diff --git a/openfpga/src/base/openfpga_read_arch_template.h b/openfpga/src/base/openfpga_read_arch_template.h index 91e25a607..4ee895977 100644 --- a/openfpga/src/base/openfpga_read_arch_template.h +++ b/openfpga/src/base/openfpga_read_arch_template.h @@ -240,8 +240,9 @@ int read_openfpga_clock_arch_template(T& openfpga_context, const Command& cmd, VTR_LOG_ERROR("Link clock network failed!"); return CMD_EXEC_FATAL_ERROR; } - if (CMD_EXEC_SUCCESS != link_clock_network_rr_graph(openfpga_context.mutable_clock_arch(), - g_vpr_ctx.device().rr_graph)) { + if (CMD_EXEC_SUCCESS != + link_clock_network_rr_graph(openfpga_context.mutable_clock_arch(), + g_vpr_ctx.device().rr_graph)) { VTR_LOG_ERROR("Link clock network to routing architecture failed!"); return CMD_EXEC_FATAL_ERROR; } From 2cbb04b90d4e0be272cda002e79130acf0742b4b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 25 Jun 2024 11:58:05 -0700 Subject: [PATCH 033/230] [test] add a new testcase to validate programmable clock network with internal drivers --- .../regression_test_scripts/basic_reg_test.sh | 1 + .../clk_arch_1clk_1rst_2layer_int_driver.xml | 40 ++++++++++++++ .../config/pin_constraints_reset.xml | 7 +++ .../config/pin_constraints_resetb.xml | 7 +++ .../config/repack_pin_constraints.xml | 4 ++ .../config/task.conf | 53 +++++++++++++++++++ 6 files changed, 112 insertions(+) create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/repack_pin_constraints.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index 0f2e1514e..20b2e3bf0 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -233,6 +233,7 @@ run-task basic_tests/clock_network/homo_1clock_2layer $@ run-task basic_tests/clock_network/homo_1clock_2layer_full_tb $@ run-task basic_tests/clock_network/homo_2clock_2layer $@ run-task basic_tests/clock_network/homo_1clock_1_reset_2layer $@ +run-task basic_tests/clock_network/homo_1clock_1_reset_2layer_internal_driver $@ echo -e "Testing configuration chain of a K4N4 FPGA using .blif generated by yosys+verific"; run-task basic_tests/verific_test $@ diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml new file mode 100644 index 000000000..78f156469 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml @@ -0,0 +1,40 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml new file mode 100644 index 000000000..abcf209f6 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml @@ -0,0 +1,7 @@ + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml new file mode 100644 index 000000000..cdef2ad86 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml @@ -0,0 +1,7 @@ + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/repack_pin_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/repack_pin_constraints.xml new file mode 100644 index 000000000..06a125111 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/repack_pin_constraints.xml @@ -0,0 +1,4 @@ + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf new file mode 100644 index 000000000..6bc568fb0 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf @@ -0,0 +1,53 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = false +spice_output=false +verilog_output=true +timeout_each_job = 3*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml +openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml +openfpga_vpr_device_layout=2x2 +openfpga_vpr_route_chan_width=40 +openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer_int_driver.xml +openfpga_verilog_testbench_port_mapping=--explicit_port_mapping + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/counters/counter_8bit_async_reset/counter.v +bench1=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/counters/counter_8bit_async_resetb/counter.v + +[SYNTHESIS_PARAM] +# Yosys script parameters +bench_yosys_cell_sim_verilog_common=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_yosys_techlib/openfpga_dff_sim.v +bench_yosys_dff_map_verilog_common=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_yosys_techlib/openfpga_dff_map.v +bench_read_verilog_options_common = -nolatches +bench_yosys_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_dff_flow.ys +bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_flow_with_rewrite.ys;${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_rewrite_flow.ys + +bench0_top = counter +bench0_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_reset.xml +bench0_openfpga_verilog_testbench_port_mapping= + +bench1_top = counter +bench1_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_resetb.xml +bench1_openfpga_verilog_testbench_port_mapping= + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= +vpr_fpga_verilog_formal_verification_top_netlist= From fbece49047c88a2ca0f64e71b626c8982c6e67b9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 25 Jun 2024 12:07:19 -0700 Subject: [PATCH 034/230] [core] fixed a bug where unexpected OPINs are added as internal drivers --- openfpga/src/annotation/append_clock_rr_graph.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index 38d06efc6..53cad0453 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -626,9 +626,9 @@ static std::vector find_clock_opin2track_node( grid_sides[0] = {RIGHT, BOTTOM}; grid_coords[1] = vtr::Point(sb_coord.x() + 1, sb_coord.y() + 1); grid_sides[1] = {LEFT, BOTTOM}; - grid_coords[2] = vtr::Point(sb_coord.x() + 1, sb_coord.y()); + grid_coords[2] = vtr::Point(sb_coord.x(), sb_coord.y()); grid_sides[2] = {RIGHT, TOP}; - grid_coords[3] = vtr::Point(sb_coord.x(), sb_coord.y()); + grid_coords[3] = vtr::Point(sb_coord.x() + 1, sb_coord.y()); grid_sides[3] = {LEFT, TOP}; for (size_t igrid = 0; igrid < 4; igrid++) { vtr::Point grid_coord = grid_coords[igrid]; From 66af73e91e837f83956d5240d29e57e763fb49b9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 25 Jun 2024 12:24:46 -0700 Subject: [PATCH 035/230] [lib] now accept reset and set in programmable clock network --- .../src/read_xml_tile_annotation.cpp | 18 ++++++++++-------- 1 file changed, 10 insertions(+), 8 deletions(-) diff --git a/libs/libarchopenfpga/src/read_xml_tile_annotation.cpp b/libs/libarchopenfpga/src/read_xml_tile_annotation.cpp index 135e38361..c6ca09012 100644 --- a/libs/libarchopenfpga/src/read_xml_tile_annotation.cpp +++ b/libs/libarchopenfpga/src/read_xml_tile_annotation.cpp @@ -89,14 +89,6 @@ static void read_xml_tile_global_port_annotation( get_attribute(xml_tile, "is_clock", loc_data, pugiutil::ReqOpt::OPTIONAL) .as_bool(false)); - /* Get clock tree attributes if this is a clock */ - if (tile_annotation.global_port_is_clock(tile_global_port_id)) { - tile_annotation.set_global_port_clock_arch_tree_name( - tile_global_port_id, get_attribute(xml_tile, "clock_arch_tree_name", - loc_data, pugiutil::ReqOpt::OPTIONAL) - .as_string()); - } - /* Get is_set attributes */ tile_annotation.set_global_port_is_set( tile_global_port_id, @@ -109,6 +101,16 @@ static void read_xml_tile_global_port_annotation( get_attribute(xml_tile, "is_reset", loc_data, pugiutil::ReqOpt::OPTIONAL) .as_bool(false)); + /* Get clock tree attributes if this is a clock, reset or set */ + if (tile_annotation.global_port_is_clock(tile_global_port_id) + || tile_annotation.global_port_is_reset(tile_global_port_id) + || tile_annotation.global_port_is_set(tile_global_port_id)) { + tile_annotation.set_global_port_clock_arch_tree_name( + tile_global_port_id, get_attribute(xml_tile, "clock_arch_tree_name", + loc_data, pugiutil::ReqOpt::OPTIONAL) + .as_string()); + } + /* Get default_value attributes */ tile_annotation.set_global_port_default_value( tile_global_port_id, From 4640e74e7e6e047d3f3f4eae7117d9ed8447a0ad Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 25 Jun 2024 12:25:16 -0700 Subject: [PATCH 036/230] [core] code format --- libs/libarchopenfpga/src/read_xml_tile_annotation.cpp | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/libs/libarchopenfpga/src/read_xml_tile_annotation.cpp b/libs/libarchopenfpga/src/read_xml_tile_annotation.cpp index c6ca09012..960f9352d 100644 --- a/libs/libarchopenfpga/src/read_xml_tile_annotation.cpp +++ b/libs/libarchopenfpga/src/read_xml_tile_annotation.cpp @@ -102,9 +102,9 @@ static void read_xml_tile_global_port_annotation( .as_bool(false)); /* Get clock tree attributes if this is a clock, reset or set */ - if (tile_annotation.global_port_is_clock(tile_global_port_id) - || tile_annotation.global_port_is_reset(tile_global_port_id) - || tile_annotation.global_port_is_set(tile_global_port_id)) { + if (tile_annotation.global_port_is_clock(tile_global_port_id) || + tile_annotation.global_port_is_reset(tile_global_port_id) || + tile_annotation.global_port_is_set(tile_global_port_id)) { tile_annotation.set_global_port_clock_arch_tree_name( tile_global_port_id, get_attribute(xml_tile, "clock_arch_tree_name", loc_data, pugiutil::ReqOpt::OPTIONAL) From c99178f350b94e931c6883e3e0dc395d23132b54 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 25 Jun 2024 12:34:52 -0700 Subject: [PATCH 037/230] [test] fixed a bug on pin locations --- openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml | 7 ++++++- 1 file changed, 6 insertions(+), 1 deletion(-) diff --git a/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml b/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml index 19447dd7e..ad21e6f59 100644 --- a/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml @@ -107,7 +107,12 @@ - + + + + clb.reset clb.clk clb.O[4:7] clb.I[6:11] + clb.O[0:3] clb.I[0:5] + From ec1ad94d4aeee285380ef32fc5f0c149f0ac9b51 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 25 Jun 2024 13:06:47 -0700 Subject: [PATCH 038/230] [doc] add syntax about internal drivers --- .../manual/file_formats/clock_network.rst | 31 ++++++++++++++++++- 1 file changed, 30 insertions(+), 1 deletion(-) diff --git a/docs/source/manual/file_formats/clock_network.rst b/docs/source/manual/file_formats/clock_network.rst index fe6d89b79..d998c63a9 100644 --- a/docs/source/manual/file_formats/clock_network.rst +++ b/docs/source/manual/file_formats/clock_network.rst @@ -25,7 +25,9 @@ Using the clock network description language, users can define multiple clock ne - + + + @@ -175,6 +177,33 @@ For example, where clock spine ``spine0`` will drive another clock spine ``spine1`` at (1, 1). +For each switch point, outputs of neighbouring programmable blocks are allowed to drive the spine at next level, through syntax ``internal_driver``. + +.. option:: tile_pin="" + + Define the pin of a programmable block as an internal driver to a clock network. The pin must be a valid pin defined in the VPR architecture description file. + +For example, + +.. code-block:: xml + + + + + + + +where the clock routing can be driven at (x=1,y=1) by the output pins ``O[0:3]`` of tile ``clb`` in a VPR architecture description file: + +.. code-block:: xml + + + + + + + + .. _file_formats_clock_network_tap_point: Tap Point Settings From c07e35136b67c7d09feddd4f965c6271e96e3e52 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 25 Jun 2024 16:46:33 -0700 Subject: [PATCH 039/230] [ci] now use download-artifact v4 --- .github/workflows/build.yml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/.github/workflows/build.yml b/.github/workflows/build.yml index b324c8c89..e97ead79f 100644 --- a/.github/workflows/build.yml +++ b/.github/workflows/build.yml @@ -404,7 +404,7 @@ jobs: - name: Checkout OpenFPGA repo uses: actions/checkout@v4 - name: Download a built artifacts - uses: actions/download-artifact@v2 + uses: actions/download-artifact@v4 with: name: openfpga - name: Set up QEMU @@ -457,7 +457,7 @@ jobs: - name: Checkout OpenFPGA repo uses: actions/checkout@v4 - name: Download a built artifacts - uses: actions/download-artifact@v2 + uses: actions/download-artifact@v4 with: name: openfpga - name: chmod From 3ebaaa700e7a99a4d51894f2bc7ece5b64ca35b9 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Wed, 26 Jun 2024 01:31:49 +0000 Subject: [PATCH 040/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index 91f6656fa..c4ceed717 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2276 +1.2.2282 From 381a8cb53548e5c1402d46606d6cf3c22aa1050d Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 26 Jun 2024 15:41:56 -0700 Subject: [PATCH 041/230] [lib] clock tap syntax are reworked. Support region, single, all and from/to ports --- .../src/base/clock_network.cpp | 113 +++++++++++++++++- .../src/base/clock_network.h | 52 +++++++- .../src/base/clock_network_fwd.h | 2 + .../src/io/clock_network_xml_constants.h | 15 ++- .../src/io/read_xml_clock_network.cpp | 101 ++++++++++++++-- .../src/io/write_xml_clock_network.cpp | 63 ++++++++-- 6 files changed, 314 insertions(+), 32 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 20e1f116c..69fbed21b 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -20,6 +20,8 @@ ClockNetwork::ClockNetwork() { default_segment_id_ = RRSegmentId::INVALID(); default_tap_switch_id_ = RRSwitchId::INVALID(); default_driver_switch_id_ = RRSwitchId::INVALID(); + /* Set a default invalid bounding box */ + empty_tap_bb_ = vtr::Rect(1, 0, 1, 0); is_dirty_ = true; } @@ -345,17 +347,67 @@ std::string ClockNetwork::internal_driver_port( return internal_driver_ports_[int_driver_id]; } -std::vector ClockNetwork::tree_taps( +std::vector ClockNetwork::tree_taps( const ClockTreeId& tree_id) const { VTR_ASSERT(valid_tree_id(tree_id)); return tree_taps_[tree_id]; } -std::vector ClockNetwork::tree_flatten_taps( +std::string ClockNetwork::tap_from_port(const ClockTapId& tap_id) const { + VTR_ASSERT(valid_tap_id(tap_id)); + return tap_from_ports_[tap_id]; +} + +std::string ClockNetwork::tap_to_port(const ClockTapId& tap_id) const { + VTR_ASSERT(valid_tap_id(tap_id)); + return tap_to_ports_[tap_id]; +} + +ClockNetwork::e_tap_type ClockNetwork::tap_type(const ClockTapId& tap_id) const { + VTR_ASSERT(valid_tap_id(tap_id)); + /* If not a region, it is a default type covering all the coordinates*/ + if (tap_bbs_[tap_id] == empty_tap_bb_) { + return ClockNetwork::e_tap_type::ALL; + } + /* Now check if this a single point */ + if (tap_bbs_[tap_id].height() == 0 && tap_bbs_[tap_id].width() == 0) { + return ClockNetwork::e_tap_type::SINGLE; + } + return ClockNetwork::e_tap_type::REGION; +} + +size_t ClockNetwork::tap_x(const ClockTapId& tap_id) const { + VTR_ASSERT(tap_type(tap_id) == ClockNetwork::e_tap_type::SINGLE); + return tap_bbs_[tap_id].xmin(); +} + +size_t ClockNetwork::tap_y(const ClockTapId& tap_id) const { + VTR_ASSERT(tap_type(tap_id) == ClockNetwork::e_tap_type::SINGLE); + return tap_bbs_[tap_id].ymin(); +} + +vtr::Rect ClockNetwork::tap_bounding_box(const ClockTapId& tap_id) const { + VTR_ASSERT(tap_type(tap_id) == ClockNetwork::e_tap_type::REGION); + return tap_bbs_[tap_id]; +} + +size_t ClockNetwork::tap_step_x(const ClockTapId& tap_id) const { + VTR_ASSERT(tap_type(tap_id) == ClockNetwork::e_tap_type::REGION); + return tap_bb_steps_[tap_id].x(); +} + +size_t ClockNetwork::tap_step_y(const ClockTapId& tap_id) const { + VTR_ASSERT(tap_type(tap_id) == ClockNetwork::e_tap_type::REGION); + return tap_bb_steps_[tap_id].y(); +} + +std::vector ClockNetwork::tree_flatten_tap_to_ports( const ClockTreeId& tree_id, const ClockTreePinId& clk_pin_id) const { VTR_ASSERT(valid_tree_id(tree_id)); std::vector flatten_taps; - for (const std::string& tap_name : tree_taps_[tree_id]) { + for (ClockTapId tap_id : tree_taps_[tree_id]) { + VTR_ASSERT(valid_tap_id(tap_id)); + std::string tap_name = tap_to_ports_[tap_id]; StringToken tokenizer(tap_name); std::vector pin_tokens = tokenizer.split("."); if (pin_tokens.size() != 2) { @@ -649,10 +701,53 @@ ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver( return int_driver_id; } -void ClockNetwork::add_tree_tap(const ClockTreeId& tree_id, - const std::string& pin_name) { +ClockTapId ClockNetwork::add_tree_tap(const ClockTreeId& tree_id, + const std::string& from_port, + const std::string& to_port, + const ) { VTR_ASSERT(valid_tree_id(tree_id)); - tree_taps_[tree_id].push_back(pin_name); + /* TODO: Consider find existing tap template and avoid duplication in storage */ + ClockTapId tap_id = ClockTapId(tap_ids_.size()); + tap_ids_.push_back(tap_id); + tap_from_ports_.push_back(from_port); + tap_to_ports_.push_back(to_port); + tap_bbs_.emplace_back(empty_tap_bb_); + tap_bb_steps_.emplace_back(vtr::Point(1, 1)); + tree_taps_[tree_id].push_back(tap_id); + return tap_id; +} + +bool ClockNetwork::set_tap_bounding_box(const ClockTapId& tap_id, const vtr::Rect& bb) { + VTR_ASSERT(valid_tap_id(tap_id)); + /* Check the bounding box, ensure it must be valid */ + if (bb.height() < 0 || bb.width() < 0) { + VTR_LOG_ERROR("Invalid bounding box (xlow=%lu, ylow=%lu) -> (xhigh=%lu, yhigh=%lu)! Must follow: xlow <= xhigh, ylow <= yhigh!\n", bb.xmin(), bb.ymin(), bb.xmax(), bb.ymax()); + return false; + } + tap_bbs_[tap_id] = bb; + return true; +} + +bool ClockNetwork::set_tap_step_x(const ClockTapId& tap_id, const size_t step) { + VTR_ASSERT(valid_tap_id(tap_id)); + /* Must be a valid step >= 1 */ + if (step == 0) { + VTR_LOG_ERROR("Invalid x-direction step (=%lu) for any bounding box! Expect an integer >= 1!\n", step); + return false; + } + tap_bbs_[tap_id].set_x(step); + return true; +} + +bool ClockNetwork::set_tap_step_y(const ClockTapId& tap_id, const size_t step) { + VTR_ASSERT(valid_tap_id(tap_id)); + /* Must be a valid step >= 1 */ + if (step == 0) { + VTR_LOG_ERROR("Invalid y-direction step (=%lu) for any bounding box! Expect an integer >= 1!\n", step); + return false; + } + tap_bbs_[tap_id].set_y(step); + return true; } bool ClockNetwork::link() { @@ -807,6 +902,12 @@ bool ClockNetwork::valid_internal_driver_id( (int_driver_id == internal_driver_ids_[int_driver_id]); } +bool ClockNetwork::valid_tap_id( + const ClockTapId& tap_id) const { + return (size_t(tap_id) < tap_ids_.size()) && + (tap_id == tap_ids_[tap_id]); +} + bool ClockNetwork::valid_level_id(const ClockTreeId& tree_id, const ClockLevelId& lvl_id) const { return valid_tree_id(tree_id) && (size_t(lvl_id) < tree_depth(tree_id)); diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 8884f5c55..f440c397c 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -48,6 +48,13 @@ class ClockNetwork { /* Create range */ typedef vtr::Range clock_internal_driver_range; + /* Type of tap points */ + enum class : unsigned char { + ALL = 0, + SINGLE, + REGION, + NUM_TYPES + }; public: /* Constructors */ ClockNetwork(); @@ -133,12 +140,30 @@ class ClockNetwork { /* Return the original list of tap pins that is in storage; useful for parsers */ - std::vector tree_taps(const ClockTreeId& tree_id) const; + std::vector tree_taps(const ClockTreeId& tree_id) const; + /* Return the source ports for a given tap */ + std::string tap_from_port(const ClockTapId& tap_id) const; + /* Return the destination ports for a given tap */ + std::string tap_to_port(const ClockTapId& tap_id) const; + /* Find the type of tap point: + * all -> all coordinates in efpga are required to tap + * single -> only 1 coordinate is required to tap + * region -> coordinates in a region required to tap. Steps in region may be required + */ + e_tap_type tap_type(const ClockTapId& tap_id) const; + /* Require the type of single */ + size_t tap_x(const ClockTapId& tap_id) const; + size_t tap_y(const ClockTapId& tap_id) const; + /* Require the type of region */ + vtr::Rect tap_bounding_box(const ClockTapId& tap_id) const; + /* Steps are only available when type is region */ + size_t tap_step_x(const ClockTapId& tap_id) const; + size_t tap_step_y(const ClockTapId& tap_id) const; /* Return the list of flatten tap pins. For example: clb[0:1].clk[2:2] is * flatten to { clb[0].clk[2], clb[1].clk[2] } Useful to build clock routing * resource graph Note that the clk_pin_id limits only 1 clock to be accessed */ - std::vector tree_flatten_taps( + std::vector tree_flatten_tap_to_ports( const ClockTreeId& tree_id, const ClockTreePinId& clk_pin_id) const; /* Find a spine with a given name, if not found, return an valid id, otherwise * return an invalid one */ @@ -193,7 +218,10 @@ class ClockNetwork { ClockInternalDriverId add_spine_switch_point_internal_driver( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id, const std::string& internal_driver_port); - void add_tree_tap(const ClockTreeId& tree_id, const std::string& pin_name); + ClockTapId add_tree_tap(const ClockTreeId& tree_id, const std::string& from_port, const std::string& to_port); + bool set_tap_bounding_box(const ClockTapId& tap_id, const vtr::Rect& bb); + bool set_tap_step_x(const ClockTapId& tap_id, const size_t& step); + bool set_tap_step_y(const ClockTapId& tap_id, const size_t& step); /* Build internal links between clock tree, spines etc. This is also an * validator to verify the correctness of the clock network. Must run before * using the data! */ @@ -202,8 +230,6 @@ class ClockNetwork { public: /* Public invalidators/validators */ /* Show if the tree id is a valid for data queries */ bool valid_tree_id(const ClockTreeId& tree_id) const; - bool valid_internal_driver_id( - const ClockInternalDriverId& int_driver_id) const; /* Show if the level id is a valid for a given tree */ bool valid_level_id(const ClockTreeId& tree_id, const ClockLevelId& lvl_id) const; @@ -233,6 +259,11 @@ class ClockNetwork { /* Ensure tree data is clean. All the spines are valid, and switch points are * valid */ bool validate_tree() const; + /* Show if the internal driver id is a valid for data queries */ + bool valid_internal_driver_id( + const ClockInternalDriverId& int_driver_id) const; + /* Show if the tap id is a valid for data queries */ + bool valid_tap_id(const ClockTapId& tap_id) const; private: /* Private mutators */ /* Build internal links between spines under a given tree */ @@ -253,7 +284,7 @@ class ClockNetwork { vtr::vector tree_widths_; vtr::vector tree_depths_; vtr::vector> tree_top_spines_; - vtr::vector> tree_taps_; + vtr::vector tree_taps_; /* Basic information of each spine */ vtr::vector spine_ids_; @@ -275,6 +306,12 @@ class ClockNetwork { vtr::vector internal_driver_ids_; vtr::vector internal_driver_ports_; + /* Basic information about tap */ + vtr::vector tap_ids_; + vtr::vector tap_from_ports_; + vtr::vector tap_to_ports_; + vtr::vector> tap_bbs_; /* Bounding box for tap points, (xlow, ylow) -> (xhigh, yhigh) */ + vtr::vector> tap_bb_steps_; /* x() -> x-direction step, y() -> y-direction step */ /* Default routing resource */ std::string default_segment_name_; /* The routing segment representing the @@ -291,6 +328,9 @@ class ClockNetwork { std::map tree_name2id_map_; std::map spine_name2id_map_; + /* Constants */ + vtr::Rect empty_tap_bb_; + /* Flags */ mutable bool is_dirty_; }; diff --git a/libs/libclkarchopenfpga/src/base/clock_network_fwd.h b/libs/libclkarchopenfpga/src/base/clock_network_fwd.h index e9602d384..dc329d04b 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network_fwd.h +++ b/libs/libclkarchopenfpga/src/base/clock_network_fwd.h @@ -20,6 +20,7 @@ struct clock_tree_pin_id_tag; struct clock_spine_id_tag; struct clock_switch_point_id_tag; struct clock_internal_driver_id_tag; +struct clock_tap_id_tag; typedef vtr::StrongId ClockLevelId; typedef vtr::StrongId ClockTreeId; @@ -27,6 +28,7 @@ typedef vtr::StrongId ClockTreePinId; typedef vtr::StrongId ClockSpineId; typedef vtr::StrongId ClockSwitchPointId; typedef vtr::StrongId ClockInternalDriverId; +typedef vtr::StrongId ClockTapId; /* Short declaration of class */ class ClockNetwork; diff --git a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h index 14b2f1204..cfa5c306d 100644 --- a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h +++ b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h @@ -30,7 +30,18 @@ constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_TAP = "tap"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_X = "x"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_Y = "y"; constexpr const char* XML_CLOCK_TREE_TAPS_NODE_NAME = "taps"; -constexpr const char* XML_CLOCK_TREE_TAP_NODE_NAME = "tap"; -constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_TILE_PIN = "tile_pin"; +constexpr const char* XML_CLOCK_TREE_TAP_ALL_NODE_NAME = "all"; +constexpr const char* XML_CLOCK_TREE_TAP_REGION_NODE_NAME = "region"; +constexpr const char* XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME = "single"; +constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN = "from_pin"; +constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN = "to_pin"; +constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_X = "x"; +constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_Y = "y"; +constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTX = "start_x"; +constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTY = "start_y"; +constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDX = "end_x"; +constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDY = "end_y"; +constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_REPEATX = "repeat_x"; +constexpr const char* XML_CLOCK_TREE_TAP_ATTRIBUTE_REPEATY = "repeat_y"; #endif diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index 152b4ae35..2c8e8fdfe 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -25,10 +25,10 @@ namespace openfpga { // Begin namespace openfpga /******************************************************************** - * Parse XML codes of a to an object of ClockNetwork + * Parse XML codes of a to an object of ClockNetwork *******************************************************************/ -static void read_xml_clock_tree_tap(pugi::xml_node& xml_tap, - const pugiutil::loc_data& loc_data, +static void read_xml_clock_tree_tap_type_all(pugi::xml_node& xml_tap, + const pugiutil::loc_data& loc_data, ClockNetwork& clk_ntwk, const ClockTreeId& tree_id) { if (!clk_ntwk.valid_tree_id(tree_id)) { @@ -36,10 +36,89 @@ static void read_xml_clock_tree_tap(pugi::xml_node& xml_tap, "Invalid id of a clock tree!\n"); } - std::string tile_pin_name = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_TILE_PIN, loc_data) + std::string from_pin_name = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, loc_data) .as_string(); - clk_ntwk.add_tree_tap(tree_id, tile_pin_name); + std::string to_pin_name = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, loc_data) + .as_string(); + clk_ntwk.add_tree_tap(tree_id, from_pin_name, to_pin_name); +} + +/******************************************************************** + * Parse XML codes of a to an object of ClockNetwork + *******************************************************************/ +static void read_xml_clock_tree_tap_type_single(pugi::xml_node& xml_tap, + const pugiutil::loc_data& loc_data, + ClockNetwork& clk_ntwk, + const ClockTreeId& tree_id) { + if (!clk_ntwk.valid_tree_id(tree_id)) { + archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_tap), + "Invalid id of a clock tree!\n"); + } + + std::string from_pin_name = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, loc_data) + .as_string(); + std::string to_pin_name = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, loc_data) + .as_string(); + ClockTapId tap_id = clk_ntwk.add_tree_tap(tree_id, from_pin_name, to_pin_name); + + /* Single tap only require a coordinate */ + size_t tap_x = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_X, loc_data, pugi::ReqOpt::REQUIRED) + .as_int(); + size_t tap_y = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_Y, loc_data, pugi::ReqOpt::REQUIRED) + .as_int(); + clk_ntwk.set_tap_bounding_box(tap_id, vtr::Rect(tap_x, tap_y, tap_x, tap_y)); +} + +/******************************************************************** + * Parse XML codes of a to an object of ClockNetwork + *******************************************************************/ +static void read_xml_clock_tree_tap_type_region(pugi::xml_node& xml_tap, + const pugiutil::loc_data& loc_data, + ClockNetwork& clk_ntwk, + const ClockTreeId& tree_id) { + if (!clk_ntwk.valid_tree_id(tree_id)) { + archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_tap), + "Invalid id of a clock tree!\n"); + } + + std::string from_pin_name = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, loc_data) + .as_string(); + std::string to_pin_name = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, loc_data) + .as_string(); + ClockTapId tap_id = clk_ntwk.add_tree_tap(tree_id, from_pin_name, to_pin_name); + + /* Region require a bounding box */ + size_t tap_start_x = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTX, loc_data, pugi::ReqOpt::REQUIRED) + .as_int(); + size_t tap_start_y = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTY, loc_data, pugi::ReqOpt::REQUIRED) + .as_int(); + size_t tap_end_x = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDX, loc_data, pugi::ReqOpt::REQUIRED) + .as_int(); + size_t tap_end_y = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDY, loc_data, pugi::ReqOpt::REQUIRED) + .as_int(); + clk_ntwk.set_tap_bounding_box(tap_id, vtr::Rect(tap_start_x, tap_start_y, tap_end_x, tap_end_y)); + + /* Default step is all 1 */ + size_t tap_step_x = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_REPEATX, loc_data) + .as_int(1); + size_t tap_step_y = + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_REPEATY, loc_data) + .as_int(1); + clk_ntwk.set_tap_step_x(tap_id, tap_step_x); + clk_ntwk.set_tap_step_y(tap_id, tap_step_y); } static void read_xml_clock_tree_taps(pugi::xml_node& xml_taps, @@ -48,10 +127,14 @@ static void read_xml_clock_tree_taps(pugi::xml_node& xml_taps, const ClockTreeId& tree_id) { for (pugi::xml_node xml_tap : xml_taps.children()) { /* Error out if the XML child has an invalid name! */ - if (xml_tap.name() == std::string(XML_CLOCK_TREE_TAP_NODE_NAME)) { - read_xml_clock_tree_tap(xml_tap, loc_data, clk_ntwk, tree_id); + if (xml_tap.name() == std::string(XML_CLOCK_TREE_TAP_ALL_NODE_NAME)) { + read_xml_clock_tree_tap_type_all(xml_tap, loc_data, clk_ntwk, tree_id); + } else if (xml_tap.name() == std::string(XML_CLOCK_TREE_TAP_REGION_NODE_NAME)) { + read_xml_clock_tree_tap_type_region(xml_tap, loc_data, clk_ntwk, tree_id); + } else if (xml_tap.name() == std::string(XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME)) { + read_xml_clock_tree_tap_type_single(xml_tap, loc_data, clk_ntwk, tree_id); } else { - bad_tag(xml_taps, loc_data, xml_tap, {XML_CLOCK_TREE_TAP_NODE_NAME}); + bad_tag(xml_taps, loc_data, xml_tap, {XML_CLOCK_TREE_TAP_ALL_NODE_NAME, XML_CLOCK_TREE_TAP_REGION_NODE_NAME, XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME}); } } } diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index 76d10fbe8..addb745ed 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -28,15 +28,60 @@ static int write_xml_clock_tree_taps(std::fstream& fp, const ClockTreeId& tree_id) { openfpga::write_tab_to_file(fp, 3); fp << "<" << XML_CLOCK_TREE_TAPS_NODE_NAME << ">\n"; - for (const std::string& tile_pin_name : clk_ntwk.tree_taps(tree_id)) { - openfpga::write_tab_to_file(fp, 4); - fp << "<" << XML_CLOCK_TREE_TAP_NODE_NAME << ""; - - write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_TILE_PIN, - tile_pin_name.c_str()); - fp << "/>" - << "\n"; - } + /* Depends on the type */ + for (ClockTapId tap_id : clk_ntwk.tree_taps(tree_id)) { + switch clk_ntwk.tap_type(tap_id): { + case ClockNetwork::e_tap_type::ALL: { + openfpga::write_tab_to_file(fp, 4); + fp << "<" << XML_CLOCK_TREE_TAP_ALL_NODE_NAME << ""; + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, + clk_ntwk.tap_from_port(tap_id).c_str()); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, + clk_ntwk.tap_to_port(tap_id).c_str()); + fp << "/>" + << "\n"; + } + case ClockNetwork::e_tap_type::SINGLE: { + openfpga::write_tab_to_file(fp, 4); + fp << "<" << XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME << ""; + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, + clk_ntwk.tap_from_port(tap_id).c_str()); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, + clk_ntwk.tap_to_port(tap_id).c_str()); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_X, + clk_ntwk.tap_x(tap_id)); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_Y, + clk_ntwk.tap_y(tap_id)); + fp << "/>" + << "\n"; + } + case ClockNetwork::e_tap_type::REGION: { + openfpga::write_tab_to_file(fp, 4); + fp << "<" << XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME << ""; + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, + clk_ntwk.tap_from_port(tap_id).c_str()); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, + clk_ntwk.tap_to_port(tap_id).c_str()); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTX, + clk_ntwk.tap_bounding_box(tap_id).xmin()); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTY, + clk_ntwk.tap_bounding_box(tap_id).ymin()); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDX, + clk_ntwk.tap_bounding_box(tap_id).xmax()); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDY, + clk_ntwk.tap_bounding_box(tap_id).ymax()); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_REPEATX, + clk_ntwk.tap_step_x(tap_id)); + write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_REPEATY, + clk_ntwk.tap_step_y(tap_id)); + fp << "/>" + << "\n"; + } + default: { + VTR_LOG_ERROR("Invalid type of tap point!\n"); + return 1; + } + } openfpga::write_tab_to_file(fp, 3); fp << "\n"; From 3b25e427205d741dc4e3927555d945b5f5c00014 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 26 Jun 2024 15:51:00 -0700 Subject: [PATCH 042/230] [lib] syntax --- .../libclkarchopenfpga/src/base/clock_network.cpp | 15 +++++++-------- libs/libclkarchopenfpga/src/base/clock_network.h | 4 ++-- .../src/io/read_xml_clock_network.cpp | 12 ++++++------ .../src/io/write_xml_clock_network.cpp | 6 +++++- 4 files changed, 20 insertions(+), 17 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 69fbed21b..6abb021d8 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -703,8 +703,7 @@ ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver( ClockTapId ClockNetwork::add_tree_tap(const ClockTreeId& tree_id, const std::string& from_port, - const std::string& to_port, - const ) { + const std::string& to_port) { VTR_ASSERT(valid_tree_id(tree_id)); /* TODO: Consider find existing tap template and avoid duplication in storage */ ClockTapId tap_id = ClockTapId(tap_ids_.size()); @@ -712,7 +711,7 @@ ClockTapId ClockNetwork::add_tree_tap(const ClockTreeId& tree_id, tap_from_ports_.push_back(from_port); tap_to_ports_.push_back(to_port); tap_bbs_.emplace_back(empty_tap_bb_); - tap_bb_steps_.emplace_back(vtr::Point(1, 1)); + tap_bb_steps_.emplace_back(vtr::Point(0, 0)); tree_taps_[tree_id].push_back(tap_id); return tap_id; } @@ -720,7 +719,7 @@ ClockTapId ClockNetwork::add_tree_tap(const ClockTreeId& tree_id, bool ClockNetwork::set_tap_bounding_box(const ClockTapId& tap_id, const vtr::Rect& bb) { VTR_ASSERT(valid_tap_id(tap_id)); /* Check the bounding box, ensure it must be valid */ - if (bb.height() < 0 || bb.width() < 0) { + if (bb.xmax() < bb.xmin() || bb.ymax() < bb.ymin()) { VTR_LOG_ERROR("Invalid bounding box (xlow=%lu, ylow=%lu) -> (xhigh=%lu, yhigh=%lu)! Must follow: xlow <= xhigh, ylow <= yhigh!\n", bb.xmin(), bb.ymin(), bb.xmax(), bb.ymax()); return false; } @@ -728,25 +727,25 @@ bool ClockNetwork::set_tap_bounding_box(const ClockTapId& tap_id, const vtr::Rec return true; } -bool ClockNetwork::set_tap_step_x(const ClockTapId& tap_id, const size_t step) { +bool ClockNetwork::set_tap_step_x(const ClockTapId& tap_id, const size_t& step) { VTR_ASSERT(valid_tap_id(tap_id)); /* Must be a valid step >= 1 */ if (step == 0) { VTR_LOG_ERROR("Invalid x-direction step (=%lu) for any bounding box! Expect an integer >= 1!\n", step); return false; } - tap_bbs_[tap_id].set_x(step); + tap_bb_steps_[tap_id].set_x(step); return true; } -bool ClockNetwork::set_tap_step_y(const ClockTapId& tap_id, const size_t step) { +bool ClockNetwork::set_tap_step_y(const ClockTapId& tap_id, const size_t& step) { VTR_ASSERT(valid_tap_id(tap_id)); /* Must be a valid step >= 1 */ if (step == 0) { VTR_LOG_ERROR("Invalid y-direction step (=%lu) for any bounding box! Expect an integer >= 1!\n", step); return false; } - tap_bbs_[tap_id].set_y(step); + tap_bb_steps_[tap_id].set_y(step); return true; } diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index f440c397c..e5236ab10 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -49,7 +49,7 @@ class ClockNetwork { typedef vtr::Range clock_internal_driver_range; /* Type of tap points */ - enum class : unsigned char { + enum class e_tap_type : unsigned char { ALL = 0, SINGLE, REGION, @@ -284,7 +284,7 @@ class ClockNetwork { vtr::vector tree_widths_; vtr::vector tree_depths_; vtr::vector> tree_top_spines_; - vtr::vector tree_taps_; + vtr::vector> tree_taps_; /* Basic information of each spine */ vtr::vector spine_ids_; diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index 2c8e8fdfe..fe90cd7f0 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -67,10 +67,10 @@ static void read_xml_clock_tree_tap_type_single(pugi::xml_node& xml_tap, /* Single tap only require a coordinate */ size_t tap_x = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_X, loc_data, pugi::ReqOpt::REQUIRED) + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_X, loc_data, pugiutil::ReqOpt::REQUIRED) .as_int(); size_t tap_y = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_Y, loc_data, pugi::ReqOpt::REQUIRED) + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_Y, loc_data, pugiutil::ReqOpt::REQUIRED) .as_int(); clk_ntwk.set_tap_bounding_box(tap_id, vtr::Rect(tap_x, tap_y, tap_x, tap_y)); } @@ -97,16 +97,16 @@ static void read_xml_clock_tree_tap_type_region(pugi::xml_node& xml_tap, /* Region require a bounding box */ size_t tap_start_x = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTX, loc_data, pugi::ReqOpt::REQUIRED) + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTX, loc_data, pugiutil::ReqOpt::REQUIRED) .as_int(); size_t tap_start_y = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTY, loc_data, pugi::ReqOpt::REQUIRED) + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTY, loc_data, pugiutil::ReqOpt::REQUIRED) .as_int(); size_t tap_end_x = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDX, loc_data, pugi::ReqOpt::REQUIRED) + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDX, loc_data, pugiutil::ReqOpt::REQUIRED) .as_int(); size_t tap_end_y = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDY, loc_data, pugi::ReqOpt::REQUIRED) + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDY, loc_data, pugiutil::ReqOpt::REQUIRED) .as_int(); clk_ntwk.set_tap_bounding_box(tap_id, vtr::Rect(tap_start_x, tap_start_y, tap_end_x, tap_end_y)); diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index addb745ed..cdcc12ecf 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -30,7 +30,7 @@ static int write_xml_clock_tree_taps(std::fstream& fp, fp << "<" << XML_CLOCK_TREE_TAPS_NODE_NAME << ">\n"; /* Depends on the type */ for (ClockTapId tap_id : clk_ntwk.tree_taps(tree_id)) { - switch clk_ntwk.tap_type(tap_id): { + switch (clk_ntwk.tap_type(tap_id)) { case ClockNetwork::e_tap_type::ALL: { openfpga::write_tab_to_file(fp, 4); fp << "<" << XML_CLOCK_TREE_TAP_ALL_NODE_NAME << ""; @@ -40,6 +40,7 @@ static int write_xml_clock_tree_taps(std::fstream& fp, clk_ntwk.tap_to_port(tap_id).c_str()); fp << "/>" << "\n"; + break; } case ClockNetwork::e_tap_type::SINGLE: { openfpga::write_tab_to_file(fp, 4); @@ -54,6 +55,7 @@ static int write_xml_clock_tree_taps(std::fstream& fp, clk_ntwk.tap_y(tap_id)); fp << "/>" << "\n"; + break; } case ClockNetwork::e_tap_type::REGION: { openfpga::write_tab_to_file(fp, 4); @@ -76,12 +78,14 @@ static int write_xml_clock_tree_taps(std::fstream& fp, clk_ntwk.tap_step_y(tap_id)); fp << "/>" << "\n"; + break; } default: { VTR_LOG_ERROR("Invalid type of tap point!\n"); return 1; } } + } openfpga::write_tab_to_file(fp, 3); fp << "\n"; From 3efa97b84e34d3de6d979f65df9a953f6dd3a59d Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 26 Jun 2024 17:40:11 -0700 Subject: [PATCH 043/230] [core] support coordinate on clock taps --- .../src/base/clock_network.cpp | 58 ++++++++++++++++++- .../src/base/clock_network.h | 4 +- .../src/annotation/append_clock_rr_graph.cpp | 2 +- 3 files changed, 61 insertions(+), 3 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 6abb021d8..488deab6e 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -401,12 +401,68 @@ size_t ClockNetwork::tap_step_y(const ClockTapId& tap_id) const { return tap_bb_steps_[tap_id].y(); } +bool ClockNetwork::valid_tap_coord_in_bb(const ClockTapId& tap_id, const vtr::Point& tap_coord) const { + VTR_ASSERT(valid_tap_id(tap_id)); + if (tap_type(tap_id) == ClockNetwork::e_tap_type::ALL) { + return true; + } + if (tap_type(tap_id) == ClockNetwork::e_tap_type::SINGLE && tap_bbs_[tap_id].strictly_contains(tap_coord)) { + return true; + } + if (tap_type(tap_id) == ClockNetwork::e_tap_type::REGION && tap_bbs_[tap_id].strictly_contains(tap_coord)) { + /* Check if steps are considered, coords still matches */ + bool x_in_bb = false; + for (size_t ix = tap_bbs_[tap_id].xmin(); ix < tap_bbs_[tap_id].xmax(); ix = ix + tap_bb_steps_[tap_id].x()) { + if (tap_coord.x() == ix) { + x_in_bb = true; + break; + } + } + /* Early exit */ + if (!x_in_bb) { + return false; + } + bool y_in_bb = false; + for (size_t iy = tap_bbs_[tap_id].ymin(); iy < tap_bbs_[tap_id].ymax(); iy = iy + tap_bb_steps_[tap_id].y()) { + if (tap_coord.y() == iy) { + y_in_bb = true; + break; + } + } + if (y_in_bb && x_in_bb) { + return true; + } + } + return false; +} + std::vector ClockNetwork::tree_flatten_tap_to_ports( - const ClockTreeId& tree_id, const ClockTreePinId& clk_pin_id) const { + const ClockTreeId& tree_id, const ClockTreePinId& clk_pin_id, const vtr::Point& tap_coord) const { VTR_ASSERT(valid_tree_id(tree_id)); std::vector flatten_taps; for (ClockTapId tap_id : tree_taps_[tree_id]) { VTR_ASSERT(valid_tap_id(tap_id)); + /* Filter out unmatched from ports. Expect [clk_pin_id:clk_pin_id] */ + std::string tap_from_port_name = tap_from_ports_[tap_id]; + PortParser from_port_parser(tap_from_port_name); + BasicPort from_port = from_port_parser.port(); + if (!from_port.is_valid()) { + VTR_LOG_ERROR("Invalid from port name '%s' whose index is not valid\n", + tap_from_port_name.c_str()); + exit(1); + } + if (from_port.get_width() != 1) { + VTR_LOG_ERROR("Invalid from port name '%s' whose width is not 1\n", + tap_from_port_name.c_str()); + exit(1); + } + if (from_port.get_lsb() != size_t(clk_pin_id)) { + continue; + } + /* Filter out unmatched coordinates */ + if (!valid_tap_coord_in_bb(tap_id, tap_coord)) { + continue; + } std::string tap_name = tap_to_ports_[tap_id]; StringToken tokenizer(tap_name); std::vector pin_tokens = tokenizer.split("."); diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index e5236ab10..57ed39cb9 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -164,7 +164,7 @@ class ClockNetwork { * resource graph Note that the clk_pin_id limits only 1 clock to be accessed */ std::vector tree_flatten_tap_to_ports( - const ClockTreeId& tree_id, const ClockTreePinId& clk_pin_id) const; + const ClockTreeId& tree_id, const ClockTreePinId& clk_pin_id, const vtr::Point& tap_coord) const; /* Find a spine with a given name, if not found, return an valid id, otherwise * return an invalid one */ ClockSpineId find_spine(const std::string& name) const; @@ -264,6 +264,8 @@ class ClockNetwork { const ClockInternalDriverId& int_driver_id) const; /* Show if the tap id is a valid for data queries */ bool valid_tap_id(const ClockTapId& tap_id) const; + /* Check if a given coordinate matches the requirements for a tap point */ + bool valid_tap_coord_in_bb(const ClockTapId& tap_id, const vtr::Point& tap_coord) const; private: /* Private mutators */ /* Build internal links between spines under a given tree */ diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index 53cad0453..d5f325ca6 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -400,7 +400,7 @@ static void try_find_and_add_clock_track2ipin_node( t_physical_tile_type_ptr grid_type = grids.get_physical_type( t_physical_tile_loc(grid_coord.x(), grid_coord.y(), layer)); for (std::string tap_pin_name : - clk_ntwk.tree_flatten_taps(clk_tree, clk_pin)) { + clk_ntwk.tree_flatten_tap_to_ports(clk_tree, clk_pin, grid_coord)) { /* tap pin name could be 'io[5:5].a2f[0]' */ int grid_pin_idx = find_physical_tile_pin_index(grid_type, tap_pin_name); if (grid_pin_idx == grid_type->num_pins) { From 576a861b8d04106389eedfbf8551a966a3a77fab Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 26 Jun 2024 17:54:31 -0700 Subject: [PATCH 044/230] [core] now skip routing any unused clock tree. Only connect to desired clock pin at programmable blocks --- openfpga/src/annotation/route_clock_rr_graph.cpp | 15 +++++++++++++++ 1 file changed, 15 insertions(+) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index d669304c0..1fa47b836 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -94,6 +94,10 @@ static int route_clock_tree_rr_graph( VTR_LOGV(verbose, "Routing spine '%s'...\n", clk_ntwk.spine_name(ispine).c_str()); for (auto ipin : clk_ntwk.pins(clk_tree)) { + /* Do not route unused clock spines */ + if (tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { + continue; + } /* Route the spine from starting point to ending point */ std::vector> spine_coords = clk_ntwk.spine_coordinates(ispine); @@ -165,6 +169,17 @@ static int route_clock_tree_rr_graph( for (RREdgeId edge : rr_graph.edge_range(src_node)) { RRNodeId des_node = rr_graph.edge_sink_node(edge); if (rr_graph.node_type(des_node) == IPIN) { + /* Check if the IPIN is mapped, if not, do not connect */ + /* if the IPIN is mapped, only connect when net mapping is expected */ + if (tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { + continue; + } + if (!vpr_routing_annotation.rr_node_net(des_node)) { + continue; + } + if (vpr_routing_annotation.rr_node_net(des_node) != tree2clk_pin_map.at(ipin)) { + continue; + } VTR_ASSERT(rr_graph.valid_node(src_node)); VTR_ASSERT(rr_graph.valid_node(des_node)); vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, From 59404e5487ad0d76e299436e3c017ff5a05679d5 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 26 Jun 2024 17:55:23 -0700 Subject: [PATCH 045/230] [core] add verbose output --- openfpga/src/annotation/route_clock_rr_graph.cpp | 2 ++ 1 file changed, 2 insertions(+) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 1fa47b836..6e237f482 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -96,6 +96,8 @@ static int route_clock_tree_rr_graph( for (auto ipin : clk_ntwk.pins(clk_tree)) { /* Do not route unused clock spines */ if (tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { + VTR_LOGV(verbose, "Skip routing backbone of unused spine '%s'...\n", + clk_ntwk.spine_name(ispine).c_str()); continue; } /* Route the spine from starting point to ending point */ From 59be95b22751a224c85ab85592f942d94bf07c05 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 26 Jun 2024 17:58:26 -0700 Subject: [PATCH 046/230] [core] code format --- .../src/base/clock_network.cpp | 79 ++++++++++++------- .../src/base/clock_network.h | 39 ++++----- .../src/io/read_xml_clock_network.cpp | 71 +++++++++-------- .../src/annotation/route_clock_rr_graph.cpp | 8 +- 4 files changed, 115 insertions(+), 82 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 488deab6e..70fd840f6 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -363,7 +363,8 @@ std::string ClockNetwork::tap_to_port(const ClockTapId& tap_id) const { return tap_to_ports_[tap_id]; } -ClockNetwork::e_tap_type ClockNetwork::tap_type(const ClockTapId& tap_id) const { +ClockNetwork::e_tap_type ClockNetwork::tap_type( + const ClockTapId& tap_id) const { VTR_ASSERT(valid_tap_id(tap_id)); /* If not a region, it is a default type covering all the coordinates*/ if (tap_bbs_[tap_id] == empty_tap_bb_) { @@ -378,56 +379,62 @@ ClockNetwork::e_tap_type ClockNetwork::tap_type(const ClockTapId& tap_id) const size_t ClockNetwork::tap_x(const ClockTapId& tap_id) const { VTR_ASSERT(tap_type(tap_id) == ClockNetwork::e_tap_type::SINGLE); - return tap_bbs_[tap_id].xmin(); + return tap_bbs_[tap_id].xmin(); } size_t ClockNetwork::tap_y(const ClockTapId& tap_id) const { VTR_ASSERT(tap_type(tap_id) == ClockNetwork::e_tap_type::SINGLE); - return tap_bbs_[tap_id].ymin(); + return tap_bbs_[tap_id].ymin(); } -vtr::Rect ClockNetwork::tap_bounding_box(const ClockTapId& tap_id) const { +vtr::Rect ClockNetwork::tap_bounding_box( + const ClockTapId& tap_id) const { VTR_ASSERT(tap_type(tap_id) == ClockNetwork::e_tap_type::REGION); - return tap_bbs_[tap_id]; + return tap_bbs_[tap_id]; } size_t ClockNetwork::tap_step_x(const ClockTapId& tap_id) const { VTR_ASSERT(tap_type(tap_id) == ClockNetwork::e_tap_type::REGION); - return tap_bb_steps_[tap_id].x(); + return tap_bb_steps_[tap_id].x(); } size_t ClockNetwork::tap_step_y(const ClockTapId& tap_id) const { VTR_ASSERT(tap_type(tap_id) == ClockNetwork::e_tap_type::REGION); - return tap_bb_steps_[tap_id].y(); + return tap_bb_steps_[tap_id].y(); } -bool ClockNetwork::valid_tap_coord_in_bb(const ClockTapId& tap_id, const vtr::Point& tap_coord) const { +bool ClockNetwork::valid_tap_coord_in_bb( + const ClockTapId& tap_id, const vtr::Point& tap_coord) const { VTR_ASSERT(valid_tap_id(tap_id)); if (tap_type(tap_id) == ClockNetwork::e_tap_type::ALL) { return true; } - if (tap_type(tap_id) == ClockNetwork::e_tap_type::SINGLE && tap_bbs_[tap_id].strictly_contains(tap_coord)) { + if (tap_type(tap_id) == ClockNetwork::e_tap_type::SINGLE && + tap_bbs_[tap_id].strictly_contains(tap_coord)) { return true; } - if (tap_type(tap_id) == ClockNetwork::e_tap_type::REGION && tap_bbs_[tap_id].strictly_contains(tap_coord)) { + if (tap_type(tap_id) == ClockNetwork::e_tap_type::REGION && + tap_bbs_[tap_id].strictly_contains(tap_coord)) { /* Check if steps are considered, coords still matches */ bool x_in_bb = false; - for (size_t ix = tap_bbs_[tap_id].xmin(); ix < tap_bbs_[tap_id].xmax(); ix = ix + tap_bb_steps_[tap_id].x()) { + for (size_t ix = tap_bbs_[tap_id].xmin(); ix < tap_bbs_[tap_id].xmax(); + ix = ix + tap_bb_steps_[tap_id].x()) { if (tap_coord.x() == ix) { x_in_bb = true; break; - } + } } /* Early exit */ if (!x_in_bb) { return false; } bool y_in_bb = false; - for (size_t iy = tap_bbs_[tap_id].ymin(); iy < tap_bbs_[tap_id].ymax(); iy = iy + tap_bb_steps_[tap_id].y()) { + for (size_t iy = tap_bbs_[tap_id].ymin(); iy < tap_bbs_[tap_id].ymax(); + iy = iy + tap_bb_steps_[tap_id].y()) { if (tap_coord.y() == iy) { y_in_bb = true; break; - } + } } if (y_in_bb && x_in_bb) { return true; @@ -437,7 +444,8 @@ bool ClockNetwork::valid_tap_coord_in_bb(const ClockTapId& tap_id, const vtr::Po } std::vector ClockNetwork::tree_flatten_tap_to_ports( - const ClockTreeId& tree_id, const ClockTreePinId& clk_pin_id, const vtr::Point& tap_coord) const { + const ClockTreeId& tree_id, const ClockTreePinId& clk_pin_id, + const vtr::Point& tap_coord) const { VTR_ASSERT(valid_tree_id(tree_id)); std::vector flatten_taps; for (ClockTapId tap_id : tree_taps_[tree_id]) { @@ -459,7 +467,7 @@ std::vector ClockNetwork::tree_flatten_tap_to_ports( if (from_port.get_lsb() != size_t(clk_pin_id)) { continue; } - /* Filter out unmatched coordinates */ + /* Filter out unmatched coordinates */ if (!valid_tap_coord_in_bb(tap_id, tap_coord)) { continue; } @@ -761,7 +769,8 @@ ClockTapId ClockNetwork::add_tree_tap(const ClockTreeId& tree_id, const std::string& from_port, const std::string& to_port) { VTR_ASSERT(valid_tree_id(tree_id)); - /* TODO: Consider find existing tap template and avoid duplication in storage */ + /* TODO: Consider find existing tap template and avoid duplication in storage + */ ClockTapId tap_id = ClockTapId(tap_ids_.size()); tap_ids_.push_back(tap_id); tap_from_ports_.push_back(from_port); @@ -772,34 +781,46 @@ ClockTapId ClockNetwork::add_tree_tap(const ClockTreeId& tree_id, return tap_id; } -bool ClockNetwork::set_tap_bounding_box(const ClockTapId& tap_id, const vtr::Rect& bb) { +bool ClockNetwork::set_tap_bounding_box(const ClockTapId& tap_id, + const vtr::Rect& bb) { VTR_ASSERT(valid_tap_id(tap_id)); /* Check the bounding box, ensure it must be valid */ if (bb.xmax() < bb.xmin() || bb.ymax() < bb.ymin()) { - VTR_LOG_ERROR("Invalid bounding box (xlow=%lu, ylow=%lu) -> (xhigh=%lu, yhigh=%lu)! Must follow: xlow <= xhigh, ylow <= yhigh!\n", bb.xmin(), bb.ymin(), bb.xmax(), bb.ymax()); - return false; + VTR_LOG_ERROR( + "Invalid bounding box (xlow=%lu, ylow=%lu) -> (xhigh=%lu, yhigh=%lu)! " + "Must follow: xlow <= xhigh, ylow <= yhigh!\n", + bb.xmin(), bb.ymin(), bb.xmax(), bb.ymax()); + return false; } tap_bbs_[tap_id] = bb; return true; } -bool ClockNetwork::set_tap_step_x(const ClockTapId& tap_id, const size_t& step) { +bool ClockNetwork::set_tap_step_x(const ClockTapId& tap_id, + const size_t& step) { VTR_ASSERT(valid_tap_id(tap_id)); /* Must be a valid step >= 1 */ if (step == 0) { - VTR_LOG_ERROR("Invalid x-direction step (=%lu) for any bounding box! Expect an integer >= 1!\n", step); - return false; + VTR_LOG_ERROR( + "Invalid x-direction step (=%lu) for any bounding box! Expect an integer " + ">= 1!\n", + step); + return false; } tap_bb_steps_[tap_id].set_x(step); return true; } -bool ClockNetwork::set_tap_step_y(const ClockTapId& tap_id, const size_t& step) { +bool ClockNetwork::set_tap_step_y(const ClockTapId& tap_id, + const size_t& step) { VTR_ASSERT(valid_tap_id(tap_id)); /* Must be a valid step >= 1 */ if (step == 0) { - VTR_LOG_ERROR("Invalid y-direction step (=%lu) for any bounding box! Expect an integer >= 1!\n", step); - return false; + VTR_LOG_ERROR( + "Invalid y-direction step (=%lu) for any bounding box! Expect an integer " + ">= 1!\n", + step); + return false; } tap_bb_steps_[tap_id].set_y(step); return true; @@ -957,10 +978,8 @@ bool ClockNetwork::valid_internal_driver_id( (int_driver_id == internal_driver_ids_[int_driver_id]); } -bool ClockNetwork::valid_tap_id( - const ClockTapId& tap_id) const { - return (size_t(tap_id) < tap_ids_.size()) && - (tap_id == tap_ids_[tap_id]); +bool ClockNetwork::valid_tap_id(const ClockTapId& tap_id) const { + return (size_t(tap_id) < tap_ids_.size()) && (tap_id == tap_ids_[tap_id]); } bool ClockNetwork::valid_level_id(const ClockTreeId& tree_id, diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 57ed39cb9..25042524a 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -49,12 +49,7 @@ class ClockNetwork { typedef vtr::Range clock_internal_driver_range; /* Type of tap points */ - enum class e_tap_type : unsigned char { - ALL = 0, - SINGLE, - REGION, - NUM_TYPES - }; + enum class e_tap_type : unsigned char { ALL = 0, SINGLE, REGION, NUM_TYPES }; public: /* Constructors */ ClockNetwork(); @@ -148,23 +143,25 @@ class ClockNetwork { /* Find the type of tap point: * all -> all coordinates in efpga are required to tap * single -> only 1 coordinate is required to tap - * region -> coordinates in a region required to tap. Steps in region may be required + * region -> coordinates in a region required to tap. Steps in region may be + * required */ e_tap_type tap_type(const ClockTapId& tap_id) const; /* Require the type of single */ - size_t tap_x(const ClockTapId& tap_id) const; - size_t tap_y(const ClockTapId& tap_id) const; + size_t tap_x(const ClockTapId& tap_id) const; + size_t tap_y(const ClockTapId& tap_id) const; /* Require the type of region */ - vtr::Rect tap_bounding_box(const ClockTapId& tap_id) const; + vtr::Rect tap_bounding_box(const ClockTapId& tap_id) const; /* Steps are only available when type is region */ - size_t tap_step_x(const ClockTapId& tap_id) const; - size_t tap_step_y(const ClockTapId& tap_id) const; + size_t tap_step_x(const ClockTapId& tap_id) const; + size_t tap_step_y(const ClockTapId& tap_id) const; /* Return the list of flatten tap pins. For example: clb[0:1].clk[2:2] is * flatten to { clb[0].clk[2], clb[1].clk[2] } Useful to build clock routing * resource graph Note that the clk_pin_id limits only 1 clock to be accessed */ std::vector tree_flatten_tap_to_ports( - const ClockTreeId& tree_id, const ClockTreePinId& clk_pin_id, const vtr::Point& tap_coord) const; + const ClockTreeId& tree_id, const ClockTreePinId& clk_pin_id, + const vtr::Point& tap_coord) const; /* Find a spine with a given name, if not found, return an valid id, otherwise * return an invalid one */ ClockSpineId find_spine(const std::string& name) const; @@ -218,8 +215,11 @@ class ClockNetwork { ClockInternalDriverId add_spine_switch_point_internal_driver( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id, const std::string& internal_driver_port); - ClockTapId add_tree_tap(const ClockTreeId& tree_id, const std::string& from_port, const std::string& to_port); - bool set_tap_bounding_box(const ClockTapId& tap_id, const vtr::Rect& bb); + ClockTapId add_tree_tap(const ClockTreeId& tree_id, + const std::string& from_port, + const std::string& to_port); + bool set_tap_bounding_box(const ClockTapId& tap_id, + const vtr::Rect& bb); bool set_tap_step_x(const ClockTapId& tap_id, const size_t& step); bool set_tap_step_y(const ClockTapId& tap_id, const size_t& step); /* Build internal links between clock tree, spines etc. This is also an @@ -265,7 +265,8 @@ class ClockNetwork { /* Show if the tap id is a valid for data queries */ bool valid_tap_id(const ClockTapId& tap_id) const; /* Check if a given coordinate matches the requirements for a tap point */ - bool valid_tap_coord_in_bb(const ClockTapId& tap_id, const vtr::Point& tap_coord) const; + bool valid_tap_coord_in_bb(const ClockTapId& tap_id, + const vtr::Point& tap_coord) const; private: /* Private mutators */ /* Build internal links between spines under a given tree */ @@ -312,8 +313,10 @@ class ClockNetwork { vtr::vector tap_ids_; vtr::vector tap_from_ports_; vtr::vector tap_to_ports_; - vtr::vector> tap_bbs_; /* Bounding box for tap points, (xlow, ylow) -> (xhigh, yhigh) */ - vtr::vector> tap_bb_steps_; /* x() -> x-direction step, y() -> y-direction step */ + vtr::vector> + tap_bbs_; /* Bounding box for tap points, (xlow, ylow) -> (xhigh, yhigh) */ + vtr::vector> + tap_bb_steps_; /* x() -> x-direction step, y() -> y-direction step */ /* Default routing resource */ std::string default_segment_name_; /* The routing segment representing the diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index fe90cd7f0..492649788 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -29,8 +29,8 @@ namespace openfpga { // Begin namespace openfpga *******************************************************************/ static void read_xml_clock_tree_tap_type_all(pugi::xml_node& xml_tap, const pugiutil::loc_data& loc_data, - ClockNetwork& clk_ntwk, - const ClockTreeId& tree_id) { + ClockNetwork& clk_ntwk, + const ClockTreeId& tree_id) { if (!clk_ntwk.valid_tree_id(tree_id)) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_tap), "Invalid id of a clock tree!\n"); @@ -48,10 +48,9 @@ static void read_xml_clock_tree_tap_type_all(pugi::xml_node& xml_tap, /******************************************************************** * Parse XML codes of a to an object of ClockNetwork *******************************************************************/ -static void read_xml_clock_tree_tap_type_single(pugi::xml_node& xml_tap, - const pugiutil::loc_data& loc_data, - ClockNetwork& clk_ntwk, - const ClockTreeId& tree_id) { +static void read_xml_clock_tree_tap_type_single( + pugi::xml_node& xml_tap, const pugiutil::loc_data& loc_data, + ClockNetwork& clk_ntwk, const ClockTreeId& tree_id) { if (!clk_ntwk.valid_tree_id(tree_id)) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_tap), "Invalid id of a clock tree!\n"); @@ -63,25 +62,26 @@ static void read_xml_clock_tree_tap_type_single(pugi::xml_node& xml_tap, std::string to_pin_name = get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, loc_data) .as_string(); - ClockTapId tap_id = clk_ntwk.add_tree_tap(tree_id, from_pin_name, to_pin_name); + ClockTapId tap_id = + clk_ntwk.add_tree_tap(tree_id, from_pin_name, to_pin_name); /* Single tap only require a coordinate */ - size_t tap_x = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_X, loc_data, pugiutil::ReqOpt::REQUIRED) - .as_int(); - size_t tap_y = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_Y, loc_data, pugiutil::ReqOpt::REQUIRED) - .as_int(); - clk_ntwk.set_tap_bounding_box(tap_id, vtr::Rect(tap_x, tap_y, tap_x, tap_y)); + size_t tap_x = get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_X, + loc_data, pugiutil::ReqOpt::REQUIRED) + .as_int(); + size_t tap_y = get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_Y, + loc_data, pugiutil::ReqOpt::REQUIRED) + .as_int(); + clk_ntwk.set_tap_bounding_box(tap_id, + vtr::Rect(tap_x, tap_y, tap_x, tap_y)); } /******************************************************************** * Parse XML codes of a to an object of ClockNetwork *******************************************************************/ -static void read_xml_clock_tree_tap_type_region(pugi::xml_node& xml_tap, - const pugiutil::loc_data& loc_data, - ClockNetwork& clk_ntwk, - const ClockTreeId& tree_id) { +static void read_xml_clock_tree_tap_type_region( + pugi::xml_node& xml_tap, const pugiutil::loc_data& loc_data, + ClockNetwork& clk_ntwk, const ClockTreeId& tree_id) { if (!clk_ntwk.valid_tree_id(tree_id)) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_tap), "Invalid id of a clock tree!\n"); @@ -93,22 +93,26 @@ static void read_xml_clock_tree_tap_type_region(pugi::xml_node& xml_tap, std::string to_pin_name = get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, loc_data) .as_string(); - ClockTapId tap_id = clk_ntwk.add_tree_tap(tree_id, from_pin_name, to_pin_name); + ClockTapId tap_id = + clk_ntwk.add_tree_tap(tree_id, from_pin_name, to_pin_name); /* Region require a bounding box */ size_t tap_start_x = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTX, loc_data, pugiutil::ReqOpt::REQUIRED) + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTX, loc_data, + pugiutil::ReqOpt::REQUIRED) .as_int(); size_t tap_start_y = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTY, loc_data, pugiutil::ReqOpt::REQUIRED) + get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTY, loc_data, + pugiutil::ReqOpt::REQUIRED) .as_int(); - size_t tap_end_x = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDX, loc_data, pugiutil::ReqOpt::REQUIRED) - .as_int(); - size_t tap_end_y = - get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDY, loc_data, pugiutil::ReqOpt::REQUIRED) - .as_int(); - clk_ntwk.set_tap_bounding_box(tap_id, vtr::Rect(tap_start_x, tap_start_y, tap_end_x, tap_end_y)); + size_t tap_end_x = get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDX, + loc_data, pugiutil::ReqOpt::REQUIRED) + .as_int(); + size_t tap_end_y = get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_ENDY, + loc_data, pugiutil::ReqOpt::REQUIRED) + .as_int(); + clk_ntwk.set_tap_bounding_box( + tap_id, vtr::Rect(tap_start_x, tap_start_y, tap_end_x, tap_end_y)); /* Default step is all 1 */ size_t tap_step_x = @@ -129,12 +133,17 @@ static void read_xml_clock_tree_taps(pugi::xml_node& xml_taps, /* Error out if the XML child has an invalid name! */ if (xml_tap.name() == std::string(XML_CLOCK_TREE_TAP_ALL_NODE_NAME)) { read_xml_clock_tree_tap_type_all(xml_tap, loc_data, clk_ntwk, tree_id); - } else if (xml_tap.name() == std::string(XML_CLOCK_TREE_TAP_REGION_NODE_NAME)) { + } else if (xml_tap.name() == + std::string(XML_CLOCK_TREE_TAP_REGION_NODE_NAME)) { read_xml_clock_tree_tap_type_region(xml_tap, loc_data, clk_ntwk, tree_id); - } else if (xml_tap.name() == std::string(XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME)) { + } else if (xml_tap.name() == + std::string(XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME)) { read_xml_clock_tree_tap_type_single(xml_tap, loc_data, clk_ntwk, tree_id); } else { - bad_tag(xml_taps, loc_data, xml_tap, {XML_CLOCK_TREE_TAP_ALL_NODE_NAME, XML_CLOCK_TREE_TAP_REGION_NODE_NAME, XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME}); + bad_tag( + xml_taps, loc_data, xml_tap, + {XML_CLOCK_TREE_TAP_ALL_NODE_NAME, XML_CLOCK_TREE_TAP_REGION_NODE_NAME, + XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME}); } } } diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 6e237f482..66d9bb810 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -171,15 +171,17 @@ static int route_clock_tree_rr_graph( for (RREdgeId edge : rr_graph.edge_range(src_node)) { RRNodeId des_node = rr_graph.edge_sink_node(edge); if (rr_graph.node_type(des_node) == IPIN) { - /* Check if the IPIN is mapped, if not, do not connect */ - /* if the IPIN is mapped, only connect when net mapping is expected */ + /* Check if the IPIN is mapped, if not, do not connect */ + /* if the IPIN is mapped, only connect when net mapping is + * expected */ if (tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { continue; } if (!vpr_routing_annotation.rr_node_net(des_node)) { continue; } - if (vpr_routing_annotation.rr_node_net(des_node) != tree2clk_pin_map.at(ipin)) { + if (vpr_routing_annotation.rr_node_net(des_node) != + tree2clk_pin_map.at(ipin)) { continue; } VTR_ASSERT(rr_graph.valid_node(src_node)); From cab649893bdc8e10d21410ad612eb24b5a170452 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 26 Jun 2024 18:06:39 -0700 Subject: [PATCH 047/230] [core] update clock architecture --- libs/libclkarchopenfpga/arch/example.xml | 8 ++++---- .../libclkarchopenfpga/arch/example_internal_drivers.xml | 9 +++++---- .../config/clk_arch_1clk_1rst_2layer.xml | 4 ++-- .../config/clk_arch_1clk_1rst_2layer_int_driver.xml | 4 ++-- .../homo_1clock_2layer/config/clk_arch_1clk_2layer.xml | 2 +- .../config/clk_arch_1clk_2layer.xml | 2 +- .../homo_2clock_2layer/config/clk_arch_2clk_2layer.xml | 4 ++-- 7 files changed, 17 insertions(+), 16 deletions(-) diff --git a/libs/libclkarchopenfpga/arch/example.xml b/libs/libclkarchopenfpga/arch/example.xml index ac251bd3c..9cb31bdc6 100644 --- a/libs/libclkarchopenfpga/arch/example.xml +++ b/libs/libclkarchopenfpga/arch/example.xml @@ -17,10 +17,10 @@ - - - - + + + + diff --git a/libs/libclkarchopenfpga/arch/example_internal_drivers.xml b/libs/libclkarchopenfpga/arch/example_internal_drivers.xml index 2b8a5fe0d..7a46a2094 100644 --- a/libs/libclkarchopenfpga/arch/example_internal_drivers.xml +++ b/libs/libclkarchopenfpga/arch/example_internal_drivers.xml @@ -21,10 +21,11 @@ - - - - + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml index fde2c515a..6c05921c7 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml @@ -11,7 +11,7 @@ - + @@ -26,7 +26,7 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml index 78f156469..ed43a26b5 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml @@ -19,7 +19,7 @@ - + @@ -34,7 +34,7 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml index 6aaf1b9b4..7a41f1216 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml @@ -11,7 +11,7 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml index 6aaf1b9b4..7a41f1216 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml @@ -11,7 +11,7 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml index fdf1dc149..8c224318c 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml @@ -11,8 +11,8 @@ - - + + From d5d9531eec85e5b02e030739c2267b784d74c8ee Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 26 Jun 2024 21:52:45 -0700 Subject: [PATCH 048/230] [core] comment out buggy codes where global net mapping is not annotated in OpenFPGA --- .../src/annotation/route_clock_rr_graph.cpp | 20 ++++++++++++------- 1 file changed, 13 insertions(+), 7 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 66d9bb810..01a7dbf1c 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -175,15 +175,21 @@ static int route_clock_tree_rr_graph( /* if the IPIN is mapped, only connect when net mapping is * expected */ if (tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { + VTR_LOGV(verbose, "Skip routing clock tap of spine '%s' as the tree is not used\n", + clk_ntwk.spine_name(ispine).c_str()); continue; } - if (!vpr_routing_annotation.rr_node_net(des_node)) { - continue; - } - if (vpr_routing_annotation.rr_node_net(des_node) != - tree2clk_pin_map.at(ipin)) { - continue; - } + //if (!vpr_routing_annotation.rr_node_net(des_node)) { + // VTR_LOGV(verbose, "Skip routing clock tap of spine '%s' as the IPIN is not mapped\n", + // clk_ntwk.spine_name(ispine).c_str()); + // continue; + //} + //if (vpr_routing_annotation.rr_node_net(des_node) != + // tree2clk_pin_map.at(ipin)) { + // VTR_LOGV(verbose, "Skip routing clock tap of spine '%s' as the net mapping does not match clock net\n", + // clk_ntwk.spine_name(ispine).c_str()); + // continue; + //} VTR_ASSERT(rr_graph.valid_node(src_node)); VTR_ASSERT(rr_graph.valid_node(des_node)); vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, From 5d0b0b9a8cbc8e32a50ccd064296805b11d69191 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 26 Jun 2024 22:46:12 -0700 Subject: [PATCH 049/230] [core] now global nets mapping are applied to clock routing --- .../annotation/openfpga_annotate_routing.cpp | 44 +++++++++++++++++++ .../annotation/openfpga_annotate_routing.h | 6 +++ .../src/annotation/route_clock_rr_graph.cpp | 29 +++++++----- .../src/annotation/route_clock_rr_graph.h | 1 + .../src/base/openfpga_link_arch_template.h | 1 + 5 files changed, 69 insertions(+), 12 deletions(-) diff --git a/openfpga/src/annotation/openfpga_annotate_routing.cpp b/openfpga/src/annotation/openfpga_annotate_routing.cpp index 780b1d99d..8c19cd2c7 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.cpp +++ b/openfpga/src/annotation/openfpga_annotate_routing.cpp @@ -8,10 +8,54 @@ #include "old_traceback.h" #include "vtr_assert.h" #include "vtr_log.h" +#include "vtr_time.h" /* begin namespace openfpga */ namespace openfpga { +/******************************************************************** + * Create a mapping between each rr_node and its mapped nets + * - Only applicable to global nets for dedicated clock routing purpose + * - Note that this function is different than annotate_vpr_rr_nodes() + * Please do not annotate global nets in vpr_routing_annotation! + *******************************************************************/ +vtr::vector annotate_rr_node_global_net(const DeviceContext& device_ctx, + const ClusteredNetlist& cluster_nlist, + const PlacementContext& placement_ctx, + const bool& verbose) { + vtr::vector rr_node_nets; + + size_t counter = 0; + vtr::ScopedStartFinishTimer timer("Annotating rr_node with global nets"); + + const auto& rr_graph = device_ctx.rr_graph; + + rr_node_nets.resize(rr_graph.num_nodes(), ClusterNetId::INVALID()); + + size_t layer = 0; + + for (ClusterNetId net_id : cluster_nlist.nets()) { + if (!cluster_nlist.net_is_ignored(net_id)) { + continue; + } + /* Walk through all the sinks */ + for (ClusterPinId pin_id : cluster_nlist.net_pins(net_id)) { + ClusterBlockId block_id = cluster_nlist.pin_block(pin_id); + t_block_loc blk_loc = get_block_loc(block_id, false); + int phy_pin = placement_ctx.physical_pins[pin_id]; + std::vector curr_rr_nodes = rr_graph.node_lookup().find_nodes_at_all_sides(layer, blk_loc.loc.x, blk_loc.loc.y, IPIN, phy_pin); + for (RRNodeId curr_rr_node : curr_rr_nodes) { + rr_node_nets[curr_rr_node] = net_id; + } + } + } + + VTR_LOGV(verbose, "Done with %d nodes mapping\n", counter); + + + return rr_node_nets; +} + /******************************************************************** * Create a mapping between each rr_node and its mapped nets * based on VPR routing results diff --git a/openfpga/src/annotation/openfpga_annotate_routing.h b/openfpga/src/annotation/openfpga_annotate_routing.h index aa79e69d5..2578e86bd 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.h +++ b/openfpga/src/annotation/openfpga_annotate_routing.h @@ -15,6 +15,12 @@ /* begin namespace openfpga */ namespace openfpga { +vtr::vector annotate_rr_node_global_net(const DeviceContext& device_ctx, + const ClusteredNetlist& cluster_nlist, + const PlacementContext& placement_ctx, + const bool& verbose); + + void annotate_vpr_rr_node_nets(const DeviceContext& device_ctx, const ClusteringContext& clustering_ctx, const RoutingContext& routing_ctx, diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 01a7dbf1c..77de0175b 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -6,6 +6,7 @@ #include "vtr_geometry.h" #include "vtr_log.h" #include "vtr_time.h" +#include "openfpga_annotate_routing.h" /* begin namespace openfpga */ namespace openfpga { @@ -87,6 +88,7 @@ static int build_clock_tree_net_map( static int route_clock_tree_rr_graph( VprRoutingAnnotation& vpr_routing_annotation, const RRGraphView& rr_graph, const RRClockSpatialLookup& clk_rr_lookup, + const vtr::vector& rr_node_gnets, const std::map& tree2clk_pin_map, const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, const bool& verbose) { @@ -179,17 +181,16 @@ static int route_clock_tree_rr_graph( clk_ntwk.spine_name(ispine).c_str()); continue; } - //if (!vpr_routing_annotation.rr_node_net(des_node)) { - // VTR_LOGV(verbose, "Skip routing clock tap of spine '%s' as the IPIN is not mapped\n", - // clk_ntwk.spine_name(ispine).c_str()); - // continue; - //} - //if (vpr_routing_annotation.rr_node_net(des_node) != - // tree2clk_pin_map.at(ipin)) { - // VTR_LOGV(verbose, "Skip routing clock tap of spine '%s' as the net mapping does not match clock net\n", - // clk_ntwk.spine_name(ispine).c_str()); - // continue; - //} + if (!rr_node_gnets[des_node]) { + VTR_LOGV(verbose, "Skip routing clock tap of spine '%s' as the IPIN is not mapped\n", + clk_ntwk.spine_name(ispine).c_str()); + continue; + } + if (rr_node_gnets[des_node] != tree2clk_pin_map.at(ipin)) { + VTR_LOGV(verbose, "Skip routing clock tap of spine '%s' as the net mapping does not match clock net\n", + clk_ntwk.spine_name(ispine).c_str()); + continue; + } VTR_ASSERT(rr_graph.valid_node(src_node)); VTR_ASSERT(rr_graph.valid_node(des_node)); vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, @@ -219,6 +220,7 @@ int route_clock_rr_graph(VprRoutingAnnotation& vpr_routing_annotation, const DeviceContext& vpr_device_ctx, const AtomContext& atom_ctx, const ClusteredNetlist& cluster_nlist, + const PlacementContext& vpr_place_ctx, const VprNetlistAnnotation& netlist_annotation, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, @@ -253,6 +255,9 @@ int route_clock_rr_graph(VprRoutingAnnotation& vpr_routing_annotation, return CMD_EXEC_FATAL_ERROR; } + /* Build rr_node-to-net mapping for global nets */ + vtr::vector rr_node_gnets = annotate_rr_node_global_net(vpr_device_ctx, cluster_nlist, vpr_place_ctx, verbose); + /* Route spines one by one */ for (auto itree : clk_ntwk.trees()) { VTR_LOGV(verbose, "Build clock name to clock tree '%s' pin mapping...\n", @@ -269,7 +274,7 @@ int route_clock_rr_graph(VprRoutingAnnotation& vpr_routing_annotation, VTR_LOGV(verbose, "Routing clock tree '%s'...\n", clk_ntwk.tree_name(itree).c_str()); status = route_clock_tree_rr_graph( - vpr_routing_annotation, vpr_device_ctx.rr_graph, clk_rr_lookup, + vpr_routing_annotation, vpr_device_ctx.rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, itree, verbose); if (status == CMD_EXEC_FATAL_ERROR) { return status; diff --git a/openfpga/src/annotation/route_clock_rr_graph.h b/openfpga/src/annotation/route_clock_rr_graph.h index 6a1b2cad4..e12767e9b 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.h +++ b/openfpga/src/annotation/route_clock_rr_graph.h @@ -22,6 +22,7 @@ int route_clock_rr_graph(VprRoutingAnnotation& vpr_routing_annotation, const DeviceContext& vpr_device_ctx, const AtomContext& atom_ctx, const ClusteredNetlist& cluster_nlist, + const PlacementContext& vpr_place_ctx, const VprNetlistAnnotation& netlist_annotation, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, diff --git a/openfpga/src/base/openfpga_link_arch_template.h b/openfpga/src/base/openfpga_link_arch_template.h index cb16de224..319c89086 100644 --- a/openfpga/src/base/openfpga_link_arch_template.h +++ b/openfpga/src/base/openfpga_link_arch_template.h @@ -231,6 +231,7 @@ int route_clock_rr_graph_template(T& openfpga_ctx, const Command& cmd, return route_clock_rr_graph( openfpga_ctx.mutable_vpr_routing_annotation(), g_vpr_ctx.device(), g_vpr_ctx.atom(), g_vpr_ctx.clustering().clb_nlist, + g_vpr_ctx.placement(), openfpga_ctx.vpr_netlist_annotation(), openfpga_ctx.clock_rr_lookup(), openfpga_ctx.clock_arch(), pin_constraints, cmd_context.option_enable(cmd, opt_verbose)); From ac1ad527951b8e7dee1e05869812dbe160245152 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 26 Jun 2024 22:47:29 -0700 Subject: [PATCH 050/230] [core] code format --- .../annotation/openfpga_annotate_routing.cpp | 18 ++++----- .../annotation/openfpga_annotate_routing.h | 8 ++-- .../src/annotation/route_clock_rr_graph.cpp | 39 +++++++++++-------- .../src/annotation/route_clock_rr_graph.h | 17 ++++---- .../src/base/openfpga_link_arch_template.h | 3 +- 5 files changed, 42 insertions(+), 43 deletions(-) diff --git a/openfpga/src/annotation/openfpga_annotate_routing.cpp b/openfpga/src/annotation/openfpga_annotate_routing.cpp index 8c19cd2c7..ad84d86e2 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.cpp +++ b/openfpga/src/annotation/openfpga_annotate_routing.cpp @@ -19,10 +19,9 @@ namespace openfpga { * - Note that this function is different than annotate_vpr_rr_nodes() * Please do not annotate global nets in vpr_routing_annotation! *******************************************************************/ -vtr::vector annotate_rr_node_global_net(const DeviceContext& device_ctx, - const ClusteredNetlist& cluster_nlist, - const PlacementContext& placement_ctx, - const bool& verbose) { +vtr::vector annotate_rr_node_global_net( + const DeviceContext& device_ctx, const ClusteredNetlist& cluster_nlist, + const PlacementContext& placement_ctx, const bool& verbose) { vtr::vector rr_node_nets; size_t counter = 0; @@ -31,7 +30,7 @@ vtr::vector annotate_rr_node_global_net(const DeviceCont const auto& rr_graph = device_ctx.rr_graph; rr_node_nets.resize(rr_graph.num_nodes(), ClusterNetId::INVALID()); - + size_t layer = 0; for (ClusterNetId net_id : cluster_nlist.nets()) { @@ -39,11 +38,13 @@ vtr::vector annotate_rr_node_global_net(const DeviceCont continue; } /* Walk through all the sinks */ - for (ClusterPinId pin_id : cluster_nlist.net_pins(net_id)) { + for (ClusterPinId pin_id : cluster_nlist.net_pins(net_id)) { ClusterBlockId block_id = cluster_nlist.pin_block(pin_id); - t_block_loc blk_loc = get_block_loc(block_id, false); + t_block_loc blk_loc = get_block_loc(block_id, false); int phy_pin = placement_ctx.physical_pins[pin_id]; - std::vector curr_rr_nodes = rr_graph.node_lookup().find_nodes_at_all_sides(layer, blk_loc.loc.x, blk_loc.loc.y, IPIN, phy_pin); + std::vector curr_rr_nodes = + rr_graph.node_lookup().find_nodes_at_all_sides( + layer, blk_loc.loc.x, blk_loc.loc.y, IPIN, phy_pin); for (RRNodeId curr_rr_node : curr_rr_nodes) { rr_node_nets[curr_rr_node] = net_id; } @@ -52,7 +53,6 @@ vtr::vector annotate_rr_node_global_net(const DeviceCont VTR_LOGV(verbose, "Done with %d nodes mapping\n", counter); - return rr_node_nets; } diff --git a/openfpga/src/annotation/openfpga_annotate_routing.h b/openfpga/src/annotation/openfpga_annotate_routing.h index 2578e86bd..dc5d28db3 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.h +++ b/openfpga/src/annotation/openfpga_annotate_routing.h @@ -15,11 +15,9 @@ /* begin namespace openfpga */ namespace openfpga { -vtr::vector annotate_rr_node_global_net(const DeviceContext& device_ctx, - const ClusteredNetlist& cluster_nlist, - const PlacementContext& placement_ctx, - const bool& verbose); - +vtr::vector annotate_rr_node_global_net( + const DeviceContext& device_ctx, const ClusteredNetlist& cluster_nlist, + const PlacementContext& placement_ctx, const bool& verbose); void annotate_vpr_rr_node_nets(const DeviceContext& device_ctx, const ClusteringContext& clustering_ctx, diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 77de0175b..327a51f40 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -1,12 +1,12 @@ #include "route_clock_rr_graph.h" #include "command_exit_codes.h" +#include "openfpga_annotate_routing.h" #include "openfpga_atom_netlist_utils.h" #include "vtr_assert.h" #include "vtr_geometry.h" #include "vtr_log.h" #include "vtr_time.h" -#include "openfpga_annotate_routing.h" /* begin namespace openfpga */ namespace openfpga { @@ -177,17 +177,23 @@ static int route_clock_tree_rr_graph( /* if the IPIN is mapped, only connect when net mapping is * expected */ if (tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { - VTR_LOGV(verbose, "Skip routing clock tap of spine '%s' as the tree is not used\n", + VTR_LOGV(verbose, + "Skip routing clock tap of spine '%s' as the tree is " + "not used\n", clk_ntwk.spine_name(ispine).c_str()); continue; } if (!rr_node_gnets[des_node]) { - VTR_LOGV(verbose, "Skip routing clock tap of spine '%s' as the IPIN is not mapped\n", + VTR_LOGV(verbose, + "Skip routing clock tap of spine '%s' as the IPIN is " + "not mapped\n", clk_ntwk.spine_name(ispine).c_str()); continue; } if (rr_node_gnets[des_node] != tree2clk_pin_map.at(ipin)) { - VTR_LOGV(verbose, "Skip routing clock tap of spine '%s' as the net mapping does not match clock net\n", + VTR_LOGV(verbose, + "Skip routing clock tap of spine '%s' as the net " + "mapping does not match clock net\n", clk_ntwk.spine_name(ispine).c_str()); continue; } @@ -216,16 +222,13 @@ static int route_clock_tree_rr_graph( * - configure the routing annotation w.r.t. the clock node connections * - quick check to ensure routing is valid *******************************************************************/ -int route_clock_rr_graph(VprRoutingAnnotation& vpr_routing_annotation, - const DeviceContext& vpr_device_ctx, - const AtomContext& atom_ctx, - const ClusteredNetlist& cluster_nlist, - const PlacementContext& vpr_place_ctx, - const VprNetlistAnnotation& netlist_annotation, - const RRClockSpatialLookup& clk_rr_lookup, - const ClockNetwork& clk_ntwk, - const PinConstraints& pin_constraints, - const bool& verbose) { +int route_clock_rr_graph( + VprRoutingAnnotation& vpr_routing_annotation, + const DeviceContext& vpr_device_ctx, const AtomContext& atom_ctx, + const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, + const VprNetlistAnnotation& netlist_annotation, + const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, + const PinConstraints& pin_constraints, const bool& verbose) { vtr::ScopedStartFinishTimer timer( "Route programmable clock network based on routing resource graph"); @@ -256,7 +259,9 @@ int route_clock_rr_graph(VprRoutingAnnotation& vpr_routing_annotation, } /* Build rr_node-to-net mapping for global nets */ - vtr::vector rr_node_gnets = annotate_rr_node_global_net(vpr_device_ctx, cluster_nlist, vpr_place_ctx, verbose); + vtr::vector rr_node_gnets = + annotate_rr_node_global_net(vpr_device_ctx, cluster_nlist, vpr_place_ctx, + verbose); /* Route spines one by one */ for (auto itree : clk_ntwk.trees()) { @@ -274,8 +279,8 @@ int route_clock_rr_graph(VprRoutingAnnotation& vpr_routing_annotation, VTR_LOGV(verbose, "Routing clock tree '%s'...\n", clk_ntwk.tree_name(itree).c_str()); status = route_clock_tree_rr_graph( - vpr_routing_annotation, vpr_device_ctx.rr_graph, clk_rr_lookup, rr_node_gnets, - tree2clk_pin_map, clk_ntwk, itree, verbose); + vpr_routing_annotation, vpr_device_ctx.rr_graph, clk_rr_lookup, + rr_node_gnets, tree2clk_pin_map, clk_ntwk, itree, verbose); if (status == CMD_EXEC_FATAL_ERROR) { return status; } diff --git a/openfpga/src/annotation/route_clock_rr_graph.h b/openfpga/src/annotation/route_clock_rr_graph.h index e12767e9b..f0d60642c 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.h +++ b/openfpga/src/annotation/route_clock_rr_graph.h @@ -18,16 +18,13 @@ /* begin namespace openfpga */ namespace openfpga { -int route_clock_rr_graph(VprRoutingAnnotation& vpr_routing_annotation, - const DeviceContext& vpr_device_ctx, - const AtomContext& atom_ctx, - const ClusteredNetlist& cluster_nlist, - const PlacementContext& vpr_place_ctx, - const VprNetlistAnnotation& netlist_annotation, - const RRClockSpatialLookup& clk_rr_lookup, - const ClockNetwork& clk_ntwk, - const PinConstraints& pin_constraints, - const bool& verbose); +int route_clock_rr_graph( + VprRoutingAnnotation& vpr_routing_annotation, + const DeviceContext& vpr_device_ctx, const AtomContext& atom_ctx, + const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, + const VprNetlistAnnotation& netlist_annotation, + const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, + const PinConstraints& pin_constraints, const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/base/openfpga_link_arch_template.h b/openfpga/src/base/openfpga_link_arch_template.h index 319c89086..2e742742a 100644 --- a/openfpga/src/base/openfpga_link_arch_template.h +++ b/openfpga/src/base/openfpga_link_arch_template.h @@ -230,8 +230,7 @@ int route_clock_rr_graph_template(T& openfpga_ctx, const Command& cmd, return route_clock_rr_graph( openfpga_ctx.mutable_vpr_routing_annotation(), g_vpr_ctx.device(), - g_vpr_ctx.atom(), g_vpr_ctx.clustering().clb_nlist, - g_vpr_ctx.placement(), + g_vpr_ctx.atom(), g_vpr_ctx.clustering().clb_nlist, g_vpr_ctx.placement(), openfpga_ctx.vpr_netlist_annotation(), openfpga_ctx.clock_rr_lookup(), openfpga_ctx.clock_arch(), pin_constraints, cmd_context.option_enable(cmd, opt_verbose)); From ec85bfc7046f60518afdc3803a00a80027e68fd6 Mon Sep 17 00:00:00 2001 From: "dependabot[bot]" <49699333+dependabot[bot]@users.noreply.github.com> Date: Thu, 27 Jun 2024 06:41:45 +0000 Subject: [PATCH 051/230] Bump yosys from `1288166` to `07daf61` Bumps [yosys](https://github.com/YosysHQ/yosys) from `1288166` to `07daf61`. - [Release notes](https://github.com/YosysHQ/yosys/releases) - [Commits](https://github.com/YosysHQ/yosys/compare/1288166f7ac03456b6beb0acff5d4fc84f63f57c...07daf61ae6fbe935b582a24c13e863b7d52052bd) --- updated-dependencies: - dependency-name: yosys dependency-type: direct:production ... Signed-off-by: dependabot[bot] --- yosys | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/yosys b/yosys index 1288166f7..07daf61ae 160000 --- a/yosys +++ b/yosys @@ -1 +1 @@ -Subproject commit 1288166f7ac03456b6beb0acff5d4fc84f63f57c +Subproject commit 07daf61ae6fbe935b582a24c13e863b7d52052bd From 1f4fda25eb5447bfa4d7830f183dca6d2d8bd02b Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Thu, 27 Jun 2024 16:44:38 +0000 Subject: [PATCH 052/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index c4ceed717..492aa9035 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2282 +1.2.2286 From 9ce552495ac92790686ce5959cee8ded7f682f4a Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 27 Jun 2024 10:17:08 -0700 Subject: [PATCH 053/230] [core] now internal drivers can be routed in dedicated clock network --- .../src/annotation/route_clock_rr_graph.cpp | 28 +++++++++++++++++++ 1 file changed, 28 insertions(+) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 327a51f40..9fe0a4ad6 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -147,6 +147,34 @@ static int route_clock_tree_rr_graph( des_spine_level, ipin, des_spine_direction); VTR_ASSERT(rr_graph.valid_node(src_node)); VTR_ASSERT(rr_graph.valid_node(des_node)); + /* Internal drivers may appear at the switch point. Check if there are any defined and related rr_node found as incoming edges. If the global net is mapped to the internal driver, use it as the previous node */ + size_t use_int_driver = 0; + for (!spine_switch_point_internal_drivers(ispine, switch_point_id).empty() && tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { + for (RREdgeId cand_edge : rr_graph.node_in_edges(des_node)) { + RRNodeId opin_node = rr_graph.edge_src_node(cand_edge); + if (OPIN != rr_graph.node_type(opin_node)) { + continue; + } + if (rr_node_gnets[opin_node] != tree2clk_pin_map.at(ipin)) { + continue; + } + /* This is the opin node we need, use it as the internal driver */ + vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, + opin_node); + vpr_routing_annotation.set_rr_node_net(opin_node, + tree2clk_pin_map.at(ipin)); + vpr_routing_annotation.set_rr_node_net(des_node, + tree2clk_pin_map.at(ipin)); + use_int_driver++; + } + } + if (use_int_driver > 1) { + VTR_LOG_ERROR("Found %lu internal drivers for the switching point (%lu, %lu) for spine '%s'!\n Expect only 1!\n", use_int_driver, src_coord.x(), src_coord.y(), clk_ntwk.spine_name(ispine).c_str()); + return CMD_EXEC_FATAL_ERROR; + } + if (use_int_driver == 1) { + continue; /* Used internal driver, early pass */ + } vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); /* It could happen that there is no net mapped some clock pin, skip the From 64a7a4ce26f3980c75a799ef717d41d31133e66b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 27 Jun 2024 10:19:14 -0700 Subject: [PATCH 054/230] [core] syntax --- openfpga/src/annotation/route_clock_rr_graph.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 9fe0a4ad6..06d9c1d5a 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -149,7 +149,7 @@ static int route_clock_tree_rr_graph( VTR_ASSERT(rr_graph.valid_node(des_node)); /* Internal drivers may appear at the switch point. Check if there are any defined and related rr_node found as incoming edges. If the global net is mapped to the internal driver, use it as the previous node */ size_t use_int_driver = 0; - for (!spine_switch_point_internal_drivers(ispine, switch_point_id).empty() && tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { + if (!clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id).empty() && tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { for (RREdgeId cand_edge : rr_graph.node_in_edges(des_node)) { RRNodeId opin_node = rr_graph.edge_src_node(cand_edge); if (OPIN != rr_graph.node_type(opin_node)) { From 6fceb81110bbd46f176f254258765d48778ba13e Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 27 Jun 2024 10:19:40 -0700 Subject: [PATCH 055/230] [core] code format --- openfpga/src/annotation/route_clock_rr_graph.cpp | 16 +++++++++++++--- 1 file changed, 13 insertions(+), 3 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 06d9c1d5a..7a540d040 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -147,9 +147,15 @@ static int route_clock_tree_rr_graph( des_spine_level, ipin, des_spine_direction); VTR_ASSERT(rr_graph.valid_node(src_node)); VTR_ASSERT(rr_graph.valid_node(des_node)); - /* Internal drivers may appear at the switch point. Check if there are any defined and related rr_node found as incoming edges. If the global net is mapped to the internal driver, use it as the previous node */ + /* Internal drivers may appear at the switch point. Check if there are + * any defined and related rr_node found as incoming edges. If the + * global net is mapped to the internal driver, use it as the previous + * node */ size_t use_int_driver = 0; - if (!clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id).empty() && tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { + if (!clk_ntwk + .spine_switch_point_internal_drivers(ispine, switch_point_id) + .empty() && + tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { for (RREdgeId cand_edge : rr_graph.node_in_edges(des_node)) { RRNodeId opin_node = rr_graph.edge_src_node(cand_edge); if (OPIN != rr_graph.node_type(opin_node)) { @@ -169,7 +175,11 @@ static int route_clock_tree_rr_graph( } } if (use_int_driver > 1) { - VTR_LOG_ERROR("Found %lu internal drivers for the switching point (%lu, %lu) for spine '%s'!\n Expect only 1!\n", use_int_driver, src_coord.x(), src_coord.y(), clk_ntwk.spine_name(ispine).c_str()); + VTR_LOG_ERROR( + "Found %lu internal drivers for the switching point (%lu, %lu) for " + "spine '%s'!\n Expect only 1!\n", + use_int_driver, src_coord.x(), src_coord.y(), + clk_ntwk.spine_name(ispine).c_str()); return CMD_EXEC_FATAL_ERROR; } if (use_int_driver == 1) { From 3fb891094b4c4b2d491e085bf6e285f1764a145c Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 27 Jun 2024 11:02:37 -0700 Subject: [PATCH 056/230] [doc] add new syntax --- .../manual/file_formats/clock_network.rst | 60 ++++++++++++++++--- 1 file changed, 53 insertions(+), 7 deletions(-) diff --git a/docs/source/manual/file_formats/clock_network.rst b/docs/source/manual/file_formats/clock_network.rst index d998c63a9..da359f400 100644 --- a/docs/source/manual/file_formats/clock_network.rst +++ b/docs/source/manual/file_formats/clock_network.rst @@ -30,7 +30,9 @@ Using the clock network description language, users can define multiple clock ne - + + + @@ -209,23 +211,67 @@ where the clock routing can be driven at (x=1,y=1) by the output pins ``O[0:3]`` Tap Point Settings ^^^^^^^^^^^^^^^^^^ -The following syntax are applicable to the XML definition tagged by ``tap``. +The following syntax are applicable to the XML definition tagged by ``all``, ``region`` and ``single``. Note that a number of tap points can be defined under the node ``taps``. -.. option:: tile_pin="" +.. option:: from_pin="" - Define the pin of a programmable block to be tapped by a clock network. The pin must be a valid pin defined in the VPR architecture description file. + Define the source pin of a programmable block to be tapped by a clock network. The pin must be a valid pin of the global ports defined in the tile_annotation part of OpenFPGA architecture description file. + +.. option:: to_pin="" + + Define the destination pin of a programmable block to be tapped by a clock network. The pin must be a valid pin defined in the VPR architecture description file. .. note:: Only the leaf clock spine (not switch points to drive other clock spine) can tap pins of programmable blocks. +.. note:: Each coordinate must be a valid integer within the device height and width that are defined in VPR architecture!!! + +.. warning:: The following syntax are only applicable to ``single`` tap mode. + +.. option:: x="" + + Define the x coordinate of the tap point, which is applied to the destination pin ``to_pin`` + +.. option:: y="" + + Define the y coordinate of the tap point, which is applied to the destination pin ``to_pin`` + +.. warning:: The following syntax are only applicable to ``region`` tap mode. + +.. option:: start_x="" + + Define the starting x coordinate of the tap region, which is applied to the destination pin ``to_pin`` + +.. option:: start_y="" + + Define the starting y coordinate of the tap region, which is applied to the destination pin ``to_pin`` + +.. option:: end_x="" + + Define the ending x coordinate of the tap region, which is applied to the destination pin ``to_pin`` + +.. option:: end_y="" + + Define the ending y coordinate of the tap region, which is applied to the destination pin ``to_pin`` + +.. option:: repeat_x="" + + Define the repeating factor on x coordinate of the tap region, which is applied to the destination pin ``to_pin`` + +.. option:: repeat_y="" + + Define the repeating factor on y coordinate of the tap region, which is applied to the destination pin ``to_pin`` + For example, .. code-block:: xml - + - + + + @@ -235,7 +281,7 @@ where all the clock spines of the clock network ``clk_tree_0`` tap the clock pin - + From 7892c2340c637782191fbf0b5f793d79f1b87dae Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 27 Jun 2024 12:01:54 -0700 Subject: [PATCH 057/230] [core] add a new option 'disable_unused_trees' to route clock rr graph --- openfpga/src/annotation/route_clock_rr_graph.cpp | 12 +++++++++--- openfpga/src/annotation/route_clock_rr_graph.h | 5 ++++- openfpga/src/base/openfpga_link_arch_template.h | 4 ++++ openfpga/src/base/openfpga_setup_command_template.h | 2 ++ 4 files changed, 19 insertions(+), 4 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 7a540d040..05aaca922 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -91,13 +91,14 @@ static int route_clock_tree_rr_graph( const vtr::vector& rr_node_gnets, const std::map& tree2clk_pin_map, const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, + const bool& disable_unused_trees, const bool& verbose) { for (auto ispine : clk_ntwk.spines(clk_tree)) { VTR_LOGV(verbose, "Routing spine '%s'...\n", clk_ntwk.spine_name(ispine).c_str()); for (auto ipin : clk_ntwk.pins(clk_tree)) { /* Do not route unused clock spines */ - if (tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { + if (disable_unused_trees && tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { VTR_LOGV(verbose, "Skip routing backbone of unused spine '%s'...\n", clk_ntwk.spine_name(ispine).c_str()); continue; @@ -172,6 +173,8 @@ static int route_clock_tree_rr_graph( vpr_routing_annotation.set_rr_node_net(des_node, tree2clk_pin_map.at(ipin)); use_int_driver++; + VTR_LOGV(verbose, "Routing switch points of spine '%s' at the switching point (%lu, %lu) using internal driver\n", + clk_ntwk.spine_name(ispine).c_str(), src_coord.x(), src_coord.y()); } } if (use_int_driver > 1) { @@ -266,7 +269,10 @@ int route_clock_rr_graph( const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, const VprNetlistAnnotation& netlist_annotation, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, - const PinConstraints& pin_constraints, const bool& verbose) { + const PinConstraints& pin_constraints, + const bool& disable_unused_trees, + const bool& disable_unused_spines, + const bool& verbose) { vtr::ScopedStartFinishTimer timer( "Route programmable clock network based on routing resource graph"); @@ -318,7 +324,7 @@ int route_clock_rr_graph( clk_ntwk.tree_name(itree).c_str()); status = route_clock_tree_rr_graph( vpr_routing_annotation, vpr_device_ctx.rr_graph, clk_rr_lookup, - rr_node_gnets, tree2clk_pin_map, clk_ntwk, itree, verbose); + rr_node_gnets, tree2clk_pin_map, clk_ntwk, itree, disable_unused_trees, verbose); if (status == CMD_EXEC_FATAL_ERROR) { return status; } diff --git a/openfpga/src/annotation/route_clock_rr_graph.h b/openfpga/src/annotation/route_clock_rr_graph.h index f0d60642c..13bff0eea 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.h +++ b/openfpga/src/annotation/route_clock_rr_graph.h @@ -24,7 +24,10 @@ int route_clock_rr_graph( const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, const VprNetlistAnnotation& netlist_annotation, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, - const PinConstraints& pin_constraints, const bool& verbose); + const PinConstraints& pin_constraints, + const bool& disable_unused_trees, + const bool& disable_unused_spines, + const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/base/openfpga_link_arch_template.h b/openfpga/src/base/openfpga_link_arch_template.h index 2e742742a..e0fec4742 100644 --- a/openfpga/src/base/openfpga_link_arch_template.h +++ b/openfpga/src/base/openfpga_link_arch_template.h @@ -219,6 +219,8 @@ int route_clock_rr_graph_template(T& openfpga_ctx, const Command& cmd, /* add an option '--pin_constraints_file in short '-pcf' */ CommandOptionId opt_pcf = cmd.option("pin_constraints_file"); + CommandOptionId opt_disable_unused_trees = cmd.option("disable_unused_trees"); + CommandOptionId opt_disable_unused_spines = cmd.option("disable_unused_spines"); CommandOptionId opt_verbose = cmd.option("verbose"); /* If pin constraints are enabled by command options, read the file */ @@ -233,6 +235,8 @@ int route_clock_rr_graph_template(T& openfpga_ctx, const Command& cmd, g_vpr_ctx.atom(), g_vpr_ctx.clustering().clb_nlist, g_vpr_ctx.placement(), openfpga_ctx.vpr_netlist_annotation(), openfpga_ctx.clock_rr_lookup(), openfpga_ctx.clock_arch(), pin_constraints, + cmd_context.option_enable(cmd, opt_disable_unused_trees), + cmd_context.option_enable(cmd, opt_disable_unused_spines), cmd_context.option_enable(cmd, opt_verbose)); } diff --git a/openfpga/src/base/openfpga_setup_command_template.h b/openfpga/src/base/openfpga_setup_command_template.h index 3d178ee17..169d3cf9a 100644 --- a/openfpga/src/base/openfpga_setup_command_template.h +++ b/openfpga/src/base/openfpga_setup_command_template.h @@ -710,6 +710,8 @@ ShellCommandId add_route_clock_rr_graph_command_template( shell_cmd.set_option_short_name(opt_file, "pcf"); shell_cmd.set_option_require_value(opt_file, openfpga::OPT_STRING); + shell_cmd.add_option("disable_unused_trees", false, "Disable entire clock trees when they are not used by any clock nets. Useful to reduce clock power"); + shell_cmd.add_option("disable_unused_spines", false, "Disable part of the clock tree which are used by clock nets. Useful to reduce clock power"); /* Add an option '--verbose' */ shell_cmd.add_option("verbose", false, "Show verbose outputs"); From e75fd57af26f966c202edbc7c240bd8804f5305b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 27 Jun 2024 12:39:18 -0700 Subject: [PATCH 058/230] [core] refactor codes --- .../src/annotation/route_clock_rr_graph.cpp | 344 ++++++++++-------- 1 file changed, 202 insertions(+), 142 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 05aaca922..3c56b6d79 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -78,6 +78,201 @@ static int build_clock_tree_net_map( return CMD_EXEC_SUCCESS; } +/******************************************************************** + * Route a selected clock spine in a staight line + * - route the spine from the starting point to the ending point + *******************************************************************/ +static int route_straight_spines( + VprRoutingAnnotation& vpr_routing_annotation, const RRGraphView& rr_graph, + const RRClockSpatialLookup& clk_rr_lookup, + const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, + const ClockSpineId& ispine, const ClockTreePinId& ipin, + const bool& verbose) { + std::vector> spine_coords = + clk_ntwk.spine_coordinates(ispine); + VTR_LOGV(verbose, "Routing backbone of spine '%s'...\n", + clk_ntwk.spine_name(ispine).c_str()); + for (size_t icoord = 0; icoord < spine_coords.size() - 1; ++icoord) { + vtr::Point src_coord = spine_coords[icoord]; + vtr::Point des_coord = spine_coords[icoord + 1]; + Direction src_spine_direction = clk_ntwk.spine_direction(ispine); + Direction des_spine_direction = clk_ntwk.spine_direction(ispine); + ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); + ClockLevelId des_spine_level = clk_ntwk.spine_level(ispine); + RRNodeId src_node = + clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, + src_spine_level, ipin, src_spine_direction); + RRNodeId des_node = + clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, + des_spine_level, ipin, des_spine_direction); + VTR_ASSERT(rr_graph.valid_node(src_node)); + VTR_ASSERT(rr_graph.valid_node(des_node)); + vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, + src_node); + } + return CMD_EXEC_SUCCESS; +} + +/******************************************************************** + * Route a switching points between spines + * - connect between two routing tracks (left or right turns) + * - connect internal driver to routing track + *******************************************************************/ +static int route_spine_switch_points( + VprRoutingAnnotation& vpr_routing_annotation, const RRGraphView& rr_graph, + const RRClockSpatialLookup& clk_rr_lookup, + const vtr::vector& rr_node_gnets, + const std::map& tree2clk_pin_map, + const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, + const ClockSpineId& ispine, const ClockTreePinId& ipin, + const bool& verbose) { + VTR_LOGV(verbose, "Routing switch points of spine '%s'...\n", + clk_ntwk.spine_name(ispine).c_str()); + for (ClockSwitchPointId switch_point_id : + clk_ntwk.spine_switch_points(ispine)) { + vtr::Point src_coord = + clk_ntwk.spine_switch_point(ispine, switch_point_id); + ClockSpineId des_spine = + clk_ntwk.spine_switch_point_tap(ispine, switch_point_id); + vtr::Point des_coord = clk_ntwk.spine_start_point(des_spine); + Direction src_spine_direction = clk_ntwk.spine_direction(ispine); + Direction des_spine_direction = clk_ntwk.spine_direction(des_spine); + ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); + ClockLevelId des_spine_level = clk_ntwk.spine_level(des_spine); + RRNodeId src_node = + clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, + src_spine_level, ipin, src_spine_direction); + RRNodeId des_node = + clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, + des_spine_level, ipin, des_spine_direction); + VTR_ASSERT(rr_graph.valid_node(src_node)); + VTR_ASSERT(rr_graph.valid_node(des_node)); + /* Internal drivers may appear at the switch point. Check if there are + * any defined and related rr_node found as incoming edges. If the + * global net is mapped to the internal driver, use it as the previous + * node */ + size_t use_int_driver = 0; + if (!clk_ntwk + .spine_switch_point_internal_drivers(ispine, switch_point_id) + .empty() && + tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { + for (RREdgeId cand_edge : rr_graph.node_in_edges(des_node)) { + RRNodeId opin_node = rr_graph.edge_src_node(cand_edge); + if (OPIN != rr_graph.node_type(opin_node)) { + continue; + } + if (rr_node_gnets[opin_node] != tree2clk_pin_map.at(ipin)) { + continue; + } + /* This is the opin node we need, use it as the internal driver */ + vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, + opin_node); + vpr_routing_annotation.set_rr_node_net(opin_node, + tree2clk_pin_map.at(ipin)); + vpr_routing_annotation.set_rr_node_net(des_node, + tree2clk_pin_map.at(ipin)); + use_int_driver++; + VTR_LOGV(verbose, "Routing switch points of spine '%s' at the switching point (%lu, %lu) using internal driver\n", + clk_ntwk.spine_name(ispine).c_str(), src_coord.x(), src_coord.y()); + } + } + if (use_int_driver > 1) { + VTR_LOG_ERROR( + "Found %lu internal drivers for the switching point (%lu, %lu) for " + "spine '%s'!\n Expect only 1!\n", + use_int_driver, src_coord.x(), src_coord.y(), + clk_ntwk.spine_name(ispine).c_str()); + return CMD_EXEC_FATAL_ERROR; + } + if (use_int_driver == 1) { + continue; /* Used internal driver, early pass */ + } + vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, + src_node); + /* It could happen that there is no net mapped some clock pin, skip the + * net mapping */ + if (tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { + vpr_routing_annotation.set_rr_node_net(src_node, + tree2clk_pin_map.at(ipin)); + vpr_routing_annotation.set_rr_node_net(des_node, + tree2clk_pin_map.at(ipin)); + } + } + + return CMD_EXEC_SUCCESS; +} + +/******************************************************************** + * Route a spine to its tap points + * - Only connect to tap points which are mapped by a global net + *******************************************************************/ +static int route_spine_taps( + VprRoutingAnnotation& vpr_routing_annotation, const RRGraphView& rr_graph, + const RRClockSpatialLookup& clk_rr_lookup, + const vtr::vector& rr_node_gnets, + const std::map& tree2clk_pin_map, + const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, + const ClockSpineId& ispine, const ClockTreePinId& ipin, + const bool& verbose) { + std::vector> spine_coords = + clk_ntwk.spine_coordinates(ispine); + /* Route the spine-to-IPIN connections (only for the last level) */ + if (clk_ntwk.is_last_level(ispine)) { + VTR_LOGV(verbose, "Routing clock taps of spine '%s'...\n", + clk_ntwk.spine_name(ispine).c_str()); + /* Connect to any fan-out node which is IPIN */ + for (size_t icoord = 0; icoord < spine_coords.size(); ++icoord) { + vtr::Point src_coord = spine_coords[icoord]; + Direction src_spine_direction = clk_ntwk.spine_direction(ispine); + ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); + RRNodeId src_node = + clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, + src_spine_level, ipin, src_spine_direction); + for (RREdgeId edge : rr_graph.edge_range(src_node)) { + RRNodeId des_node = rr_graph.edge_sink_node(edge); + if (rr_graph.node_type(des_node) == IPIN) { + /* Check if the IPIN is mapped, if not, do not connect */ + /* if the IPIN is mapped, only connect when net mapping is + * expected */ + if (tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { + VTR_LOGV(verbose, + "Skip routing clock tap of spine '%s' as the tree is " + "not used\n", + clk_ntwk.spine_name(ispine).c_str()); + continue; + } + if (!rr_node_gnets[des_node]) { + VTR_LOGV(verbose, + "Skip routing clock tap of spine '%s' as the IPIN is " + "not mapped\n", + clk_ntwk.spine_name(ispine).c_str()); + continue; + } + if (rr_node_gnets[des_node] != tree2clk_pin_map.at(ipin)) { + VTR_LOGV(verbose, + "Skip routing clock tap of spine '%s' as the net " + "mapping does not match clock net\n", + clk_ntwk.spine_name(ispine).c_str()); + continue; + } + VTR_ASSERT(rr_graph.valid_node(src_node)); + VTR_ASSERT(rr_graph.valid_node(des_node)); + vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, + src_node); + if (tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { + vpr_routing_annotation.set_rr_node_net( + src_node, tree2clk_pin_map.at(ipin)); + vpr_routing_annotation.set_rr_node_net( + des_node, tree2clk_pin_map.at(ipin)); + } + } + } + } + } + + return CMD_EXEC_SUCCESS; +} + /******************************************************************** * Route a clock tree on an existing routing resource graph * The strategy is to route spine one by one @@ -106,151 +301,16 @@ static int route_clock_tree_rr_graph( /* Route the spine from starting point to ending point */ std::vector> spine_coords = clk_ntwk.spine_coordinates(ispine); - VTR_LOGV(verbose, "Routing backbone of spine '%s'...\n", - clk_ntwk.spine_name(ispine).c_str()); - for (size_t icoord = 0; icoord < spine_coords.size() - 1; ++icoord) { - vtr::Point src_coord = spine_coords[icoord]; - vtr::Point des_coord = spine_coords[icoord + 1]; - Direction src_spine_direction = clk_ntwk.spine_direction(ispine); - Direction des_spine_direction = clk_ntwk.spine_direction(ispine); - ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); - ClockLevelId des_spine_level = clk_ntwk.spine_level(ispine); - RRNodeId src_node = - clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, - src_spine_level, ipin, src_spine_direction); - RRNodeId des_node = - clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, - des_spine_level, ipin, des_spine_direction); - VTR_ASSERT(rr_graph.valid_node(src_node)); - VTR_ASSERT(rr_graph.valid_node(des_node)); - vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, - src_node); + if (CMD_EXEC_SUCCESS != route_straight_spines(vpr_routing_annotation, rr_graph, clk_rr_lookup, clk_ntwk, clk_tree, ispine, ipin, verbose)) { + return CMD_EXEC_FATAL_ERROR; } - /* Route the spine-to-spine switching points */ - VTR_LOGV(verbose, "Routing switch points of spine '%s'...\n", - clk_ntwk.spine_name(ispine).c_str()); - for (ClockSwitchPointId switch_point_id : - clk_ntwk.spine_switch_points(ispine)) { - vtr::Point src_coord = - clk_ntwk.spine_switch_point(ispine, switch_point_id); - ClockSpineId des_spine = - clk_ntwk.spine_switch_point_tap(ispine, switch_point_id); - vtr::Point des_coord = clk_ntwk.spine_start_point(des_spine); - Direction src_spine_direction = clk_ntwk.spine_direction(ispine); - Direction des_spine_direction = clk_ntwk.spine_direction(des_spine); - ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); - ClockLevelId des_spine_level = clk_ntwk.spine_level(des_spine); - RRNodeId src_node = - clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, - src_spine_level, ipin, src_spine_direction); - RRNodeId des_node = - clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, - des_spine_level, ipin, des_spine_direction); - VTR_ASSERT(rr_graph.valid_node(src_node)); - VTR_ASSERT(rr_graph.valid_node(des_node)); - /* Internal drivers may appear at the switch point. Check if there are - * any defined and related rr_node found as incoming edges. If the - * global net is mapped to the internal driver, use it as the previous - * node */ - size_t use_int_driver = 0; - if (!clk_ntwk - .spine_switch_point_internal_drivers(ispine, switch_point_id) - .empty() && - tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { - for (RREdgeId cand_edge : rr_graph.node_in_edges(des_node)) { - RRNodeId opin_node = rr_graph.edge_src_node(cand_edge); - if (OPIN != rr_graph.node_type(opin_node)) { - continue; - } - if (rr_node_gnets[opin_node] != tree2clk_pin_map.at(ipin)) { - continue; - } - /* This is the opin node we need, use it as the internal driver */ - vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, - opin_node); - vpr_routing_annotation.set_rr_node_net(opin_node, - tree2clk_pin_map.at(ipin)); - vpr_routing_annotation.set_rr_node_net(des_node, - tree2clk_pin_map.at(ipin)); - use_int_driver++; - VTR_LOGV(verbose, "Routing switch points of spine '%s' at the switching point (%lu, %lu) using internal driver\n", - clk_ntwk.spine_name(ispine).c_str(), src_coord.x(), src_coord.y()); - } - } - if (use_int_driver > 1) { - VTR_LOG_ERROR( - "Found %lu internal drivers for the switching point (%lu, %lu) for " - "spine '%s'!\n Expect only 1!\n", - use_int_driver, src_coord.x(), src_coord.y(), - clk_ntwk.spine_name(ispine).c_str()); - return CMD_EXEC_FATAL_ERROR; - } - if (use_int_driver == 1) { - continue; /* Used internal driver, early pass */ - } - vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, - src_node); - /* It could happen that there is no net mapped some clock pin, skip the - * net mapping */ - if (tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { - vpr_routing_annotation.set_rr_node_net(src_node, - tree2clk_pin_map.at(ipin)); - vpr_routing_annotation.set_rr_node_net(des_node, - tree2clk_pin_map.at(ipin)); - } + /* Route the opin/spine-to-spine switching points */ + if (CMD_EXEC_SUCCESS != route_spine_switch_points(vpr_routing_annotation, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, ispine, ipin, verbose)) { + return CMD_EXEC_FATAL_ERROR; } /* Route the spine-to-IPIN connections (only for the last level) */ - if (clk_ntwk.is_last_level(ispine)) { - VTR_LOGV(verbose, "Routing clock taps of spine '%s'...\n", - clk_ntwk.spine_name(ispine).c_str()); - /* Connect to any fan-out node which is IPIN */ - for (size_t icoord = 0; icoord < spine_coords.size(); ++icoord) { - vtr::Point src_coord = spine_coords[icoord]; - Direction src_spine_direction = clk_ntwk.spine_direction(ispine); - ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); - RRNodeId src_node = - clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, - src_spine_level, ipin, src_spine_direction); - for (RREdgeId edge : rr_graph.edge_range(src_node)) { - RRNodeId des_node = rr_graph.edge_sink_node(edge); - if (rr_graph.node_type(des_node) == IPIN) { - /* Check if the IPIN is mapped, if not, do not connect */ - /* if the IPIN is mapped, only connect when net mapping is - * expected */ - if (tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { - VTR_LOGV(verbose, - "Skip routing clock tap of spine '%s' as the tree is " - "not used\n", - clk_ntwk.spine_name(ispine).c_str()); - continue; - } - if (!rr_node_gnets[des_node]) { - VTR_LOGV(verbose, - "Skip routing clock tap of spine '%s' as the IPIN is " - "not mapped\n", - clk_ntwk.spine_name(ispine).c_str()); - continue; - } - if (rr_node_gnets[des_node] != tree2clk_pin_map.at(ipin)) { - VTR_LOGV(verbose, - "Skip routing clock tap of spine '%s' as the net " - "mapping does not match clock net\n", - clk_ntwk.spine_name(ispine).c_str()); - continue; - } - VTR_ASSERT(rr_graph.valid_node(src_node)); - VTR_ASSERT(rr_graph.valid_node(des_node)); - vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, - src_node); - if (tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { - vpr_routing_annotation.set_rr_node_net( - src_node, tree2clk_pin_map.at(ipin)); - vpr_routing_annotation.set_rr_node_net( - des_node, tree2clk_pin_map.at(ipin)); - } - } - } - } + if (CMD_EXEC_SUCCESS != route_spine_taps(vpr_routing_annotation, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, ispine, ipin, verbose)) { + return CMD_EXEC_FATAL_ERROR; } } } From 4185235a690915bdbe59c4e5aae2dbc8319dc898 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 27 Jun 2024 15:02:20 -0700 Subject: [PATCH 059/230] [core] now clock routing is based on tree expansion. Unused part can be disconnected --- .../src/base/clock_network.cpp | 14 + .../src/base/clock_network.h | 4 + .../src/annotation/route_clock_rr_graph.cpp | 330 +++++++++++------- 3 files changed, 217 insertions(+), 131 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 70fd840f6..a34868be5 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -333,6 +333,20 @@ vtr::Point ClockNetwork::spine_switch_point( return spine_switch_coords_[spine_id][size_t(switch_point_id)]; } +std::vector ClockNetwork::find_spine_switch_points_with_coord( + const ClockSpineId& spine_id, + const vtr::Point& coord) const { + VTR_ASSERT(valid_spine_id(spine_id)); + std::vector ret; + for (size_t i = 0; i < spine_switch_points_[spine_id].size(); ++i) { + if (spine_switch_coords_[spine_id][i] == coord) { + ret.push_back(ClockSwitchPointId(i)); + } + } + + return ret; +} + std::vector ClockNetwork::spine_switch_point_internal_drivers( const ClockSpineId& spine_id, diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 25042524a..0fc15e471 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -125,6 +125,10 @@ class ClockNetwork { vtr::Point spine_switch_point( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id) const; + + /* Find all the switching points at a given coordinate */ + std::vector find_spine_switch_points_with_coord(const ClockSpineId& spine_id, const vtr::Point& coord) const; + std::vector spine_switch_point_internal_drivers( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id) const; diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 3c56b6d79..cabb763c6 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -78,126 +78,90 @@ static int build_clock_tree_net_map( return CMD_EXEC_SUCCESS; } -/******************************************************************** - * Route a selected clock spine in a staight line - * - route the spine from the starting point to the ending point - *******************************************************************/ -static int route_straight_spines( - VprRoutingAnnotation& vpr_routing_annotation, const RRGraphView& rr_graph, - const RRClockSpatialLookup& clk_rr_lookup, - const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, - const ClockSpineId& ispine, const ClockTreePinId& ipin, - const bool& verbose) { - std::vector> spine_coords = - clk_ntwk.spine_coordinates(ispine); - VTR_LOGV(verbose, "Routing backbone of spine '%s'...\n", - clk_ntwk.spine_name(ispine).c_str()); - for (size_t icoord = 0; icoord < spine_coords.size() - 1; ++icoord) { - vtr::Point src_coord = spine_coords[icoord]; - vtr::Point des_coord = spine_coords[icoord + 1]; - Direction src_spine_direction = clk_ntwk.spine_direction(ispine); - Direction des_spine_direction = clk_ntwk.spine_direction(ispine); - ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); - ClockLevelId des_spine_level = clk_ntwk.spine_level(ispine); - RRNodeId src_node = - clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, - src_spine_level, ipin, src_spine_direction); - RRNodeId des_node = - clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, - des_spine_level, ipin, des_spine_direction); - VTR_ASSERT(rr_graph.valid_node(src_node)); - VTR_ASSERT(rr_graph.valid_node(des_node)); - vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, - src_node); - } - return CMD_EXEC_SUCCESS; -} - /******************************************************************** * Route a switching points between spines * - connect between two routing tracks (left or right turns) * - connect internal driver to routing track *******************************************************************/ -static int route_spine_switch_points( - VprRoutingAnnotation& vpr_routing_annotation, const RRGraphView& rr_graph, +static int route_clock_spine_switch_point( + VprRoutingAnnotation& vpr_routing_annotation, + const RRGraphView& rr_graph, const RRClockSpatialLookup& clk_rr_lookup, const vtr::vector& rr_node_gnets, const std::map& tree2clk_pin_map, const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, const ClockSpineId& ispine, const ClockTreePinId& ipin, + const ClockSwitchPointId& switch_point_id, const bool& verbose) { VTR_LOGV(verbose, "Routing switch points of spine '%s'...\n", clk_ntwk.spine_name(ispine).c_str()); - for (ClockSwitchPointId switch_point_id : - clk_ntwk.spine_switch_points(ispine)) { - vtr::Point src_coord = - clk_ntwk.spine_switch_point(ispine, switch_point_id); - ClockSpineId des_spine = - clk_ntwk.spine_switch_point_tap(ispine, switch_point_id); - vtr::Point des_coord = clk_ntwk.spine_start_point(des_spine); - Direction src_spine_direction = clk_ntwk.spine_direction(ispine); - Direction des_spine_direction = clk_ntwk.spine_direction(des_spine); - ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); - ClockLevelId des_spine_level = clk_ntwk.spine_level(des_spine); - RRNodeId src_node = - clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, - src_spine_level, ipin, src_spine_direction); - RRNodeId des_node = - clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, - des_spine_level, ipin, des_spine_direction); - VTR_ASSERT(rr_graph.valid_node(src_node)); - VTR_ASSERT(rr_graph.valid_node(des_node)); - /* Internal drivers may appear at the switch point. Check if there are - * any defined and related rr_node found as incoming edges. If the - * global net is mapped to the internal driver, use it as the previous - * node */ - size_t use_int_driver = 0; - if (!clk_ntwk - .spine_switch_point_internal_drivers(ispine, switch_point_id) - .empty() && - tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { - for (RREdgeId cand_edge : rr_graph.node_in_edges(des_node)) { - RRNodeId opin_node = rr_graph.edge_src_node(cand_edge); - if (OPIN != rr_graph.node_type(opin_node)) { - continue; - } - if (rr_node_gnets[opin_node] != tree2clk_pin_map.at(ipin)) { - continue; - } - /* This is the opin node we need, use it as the internal driver */ - vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, - opin_node); - vpr_routing_annotation.set_rr_node_net(opin_node, - tree2clk_pin_map.at(ipin)); - vpr_routing_annotation.set_rr_node_net(des_node, - tree2clk_pin_map.at(ipin)); - use_int_driver++; - VTR_LOGV(verbose, "Routing switch points of spine '%s' at the switching point (%lu, %lu) using internal driver\n", - clk_ntwk.spine_name(ispine).c_str(), src_coord.x(), src_coord.y()); + vtr::Point src_coord = + clk_ntwk.spine_switch_point(ispine, switch_point_id); + ClockSpineId des_spine = + clk_ntwk.spine_switch_point_tap(ispine, switch_point_id); + vtr::Point des_coord = clk_ntwk.spine_start_point(des_spine); + Direction src_spine_direction = clk_ntwk.spine_direction(ispine); + Direction des_spine_direction = clk_ntwk.spine_direction(des_spine); + ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); + ClockLevelId des_spine_level = clk_ntwk.spine_level(des_spine); + RRNodeId src_node = + clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, + src_spine_level, ipin, src_spine_direction); + RRNodeId des_node = + clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, + des_spine_level, ipin, des_spine_direction); + VTR_ASSERT(rr_graph.valid_node(src_node)); + VTR_ASSERT(rr_graph.valid_node(des_node)); + /* Internal drivers may appear at the switch point. Check if there are + * any defined and related rr_node found as incoming edges. If the + * global net is mapped to the internal driver, use it as the previous + * node */ + size_t use_int_driver = 0; + if (!clk_ntwk + .spine_switch_point_internal_drivers(ispine, switch_point_id) + .empty() && + tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { + for (RREdgeId cand_edge : rr_graph.node_in_edges(des_node)) { + RRNodeId opin_node = rr_graph.edge_src_node(cand_edge); + if (OPIN != rr_graph.node_type(opin_node)) { + continue; } - } - if (use_int_driver > 1) { - VTR_LOG_ERROR( - "Found %lu internal drivers for the switching point (%lu, %lu) for " - "spine '%s'!\n Expect only 1!\n", - use_int_driver, src_coord.x(), src_coord.y(), - clk_ntwk.spine_name(ispine).c_str()); - return CMD_EXEC_FATAL_ERROR; - } - if (use_int_driver == 1) { - continue; /* Used internal driver, early pass */ - } - vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, - src_node); - /* It could happen that there is no net mapped some clock pin, skip the - * net mapping */ - if (tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { - vpr_routing_annotation.set_rr_node_net(src_node, + if (rr_node_gnets[opin_node] != tree2clk_pin_map.at(ipin)) { + continue; + } + /* This is the opin node we need, use it as the internal driver */ + vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, + opin_node); + vpr_routing_annotation.set_rr_node_net(opin_node, tree2clk_pin_map.at(ipin)); vpr_routing_annotation.set_rr_node_net(des_node, tree2clk_pin_map.at(ipin)); + use_int_driver++; + VTR_LOGV(verbose, "Routing switch points of spine '%s' at the switching point (%lu, %lu) using internal driver\n", + clk_ntwk.spine_name(ispine).c_str(), src_coord.x(), src_coord.y()); } } + if (use_int_driver > 1) { + VTR_LOG_ERROR( + "Found %lu internal drivers for the switching point (%lu, %lu) for " + "spine '%s'!\n Expect only 1!\n", + use_int_driver, src_coord.x(), src_coord.y(), + clk_ntwk.spine_name(ispine).c_str()); + return CMD_EXEC_FATAL_ERROR; + } + if (use_int_driver == 1) { + continue; /* Used internal driver, early pass */ + } + vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, + src_node); + /* It could happen that there is no net mapped some clock pin, skip the + * net mapping */ + if (tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { + vpr_routing_annotation.set_rr_node_net(src_node, + tree2clk_pin_map.at(ipin)); + vpr_routing_annotation.set_rr_node_net(des_node, + tree2clk_pin_map.at(ipin)); + } return CMD_EXEC_SUCCESS; } @@ -207,7 +171,9 @@ static int route_spine_switch_points( * - Only connect to tap points which are mapped by a global net *******************************************************************/ static int route_spine_taps( - VprRoutingAnnotation& vpr_routing_annotation, const RRGraphView& rr_graph, + VprRoutingAnnotation& vpr_routing_annotation, + bool& spine_usage, + const RRGraphView& rr_graph, const RRClockSpatialLookup& clk_rr_lookup, const vtr::vector& rr_node_gnets, const std::map& tree2clk_pin_map, @@ -216,6 +182,7 @@ static int route_spine_taps( const bool& verbose) { std::vector> spine_coords = clk_ntwk.spine_coordinates(ispine); + size_t spine_tap_cnt = 0; /* Route the spine-to-IPIN connections (only for the last level) */ if (clk_ntwk.is_last_level(ispine)) { VTR_LOGV(verbose, "Routing clock taps of spine '%s'...\n", @@ -259,16 +226,127 @@ static int route_spine_taps( VTR_ASSERT(rr_graph.valid_node(des_node)); vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); - if (tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { - vpr_routing_annotation.set_rr_node_net( - src_node, tree2clk_pin_map.at(ipin)); - vpr_routing_annotation.set_rr_node_net( - des_node, tree2clk_pin_map.at(ipin)); - } + vpr_routing_annotation.set_rr_node_net( + src_node, tree2clk_pin_map.at(ipin)); + vpr_routing_annotation.set_rr_node_net( + des_node, tree2clk_pin_map.at(ipin)); + /* Increment upon any required tap */ + spine_tap_cnt++; } } } } + if (spine_tap_cnt) { + spine_usage = true; + } + + return CMD_EXEC_SUCCESS; +} + +/******************************************************************** + * Recursively route a clock spine on an existing routing resource graph + * The strategy is to route spine one by one + * - route the spine from the ending point to starting point (straight line) + * - for each stops on the staight line, route the spine-to-spine switching points + * - for each switching point (des_spine_top|bottom), go recursively + * - If the downstream spine at any switching point is not used, disconnect + * - If any stop on the spine (straght line) is not used, disconnect + * - route the spine-to-IPIN connections (only for the last level) + * + * des_spine_top[0...N] + * ^ ^ ^ ^ + * | | | | + * spine_start ---->+---->+---->+---->+->spine_end + * | | | | + * v v v v + * des_spine_bottom[0...N] + * + * <-------------------------------------------- direction to walk through + * + *******************************************************************/ +static int rec_expand_and_route_clock_spine( + VprRoutingAnnotation& vpr_routing_annotation, + bool& spine_usage, + const RRGraphView& rr_graph, + const RRClockSpatialLookup& clk_rr_lookup, + const vtr::vector& rr_node_gnets, + const std::map& tree2clk_pin_map, + const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, + const ClockSpineId& curr_spine, const ClockTreePinId& curr_pin, + const bool& disable_unused_spines, + const bool& verbose) { + int status = CMD_EXEC_SUCCESS; + bool curr_spine_usage = false; + std::vector> spine_coords = + clk_ntwk.spine_coordinates(curr_spine); + /* We expand from the the ending point to starting point on the straight line. As such, it is easy to turn off spines by any stop */ + std::reverse(spine_coords.begin(), spine_coords.end()); + /* The spine should go in a straight line, connect all the stops on the line */ + for (size_t icoord = 0; icoord < spine_coords.size() - 1; ++icoord) { + vtr::Point src_coord = spine_coords[icoord + 1]; + vtr::Point des_coord = spine_coords[icoord]; + bool curr_stop_usage = false; + /* Expand on the switching point here */ + for (ClockSwitchPointId switch_point_id : + clk_ntwk.find_spine_switch_points_with_coord(curr_spine, src_coord)) { + ClockSpineId des_spine = + clk_ntwk.spine_switch_point_tap(curr_spine, switch_point_id); + /* Go recursively for the destination spine */ + bool curr_branch_usage = false; + status = rec_expand_and_route_clock_spine(vpr_routing_annotation, curr_branch_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, des_spine, curr_pin, verbose); + if (CMD_EXEC_SUCCESS != status) { + return CMD_EXEC_FATAL_ERROR; + } + /* Connect only when the destination spine is used */ + if (disable_unused_spines && !curr_branch_usage) { + VTR_LOGV(verbose, "Disconnect switching from spine '%s' to spine '%s' as downstream is not used\n", + clk_ntwk.spine_name(curr_spine).c_str(), clk_ntwk.spine_name(des_spine).c_str()); + continue; + } + curr_stop_usage = true; + /* Now connect to next spine, internal drivers may join */ + status = route_clock_spine_switch_point(vpr_routing_annotation, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, curr_spine, curr_pin, switch_point_id, verbose); + if (CMD_EXEC_SUCCESS != status) { + return CMD_EXEC_FATAL_ERROR; + } + } + if (disable_unused_spines && !curr_stop_usage) { + VTR_LOGV(verbose, "Disconnect backbone of spine '%s' from (x=%lu, y=%lu) to (x=%lu, y=%lu) as downstream is not used\n", + clk_ntwk.spine_name(curr_spine).c_str(), src_coord.x(), src_coord.y(), des_coord.x(), des_coord.y()); + continue; + } + /* Connect only when next stop is used */ + Direction src_spine_direction = clk_ntwk.spine_direction(ispine); + Direction des_spine_direction = clk_ntwk.spine_direction(ispine); + ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); + ClockLevelId des_spine_level = clk_ntwk.spine_level(ispine); + RRNodeId src_node = + clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, + src_spine_level, ipin, src_spine_direction); + RRNodeId des_node = + clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, + des_spine_level, ipin, des_spine_direction); + VTR_ASSERT(rr_graph.valid_node(src_node)); + VTR_ASSERT(rr_graph.valid_node(des_node)); + VTR_LOGV(verbose, "Routing backbone of spine '%s' from (x=%lu, y=%lu) to (x=%lu, y=%lu)...\n", + clk_ntwk.spine_name(curr_spine).c_str(), src_coord.x(), src_coord.y(), des_coord.x(), des_coord.y()); + vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, + src_node); + curr_spine_usage = true; + } + + bool curr_tap_usage = false; + /* For last level, we just connect tap points */ + status = route_spine_taps(vpr_routing_annotation, curr_tap_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, curr_spine, curr_pin, verbose); + if (CMD_EXEC_SUCCESS != status) { + return CMD_EXEC_FATAL_ERROR; + } + if (curr_tap_usage) { + curr_spine_usage = true; + } + + /* Update status */ + spine_usage = curr_spine_usage; return CMD_EXEC_SUCCESS; } @@ -287,29 +365,19 @@ static int route_clock_tree_rr_graph( const std::map& tree2clk_pin_map, const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, const bool& disable_unused_trees, + const bool& disable_unused_spines, const bool& verbose) { - for (auto ispine : clk_ntwk.spines(clk_tree)) { - VTR_LOGV(verbose, "Routing spine '%s'...\n", - clk_ntwk.spine_name(ispine).c_str()); - for (auto ipin : clk_ntwk.pins(clk_tree)) { - /* Do not route unused clock spines */ - if (disable_unused_trees && tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { - VTR_LOGV(verbose, "Skip routing backbone of unused spine '%s'...\n", - clk_ntwk.spine_name(ispine).c_str()); - continue; - } - /* Route the spine from starting point to ending point */ - std::vector> spine_coords = - clk_ntwk.spine_coordinates(ispine); - if (CMD_EXEC_SUCCESS != route_straight_spines(vpr_routing_annotation, rr_graph, clk_rr_lookup, clk_ntwk, clk_tree, ispine, ipin, verbose)) { - return CMD_EXEC_FATAL_ERROR; - } - /* Route the opin/spine-to-spine switching points */ - if (CMD_EXEC_SUCCESS != route_spine_switch_points(vpr_routing_annotation, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, ispine, ipin, verbose)) { - return CMD_EXEC_FATAL_ERROR; - } - /* Route the spine-to-IPIN connections (only for the last level) */ - if (CMD_EXEC_SUCCESS != route_spine_taps(vpr_routing_annotation, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, ispine, ipin, verbose)) { + for (auto ipin : clk_ntwk.pins(clk_tree)) { + /* Do not route unused clock spines */ + if (disable_unused_trees && tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { + VTR_LOGV(verbose, "Skip routing unused tree '%s' pin '%lu'...\n", + clk_ntwk.tree_name(clk_tree).c_str(), size_t(ipin)); + continue; + } + /* Start with the top-level spines. Recursively walk through coordinates and expand on switch points */ + for (auto top_spine : clk_ntwk.tree_top_spine(clk_tree)) { + int status = rec_expand_and_route_clock_spine(vpr_routing_annotation, spine_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, top_spine, ipin, verbose); + if (CMD_EXEC_SUCCESS != status) { return CMD_EXEC_FATAL_ERROR; } } @@ -384,7 +452,7 @@ int route_clock_rr_graph( clk_ntwk.tree_name(itree).c_str()); status = route_clock_tree_rr_graph( vpr_routing_annotation, vpr_device_ctx.rr_graph, clk_rr_lookup, - rr_node_gnets, tree2clk_pin_map, clk_ntwk, itree, disable_unused_trees, verbose); + rr_node_gnets, tree2clk_pin_map, clk_ntwk, itree, disable_unused_trees, disable_unused_spines, verbose); if (status == CMD_EXEC_FATAL_ERROR) { return status; } From f4f487099d94db4cf855a20c76051b8e9000e254 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 27 Jun 2024 15:07:48 -0700 Subject: [PATCH 060/230] [core] syntax --- .../src/annotation/route_clock_rr_graph.cpp | 25 +++++++++++-------- 1 file changed, 15 insertions(+), 10 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index cabb763c6..433de2c7f 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -150,7 +150,7 @@ static int route_clock_spine_switch_point( return CMD_EXEC_FATAL_ERROR; } if (use_int_driver == 1) { - continue; /* Used internal driver, early pass */ + return CMD_EXEC_SUCCESS; /* Used internal driver, early pass */ } vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); @@ -293,7 +293,7 @@ static int rec_expand_and_route_clock_spine( clk_ntwk.spine_switch_point_tap(curr_spine, switch_point_id); /* Go recursively for the destination spine */ bool curr_branch_usage = false; - status = rec_expand_and_route_clock_spine(vpr_routing_annotation, curr_branch_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, des_spine, curr_pin, verbose); + status = rec_expand_and_route_clock_spine(vpr_routing_annotation, curr_branch_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, des_spine, curr_pin, disable_unused_spines, verbose); if (CMD_EXEC_SUCCESS != status) { return CMD_EXEC_FATAL_ERROR; } @@ -316,16 +316,16 @@ static int rec_expand_and_route_clock_spine( continue; } /* Connect only when next stop is used */ - Direction src_spine_direction = clk_ntwk.spine_direction(ispine); - Direction des_spine_direction = clk_ntwk.spine_direction(ispine); - ClockLevelId src_spine_level = clk_ntwk.spine_level(ispine); - ClockLevelId des_spine_level = clk_ntwk.spine_level(ispine); + Direction src_spine_direction = clk_ntwk.spine_direction(curr_spine); + Direction des_spine_direction = clk_ntwk.spine_direction(curr_spine); + ClockLevelId src_spine_level = clk_ntwk.spine_level(curr_spine); + ClockLevelId des_spine_level = clk_ntwk.spine_level(curr_spine); RRNodeId src_node = clk_rr_lookup.find_node(src_coord.x(), src_coord.y(), clk_tree, - src_spine_level, ipin, src_spine_direction); + src_spine_level, curr_pin, src_spine_direction); RRNodeId des_node = clk_rr_lookup.find_node(des_coord.x(), des_coord.y(), clk_tree, - des_spine_level, ipin, des_spine_direction); + des_spine_level, curr_pin, des_spine_direction); VTR_ASSERT(rr_graph.valid_node(src_node)); VTR_ASSERT(rr_graph.valid_node(des_node)); VTR_LOGV(verbose, "Routing backbone of spine '%s' from (x=%lu, y=%lu) to (x=%lu, y=%lu)...\n", @@ -375,12 +375,17 @@ static int route_clock_tree_rr_graph( continue; } /* Start with the top-level spines. Recursively walk through coordinates and expand on switch points */ - for (auto top_spine : clk_ntwk.tree_top_spine(clk_tree)) { - int status = rec_expand_and_route_clock_spine(vpr_routing_annotation, spine_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, top_spine, ipin, verbose); + bool tree_usage = false; + for (auto top_spine : clk_ntwk.tree_top_spines(clk_tree)) { + int status = rec_expand_and_route_clock_spine(vpr_routing_annotation, tree_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, top_spine, ipin, disable_unused_spines, verbose); if (CMD_EXEC_SUCCESS != status) { return CMD_EXEC_FATAL_ERROR; } } + if (!tree_usage) { + VTR_LOGV(verbose, "Detect unused tree '%s' pin '%lu'...\n", + clk_ntwk.tree_name(clk_tree).c_str(), size_t(ipin)); + } } return CMD_EXEC_SUCCESS; } From 5a7f618f297f7ef5529f39279710e430f4f90a16 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 27 Jun 2024 15:44:17 -0700 Subject: [PATCH 061/230] [core] debugging --- .../src/annotation/route_clock_rr_graph.cpp | 58 +++++++++++-------- 1 file changed, 35 insertions(+), 23 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 433de2c7f..d401e3e90 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -137,7 +137,7 @@ static int route_clock_spine_switch_point( vpr_routing_annotation.set_rr_node_net(des_node, tree2clk_pin_map.at(ipin)); use_int_driver++; - VTR_LOGV(verbose, "Routing switch points of spine '%s' at the switching point (%lu, %lu) using internal driver\n", + VTR_LOGV(verbose, "Routed switch points of spine '%s' at the switching point (%lu, %lu) using internal driver\n", clk_ntwk.spine_name(ispine).c_str(), src_coord.x(), src_coord.y()); } } @@ -152,6 +152,9 @@ static int route_clock_spine_switch_point( if (use_int_driver == 1) { return CMD_EXEC_SUCCESS; /* Used internal driver, early pass */ } + VTR_LOGV(verbose, "Routed switch points of spine '%s' from (x=%lu, y=%lu) to spine '%s' at (x=%lu, y=%lu)\n", + clk_ntwk.spine_name(ispine).c_str(), src_coord.x(), src_coord.y(), + clk_ntwk.spine_name(des_spine).c_str(), des_coord.x(), des_coord.y()); vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); /* It could happen that there is no net mapped some clock pin, skip the @@ -224,6 +227,9 @@ static int route_spine_taps( } VTR_ASSERT(rr_graph.valid_node(src_node)); VTR_ASSERT(rr_graph.valid_node(des_node)); + VTR_LOGV(verbose, + "Routed clock tap of spine '%s'\n", + clk_ntwk.spine_name(ispine).c_str()); vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); vpr_routing_annotation.set_rr_node_net( @@ -277,18 +283,28 @@ static int rec_expand_and_route_clock_spine( const bool& verbose) { int status = CMD_EXEC_SUCCESS; bool curr_spine_usage = false; + bool curr_tap_usage = false; + /* For last level, we just connect tap points */ + status = route_spine_taps(vpr_routing_annotation, curr_tap_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, curr_spine, curr_pin, verbose); + if (CMD_EXEC_SUCCESS != status) { + return CMD_EXEC_FATAL_ERROR; + } + if (curr_tap_usage) { + curr_spine_usage = true; + } + std::vector> spine_coords = clk_ntwk.spine_coordinates(curr_spine); - /* We expand from the the ending point to starting point on the straight line. As such, it is easy to turn off spines by any stop */ - std::reverse(spine_coords.begin(), spine_coords.end()); - /* The spine should go in a straight line, connect all the stops on the line */ - for (size_t icoord = 0; icoord < spine_coords.size() - 1; ++icoord) { - vtr::Point src_coord = spine_coords[icoord + 1]; - vtr::Point des_coord = spine_coords[icoord]; + /* We expand from the the ending point to starting point on the straight line. + * As such, it is easy to turn off spines by any stop. + * The spine should go in a straight line, connect all the stops on the line */ + bool prev_stop_usage = false; + for (size_t icoord = spine_coords.size() - 1; icoord >= 0; --icoord) { + vtr::Point switch_point_coord = spine_coords[icoord]; bool curr_stop_usage = false; /* Expand on the switching point here */ for (ClockSwitchPointId switch_point_id : - clk_ntwk.find_spine_switch_points_with_coord(curr_spine, src_coord)) { + clk_ntwk.find_spine_switch_points_with_coord(curr_spine, switch_point_coord)) { ClockSpineId des_spine = clk_ntwk.spine_switch_point_tap(curr_spine, switch_point_id); /* Go recursively for the destination spine */ @@ -310,12 +326,18 @@ static int rec_expand_and_route_clock_spine( return CMD_EXEC_FATAL_ERROR; } } - if (disable_unused_spines && !curr_stop_usage) { - VTR_LOGV(verbose, "Disconnect backbone of spine '%s' from (x=%lu, y=%lu) to (x=%lu, y=%lu) as downstream is not used\n", - clk_ntwk.spine_name(curr_spine).c_str(), src_coord.x(), src_coord.y(), des_coord.x(), des_coord.y()); + if (disable_unused_spines && !curr_stop_usage && !prev_stop_usage) { + VTR_LOGV(verbose, "Disconnect backbone of spine '%s' at (x=%lu, y=%lu) as downstream is not used\n", + clk_ntwk.spine_name(curr_spine).c_str(), switch_point_coord.x(), switch_point_coord.y()); continue; } + /* Skip the first stop */ + if (icoord == 0) { + continue; + } /* Connect only when next stop is used */ + vtr::Point src_coord = spine_coords[icoord - 1]; + vtr::Point des_coord = spine_coords[icoord]; Direction src_spine_direction = clk_ntwk.spine_direction(curr_spine); Direction des_spine_direction = clk_ntwk.spine_direction(curr_spine); ClockLevelId src_spine_level = clk_ntwk.spine_level(curr_spine); @@ -328,23 +350,13 @@ static int rec_expand_and_route_clock_spine( des_spine_level, curr_pin, des_spine_direction); VTR_ASSERT(rr_graph.valid_node(src_node)); VTR_ASSERT(rr_graph.valid_node(des_node)); - VTR_LOGV(verbose, "Routing backbone of spine '%s' from (x=%lu, y=%lu) to (x=%lu, y=%lu)...\n", + VTR_LOGV(verbose, "Routed backbone of spine '%s' from (x=%lu, y=%lu) to (x=%lu, y=%lu)...\n", clk_ntwk.spine_name(curr_spine).c_str(), src_coord.x(), src_coord.y(), des_coord.x(), des_coord.y()); vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); + prev_stop_usage = true; curr_spine_usage = true; } - - bool curr_tap_usage = false; - /* For last level, we just connect tap points */ - status = route_spine_taps(vpr_routing_annotation, curr_tap_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, curr_spine, curr_pin, verbose); - if (CMD_EXEC_SUCCESS != status) { - return CMD_EXEC_FATAL_ERROR; - } - if (curr_tap_usage) { - curr_spine_usage = true; - } - /* Update status */ spine_usage = curr_spine_usage; From 53ba2f0c295eef7ed15f8215bafe2cccb0bbae76 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 27 Jun 2024 15:53:17 -0700 Subject: [PATCH 062/230] [core] fixed a critical bug where some switching points are missing --- openfpga/src/annotation/route_clock_rr_graph.cpp | 9 ++++++--- 1 file changed, 6 insertions(+), 3 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index d401e3e90..ad80d520a 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -299,7 +299,8 @@ static int rec_expand_and_route_clock_spine( * As such, it is easy to turn off spines by any stop. * The spine should go in a straight line, connect all the stops on the line */ bool prev_stop_usage = false; - for (size_t icoord = spine_coords.size() - 1; icoord >= 0; --icoord) { + std::reverse(spine_coords.begin(), spine_coords.end()); + for (size_t icoord = 0; icoord < spine_coords.size(); ++icoord) { vtr::Point switch_point_coord = spine_coords[icoord]; bool curr_stop_usage = false; /* Expand on the switching point here */ @@ -332,12 +333,14 @@ static int rec_expand_and_route_clock_spine( continue; } /* Skip the first stop */ - if (icoord == 0) { + if (icoord == spine_coords.size() - 1) { continue; } /* Connect only when next stop is used */ - vtr::Point src_coord = spine_coords[icoord - 1]; + vtr::Point src_coord = spine_coords[icoord + 1]; vtr::Point des_coord = spine_coords[icoord]; + VTR_LOGV(verbose, "(icoord=%lu) Expanding on backbone of spine '%s' from (x=%lu, y=%lu) to (x=%lu, y=%lu)...\n", + icoord, clk_ntwk.spine_name(curr_spine).c_str(), src_coord.x(), src_coord.y(), des_coord.x(), des_coord.y()); Direction src_spine_direction = clk_ntwk.spine_direction(curr_spine); Direction des_spine_direction = clk_ntwk.spine_direction(curr_spine); ClockLevelId src_spine_level = clk_ntwk.spine_level(curr_spine); From f5b6774eb0c1cfba6631730b6961602389869d8f Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 28 Jun 2024 12:21:33 -0700 Subject: [PATCH 063/230] [core] add code comments and fixed some bugs --- .../src/annotation/route_clock_rr_graph.cpp | 18 ++++++++++++++++++ 1 file changed, 18 insertions(+) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index ad80d520a..393740309 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -268,6 +268,21 @@ static int route_spine_taps( * des_spine_bottom[0...N] * * <-------------------------------------------- direction to walk through + * + * + * On each stop, we expand the spine to switch points and tap points + * - If the previous stop is used (connection to des_spines are required), then the current stop should be connected to the previous stop + * - If previous stop is not used, while the des_spines are required to connect, then the current stop should be connected to the previous stop + * - Only when previous stops and des_spines are not used, the current stop will be NOT connected to the previous stop + * + * des_spine_top[i] + * ^ + * | + * spine_curr_stop ---->+->spine_prev_stop + * | + * v + * des_spine_bottom[i] + * *******************************************************************/ static int rec_expand_and_route_clock_spine( @@ -303,6 +318,9 @@ static int rec_expand_and_route_clock_spine( for (size_t icoord = 0; icoord < spine_coords.size(); ++icoord) { vtr::Point switch_point_coord = spine_coords[icoord]; bool curr_stop_usage = false; + if (icoord == 0) { + prev_stop_usage = true; /* The first stop is always used */ + } /* Expand on the switching point here */ for (ClockSwitchPointId switch_point_id : clk_ntwk.find_spine_switch_points_with_coord(curr_spine, switch_point_coord)) { From 1094af9f7331e2010ae05d2d3390c97eb86ba3d6 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 28 Jun 2024 12:38:40 -0700 Subject: [PATCH 064/230] [doc] add new options to route clock graph --- .../openfpga_commands/setup_commands.rst | 13 +++++++++++-- 1 file changed, 11 insertions(+), 2 deletions(-) diff --git a/docs/source/manual/openfpga_shell/openfpga_commands/setup_commands.rst b/docs/source/manual/openfpga_shell/openfpga_commands/setup_commands.rst index ea2a20723..2bf9e4ccb 100644 --- a/docs/source/manual/openfpga_shell/openfpga_commands/setup_commands.rst +++ b/docs/source/manual/openfpga_shell/openfpga_commands/setup_commands.rst @@ -130,8 +130,17 @@ Clock signals will be auto-detected and routed based on pin constraints which ar .. option:: --pin_constraints_file or -pcf - Specify the *Pin Constraints File* (PCF) when the clock network contains multiple clock pins. For example, ``-pin_constraints_file pin_constraints.xml`` - Strongly recommend for multi-clock network. See detailed file format about :ref:`file_format_pin_constraints_file`. + Specify the *Pin Constraints File* (PCF) when the clock network contains multiple clock pins. For example, ``-pin_constraints_file pin_constraints.xml``. Strongly recommend for multi-clock network. See detailed file format about :ref:`file_format_pin_constraints_file`. + +.. note:: If there is a global net, e.g., ``clk`` or ``reset``, which will be driven by an internal resource, it should also be defined in the PCF file. + + .. option:: --disable_unused_trees + + Disable entire clock trees when they are not used by any clock nets. Useful to reduce clock power + + .. option:: --disable_unused_spines + + Disable part of the clock tree which are used by clock nets. Useful to reduce clock power .. option:: --verbose From ad5795bece97f75ccd9860c8048507d3d7b9d29a Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 28 Jun 2024 13:39:41 -0700 Subject: [PATCH 065/230] [test] add extra options to route clock rr_graph command in examples --- .../example_clkntwk_full_tb_script.openfpga | 2 +- .../example_clkntwk_no_ace_script.openfpga | 2 +- .../openfpga_shell_scripts/example_clkntwk_script.openfpga | 2 +- .../clock_network/homo_1clock_1reset_2layer/config/task.conf | 1 + .../homo_1clock_1reset_2layer_internal_driver/config/task.conf | 1 + .../clock_network/homo_1clock_2layer/config/task.conf | 1 + .../clock_network/homo_1clock_2layer_full_tb/config/task.conf | 1 + .../clock_network/homo_2clock_2layer/config/task.conf | 1 + 8 files changed, 8 insertions(+), 3 deletions(-) diff --git a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_full_tb_script.openfpga b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_full_tb_script.openfpga index 92cd639ca..24821bc91 100644 --- a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_full_tb_script.openfpga +++ b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_full_tb_script.openfpga @@ -22,7 +22,7 @@ append_clock_rr_graph link_openfpga_arch --activity_file ${ACTIVITY_FILE} --sort_gsb_chan_node_in_edges # Route clock based on clock network definition -route_clock_rr_graph +route_clock_rr_graph ${OPENFPGA_ROUTE_CLOCK_OPTIONS} # Check and correct any naming conflicts in the BLIF netlist check_netlist_naming_conflict --fix --report ./netlist_renaming.xml diff --git a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga index f62d62ecc..f48be422f 100644 --- a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga +++ b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga @@ -22,7 +22,7 @@ append_clock_rr_graph link_openfpga_arch --sort_gsb_chan_node_in_edges # Route clock based on clock network definition -route_clock_rr_graph --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} +route_clock_rr_graph ${OPENFPGA_ROUTE_CLOCK_OPTIONS} --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} # Check and correct any naming conflicts in the BLIF netlist check_netlist_naming_conflict --fix --report ./netlist_renaming.xml diff --git a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_script.openfpga b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_script.openfpga index 83cc44860..4d9b21770 100644 --- a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_script.openfpga +++ b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_script.openfpga @@ -22,7 +22,7 @@ append_clock_rr_graph link_openfpga_arch --activity_file ${ACTIVITY_FILE} --sort_gsb_chan_node_in_edges # Route clock based on clock network definition -route_clock_rr_graph --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} +route_clock_rr_graph ${OPENFPGA_ROUTE_CLOCK_OPTIONS} --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} # Check and correct any naming conflicts in the BLIF netlist check_netlist_naming_conflict --fix --report ./netlist_renaming.xml diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf index d47ef31c7..e6204e15a 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf @@ -24,6 +24,7 @@ openfpga_vpr_device_layout=2x2 openfpga_vpr_route_chan_width=40 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping +openfpga_route_clock_options= [ARCHITECTURES] arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf index 6bc568fb0..a88b6c9b1 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf @@ -24,6 +24,7 @@ openfpga_vpr_device_layout=2x2 openfpga_vpr_route_chan_width=40 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer_int_driver.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping +openfpga_route_clock_options= [ARCHITECTURES] arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/task.conf index b05af260f..295943e3e 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/task.conf @@ -24,6 +24,7 @@ openfpga_vpr_device_layout=2x2 openfpga_vpr_route_chan_width=24 openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/dummy_repack_constraints.xml openfpga_pin_constraints_file=${PATH:TASK_DIR}/config/dummy_pin_constraints.xml +openfpga_route_clock_options= [ARCHITECTURES] arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_Ntwk1clk2lvl_40nm.xml diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/task.conf index ef0ce93fd..f42445c51 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/task.conf @@ -22,6 +22,7 @@ openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_2layer.xml openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml openfpga_vpr_device_layout=2x2 openfpga_vpr_route_chan_width=24 +openfpga_route_clock_options= [ARCHITECTURES] arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_Ntwk1clk2lvl_40nm.xml diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/task.conf index 190ff11e0..d75606c31 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/task.conf @@ -24,6 +24,7 @@ openfpga_vpr_device_layout=2x2 openfpga_vpr_route_chan_width=24 openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_constraints.xml openfpga_pin_constraints_file=${PATH:TASK_DIR}/config/pin_constraints.xml +openfpga_route_clock_options= [ARCHITECTURES] arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_Ntwk2clk2lvl_40nm.xml From f1a4304ee75cecaf635b3e190364d0bdb8171923 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 28 Jun 2024 13:43:53 -0700 Subject: [PATCH 066/230] [test] add new testcases for validate clock tree disable functions --- .../config/clk_arch_1clk_1rst_2layer.xml | 32 +++++++++++ .../config/pin_constraints_reset.xml | 7 +++ .../config/pin_constraints_resetb.xml | 7 +++ .../config/repack_pin_constraints.xml | 4 ++ .../config/task.conf | 54 +++++++++++++++++++ .../config/clk_arch_2clk_2layer.xml | 18 +++++++ .../config/pin_constraints.xml | 4 ++ .../config/repack_constraints.xml | 5 ++ .../config/task.conf | 41 ++++++++++++++ .../config/clk_arch_2clk_2layer.xml | 18 +++++++ .../config/pin_constraints.xml | 4 ++ .../config/repack_constraints.xml | 5 ++ .../config/task.conf | 41 ++++++++++++++ 13 files changed, 240 insertions(+) create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/clk_arch_1clk_1rst_2layer.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_reset.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_resetb.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/repack_pin_constraints.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/pin_constraints.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/repack_constraints.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/task.conf create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/pin_constraints.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/repack_constraints.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/task.conf diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/clk_arch_1clk_1rst_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/clk_arch_1clk_1rst_2layer.xml new file mode 100644 index 000000000..6c05921c7 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/clk_arch_1clk_1rst_2layer.xml @@ -0,0 +1,32 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_reset.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_reset.xml new file mode 100644 index 000000000..abcf209f6 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_reset.xml @@ -0,0 +1,7 @@ + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_resetb.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_resetb.xml new file mode 100644 index 000000000..cdef2ad86 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_resetb.xml @@ -0,0 +1,7 @@ + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/repack_pin_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/repack_pin_constraints.xml new file mode 100644 index 000000000..06a125111 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/repack_pin_constraints.xml @@ -0,0 +1,4 @@ + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf new file mode 100644 index 000000000..50f4280f3 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf @@ -0,0 +1,54 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = false +spice_output=false +verilog_output=true +timeout_each_job = 3*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml +openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml +openfpga_vpr_device_layout=2x2 +openfpga_vpr_route_chan_width=40 +openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml +openfpga_verilog_testbench_port_mapping=--explicit_port_mapping +openfpga_route_clock_options=--disable_unused_spines + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/counters/counter_8bit_async_reset/counter.v +bench1=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/counters/counter_8bit_async_resetb/counter.v + +[SYNTHESIS_PARAM] +# Yosys script parameters +bench_yosys_cell_sim_verilog_common=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_yosys_techlib/openfpga_dff_sim.v +bench_yosys_dff_map_verilog_common=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_yosys_techlib/openfpga_dff_map.v +bench_read_verilog_options_common = -nolatches +bench_yosys_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_dff_flow.ys +bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_flow_with_rewrite.ys;${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_rewrite_flow.ys + +bench0_top = counter +bench0_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_reset.xml +bench0_openfpga_verilog_testbench_port_mapping= + +bench1_top = counter +bench1_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_resetb.xml +bench1_openfpga_verilog_testbench_port_mapping= + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= +vpr_fpga_verilog_formal_verification_top_netlist= diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml new file mode 100644 index 000000000..8c224318c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/pin_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/pin_constraints.xml new file mode 100644 index 000000000..1989d1eea --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/pin_constraints.xml @@ -0,0 +1,4 @@ + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/repack_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/repack_constraints.xml new file mode 100644 index 000000000..eb0c4435a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/repack_constraints.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/task.conf new file mode 100644 index 000000000..d08fcf15a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/task.conf @@ -0,0 +1,41 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 20*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/example_clkntwk_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml +openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_2clk_2layer.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml +openfpga_vpr_device_layout=2x2 +openfpga_vpr_route_chan_width=24 +openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_constraints.xml +openfpga_pin_constraints_file=${PATH:TASK_DIR}/config/pin_constraints.xml +openfpga_route_clock_options=--disable_unused_trees --disable_unused_spines + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_Ntwk2clk2lvl_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/and2_latch/and2_latch.v + +[SYNTHESIS_PARAM] +bench_read_verilog_options_common = -nolatches +bench0_top = and2_latch + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= +vpr_fpga_verilog_formal_verification_top_netlist= diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml new file mode 100644 index 000000000..8c224318c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/pin_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/pin_constraints.xml new file mode 100644 index 000000000..1989d1eea --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/pin_constraints.xml @@ -0,0 +1,4 @@ + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/repack_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/repack_constraints.xml new file mode 100644 index 000000000..eb0c4435a --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/repack_constraints.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/task.conf new file mode 100644 index 000000000..8e58aec0c --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/task.conf @@ -0,0 +1,41 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 20*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/example_clkntwk_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml +openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_2clk_2layer.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml +openfpga_vpr_device_layout=2x2 +openfpga_vpr_route_chan_width=24 +openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_constraints.xml +openfpga_pin_constraints_file=${PATH:TASK_DIR}/config/pin_constraints.xml +openfpga_route_clock_options=--disable_unused_trees + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_Ntwk2clk2lvl_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/and2_latch/and2_latch.v + +[SYNTHESIS_PARAM] +bench_read_verilog_options_common = -nolatches +bench0_top = and2_latch + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= +vpr_fpga_verilog_formal_verification_top_netlist= From f4dd222c47299604993cc00a4fbd476319b25123 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 28 Jun 2024 13:45:36 -0700 Subject: [PATCH 067/230] [test] deploy new testcases to basic reg tests --- openfpga_flow/regression_test_scripts/basic_reg_test.sh | 3 +++ 1 file changed, 3 insertions(+) diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index 20b2e3bf0..476e8c010 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -232,7 +232,10 @@ echo -e "Testing programmable clock architecture"; run-task basic_tests/clock_network/homo_1clock_2layer $@ run-task basic_tests/clock_network/homo_1clock_2layer_full_tb $@ run-task basic_tests/clock_network/homo_2clock_2layer $@ +run-task basic_tests/clock_network/homo_2clock_2layer_disable_unused $@ +run-task basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree $@ run-task basic_tests/clock_network/homo_1clock_1_reset_2layer $@ +run-task basic_tests/clock_network/homo_1clock_1_reset_2layer_disable_unused_spines $@ run-task basic_tests/clock_network/homo_1clock_1_reset_2layer_internal_driver $@ echo -e "Testing configuration chain of a K4N4 FPGA using .blif generated by yosys+verific"; From 5cfd23747ba0d2c21c053cd20d769766202b7d20 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 28 Jun 2024 13:47:03 -0700 Subject: [PATCH 068/230] [core] code format --- .../src/base/clock_network.cpp | 6 +- .../src/base/clock_network.h | 3 +- .../src/annotation/route_clock_rr_graph.cpp | 157 ++++++++++-------- .../src/annotation/route_clock_rr_graph.h | 6 +- .../src/base/openfpga_link_arch_template.h | 3 +- .../base/openfpga_setup_command_template.h | 8 +- 6 files changed, 104 insertions(+), 79 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index a34868be5..ed8e050e7 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -333,9 +333,9 @@ vtr::Point ClockNetwork::spine_switch_point( return spine_switch_coords_[spine_id][size_t(switch_point_id)]; } -std::vector ClockNetwork::find_spine_switch_points_with_coord( - const ClockSpineId& spine_id, - const vtr::Point& coord) const { +std::vector +ClockNetwork::find_spine_switch_points_with_coord( + const ClockSpineId& spine_id, const vtr::Point& coord) const { VTR_ASSERT(valid_spine_id(spine_id)); std::vector ret; for (size_t i = 0; i < spine_switch_points_[spine_id].size(); ++i) { diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 0fc15e471..2921340fd 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -127,7 +127,8 @@ class ClockNetwork { const ClockSwitchPointId& switch_point_id) const; /* Find all the switching points at a given coordinate */ - std::vector find_spine_switch_points_with_coord(const ClockSpineId& spine_id, const vtr::Point& coord) const; + std::vector find_spine_switch_points_with_coord( + const ClockSpineId& spine_id, const vtr::Point& coord) const; std::vector spine_switch_point_internal_drivers( const ClockSpineId& spine_id, diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 393740309..9fbc55747 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -84,15 +84,13 @@ static int build_clock_tree_net_map( * - connect internal driver to routing track *******************************************************************/ static int route_clock_spine_switch_point( - VprRoutingAnnotation& vpr_routing_annotation, - const RRGraphView& rr_graph, + VprRoutingAnnotation& vpr_routing_annotation, const RRGraphView& rr_graph, const RRClockSpatialLookup& clk_rr_lookup, const vtr::vector& rr_node_gnets, const std::map& tree2clk_pin_map, const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, const ClockSpineId& ispine, const ClockTreePinId& ipin, - const ClockSwitchPointId& switch_point_id, - const bool& verbose) { + const ClockSwitchPointId& switch_point_id, const bool& verbose) { VTR_LOGV(verbose, "Routing switch points of spine '%s'...\n", clk_ntwk.spine_name(ispine).c_str()); vtr::Point src_coord = @@ -117,8 +115,7 @@ static int route_clock_spine_switch_point( * global net is mapped to the internal driver, use it as the previous * node */ size_t use_int_driver = 0; - if (!clk_ntwk - .spine_switch_point_internal_drivers(ispine, switch_point_id) + if (!clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id) .empty() && tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { for (RREdgeId cand_edge : rr_graph.node_in_edges(des_node)) { @@ -137,8 +134,11 @@ static int route_clock_spine_switch_point( vpr_routing_annotation.set_rr_node_net(des_node, tree2clk_pin_map.at(ipin)); use_int_driver++; - VTR_LOGV(verbose, "Routed switch points of spine '%s' at the switching point (%lu, %lu) using internal driver\n", - clk_ntwk.spine_name(ispine).c_str(), src_coord.x(), src_coord.y()); + VTR_LOGV(verbose, + "Routed switch points of spine '%s' at the switching point " + "(%lu, %lu) using internal driver\n", + clk_ntwk.spine_name(ispine).c_str(), src_coord.x(), + src_coord.y()); } } if (use_int_driver > 1) { @@ -152,18 +152,18 @@ static int route_clock_spine_switch_point( if (use_int_driver == 1) { return CMD_EXEC_SUCCESS; /* Used internal driver, early pass */ } - VTR_LOGV(verbose, "Routed switch points of spine '%s' from (x=%lu, y=%lu) to spine '%s' at (x=%lu, y=%lu)\n", + VTR_LOGV(verbose, + "Routed switch points of spine '%s' from (x=%lu, y=%lu) to spine " + "'%s' at (x=%lu, y=%lu)\n", clk_ntwk.spine_name(ispine).c_str(), src_coord.x(), src_coord.y(), - clk_ntwk.spine_name(des_spine).c_str(), des_coord.x(), des_coord.y()); - vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, - src_node); + clk_ntwk.spine_name(des_spine).c_str(), des_coord.x(), + des_coord.y()); + vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); /* It could happen that there is no net mapped some clock pin, skip the * net mapping */ if (tree2clk_pin_map.find(ipin) != tree2clk_pin_map.end()) { - vpr_routing_annotation.set_rr_node_net(src_node, - tree2clk_pin_map.at(ipin)); - vpr_routing_annotation.set_rr_node_net(des_node, - tree2clk_pin_map.at(ipin)); + vpr_routing_annotation.set_rr_node_net(src_node, tree2clk_pin_map.at(ipin)); + vpr_routing_annotation.set_rr_node_net(des_node, tree2clk_pin_map.at(ipin)); } return CMD_EXEC_SUCCESS; @@ -174,15 +174,12 @@ static int route_clock_spine_switch_point( * - Only connect to tap points which are mapped by a global net *******************************************************************/ static int route_spine_taps( - VprRoutingAnnotation& vpr_routing_annotation, - bool& spine_usage, - const RRGraphView& rr_graph, - const RRClockSpatialLookup& clk_rr_lookup, + VprRoutingAnnotation& vpr_routing_annotation, bool& spine_usage, + const RRGraphView& rr_graph, const RRClockSpatialLookup& clk_rr_lookup, const vtr::vector& rr_node_gnets, const std::map& tree2clk_pin_map, const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, - const ClockSpineId& ispine, const ClockTreePinId& ipin, - const bool& verbose) { + const ClockSpineId& ispine, const ClockTreePinId& ipin, const bool& verbose) { std::vector> spine_coords = clk_ntwk.spine_coordinates(ispine); size_t spine_tap_cnt = 0; @@ -227,15 +224,14 @@ static int route_spine_taps( } VTR_ASSERT(rr_graph.valid_node(src_node)); VTR_ASSERT(rr_graph.valid_node(des_node)); - VTR_LOGV(verbose, - "Routed clock tap of spine '%s'\n", + VTR_LOGV(verbose, "Routed clock tap of spine '%s'\n", clk_ntwk.spine_name(ispine).c_str()); vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); - vpr_routing_annotation.set_rr_node_net( - src_node, tree2clk_pin_map.at(ipin)); - vpr_routing_annotation.set_rr_node_net( - des_node, tree2clk_pin_map.at(ipin)); + vpr_routing_annotation.set_rr_node_net(src_node, + tree2clk_pin_map.at(ipin)); + vpr_routing_annotation.set_rr_node_net(des_node, + tree2clk_pin_map.at(ipin)); /* Increment upon any required tap */ spine_tap_cnt++; } @@ -253,12 +249,13 @@ static int route_spine_taps( * Recursively route a clock spine on an existing routing resource graph * The strategy is to route spine one by one * - route the spine from the ending point to starting point (straight line) - * - for each stops on the staight line, route the spine-to-spine switching points + * - for each stops on the staight line, route the spine-to-spine switching + points * - for each switching point (des_spine_top|bottom), go recursively * - If the downstream spine at any switching point is not used, disconnect * - If any stop on the spine (straght line) is not used, disconnect * - route the spine-to-IPIN connections (only for the last level) - * + * * des_spine_top[0...N] * ^ ^ ^ ^ * | | | | @@ -271,10 +268,13 @@ static int route_spine_taps( * * * On each stop, we expand the spine to switch points and tap points - * - If the previous stop is used (connection to des_spines are required), then the current stop should be connected to the previous stop - * - If previous stop is not used, while the des_spines are required to connect, then the current stop should be connected to the previous stop - * - Only when previous stops and des_spines are not used, the current stop will be NOT connected to the previous stop - * + * - If the previous stop is used (connection to des_spines are required), then + the current stop should be connected to the previous stop + * - If previous stop is not used, while the des_spines are required to + connect, then the current stop should be connected to the previous stop + * - Only when previous stops and des_spines are not used, the current stop + will be NOT connected to the previous stop + * * des_spine_top[i] * ^ * | @@ -286,21 +286,20 @@ static int route_spine_taps( * *******************************************************************/ static int rec_expand_and_route_clock_spine( - VprRoutingAnnotation& vpr_routing_annotation, - bool& spine_usage, - const RRGraphView& rr_graph, - const RRClockSpatialLookup& clk_rr_lookup, + VprRoutingAnnotation& vpr_routing_annotation, bool& spine_usage, + const RRGraphView& rr_graph, const RRClockSpatialLookup& clk_rr_lookup, const vtr::vector& rr_node_gnets, const std::map& tree2clk_pin_map, const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, const ClockSpineId& curr_spine, const ClockTreePinId& curr_pin, - const bool& disable_unused_spines, - const bool& verbose) { + const bool& disable_unused_spines, const bool& verbose) { int status = CMD_EXEC_SUCCESS; bool curr_spine_usage = false; bool curr_tap_usage = false; /* For last level, we just connect tap points */ - status = route_spine_taps(vpr_routing_annotation, curr_tap_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, curr_spine, curr_pin, verbose); + status = route_spine_taps(vpr_routing_annotation, curr_tap_usage, rr_graph, + clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, + clk_ntwk, clk_tree, curr_spine, curr_pin, verbose); if (CMD_EXEC_SUCCESS != status) { return CMD_EXEC_FATAL_ERROR; } @@ -312,7 +311,8 @@ static int rec_expand_and_route_clock_spine( clk_ntwk.spine_coordinates(curr_spine); /* We expand from the the ending point to starting point on the straight line. * As such, it is easy to turn off spines by any stop. - * The spine should go in a straight line, connect all the stops on the line */ + * The spine should go in a straight line, connect all the stops on the line + */ bool prev_stop_usage = false; std::reverse(spine_coords.begin(), spine_coords.end()); for (size_t icoord = 0; icoord < spine_coords.size(); ++icoord) { @@ -323,33 +323,46 @@ static int rec_expand_and_route_clock_spine( } /* Expand on the switching point here */ for (ClockSwitchPointId switch_point_id : - clk_ntwk.find_spine_switch_points_with_coord(curr_spine, switch_point_coord)) { + clk_ntwk.find_spine_switch_points_with_coord(curr_spine, + switch_point_coord)) { ClockSpineId des_spine = clk_ntwk.spine_switch_point_tap(curr_spine, switch_point_id); /* Go recursively for the destination spine */ bool curr_branch_usage = false; - status = rec_expand_and_route_clock_spine(vpr_routing_annotation, curr_branch_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, des_spine, curr_pin, disable_unused_spines, verbose); + status = rec_expand_and_route_clock_spine( + vpr_routing_annotation, curr_branch_usage, rr_graph, clk_rr_lookup, + rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, des_spine, + curr_pin, disable_unused_spines, verbose); if (CMD_EXEC_SUCCESS != status) { return CMD_EXEC_FATAL_ERROR; } /* Connect only when the destination spine is used */ if (disable_unused_spines && !curr_branch_usage) { - VTR_LOGV(verbose, "Disconnect switching from spine '%s' to spine '%s' as downstream is not used\n", - clk_ntwk.spine_name(curr_spine).c_str(), clk_ntwk.spine_name(des_spine).c_str()); + VTR_LOGV(verbose, + "Disconnect switching from spine '%s' to spine '%s' as " + "downstream is not used\n", + clk_ntwk.spine_name(curr_spine).c_str(), + clk_ntwk.spine_name(des_spine).c_str()); continue; - } + } curr_stop_usage = true; /* Now connect to next spine, internal drivers may join */ - status = route_clock_spine_switch_point(vpr_routing_annotation, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, curr_spine, curr_pin, switch_point_id, verbose); + status = route_clock_spine_switch_point( + vpr_routing_annotation, rr_graph, clk_rr_lookup, rr_node_gnets, + tree2clk_pin_map, clk_ntwk, clk_tree, curr_spine, curr_pin, + switch_point_id, verbose); if (CMD_EXEC_SUCCESS != status) { return CMD_EXEC_FATAL_ERROR; } } if (disable_unused_spines && !curr_stop_usage && !prev_stop_usage) { - VTR_LOGV(verbose, "Disconnect backbone of spine '%s' at (x=%lu, y=%lu) as downstream is not used\n", - clk_ntwk.spine_name(curr_spine).c_str(), switch_point_coord.x(), switch_point_coord.y()); + VTR_LOGV(verbose, + "Disconnect backbone of spine '%s' at (x=%lu, y=%lu) as " + "downstream is not used\n", + clk_ntwk.spine_name(curr_spine).c_str(), switch_point_coord.x(), + switch_point_coord.y()); continue; - } + } /* Skip the first stop */ if (icoord == spine_coords.size() - 1) { continue; @@ -357,8 +370,11 @@ static int rec_expand_and_route_clock_spine( /* Connect only when next stop is used */ vtr::Point src_coord = spine_coords[icoord + 1]; vtr::Point des_coord = spine_coords[icoord]; - VTR_LOGV(verbose, "(icoord=%lu) Expanding on backbone of spine '%s' from (x=%lu, y=%lu) to (x=%lu, y=%lu)...\n", - icoord, clk_ntwk.spine_name(curr_spine).c_str(), src_coord.x(), src_coord.y(), des_coord.x(), des_coord.y()); + VTR_LOGV(verbose, + "(icoord=%lu) Expanding on backbone of spine '%s' from (x=%lu, " + "y=%lu) to (x=%lu, y=%lu)...\n", + icoord, clk_ntwk.spine_name(curr_spine).c_str(), src_coord.x(), + src_coord.y(), des_coord.x(), des_coord.y()); Direction src_spine_direction = clk_ntwk.spine_direction(curr_spine); Direction des_spine_direction = clk_ntwk.spine_direction(curr_spine); ClockLevelId src_spine_level = clk_ntwk.spine_level(curr_spine); @@ -371,15 +387,17 @@ static int rec_expand_and_route_clock_spine( des_spine_level, curr_pin, des_spine_direction); VTR_ASSERT(rr_graph.valid_node(src_node)); VTR_ASSERT(rr_graph.valid_node(des_node)); - VTR_LOGV(verbose, "Routed backbone of spine '%s' from (x=%lu, y=%lu) to (x=%lu, y=%lu)...\n", - clk_ntwk.spine_name(curr_spine).c_str(), src_coord.x(), src_coord.y(), des_coord.x(), des_coord.y()); - vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, - src_node); + VTR_LOGV(verbose, + "Routed backbone of spine '%s' from (x=%lu, y=%lu) to (x=%lu, " + "y=%lu)...\n", + clk_ntwk.spine_name(curr_spine).c_str(), src_coord.x(), + src_coord.y(), des_coord.x(), des_coord.y()); + vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); prev_stop_usage = true; curr_spine_usage = true; } /* Update status */ - spine_usage = curr_spine_usage; + spine_usage = curr_spine_usage; return CMD_EXEC_SUCCESS; } @@ -397,20 +415,24 @@ static int route_clock_tree_rr_graph( const vtr::vector& rr_node_gnets, const std::map& tree2clk_pin_map, const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, - const bool& disable_unused_trees, - const bool& disable_unused_spines, + const bool& disable_unused_trees, const bool& disable_unused_spines, const bool& verbose) { for (auto ipin : clk_ntwk.pins(clk_tree)) { /* Do not route unused clock spines */ - if (disable_unused_trees && tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { + if (disable_unused_trees && + tree2clk_pin_map.find(ipin) == tree2clk_pin_map.end()) { VTR_LOGV(verbose, "Skip routing unused tree '%s' pin '%lu'...\n", clk_ntwk.tree_name(clk_tree).c_str(), size_t(ipin)); continue; } - /* Start with the top-level spines. Recursively walk through coordinates and expand on switch points */ + /* Start with the top-level spines. Recursively walk through coordinates and + * expand on switch points */ bool tree_usage = false; for (auto top_spine : clk_ntwk.tree_top_spines(clk_tree)) { - int status = rec_expand_and_route_clock_spine(vpr_routing_annotation, tree_usage, rr_graph, clk_rr_lookup, rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, top_spine, ipin, disable_unused_spines, verbose); + int status = rec_expand_and_route_clock_spine( + vpr_routing_annotation, tree_usage, rr_graph, clk_rr_lookup, + rr_node_gnets, tree2clk_pin_map, clk_ntwk, clk_tree, top_spine, ipin, + disable_unused_spines, verbose); if (CMD_EXEC_SUCCESS != status) { return CMD_EXEC_FATAL_ERROR; } @@ -435,10 +457,8 @@ int route_clock_rr_graph( const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, const VprNetlistAnnotation& netlist_annotation, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, - const PinConstraints& pin_constraints, - const bool& disable_unused_trees, - const bool& disable_unused_spines, - const bool& verbose) { + const PinConstraints& pin_constraints, const bool& disable_unused_trees, + const bool& disable_unused_spines, const bool& verbose) { vtr::ScopedStartFinishTimer timer( "Route programmable clock network based on routing resource graph"); @@ -490,7 +510,8 @@ int route_clock_rr_graph( clk_ntwk.tree_name(itree).c_str()); status = route_clock_tree_rr_graph( vpr_routing_annotation, vpr_device_ctx.rr_graph, clk_rr_lookup, - rr_node_gnets, tree2clk_pin_map, clk_ntwk, itree, disable_unused_trees, disable_unused_spines, verbose); + rr_node_gnets, tree2clk_pin_map, clk_ntwk, itree, disable_unused_trees, + disable_unused_spines, verbose); if (status == CMD_EXEC_FATAL_ERROR) { return status; } diff --git a/openfpga/src/annotation/route_clock_rr_graph.h b/openfpga/src/annotation/route_clock_rr_graph.h index 13bff0eea..ee962d6d4 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.h +++ b/openfpga/src/annotation/route_clock_rr_graph.h @@ -24,10 +24,8 @@ int route_clock_rr_graph( const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, const VprNetlistAnnotation& netlist_annotation, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, - const PinConstraints& pin_constraints, - const bool& disable_unused_trees, - const bool& disable_unused_spines, - const bool& verbose); + const PinConstraints& pin_constraints, const bool& disable_unused_trees, + const bool& disable_unused_spines, const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/base/openfpga_link_arch_template.h b/openfpga/src/base/openfpga_link_arch_template.h index e0fec4742..24971105c 100644 --- a/openfpga/src/base/openfpga_link_arch_template.h +++ b/openfpga/src/base/openfpga_link_arch_template.h @@ -220,7 +220,8 @@ int route_clock_rr_graph_template(T& openfpga_ctx, const Command& cmd, /* add an option '--pin_constraints_file in short '-pcf' */ CommandOptionId opt_pcf = cmd.option("pin_constraints_file"); CommandOptionId opt_disable_unused_trees = cmd.option("disable_unused_trees"); - CommandOptionId opt_disable_unused_spines = cmd.option("disable_unused_spines"); + CommandOptionId opt_disable_unused_spines = + cmd.option("disable_unused_spines"); CommandOptionId opt_verbose = cmd.option("verbose"); /* If pin constraints are enabled by command options, read the file */ diff --git a/openfpga/src/base/openfpga_setup_command_template.h b/openfpga/src/base/openfpga_setup_command_template.h index 169d3cf9a..53e43f00f 100644 --- a/openfpga/src/base/openfpga_setup_command_template.h +++ b/openfpga/src/base/openfpga_setup_command_template.h @@ -710,8 +710,12 @@ ShellCommandId add_route_clock_rr_graph_command_template( shell_cmd.set_option_short_name(opt_file, "pcf"); shell_cmd.set_option_require_value(opt_file, openfpga::OPT_STRING); - shell_cmd.add_option("disable_unused_trees", false, "Disable entire clock trees when they are not used by any clock nets. Useful to reduce clock power"); - shell_cmd.add_option("disable_unused_spines", false, "Disable part of the clock tree which are used by clock nets. Useful to reduce clock power"); + shell_cmd.add_option("disable_unused_trees", false, + "Disable entire clock trees when they are not used by " + "any clock nets. Useful to reduce clock power"); + shell_cmd.add_option("disable_unused_spines", false, + "Disable part of the clock tree which are used by clock " + "nets. Useful to reduce clock power"); /* Add an option '--verbose' */ shell_cmd.add_option("verbose", false, "Show verbose outputs"); From e0b9f7860b0dc7b7c4aa1ea79c341b54b02af792 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 28 Jun 2024 14:10:14 -0700 Subject: [PATCH 069/230] [core] fixed a bug where counter for gnets are not activated --- openfpga/src/annotation/openfpga_annotate_routing.cpp | 1 + 1 file changed, 1 insertion(+) diff --git a/openfpga/src/annotation/openfpga_annotate_routing.cpp b/openfpga/src/annotation/openfpga_annotate_routing.cpp index ad84d86e2..cfbb064ef 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.cpp +++ b/openfpga/src/annotation/openfpga_annotate_routing.cpp @@ -47,6 +47,7 @@ vtr::vector annotate_rr_node_global_net( layer, blk_loc.loc.x, blk_loc.loc.y, IPIN, phy_pin); for (RRNodeId curr_rr_node : curr_rr_nodes) { rr_node_nets[curr_rr_node] = net_id; + counter++; } } } From 0de3ff3eb8e7bad68ce6fea76778cb95ab97f3f6 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 28 Jun 2024 17:16:33 -0700 Subject: [PATCH 070/230] [core] debugging --- openfpga/src/annotation/route_clock_rr_graph.cpp | 13 +++++++++++-- 1 file changed, 11 insertions(+), 2 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 9fbc55747..f380fed6a 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -185,8 +185,8 @@ static int route_spine_taps( size_t spine_tap_cnt = 0; /* Route the spine-to-IPIN connections (only for the last level) */ if (clk_ntwk.is_last_level(ispine)) { - VTR_LOGV(verbose, "Routing clock taps of spine '%s'...\n", - clk_ntwk.spine_name(ispine).c_str()); + VTR_LOGV(verbose, "Routing clock taps of spine '%s' for pin '%d' of tree '%s'...\n", + clk_ntwk.spine_name(ispine).c_str(), size_t(ipin), clk_ntwk.tree_name(clk_tree).c_str()); /* Connect to any fan-out node which is IPIN */ for (size_t icoord = 0; icoord < spine_coords.size(); ++icoord) { vtr::Point src_coord = spine_coords[icoord]; @@ -198,6 +198,8 @@ static int route_spine_taps( for (RREdgeId edge : rr_graph.edge_range(src_node)) { RRNodeId des_node = rr_graph.edge_sink_node(edge); if (rr_graph.node_type(des_node) == IPIN) { + VTR_LOGV(verbose, "Trying to route to IPIN '%s'\n", + rr_graph.node_coordinate_to_string(des_node).c_str()); /* Check if the IPIN is mapped, if not, do not connect */ /* if the IPIN is mapped, only connect when net mapping is * expected */ @@ -393,6 +395,13 @@ static int rec_expand_and_route_clock_spine( clk_ntwk.spine_name(curr_spine).c_str(), src_coord.x(), src_coord.y(), des_coord.x(), des_coord.y()); vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); + /* It could happen that there is no net mapped some clock pin, skip the + * net mapping */ + if (tree2clk_pin_map.find(curr_pin) != tree2clk_pin_map.end()) { + vpr_routing_annotation.set_rr_node_net(src_node, tree2clk_pin_map.at(curr_pin)); + vpr_routing_annotation.set_rr_node_net(des_node, tree2clk_pin_map.at(curr_pin)); + } + prev_stop_usage = true; curr_spine_usage = true; } From 1c693659386840055821a351bbf31a3e8abce762 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 28 Jun 2024 18:17:38 -0700 Subject: [PATCH 071/230] [core] debugging --- .../src/annotation/route_clock_rr_graph.cpp | 58 ++++++++----------- .../src/annotation/route_clock_rr_graph.h | 4 +- .../src/base/openfpga_link_arch_template.h | 4 +- .../openfpga_clustered_netlist_utils.cpp | 35 +++++++++++ .../utils/openfpga_clustered_netlist_utils.h | 24 ++++++++ .../config/pin_constraints_reset.xml | 1 + .../config/pin_constraints_resetb.xml | 1 + 7 files changed, 88 insertions(+), 39 deletions(-) create mode 100644 openfpga/src/utils/openfpga_clustered_netlist_utils.cpp create mode 100644 openfpga/src/utils/openfpga_clustered_netlist_utils.h diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index f380fed6a..7b10262fa 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -2,7 +2,7 @@ #include "command_exit_codes.h" #include "openfpga_annotate_routing.h" -#include "openfpga_atom_netlist_utils.h" +#include "openfpga_clustered_netlist_utils.h" #include "vtr_assert.h" #include "vtr_geometry.h" #include "vtr_log.h" @@ -22,27 +22,26 @@ namespace openfpga { static int build_clock_tree_net_map( std::map& tree2clk_pin_map, const ClusteredNetlist& cluster_nlist, const PinConstraints& pin_constraints, - const std::vector& clk_names, const ClockNetwork& clk_ntwk, + const std::vector& gnets, const ClockNetwork& clk_ntwk, const ClockTreeId clk_tree, const bool& verbose) { /* Find the pin id for each clock name, error out if there is any mismatch */ - if (clk_names.size() == 1 && clk_ntwk.tree_width(clk_tree) == 1) { + if (clk_ntwk.num_trees() == 1 && gnets.size() == 1 && clk_ntwk.tree_width(clk_tree) == 1) { /* Find cluster net id */ - ClusterNetId clk_net = cluster_nlist.find_net(clk_names[0]); - if (!cluster_nlist.valid_net_id(clk_net)) { - VTR_LOG_ERROR("Invalid clock name '%s'! Cannot found from netlists!\n", - clk_names[0].c_str()); + if (!cluster_nlist.valid_net_id(gnets[0])) { + VTR_LOG_ERROR("Invalid clock name '%s'! Cannot be found from netlists!\n", + cluster_nlist.net_name(gnets[0]).c_str()); return CMD_EXEC_FATAL_ERROR; } - tree2clk_pin_map[ClockTreePinId(0)] = clk_net; + tree2clk_pin_map[ClockTreePinId(0)] = gnets[0]; } else { - for (std::string clk_name : clk_names) { + for (ClusterNetId gnet : gnets) { /* Find the pin information that the net should be mapped to */ - BasicPort tree_pin = pin_constraints.net_pin(clk_name); + std::string gnet_name = cluster_nlist.net_name(gnet); + BasicPort tree_pin = pin_constraints.net_pin(gnet_name); if (!tree_pin.is_valid()) { VTR_LOG_ERROR( - "Invalid tree pin for clock '%s'! Clock name may not be valid " - "(mismatched with netlists)!\n", - clk_name.c_str()); + "Global net '%s' is not mapped to a valid pin '%s' in pin constraints!\n", + gnet_name.c_str(), tree_pin.to_verilog_string().c_str()); return CMD_EXEC_FATAL_ERROR; } if (tree_pin.get_width() != 1) { @@ -50,7 +49,7 @@ static int build_clock_tree_net_map( "Invalid tree pin %s[%lu:%lu] for clock '%s'! Clock pin must have " "only a width of 1!\n", tree_pin.get_name().c_str(), tree_pin.get_lsb(), tree_pin.get_msb(), - clk_name.c_str()); + gnet_name.c_str()); return CMD_EXEC_FATAL_ERROR; } if (tree_pin.get_lsb() >= clk_ntwk.tree_width(clk_tree)) { @@ -60,15 +59,8 @@ static int build_clock_tree_net_map( clk_ntwk.tree_width(clk_tree)); return CMD_EXEC_FATAL_ERROR; } - /* Find cluster net id */ - ClusterNetId clk_net = cluster_nlist.find_net(clk_name); - if (!cluster_nlist.valid_net_id(clk_net)) { - VTR_LOG_ERROR("Invalid clock name '%s'! Cannot found from netlists!\n", - clk_name.c_str()); - return CMD_EXEC_FATAL_ERROR; - } /* Register the pin mapping */ - tree2clk_pin_map[ClockTreePinId(tree_pin.get_lsb())] = clk_net; + tree2clk_pin_map[ClockTreePinId(tree_pin.get_lsb())] = gnet; } } @@ -462,9 +454,8 @@ static int route_clock_tree_rr_graph( *******************************************************************/ int route_clock_rr_graph( VprRoutingAnnotation& vpr_routing_annotation, - const DeviceContext& vpr_device_ctx, const AtomContext& atom_ctx, + const DeviceContext& vpr_device_ctx, const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, - const VprNetlistAnnotation& netlist_annotation, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, const PinConstraints& pin_constraints, const bool& disable_unused_trees, const bool& disable_unused_spines, const bool& verbose) { @@ -479,21 +470,20 @@ int route_clock_rr_graph( return CMD_EXEC_SUCCESS; } - /* If there are multiple clock signals from the netlist, require pin + /* If there are multiple global signals from the netlist, require pin * constraints */ - std::vector clock_net_names = - find_atom_netlist_clock_port_names(atom_ctx.nlist, netlist_annotation); - if (clock_net_names.empty()) { + std::vector gnets = find_clustered_netlist_global_nets(cluster_nlist); + if (gnets.empty()) { VTR_LOG( - "Skip due to 0 clocks found from netlist\nDouble check your HDL design " + "Skip due to 0 global nets found from netlist\nDouble check your HDL design " "if this is unexpected\n"); return CMD_EXEC_SUCCESS; } - if (clock_net_names.size() > 1 && pin_constraints.empty()) { + if (gnets.size() > 1 && pin_constraints.empty()) { VTR_LOG( - "There is %lu clock nets (more than 1). Require pin constraints to be " + "There is %lu global nets (more than 1). Require pin constraints to be " "specified\n", - clock_net_names.size()); + gnets.size()); return CMD_EXEC_FATAL_ERROR; } @@ -504,13 +494,13 @@ int route_clock_rr_graph( /* Route spines one by one */ for (auto itree : clk_ntwk.trees()) { - VTR_LOGV(verbose, "Build clock name to clock tree '%s' pin mapping...\n", + VTR_LOGV(verbose, "Build global net name to clock tree '%s' pin mapping...\n", clk_ntwk.tree_name(itree).c_str()); std::map tree2clk_pin_map; int status = CMD_EXEC_SUCCESS; status = build_clock_tree_net_map(tree2clk_pin_map, cluster_nlist, pin_constraints, - clock_net_names, clk_ntwk, itree, verbose); + gnets, clk_ntwk, itree, verbose); if (status == CMD_EXEC_FATAL_ERROR) { return status; } diff --git a/openfpga/src/annotation/route_clock_rr_graph.h b/openfpga/src/annotation/route_clock_rr_graph.h index ee962d6d4..443e3d11b 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.h +++ b/openfpga/src/annotation/route_clock_rr_graph.h @@ -8,7 +8,6 @@ #include "pin_constraints.h" #include "rr_clock_spatial_lookup.h" #include "vpr_context.h" -#include "vpr_netlist_annotation.h" #include "vpr_routing_annotation.h" /******************************************************************** @@ -20,9 +19,8 @@ namespace openfpga { int route_clock_rr_graph( VprRoutingAnnotation& vpr_routing_annotation, - const DeviceContext& vpr_device_ctx, const AtomContext& atom_ctx, + const DeviceContext& vpr_device_ctx, const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, - const VprNetlistAnnotation& netlist_annotation, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, const PinConstraints& pin_constraints, const bool& disable_unused_trees, const bool& disable_unused_spines, const bool& verbose); diff --git a/openfpga/src/base/openfpga_link_arch_template.h b/openfpga/src/base/openfpga_link_arch_template.h index 24971105c..cfb5b430f 100644 --- a/openfpga/src/base/openfpga_link_arch_template.h +++ b/openfpga/src/base/openfpga_link_arch_template.h @@ -233,8 +233,8 @@ int route_clock_rr_graph_template(T& openfpga_ctx, const Command& cmd, return route_clock_rr_graph( openfpga_ctx.mutable_vpr_routing_annotation(), g_vpr_ctx.device(), - g_vpr_ctx.atom(), g_vpr_ctx.clustering().clb_nlist, g_vpr_ctx.placement(), - openfpga_ctx.vpr_netlist_annotation(), openfpga_ctx.clock_rr_lookup(), + g_vpr_ctx.clustering().clb_nlist, g_vpr_ctx.placement(), + openfpga_ctx.clock_rr_lookup(), openfpga_ctx.clock_arch(), pin_constraints, cmd_context.option_enable(cmd, opt_disable_unused_trees), cmd_context.option_enable(cmd, opt_disable_unused_spines), diff --git a/openfpga/src/utils/openfpga_clustered_netlist_utils.cpp b/openfpga/src/utils/openfpga_clustered_netlist_utils.cpp new file mode 100644 index 000000000..e4823b873 --- /dev/null +++ b/openfpga/src/utils/openfpga_clustered_netlist_utils.cpp @@ -0,0 +1,35 @@ +/*************************************************************************************** + * This file includes most utilized functions that are used to acquire data from + * VPR clustered netlist (post-packing netlist) + ***************************************************************************************/ + +/* Headers from vtrutil library */ +#include "vtr_assert.h" +#include "vtr_log.h" +#include "vtr_time.h" + +/* Headers from vtrutil library */ +#include "openfpga_clustered_netlist_utils.h" + +/* begin namespace openfpga */ +namespace openfpga { + +/*************************************************************************************** + * Find the names of all the atom blocks that drive clock nets + * This function will find if the block has been renamed due to contain + *sensitive characters that violates the Verilog syntax + ***************************************************************************************/ +std::vector find_clustered_netlist_global_nets( + const ClusteredNetlist& clb_nlist) { + std::vector gnets; + + for (ClusterNetId net_id : clb_nlist.nets()) { + if (clb_nlist.net_is_ignored(net_id)) { + gnets.push_back(net_id); + } + } + + return gnets; +} + +} /* end namespace openfpga */ diff --git a/openfpga/src/utils/openfpga_clustered_netlist_utils.h b/openfpga/src/utils/openfpga_clustered_netlist_utils.h new file mode 100644 index 000000000..252bd99f4 --- /dev/null +++ b/openfpga/src/utils/openfpga_clustered_netlist_utils.h @@ -0,0 +1,24 @@ +#ifndef OPENFPGA_CLUSTERED_NETLIST_UTILS_H +#define OPENFPGA_CLUSTERED_NETLIST_UTILS_H + +/******************************************************************** + * Include header files that are required by function declaration + *******************************************************************/ +#include +#include + +#include "clustered_netlist.h" + +/******************************************************************** + * Function declaration + *******************************************************************/ + +/* begin namespace openfpga */ +namespace openfpga { + +std::vector find_clustered_netlist_global_nets( + const ClusteredNetlist& clb_nlist); + +} /* end namespace openfpga */ + +#endif diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_reset.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_reset.xml index abcf209f6..3788a1411 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_reset.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_reset.xml @@ -3,5 +3,6 @@ - the reset signal to the op_reset[0] port of the FPGA fabric --> + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_resetb.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_resetb.xml index cdef2ad86..1311926f5 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_resetb.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/pin_constraints_resetb.xml @@ -3,5 +3,6 @@ - the reset signal to the op_reset[0] port of the FPGA fabric --> + From 8bc37080fa492047b4231b21bafc71e62a9ab89e Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 28 Jun 2024 23:06:21 -0700 Subject: [PATCH 072/230] [core] debuggging --- openfpga/src/annotation/route_clock_rr_graph.cpp | 4 +++- .../clock_network/homo_1clock_1reset_2layer/config/task.conf | 4 ++-- 2 files changed, 5 insertions(+), 3 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 7b10262fa..01b780ed5 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -59,6 +59,7 @@ static int build_clock_tree_net_map( clk_ntwk.tree_width(clk_tree)); return CMD_EXEC_FATAL_ERROR; } + /* TODO: Check the tree_pin.get_name(), see if matches the tree from ports */ /* Register the pin mapping */ tree2clk_pin_map[ClockTreePinId(tree_pin.get_lsb())] = gnet; } @@ -457,7 +458,8 @@ int route_clock_rr_graph( const DeviceContext& vpr_device_ctx, const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, - const PinConstraints& pin_constraints, const bool& disable_unused_trees, + const PinConstraints& pin_constraints, + const bool& disable_unused_trees, const bool& disable_unused_spines, const bool& verbose) { vtr::ScopedStartFinishTimer timer( "Route programmable clock network based on routing resource graph"); diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf index e6204e15a..04489c15e 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf @@ -42,11 +42,11 @@ bench_yosys_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_df bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_flow_with_rewrite.ys;${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_rewrite_flow.ys bench0_top = counter -bench0_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_reset.xml +bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_reset.xml bench0_openfpga_verilog_testbench_port_mapping= bench1_top = counter -bench1_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_resetb.xml +bench1_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_resetb.xml bench1_openfpga_verilog_testbench_port_mapping= [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] From 67554cb8d84c0760b15c75ff93fc2ad4232c9918 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 29 Jun 2024 10:04:03 -0700 Subject: [PATCH 073/230] [test] now use correct pcf for clock network testcases --- .../config/pin_constraints_reset.xml | 1 + .../config/pin_constraints_resetb.xml | 1 + .../config/task.conf | 4 ++-- .../config/pin_constraints_reset.xml | 1 + .../config/pin_constraints_resetb.xml | 1 + .../config/task.conf | 4 ++-- 6 files changed, 8 insertions(+), 4 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_reset.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_reset.xml index abcf209f6..3788a1411 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_reset.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_reset.xml @@ -3,5 +3,6 @@ - the reset signal to the op_reset[0] port of the FPGA fabric --> + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_resetb.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_resetb.xml index cdef2ad86..1311926f5 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_resetb.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/pin_constraints_resetb.xml @@ -3,5 +3,6 @@ - the reset signal to the op_reset[0] port of the FPGA fabric --> + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf index 50f4280f3..f0fe1b077 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf @@ -42,11 +42,11 @@ bench_yosys_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_df bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_flow_with_rewrite.ys;${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_rewrite_flow.ys bench0_top = counter -bench0_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_reset.xml +bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_reset.xml bench0_openfpga_verilog_testbench_port_mapping= bench1_top = counter -bench1_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_resetb.xml +bench1_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_resetb.xml bench1_openfpga_verilog_testbench_port_mapping= [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml index abcf209f6..3788a1411 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml @@ -3,5 +3,6 @@ - the reset signal to the op_reset[0] port of the FPGA fabric --> + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml index cdef2ad86..1311926f5 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml @@ -3,5 +3,6 @@ - the reset signal to the op_reset[0] port of the FPGA fabric --> + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf index a88b6c9b1..70565880f 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf @@ -42,11 +42,11 @@ bench_yosys_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_df bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_flow_with_rewrite.ys;${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_rewrite_flow.ys bench0_top = counter -bench0_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_reset.xml +bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_reset.xml bench0_openfpga_verilog_testbench_port_mapping= bench1_top = counter -bench1_openfpga_pin_constraints_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tasks/basic_tests/k4_series/k4n4_fracff/config/pin_constraints_resetb.xml +bench1_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_resetb.xml bench1_openfpga_verilog_testbench_port_mapping= [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] From 34fb00391116b68808385bf0f6b7bd3d81b4ba8e Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 29 Jun 2024 10:46:00 -0700 Subject: [PATCH 074/230] [core] replace width syntax with global port name --- libs/libclkarchopenfpga/arch/example.xml | 2 +- .../arch/example_internal_drivers.xml | 2 +- .../src/base/clock_network.cpp | 19 +++++++++++++++---- .../src/base/clock_network.h | 6 ++++-- .../src/io/clock_network_xml_constants.h | 2 +- .../src/io/read_xml_clock_network.cpp | 11 ++++++----- .../src/io/write_xml_clock_network.cpp | 4 ++-- 7 files changed, 30 insertions(+), 16 deletions(-) diff --git a/libs/libclkarchopenfpga/arch/example.xml b/libs/libclkarchopenfpga/arch/example.xml index 9cb31bdc6..9ba53a2f7 100644 --- a/libs/libclkarchopenfpga/arch/example.xml +++ b/libs/libclkarchopenfpga/arch/example.xml @@ -1,5 +1,5 @@ - + diff --git a/libs/libclkarchopenfpga/arch/example_internal_drivers.xml b/libs/libclkarchopenfpga/arch/example_internal_drivers.xml index 7a46a2094..6215ba443 100644 --- a/libs/libclkarchopenfpga/arch/example_internal_drivers.xml +++ b/libs/libclkarchopenfpga/arch/example_internal_drivers.xml @@ -1,5 +1,5 @@ - + diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index ed8e050e7..1d3ab5b47 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -217,9 +217,14 @@ size_t ClockNetwork::max_tree_depth() const { return max_size; } +BasicPort ClockNetwork::tree_global_port(const ClockTreeId& tree_id) const { + VTR_ASSERT(valid_tree_id(tree_id)); + return tree_global_ports_[tree_id]; +} + size_t ClockNetwork::tree_width(const ClockTreeId& tree_id) const { VTR_ASSERT(valid_tree_id(tree_id)); - return tree_widths_[tree_id]; + return tree_global_ports_[tree_id].get_width(); } size_t ClockNetwork::tree_depth(const ClockTreeId& tree_id) const { @@ -606,7 +611,7 @@ void ClockNetwork::reserve_spines(const size_t& num_spines) { void ClockNetwork::reserve_trees(const size_t& num_trees) { tree_ids_.reserve(num_trees); tree_names_.reserve(num_trees); - tree_widths_.reserve(num_trees); + tree_global_ports_.reserve(num_trees); tree_top_spines_.reserve(num_trees); tree_taps_.reserve(num_trees); } @@ -635,13 +640,19 @@ void ClockNetwork::set_default_driver_switch_name(const std::string& name) { default_driver_switch_name_ = name; } -ClockTreeId ClockNetwork::create_tree(const std::string& name, size_t width) { +ClockTreeId ClockNetwork::create_tree(const std::string& name, const BasicPort& global_port) { + /* Sanity checks */ + if (!global_port.is_valid()) { + VTR_LOG_ERROR("Invalid global port '%s' for clock tree name '%s'\n", + global_port.to_verilog_string().c_str(), name.c_str()); + exit(1); + } /* Create a new id */ ClockTreeId tree_id = ClockTreeId(tree_ids_.size()); tree_ids_.push_back(tree_id); tree_names_.push_back(name); - tree_widths_.push_back(width); + tree_global_ports_.push_back(global_port); tree_depths_.emplace_back(); tree_taps_.emplace_back(); tree_top_spines_.emplace_back(); diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 2921340fd..7775b6fbf 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -16,6 +16,7 @@ #include "clock_network_fwd.h" #include "rr_graph_fwd.h" #include "rr_node_types.h" +#include "openfpga_port.h" namespace openfpga { // Begin namespace openfpga @@ -87,6 +88,7 @@ class ClockNetwork { RRSwitchId default_driver_switch() const; std::string default_driver_switch_name() const; std::string tree_name(const ClockTreeId& tree_id) const; + BasicPort tree_global_port(const ClockTreeId& tree_id) const; size_t tree_width(const ClockTreeId& tree_id) const; size_t tree_depth(const ClockTreeId& tree_id) const; size_t max_tree_width() const; @@ -196,7 +198,7 @@ class ClockNetwork { void set_default_driver_switch_name(const std::string& name); /* Create a new tree, by default the tree can accomodate only 1 clock signal; * use width to adjust the size */ - ClockTreeId create_tree(const std::string& name, size_t width = 1); + ClockTreeId create_tree(const std::string& name, const BasicPort& global_port); /* Create a new spine, if the spine is already created, return an invalid id */ ClockSpineId create_spine(const std::string& name); @@ -289,7 +291,7 @@ class ClockNetwork { /* Basic information of each tree */ vtr::vector tree_ids_; vtr::vector tree_names_; - vtr::vector tree_widths_; + vtr::vector tree_global_ports_; vtr::vector tree_depths_; vtr::vector> tree_top_spines_; vtr::vector> tree_taps_; diff --git a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h index cfa5c306d..a63141870 100644 --- a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h +++ b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h @@ -12,7 +12,7 @@ constexpr const char* XML_CLOCK_NETWORK_ATTRIBUTE_DEFAULT_DRIVER_SWITCH = "default_driver_switch"; constexpr const char* XML_CLOCK_TREE_NODE_NAME = "clock_network"; constexpr const char* XML_CLOCK_TREE_ATTRIBUTE_NAME = "name"; -constexpr const char* XML_CLOCK_TREE_ATTRIBUTE_WIDTH = "width"; +constexpr const char* XML_CLOCK_TREE_ATTRIBUTE_GLOBAL_PORT = "global_port"; constexpr const char* XML_CLOCK_SPINE_NODE_NAME = "spine"; constexpr const char* XML_CLOCK_SPINE_ATTRIBUTE_NAME = "name"; constexpr const char* XML_CLOCK_SPINE_ATTRIBUTE_START_X = "start_x"; diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index 492649788..84aea0587 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -338,14 +338,15 @@ static void read_xml_clock_tree(pugi::xml_node& xml_clk_tree, const pugiutil::loc_data& loc_data, ClockNetwork& clk_ntwk) { std::string clk_tree_name = - get_attribute(xml_clk_tree, XML_CLOCK_TREE_ATTRIBUTE_NAME, loc_data) + get_attribute(xml_clk_tree, XML_CLOCK_TREE_ATTRIBUTE_NAME, loc_data, pugiutil::ReqOpt::REQUIRED) + .as_string(); + std::string clk_global_port_str = + get_attribute(xml_clk_tree, XML_CLOCK_TREE_ATTRIBUTE_GLOBAL_PORT, loc_data, pugiutil::ReqOpt::REQUIRED) .as_string(); - int clk_tree_width = - get_attribute(xml_clk_tree, XML_CLOCK_TREE_ATTRIBUTE_WIDTH, loc_data) - .as_int(); /* Create a new tree in the storage */ - ClockTreeId tree_id = clk_ntwk.create_tree(clk_tree_name, clk_tree_width); + PortParser gport_parser(clk_global_port_str); + ClockTreeId tree_id = clk_ntwk.create_tree(clk_tree_name, gport_parser.port()); if (false == clk_ntwk.valid_tree_id(tree_id)) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_clk_tree), diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index cdcc12ecf..5ef8e4d3d 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -194,8 +194,8 @@ static int write_xml_clock_tree(std::fstream& fp, const ClockNetwork& clk_ntwk, write_xml_attribute(fp, XML_CLOCK_TREE_ATTRIBUTE_NAME, clk_ntwk.tree_name(tree_id).c_str()); - write_xml_attribute(fp, XML_CLOCK_TREE_ATTRIBUTE_WIDTH, - clk_ntwk.tree_width(tree_id)); + write_xml_attribute(fp, XML_CLOCK_TREE_ATTRIBUTE_GLOBAL_PORT, + clk_ntwk.tree_global_port(tree_id).to_verilog_string().c_str()); fp << ">" << "\n"; From 5fa674be24157bed2d88bb2f8f764914994b61ff Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 29 Jun 2024 10:51:45 -0700 Subject: [PATCH 075/230] [core] fixed the bug on matching global net from pcf --- openfpga/src/annotation/route_clock_rr_graph.cpp | 14 ++++++++++---- 1 file changed, 10 insertions(+), 4 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 01b780ed5..8918f0b2b 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -24,6 +24,7 @@ static int build_clock_tree_net_map( const ClusteredNetlist& cluster_nlist, const PinConstraints& pin_constraints, const std::vector& gnets, const ClockNetwork& clk_ntwk, const ClockTreeId clk_tree, const bool& verbose) { + BasicPort tree_gport = clk_ntwk.tree_global_port(clk_tree); /* Find the pin id for each clock name, error out if there is any mismatch */ if (clk_ntwk.num_trees() == 1 && gnets.size() == 1 && clk_ntwk.tree_width(clk_tree) == 1) { /* Find cluster net id */ @@ -37,6 +38,7 @@ static int build_clock_tree_net_map( for (ClusterNetId gnet : gnets) { /* Find the pin information that the net should be mapped to */ std::string gnet_name = cluster_nlist.net_name(gnet); + /* The pin should match be global port name of the tree */ BasicPort tree_pin = pin_constraints.net_pin(gnet_name); if (!tree_pin.is_valid()) { VTR_LOG_ERROR( @@ -52,11 +54,15 @@ static int build_clock_tree_net_map( gnet_name.c_str()); return CMD_EXEC_FATAL_ERROR; } - if (tree_pin.get_lsb() >= clk_ntwk.tree_width(clk_tree)) { + if (tree_gport.get_name() != tree_pin.get_name()) { + continue; + } + if (!tree_gport.contained(tree_pin)) { VTR_LOG_ERROR( - "Invalid tree pin %s[%lu] is out of range of clock tree size '%lu'\n", - tree_pin.get_name().c_str(), tree_pin.get_lsb(), - clk_ntwk.tree_width(clk_tree)); + "Invalid pin constraint port '%s' which is out of range of the global port '%s' of clock tree '%s'\n", + tree_pin.to_verilog_string().c_str(), + tree_gport.to_verilog_string().c_str(), + clk_ntwk.tree_name(clk_tree).c_str()); return CMD_EXEC_FATAL_ERROR; } /* TODO: Check the tree_pin.get_name(), see if matches the tree from ports */ From 4f787a5cfc5545539d62fb591c93357069299f92 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 29 Jun 2024 10:54:08 -0700 Subject: [PATCH 076/230] [core] add more debugging message --- openfpga/src/annotation/route_clock_rr_graph.cpp | 2 ++ 1 file changed, 2 insertions(+) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 8918f0b2b..fb4afda93 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -68,6 +68,8 @@ static int build_clock_tree_net_map( /* TODO: Check the tree_pin.get_name(), see if matches the tree from ports */ /* Register the pin mapping */ tree2clk_pin_map[ClockTreePinId(tree_pin.get_lsb())] = gnet; + VTR_LOGV(verbose, "Mapped net '%s' to pin '%s' of clock tree '%s'.\n", + gnet_name.c_str(), tree_pin.to_verilog_string().c_str(), clk_ntwk.tree_name(clk_tree).c_str()); } } From 286df309471a73934e1b6b778f5a33fe1a20c218 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 29 Jun 2024 11:02:17 -0700 Subject: [PATCH 077/230] [test] update clock arch xml syntax --- .../config/clk_arch_1clk_1rst_2layer.xml | 8 ++++---- .../config/clk_arch_1clk_1rst_2layer.xml | 8 ++++---- .../config/clk_arch_1clk_1rst_2layer_int_driver.xml | 8 ++++---- .../homo_1clock_2layer/config/clk_arch_1clk_2layer.xml | 2 +- .../config/clk_arch_1clk_2layer.xml | 2 +- .../homo_2clock_2layer/config/clk_arch_2clk_2layer.xml | 2 +- .../config/clk_arch_2clk_2layer.xml | 2 +- .../config/clk_arch_2clk_2layer.xml | 2 +- 8 files changed, 17 insertions(+), 17 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml index 6c05921c7..3f499fedf 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/clk_arch_1clk_1rst_2layer.xml @@ -1,5 +1,5 @@ - + @@ -11,10 +11,10 @@ - + - + @@ -26,7 +26,7 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/clk_arch_1clk_1rst_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/clk_arch_1clk_1rst_2layer.xml index 6c05921c7..3f499fedf 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/clk_arch_1clk_1rst_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/clk_arch_1clk_1rst_2layer.xml @@ -1,5 +1,5 @@ - + @@ -11,10 +11,10 @@ - + - + @@ -26,7 +26,7 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml index ed43a26b5..c88e8ccd4 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml @@ -1,5 +1,5 @@ - + @@ -19,10 +19,10 @@ - + - + @@ -34,7 +34,7 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml index 7a41f1216..6f289dbf4 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer/config/clk_arch_1clk_2layer.xml @@ -1,5 +1,5 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml index 7a41f1216..6f289dbf4 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_2layer_full_tb/config/clk_arch_1clk_2layer.xml @@ -1,5 +1,5 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml index 8c224318c..98e91d27a 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml @@ -1,5 +1,5 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml index 8c224318c..98e91d27a 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml @@ -1,5 +1,5 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml index 8c224318c..98e91d27a 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml @@ -1,5 +1,5 @@ - + From bc2f02866d056aa6dea27260d98c26a2d75a3cd2 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 29 Jun 2024 17:17:05 -0700 Subject: [PATCH 078/230] [test] update testcase for 2-clk on programmable clock network --- .../openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml | 4 ++-- .../homo_2clock_2layer/config/clk_arch_2clk_2layer.xml | 4 ++-- openfpga_flow/vpr_arch/k4_N4_tileable_Ntwk2clk2lvl_40nm.xml | 4 ++-- 3 files changed, 6 insertions(+), 6 deletions(-) diff --git a/openfpga_flow/openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml b/openfpga_flow/openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml index 90109d00b..66aa9b4b3 100644 --- a/openfpga_flow/openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml +++ b/openfpga_flow/openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml @@ -173,8 +173,8 @@ - - + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml index 98e91d27a..ff5734d10 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml @@ -11,8 +11,8 @@ - - + + diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_Ntwk2clk2lvl_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_Ntwk2clk2lvl_40nm.xml index f4e40b275..231aef08b 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_Ntwk2clk2lvl_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_Ntwk2clk2lvl_40nm.xml @@ -59,7 +59,7 @@ - + @@ -250,7 +250,7 @@ - + From 5dd0549aed60c162dc1150aa270821104f995a12 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 29 Jun 2024 17:17:54 -0700 Subject: [PATCH 079/230] [core] typo --- .../homo_2clock_2layer/config/clk_arch_2clk_2layer.xml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml index ff5734d10..3ef33c270 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/clk_arch_2clk_2layer.xml @@ -11,8 +11,8 @@ - - + + From 1fd974d544ef421a1cc087c889031e0ab84600f9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 29 Jun 2024 17:35:47 -0700 Subject: [PATCH 080/230] [core] fixed a bug where clock network size cannot impact global port on top module --- libs/libarchopenfpga/src/tile_annotation.cpp | 6 +++++ libs/libarchopenfpga/src/tile_annotation.h | 2 ++ .../fabric/build_top_module_connection.cpp | 24 ++++++++++++------- 3 files changed, 23 insertions(+), 9 deletions(-) diff --git a/libs/libarchopenfpga/src/tile_annotation.cpp b/libs/libarchopenfpga/src/tile_annotation.cpp index 2ffacd1d0..c6c71c4b2 100644 --- a/libs/libarchopenfpga/src/tile_annotation.cpp +++ b/libs/libarchopenfpga/src/tile_annotation.cpp @@ -96,6 +96,12 @@ size_t TileAnnotation::global_port_default_value( return global_port_default_values_[global_port_id]; } +bool TileAnnotation::global_port_thru_dedicated_network( + const TileGlobalPortId& global_port_id) const { + return !global_port_clock_arch_tree_name(global_port_id).empty(); +} + + std::string TileAnnotation::global_port_clock_arch_tree_name( const TileGlobalPortId& global_port_id) const { VTR_ASSERT(valid_global_port_id(global_port_id)); diff --git a/libs/libarchopenfpga/src/tile_annotation.h b/libs/libarchopenfpga/src/tile_annotation.h index 36af8a9b4..f2f3f3d75 100644 --- a/libs/libarchopenfpga/src/tile_annotation.h +++ b/libs/libarchopenfpga/src/tile_annotation.h @@ -54,6 +54,8 @@ class TileAnnotation { bool global_port_is_clock(const TileGlobalPortId& global_port_id) const; bool global_port_is_set(const TileGlobalPortId& global_port_id) const; bool global_port_is_reset(const TileGlobalPortId& global_port_id) const; + bool global_port_thru_dedicated_network( + const TileGlobalPortId& global_port_id) const; std::string global_port_clock_arch_tree_name( const TileGlobalPortId& global_port_id) const; size_t global_port_default_value( diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index 84c901945..e0c86ab17 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -1242,11 +1242,11 @@ static int build_top_module_global_net_from_clock_arch_tree( if (clk_ntwk.tree_width(clk_tree) != module_manager.module_port(top_module, top_module_port).get_width()) { VTR_LOG( - "Clock tree '%s' does not have the same width '%lu' as the port '%'s of " + "Clock tree '%s' does not have the same width '%lu' as the port '%s' of " "FPGA top module", clk_tree_name.c_str(), clk_ntwk.tree_width(clk_tree), module_manager.module_port(top_module, top_module_port) - .get_name() + .to_verilog_string() .c_str()); return CMD_EXEC_FATAL_ERROR; } @@ -1323,12 +1323,19 @@ int add_top_module_global_ports_from_grid_modules( BasicPort global_port_to_add; global_port_to_add.set_name( tile_annotation.global_port_name(tile_global_port)); - size_t max_port_size = 0; - for (const BasicPort& tile_port : - tile_annotation.global_port_tile_ports(tile_global_port)) { - max_port_size = std::max(tile_port.get_width(), max_port_size); + /* Dedicated network has their own sizes of port */ + if (tile_annotation.global_port_thru_dedicated_network(tile_global_port)) { + std::string clk_tree_name = tile_annotation.global_port_clock_arch_tree_name(tile_global_port); + ClockTreeId clk_tree = clk_ntwk.find_tree(clk_tree_name); + global_port_to_add.set_width(clk_ntwk.tree_width(clk_tree)); + } else { + size_t max_port_size = 0; + for (const BasicPort& tile_port : + tile_annotation.global_port_tile_ports(tile_global_port)) { + max_port_size = std::max(tile_port.get_width(), max_port_size); + } + global_port_to_add.set_width(max_port_size); } - global_port_to_add.set_width(max_port_size); global_ports_to_add.push_back(global_port_to_add); } } @@ -1352,8 +1359,7 @@ int add_top_module_global_ports_from_grid_modules( * - If the net will be directly wired to tiles, the net will drive an input * of a tile */ - if (!tile_annotation.global_port_clock_arch_tree_name(tile_global_port) - .empty()) { + if (tile_annotation.global_port_thru_dedicated_network(tile_global_port)) { status = build_top_module_global_net_from_clock_arch_tree( module_manager, top_module, top_module_port, rr_graph, device_rr_gsb, cb_instance_ids, clk_ntwk, From 12c9686c27d99fb460bfd9ad9bd3bac6b33a42ab Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 29 Jun 2024 17:38:34 -0700 Subject: [PATCH 081/230] [test] fixed some bugs on arch --- .../openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml | 2 +- .../homo_2clock_2layer/config/repack_constraints.xml | 1 - 2 files changed, 1 insertion(+), 2 deletions(-) diff --git a/openfpga_flow/openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml b/openfpga_flow/openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml index 66aa9b4b3..91dd34815 100644 --- a/openfpga_flow/openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml +++ b/openfpga_flow/openfpga_arch/k4_N4_40nm_Ntwk2clk2lvl_cc_openfpga.xml @@ -173,7 +173,7 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/repack_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/repack_constraints.xml index eb0c4435a..f32f6afde 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/repack_constraints.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer/config/repack_constraints.xml @@ -1,5 +1,4 @@ - From 28e3cb799e3b2eaa2a429b3148686f0ddb5c42cf Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 29 Jun 2024 17:40:20 -0700 Subject: [PATCH 082/230] [test] update 2-clock arch and pcf --- .../config/clk_arch_2clk_2layer.xml | 2 +- .../config/repack_constraints.xml | 1 - .../config/clk_arch_2clk_2layer.xml | 2 +- .../config/repack_constraints.xml | 1 - 4 files changed, 2 insertions(+), 4 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml index 98e91d27a..3ef33c270 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/clk_arch_2clk_2layer.xml @@ -12,7 +12,7 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/repack_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/repack_constraints.xml index eb0c4435a..f32f6afde 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/repack_constraints.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused/config/repack_constraints.xml @@ -1,5 +1,4 @@ - diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml index 98e91d27a..3ef33c270 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/clk_arch_2clk_2layer.xml @@ -12,7 +12,7 @@ - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/repack_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/repack_constraints.xml index eb0c4435a..f32f6afde 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/repack_constraints.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree/config/repack_constraints.xml @@ -1,5 +1,4 @@ - From 3afb92d6a5f5c92294fbdf0481eb732086473f9a Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 30 Jun 2024 22:48:15 -0700 Subject: [PATCH 083/230] [core] code format --- libs/libarchopenfpga/src/tile_annotation.cpp | 1 - .../src/base/clock_network.cpp | 3 +- .../src/base/clock_network.h | 5 +- .../src/io/read_xml_clock_network.cpp | 11 +++-- .../src/io/write_xml_clock_network.cpp | 5 +- .../src/annotation/route_clock_rr_graph.cpp | 49 ++++++++++++------- .../src/annotation/route_clock_rr_graph.h | 4 +- .../src/base/openfpga_link_arch_template.h | 3 +- .../fabric/build_top_module_connection.cpp | 6 ++- 9 files changed, 52 insertions(+), 35 deletions(-) diff --git a/libs/libarchopenfpga/src/tile_annotation.cpp b/libs/libarchopenfpga/src/tile_annotation.cpp index c6c71c4b2..77adcff18 100644 --- a/libs/libarchopenfpga/src/tile_annotation.cpp +++ b/libs/libarchopenfpga/src/tile_annotation.cpp @@ -101,7 +101,6 @@ bool TileAnnotation::global_port_thru_dedicated_network( return !global_port_clock_arch_tree_name(global_port_id).empty(); } - std::string TileAnnotation::global_port_clock_arch_tree_name( const TileGlobalPortId& global_port_id) const { VTR_ASSERT(valid_global_port_id(global_port_id)); diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 1d3ab5b47..6f254530b 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -640,7 +640,8 @@ void ClockNetwork::set_default_driver_switch_name(const std::string& name) { default_driver_switch_name_ = name; } -ClockTreeId ClockNetwork::create_tree(const std::string& name, const BasicPort& global_port) { +ClockTreeId ClockNetwork::create_tree(const std::string& name, + const BasicPort& global_port) { /* Sanity checks */ if (!global_port.is_valid()) { VTR_LOG_ERROR("Invalid global port '%s' for clock tree name '%s'\n", diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 7775b6fbf..242720dce 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -14,9 +14,9 @@ /* Headers from openfpgautil library */ #include "clock_network_fwd.h" +#include "openfpga_port.h" #include "rr_graph_fwd.h" #include "rr_node_types.h" -#include "openfpga_port.h" namespace openfpga { // Begin namespace openfpga @@ -198,7 +198,8 @@ class ClockNetwork { void set_default_driver_switch_name(const std::string& name); /* Create a new tree, by default the tree can accomodate only 1 clock signal; * use width to adjust the size */ - ClockTreeId create_tree(const std::string& name, const BasicPort& global_port); + ClockTreeId create_tree(const std::string& name, + const BasicPort& global_port); /* Create a new spine, if the spine is already created, return an invalid id */ ClockSpineId create_spine(const std::string& name); diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index 84aea0587..4d47ad18e 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -338,15 +338,18 @@ static void read_xml_clock_tree(pugi::xml_node& xml_clk_tree, const pugiutil::loc_data& loc_data, ClockNetwork& clk_ntwk) { std::string clk_tree_name = - get_attribute(xml_clk_tree, XML_CLOCK_TREE_ATTRIBUTE_NAME, loc_data, pugiutil::ReqOpt::REQUIRED) + get_attribute(xml_clk_tree, XML_CLOCK_TREE_ATTRIBUTE_NAME, loc_data, + pugiutil::ReqOpt::REQUIRED) .as_string(); std::string clk_global_port_str = - get_attribute(xml_clk_tree, XML_CLOCK_TREE_ATTRIBUTE_GLOBAL_PORT, loc_data, pugiutil::ReqOpt::REQUIRED) + get_attribute(xml_clk_tree, XML_CLOCK_TREE_ATTRIBUTE_GLOBAL_PORT, loc_data, + pugiutil::ReqOpt::REQUIRED) .as_string(); /* Create a new tree in the storage */ - PortParser gport_parser(clk_global_port_str); - ClockTreeId tree_id = clk_ntwk.create_tree(clk_tree_name, gport_parser.port()); + PortParser gport_parser(clk_global_port_str); + ClockTreeId tree_id = + clk_ntwk.create_tree(clk_tree_name, gport_parser.port()); if (false == clk_ntwk.valid_tree_id(tree_id)) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(xml_clk_tree), diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index 5ef8e4d3d..3473a6350 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -194,8 +194,9 @@ static int write_xml_clock_tree(std::fstream& fp, const ClockNetwork& clk_ntwk, write_xml_attribute(fp, XML_CLOCK_TREE_ATTRIBUTE_NAME, clk_ntwk.tree_name(tree_id).c_str()); - write_xml_attribute(fp, XML_CLOCK_TREE_ATTRIBUTE_GLOBAL_PORT, - clk_ntwk.tree_global_port(tree_id).to_verilog_string().c_str()); + write_xml_attribute( + fp, XML_CLOCK_TREE_ATTRIBUTE_GLOBAL_PORT, + clk_ntwk.tree_global_port(tree_id).to_verilog_string().c_str()); fp << ">" << "\n"; diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index fb4afda93..0be7cdf84 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -26,7 +26,8 @@ static int build_clock_tree_net_map( const ClockTreeId clk_tree, const bool& verbose) { BasicPort tree_gport = clk_ntwk.tree_global_port(clk_tree); /* Find the pin id for each clock name, error out if there is any mismatch */ - if (clk_ntwk.num_trees() == 1 && gnets.size() == 1 && clk_ntwk.tree_width(clk_tree) == 1) { + if (clk_ntwk.num_trees() == 1 && gnets.size() == 1 && + clk_ntwk.tree_width(clk_tree) == 1) { /* Find cluster net id */ if (!cluster_nlist.valid_net_id(gnets[0])) { VTR_LOG_ERROR("Invalid clock name '%s'! Cannot be found from netlists!\n", @@ -42,7 +43,8 @@ static int build_clock_tree_net_map( BasicPort tree_pin = pin_constraints.net_pin(gnet_name); if (!tree_pin.is_valid()) { VTR_LOG_ERROR( - "Global net '%s' is not mapped to a valid pin '%s' in pin constraints!\n", + "Global net '%s' is not mapped to a valid pin '%s' in pin " + "constraints!\n", gnet_name.c_str(), tree_pin.to_verilog_string().c_str()); return CMD_EXEC_FATAL_ERROR; } @@ -59,17 +61,20 @@ static int build_clock_tree_net_map( } if (!tree_gport.contained(tree_pin)) { VTR_LOG_ERROR( - "Invalid pin constraint port '%s' which is out of range of the global port '%s' of clock tree '%s'\n", + "Invalid pin constraint port '%s' which is out of range of the " + "global port '%s' of clock tree '%s'\n", tree_pin.to_verilog_string().c_str(), tree_gport.to_verilog_string().c_str(), clk_ntwk.tree_name(clk_tree).c_str()); return CMD_EXEC_FATAL_ERROR; } - /* TODO: Check the tree_pin.get_name(), see if matches the tree from ports */ + /* TODO: Check the tree_pin.get_name(), see if matches the tree from ports + */ /* Register the pin mapping */ tree2clk_pin_map[ClockTreePinId(tree_pin.get_lsb())] = gnet; VTR_LOGV(verbose, "Mapped net '%s' to pin '%s' of clock tree '%s'.\n", - gnet_name.c_str(), tree_pin.to_verilog_string().c_str(), clk_ntwk.tree_name(clk_tree).c_str()); + gnet_name.c_str(), tree_pin.to_verilog_string().c_str(), + clk_ntwk.tree_name(clk_tree).c_str()); } } @@ -186,8 +191,10 @@ static int route_spine_taps( size_t spine_tap_cnt = 0; /* Route the spine-to-IPIN connections (only for the last level) */ if (clk_ntwk.is_last_level(ispine)) { - VTR_LOGV(verbose, "Routing clock taps of spine '%s' for pin '%d' of tree '%s'...\n", - clk_ntwk.spine_name(ispine).c_str(), size_t(ipin), clk_ntwk.tree_name(clk_tree).c_str()); + VTR_LOGV(verbose, + "Routing clock taps of spine '%s' for pin '%d' of tree '%s'...\n", + clk_ntwk.spine_name(ispine).c_str(), size_t(ipin), + clk_ntwk.tree_name(clk_tree).c_str()); /* Connect to any fan-out node which is IPIN */ for (size_t icoord = 0; icoord < spine_coords.size(); ++icoord) { vtr::Point src_coord = spine_coords[icoord]; @@ -397,11 +404,13 @@ static int rec_expand_and_route_clock_spine( src_coord.y(), des_coord.x(), des_coord.y()); vpr_routing_annotation.set_rr_node_prev_node(rr_graph, des_node, src_node); /* It could happen that there is no net mapped some clock pin, skip the - * net mapping */ - if (tree2clk_pin_map.find(curr_pin) != tree2clk_pin_map.end()) { - vpr_routing_annotation.set_rr_node_net(src_node, tree2clk_pin_map.at(curr_pin)); - vpr_routing_annotation.set_rr_node_net(des_node, tree2clk_pin_map.at(curr_pin)); - } + * net mapping */ + if (tree2clk_pin_map.find(curr_pin) != tree2clk_pin_map.end()) { + vpr_routing_annotation.set_rr_node_net(src_node, + tree2clk_pin_map.at(curr_pin)); + vpr_routing_annotation.set_rr_node_net(des_node, + tree2clk_pin_map.at(curr_pin)); + } prev_stop_usage = true; curr_spine_usage = true; @@ -463,11 +472,10 @@ static int route_clock_tree_rr_graph( *******************************************************************/ int route_clock_rr_graph( VprRoutingAnnotation& vpr_routing_annotation, - const DeviceContext& vpr_device_ctx, - const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, + const DeviceContext& vpr_device_ctx, const ClusteredNetlist& cluster_nlist, + const PlacementContext& vpr_place_ctx, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, - const PinConstraints& pin_constraints, - const bool& disable_unused_trees, + const PinConstraints& pin_constraints, const bool& disable_unused_trees, const bool& disable_unused_spines, const bool& verbose) { vtr::ScopedStartFinishTimer timer( "Route programmable clock network based on routing resource graph"); @@ -482,10 +490,12 @@ int route_clock_rr_graph( /* If there are multiple global signals from the netlist, require pin * constraints */ - std::vector gnets = find_clustered_netlist_global_nets(cluster_nlist); + std::vector gnets = + find_clustered_netlist_global_nets(cluster_nlist); if (gnets.empty()) { VTR_LOG( - "Skip due to 0 global nets found from netlist\nDouble check your HDL design " + "Skip due to 0 global nets found from netlist\nDouble check your HDL " + "design " "if this is unexpected\n"); return CMD_EXEC_SUCCESS; } @@ -504,7 +514,8 @@ int route_clock_rr_graph( /* Route spines one by one */ for (auto itree : clk_ntwk.trees()) { - VTR_LOGV(verbose, "Build global net name to clock tree '%s' pin mapping...\n", + VTR_LOGV(verbose, + "Build global net name to clock tree '%s' pin mapping...\n", clk_ntwk.tree_name(itree).c_str()); std::map tree2clk_pin_map; int status = CMD_EXEC_SUCCESS; diff --git a/openfpga/src/annotation/route_clock_rr_graph.h b/openfpga/src/annotation/route_clock_rr_graph.h index 443e3d11b..2bd4ec178 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.h +++ b/openfpga/src/annotation/route_clock_rr_graph.h @@ -19,8 +19,8 @@ namespace openfpga { int route_clock_rr_graph( VprRoutingAnnotation& vpr_routing_annotation, - const DeviceContext& vpr_device_ctx, - const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, + const DeviceContext& vpr_device_ctx, const ClusteredNetlist& cluster_nlist, + const PlacementContext& vpr_place_ctx, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, const PinConstraints& pin_constraints, const bool& disable_unused_trees, const bool& disable_unused_spines, const bool& verbose); diff --git a/openfpga/src/base/openfpga_link_arch_template.h b/openfpga/src/base/openfpga_link_arch_template.h index cfb5b430f..bb061de09 100644 --- a/openfpga/src/base/openfpga_link_arch_template.h +++ b/openfpga/src/base/openfpga_link_arch_template.h @@ -234,8 +234,7 @@ int route_clock_rr_graph_template(T& openfpga_ctx, const Command& cmd, return route_clock_rr_graph( openfpga_ctx.mutable_vpr_routing_annotation(), g_vpr_ctx.device(), g_vpr_ctx.clustering().clb_nlist, g_vpr_ctx.placement(), - openfpga_ctx.clock_rr_lookup(), - openfpga_ctx.clock_arch(), pin_constraints, + openfpga_ctx.clock_rr_lookup(), openfpga_ctx.clock_arch(), pin_constraints, cmd_context.option_enable(cmd, opt_disable_unused_trees), cmd_context.option_enable(cmd, opt_disable_unused_spines), cmd_context.option_enable(cmd, opt_verbose)); diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index e0c86ab17..e6a98cd46 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -1324,8 +1324,10 @@ int add_top_module_global_ports_from_grid_modules( global_port_to_add.set_name( tile_annotation.global_port_name(tile_global_port)); /* Dedicated network has their own sizes of port */ - if (tile_annotation.global_port_thru_dedicated_network(tile_global_port)) { - std::string clk_tree_name = tile_annotation.global_port_clock_arch_tree_name(tile_global_port); + if (tile_annotation.global_port_thru_dedicated_network( + tile_global_port)) { + std::string clk_tree_name = + tile_annotation.global_port_clock_arch_tree_name(tile_global_port); ClockTreeId clk_tree = clk_ntwk.find_tree(clk_tree_name); global_port_to_add.set_width(clk_ntwk.tree_width(clk_tree)); } else { From 18e2b994ac88497ba568ddf156c2cb8bb87b1aab Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 30 Jun 2024 22:56:31 -0700 Subject: [PATCH 084/230] [doc] update syntax on clock network file --- docs/source/manual/arch_lang/annotate_vpr_arch.rst | 3 +++ docs/source/manual/file_formats/clock_network.rst | 6 +++--- 2 files changed, 6 insertions(+), 3 deletions(-) diff --git a/docs/source/manual/arch_lang/annotate_vpr_arch.rst b/docs/source/manual/arch_lang/annotate_vpr_arch.rst index 9fd1024a7..cd119f69a 100644 --- a/docs/source/manual/arch_lang/annotate_vpr_arch.rst +++ b/docs/source/manual/arch_lang/annotate_vpr_arch.rst @@ -79,6 +79,7 @@ For subtile port merge support (see an illustrative example in :numref:`fig_subt .. note:: When defined, the given port of all the subtiles of a tile will be merged into one port. For example, a tile consists of 8 subtile ``A`` and 6 subtile ``B`` and all the subtiles have a port ``clk``, in the FPGA fabric, all the ``clk`` of the subtiles ``A`` and ``B`` will be wired to a common port ``clk`` at tile level. +.. note:: Note that when a dedicated clock network is defined, the size of the global port will follow the ``global_port`` defined in the clock network description file (See details in :ref:`file_formats_clock_network`) .. note:: When merged, the port will have a default side of ``TOP`` and index of ``0`` on all the attributes, such as width, height etc. @@ -99,6 +100,8 @@ For global port support: - ``clock_arch_tree_name=""`` defines the name of the programmable clock network, which the global port will drive. The name of the programmable clock network must be a valid name (See details in :ref:`file_formats_clock_network`) +.. note:: ``clock_arch_tree_name`` is applicable to clock, reset and set signals. + - ``is_reset=""`` define if the global port is a reset port at the top-level FPGA fabric. An operating reset port will be driven by proper signals in testbenches. - ``is_set=""`` define if the global port is a set port at the top-level FPGA fabric. An operating set port will be driven by proper signals in testbenches. diff --git a/docs/source/manual/file_formats/clock_network.rst b/docs/source/manual/file_formats/clock_network.rst index da359f400..5979c2f24 100644 --- a/docs/source/manual/file_formats/clock_network.rst +++ b/docs/source/manual/file_formats/clock_network.rst @@ -23,7 +23,7 @@ Using the clock network description language, users can define multiple clock ne .. code-block:: xml - + @@ -107,9 +107,9 @@ where the clock network is used to drive the global clock pin ``clk0`` in OpenFP -.. option:: width="" +.. option:: global_port="" - The maximum number of clock pins that a clock network can drive. + Define the source port of the clock network. For example, ``clk[0:7]``. Note that the global port name should match the ``from_pin`` when defining the tap points (See details in :ref:`file_formats_clock_network_clock_tap_point`). .. _file_formats_clock_network_clock_spine: From e00709411208bac8f216b00ead2db836dd98b7a5 Mon Sep 17 00:00:00 2001 From: "dependabot[bot]" <49699333+dependabot[bot]@users.noreply.github.com> Date: Mon, 1 Jul 2024 06:06:57 +0000 Subject: [PATCH 085/230] Bump yosys from `07daf61` to `a739e21` Bumps [yosys](https://github.com/YosysHQ/yosys) from `07daf61` to `a739e21`. - [Release notes](https://github.com/YosysHQ/yosys/releases) - [Commits](https://github.com/YosysHQ/yosys/compare/07daf61ae6fbe935b582a24c13e863b7d52052bd...a739e21a5f3b4fdd888d4c62f1578a1300c43f00) --- updated-dependencies: - dependency-name: yosys dependency-type: direct:production ... Signed-off-by: dependabot[bot] --- yosys | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/yosys b/yosys index 07daf61ae..a739e21a5 160000 --- a/yosys +++ b/yosys @@ -1 +1 @@ -Subproject commit 07daf61ae6fbe935b582a24c13e863b7d52052bd +Subproject commit a739e21a5f3b4fdd888d4c62f1578a1300c43f00 From 24e28298990d3ab83e12c39f8b42c15951ae6d95 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Mon, 1 Jul 2024 23:26:27 +0000 Subject: [PATCH 086/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index 492aa9035..98319eb52 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2286 +1.2.2290 From 7c487eadc90a38381cef980326154b315e4403f9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 1 Jul 2024 16:58:23 -0700 Subject: [PATCH 087/230] [core] now clock network keep port info in a native data structure --- libs/libclkarchopenfpga/src/base/clock_network.cpp | 12 +++++------- libs/libclkarchopenfpga/src/base/clock_network.h | 6 +++--- .../src/io/read_xml_clock_network.cpp | 9 ++++++--- .../src/io/write_xml_clock_network.cpp | 6 +++--- 4 files changed, 17 insertions(+), 16 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 6f254530b..e95df9f68 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -372,7 +372,7 @@ std::vector ClockNetwork::tree_taps( return tree_taps_[tree_id]; } -std::string ClockNetwork::tap_from_port(const ClockTapId& tap_id) const { +BasicPort ClockNetwork::tap_from_port(const ClockTapId& tap_id) const { VTR_ASSERT(valid_tap_id(tap_id)); return tap_from_ports_[tap_id]; } @@ -470,17 +470,15 @@ std::vector ClockNetwork::tree_flatten_tap_to_ports( for (ClockTapId tap_id : tree_taps_[tree_id]) { VTR_ASSERT(valid_tap_id(tap_id)); /* Filter out unmatched from ports. Expect [clk_pin_id:clk_pin_id] */ - std::string tap_from_port_name = tap_from_ports_[tap_id]; - PortParser from_port_parser(tap_from_port_name); - BasicPort from_port = from_port_parser.port(); + BasicPort from_port = tap_from_ports_[tap_id]; if (!from_port.is_valid()) { VTR_LOG_ERROR("Invalid from port name '%s' whose index is not valid\n", - tap_from_port_name.c_str()); + from_port.to_verilog_string().c_str()); exit(1); } if (from_port.get_width() != 1) { VTR_LOG_ERROR("Invalid from port name '%s' whose width is not 1\n", - tap_from_port_name.c_str()); + from_port.to_verilog_string().c_str()); exit(1); } if (from_port.get_lsb() != size_t(clk_pin_id)) { @@ -792,7 +790,7 @@ ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver( } ClockTapId ClockNetwork::add_tree_tap(const ClockTreeId& tree_id, - const std::string& from_port, + const BasicPort& from_port, const std::string& to_port) { VTR_ASSERT(valid_tree_id(tree_id)); /* TODO: Consider find existing tap template and avoid duplication in storage diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 242720dce..ebfcbf038 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -144,7 +144,7 @@ class ClockNetwork { */ std::vector tree_taps(const ClockTreeId& tree_id) const; /* Return the source ports for a given tap */ - std::string tap_from_port(const ClockTapId& tap_id) const; + BasicPort tap_from_port(const ClockTapId& tap_id) const; /* Return the destination ports for a given tap */ std::string tap_to_port(const ClockTapId& tap_id) const; /* Find the type of tap point: @@ -224,7 +224,7 @@ class ClockNetwork { const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id, const std::string& internal_driver_port); ClockTapId add_tree_tap(const ClockTreeId& tree_id, - const std::string& from_port, + const BasicPort& from_port, const std::string& to_port); bool set_tap_bounding_box(const ClockTapId& tap_id, const vtr::Rect& bb); @@ -319,7 +319,7 @@ class ClockNetwork { vtr::vector internal_driver_ports_; /* Basic information about tap */ vtr::vector tap_ids_; - vtr::vector tap_from_ports_; + vtr::vector tap_from_ports_; vtr::vector tap_to_ports_; vtr::vector> tap_bbs_; /* Bounding box for tap points, (xlow, ylow) -> (xhigh, yhigh) */ diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index 4d47ad18e..c56b152fd 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -42,7 +42,8 @@ static void read_xml_clock_tree_tap_type_all(pugi::xml_node& xml_tap, std::string to_pin_name = get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, loc_data) .as_string(); - clk_ntwk.add_tree_tap(tree_id, from_pin_name, to_pin_name); + PortParser from_port_parser(from_pin_name); + clk_ntwk.add_tree_tap(tree_id, from_port_parser.port(), to_pin_name); } /******************************************************************** @@ -62,8 +63,9 @@ static void read_xml_clock_tree_tap_type_single( std::string to_pin_name = get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, loc_data) .as_string(); + PortParser from_port_parser(from_pin_name); ClockTapId tap_id = - clk_ntwk.add_tree_tap(tree_id, from_pin_name, to_pin_name); + clk_ntwk.add_tree_tap(tree_id, from_port_parser.port(), to_pin_name); /* Single tap only require a coordinate */ size_t tap_x = get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_X, @@ -93,8 +95,9 @@ static void read_xml_clock_tree_tap_type_region( std::string to_pin_name = get_attribute(xml_tap, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, loc_data) .as_string(); + PortParser from_port_parser(from_pin_name); ClockTapId tap_id = - clk_ntwk.add_tree_tap(tree_id, from_pin_name, to_pin_name); + clk_ntwk.add_tree_tap(tree_id, from_port_parser.port(), to_pin_name); /* Region require a bounding box */ size_t tap_start_x = diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index 3473a6350..fbdea521d 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -35,7 +35,7 @@ static int write_xml_clock_tree_taps(std::fstream& fp, openfpga::write_tab_to_file(fp, 4); fp << "<" << XML_CLOCK_TREE_TAP_ALL_NODE_NAME << ""; write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, - clk_ntwk.tap_from_port(tap_id).c_str()); + clk_ntwk.tap_from_port(tap_id).to_verilog_string().c_str()); write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, clk_ntwk.tap_to_port(tap_id).c_str()); fp << "/>" @@ -46,7 +46,7 @@ static int write_xml_clock_tree_taps(std::fstream& fp, openfpga::write_tab_to_file(fp, 4); fp << "<" << XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME << ""; write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, - clk_ntwk.tap_from_port(tap_id).c_str()); + clk_ntwk.tap_from_port(tap_id).to_verilog_string().c_str()); write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, clk_ntwk.tap_to_port(tap_id).c_str()); write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_X, @@ -61,7 +61,7 @@ static int write_xml_clock_tree_taps(std::fstream& fp, openfpga::write_tab_to_file(fp, 4); fp << "<" << XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME << ""; write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, - clk_ntwk.tap_from_port(tap_id).c_str()); + clk_ntwk.tap_from_port(tap_id).to_verilog_string().c_str()); write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, clk_ntwk.tap_to_port(tap_id).c_str()); write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTX, From df23daf0265eb318494da155e1a8b3dfb8bbef61 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 1 Jul 2024 17:37:16 -0700 Subject: [PATCH 088/230] [lib] sanity check on global port name and from pin name of tap points --- .../src/base/clock_network.cpp | 19 ++++++++++++++++++- .../src/base/clock_network.h | 1 + 2 files changed, 19 insertions(+), 1 deletion(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index e95df9f68..440870f29 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -859,6 +859,23 @@ bool ClockNetwork::link() { return true; } +bool ClockNetwork::validate_tree_taps() const { + for (ClockTreeId tree_id : trees()) { + for (ClockTapId tap_id : tree_taps(tree_id)) { + /* The from pin name should match the global port */ + if (!tree_global_port(tree_id).mergeable(tap_from_port(tap_id)) || !tree_global_port(tree_id).contained(tap_from_port(tap_id))) { + VTR_LOG_ERROR( + "Tap point from_port '%s' is not part of the global port '%s' of tree '%s'\n", + tap_from_port(tap_id).to_verilog_string().c_str(), + tree_global_port(tree_id).to_verilog_string().c_str(), + tree_name(tree_id).c_str()); + return false; + } + } + } + return true; +} + bool ClockNetwork::validate_tree() const { for (ClockTreeId tree_id : trees()) { for (ClockSpineId spine_id : spines(tree_id)) { @@ -920,7 +937,7 @@ bool ClockNetwork::validate_tree() const { bool ClockNetwork::validate() const { is_dirty_ = true; if (default_segment_id_ && default_tap_switch_id_ && - default_driver_switch_id_ && validate_tree()) { + default_driver_switch_id_ && validate_tree() && validate_tree_taps()) { is_dirty_ = false; } return true; diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index ebfcbf038..ffec611df 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -266,6 +266,7 @@ class ClockNetwork { private: /* Public invalidators/validators */ /* Ensure tree data is clean. All the spines are valid, and switch points are * valid */ + bool validate_tree_taps() const; bool validate_tree() const; /* Show if the internal driver id is a valid for data queries */ bool valid_internal_driver_id( From 70428fd969c57016203bf797b183632be17482e8 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 1 Jul 2024 17:56:29 -0700 Subject: [PATCH 089/230] [lib] add sanity checks on global port name and clock network's global port name --- .../src/utils/clock_network_utils.cpp | 26 +++++++++++++++++++ .../src/utils/clock_network_utils.h | 4 +++ .../src/base/openfpga_read_arch_template.h | 6 +++++ 3 files changed, 36 insertions(+) diff --git a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp index 3d82f9c23..449352403 100644 --- a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp +++ b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp @@ -96,4 +96,30 @@ int link_clock_network_rr_graph(ClockNetwork& clk_ntwk, return status; } +/** Check for each global ports in tile annotation + * If a clock tree is required for a global port, the global port name define in the tile annotation should match the one in clock clock + */ +int check_clock_network_tile_annotation(const ClockNetwork& clk_ntwk, + const TileAnnotation& tile_annotation) { + for (const TileGlobalPortId& gport_id : tile_annotation.global_ports()) { + if (!tile_annotation.global_port_thru_dedicated_network(gport_id)) { + continue; + } + std::string gport_name = tile_annotation.global_port_name(gport_id); + std::string clk_tree_name = tile_annotation.global_port_clock_arch_tree_name(gport_id); + ClockTreeId clk_tree_id = clk_ntwk.find_tree(clk_tree_name); + if (!clk_ntwk.valid_tree_id(clk_tree_id)) { + VTR_LOG_ERROR("Invalid clock tree name '%s' defined for global port '%s' in tile annotation! Must be a valid name defined in the clock network description!\n", + clk_tree_name.c_str(), gport_name.c_str()); + return CMD_EXEC_FATAL_ERROR; + } + if (clk_ntwk.tree_global_port(clk_tree_id).get_name() != gport_name) { + VTR_LOG_ERROR("Global port '%s' of clock tree name '%s' must match the name of assoicated global port '%s' in tile annotation! Must be a valid name defined in the clock network description!\n", + clk_ntwk.tree_global_port(clk_tree_id).to_verilog_string().c_str(), clk_tree_name.c_str(), gport_name.c_str()); + return CMD_EXEC_FATAL_ERROR; + } + } + return CMD_EXEC_SUCCESS; +} + } // End of namespace openfpga diff --git a/libs/libclkarchopenfpga/src/utils/clock_network_utils.h b/libs/libclkarchopenfpga/src/utils/clock_network_utils.h index 0a266c188..f87c80a53 100644 --- a/libs/libclkarchopenfpga/src/utils/clock_network_utils.h +++ b/libs/libclkarchopenfpga/src/utils/clock_network_utils.h @@ -6,6 +6,7 @@ *******************************************************************/ #include "clock_network.h" #include "rr_graph_view.h" +#include "tile_annotation.h" /******************************************************************** * Function declaration @@ -16,6 +17,9 @@ namespace openfpga { // Begin namespace openfpga int link_clock_network_rr_graph(ClockNetwork& clk_ntwk, const RRGraphView& rr_graph); +int check_clock_network_tile_annotation(const ClockNetwork& clk_ntwk, + const TileAnnotation& tile_annotation); + } // End of namespace openfpga #endif diff --git a/openfpga/src/base/openfpga_read_arch_template.h b/openfpga/src/base/openfpga_read_arch_template.h index 4ee895977..e0dd9dd34 100644 --- a/openfpga/src/base/openfpga_read_arch_template.h +++ b/openfpga/src/base/openfpga_read_arch_template.h @@ -246,6 +246,12 @@ int read_openfpga_clock_arch_template(T& openfpga_context, const Command& cmd, VTR_LOG_ERROR("Link clock network to routing architecture failed!"); return CMD_EXEC_FATAL_ERROR; } + if (CMD_EXEC_SUCCESS != + check_clock_network_tile_annotation(openfpga_context.clock_arch(), + openfpga_context.arch().tile_annotations)) { + VTR_LOG_ERROR("Check clock network consistency with tile annotation failed!"); + return CMD_EXEC_FATAL_ERROR; + } /* Ensure clean data */ openfpga_context.clock_arch().validate(); if (!openfpga_context.clock_arch().is_valid()) { From a85a6f1674171909caceb485295f7a2cd7f10380 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 1 Jul 2024 17:57:10 -0700 Subject: [PATCH 090/230] [core] code format --- .../src/base/clock_network.cpp | 6 ++++-- .../src/io/write_xml_clock_network.cpp | 15 +++++++------ .../src/utils/clock_network_utils.cpp | 21 +++++++++++++------ .../src/base/openfpga_read_arch_template.h | 9 ++++---- 4 files changed, 33 insertions(+), 18 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 440870f29..2889876ab 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -863,9 +863,11 @@ bool ClockNetwork::validate_tree_taps() const { for (ClockTreeId tree_id : trees()) { for (ClockTapId tap_id : tree_taps(tree_id)) { /* The from pin name should match the global port */ - if (!tree_global_port(tree_id).mergeable(tap_from_port(tap_id)) || !tree_global_port(tree_id).contained(tap_from_port(tap_id))) { + if (!tree_global_port(tree_id).mergeable(tap_from_port(tap_id)) || + !tree_global_port(tree_id).contained(tap_from_port(tap_id))) { VTR_LOG_ERROR( - "Tap point from_port '%s' is not part of the global port '%s' of tree '%s'\n", + "Tap point from_port '%s' is not part of the global port '%s' of " + "tree '%s'\n", tap_from_port(tap_id).to_verilog_string().c_str(), tree_global_port(tree_id).to_verilog_string().c_str(), tree_name(tree_id).c_str()); diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index fbdea521d..1e03bc0ba 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -34,8 +34,9 @@ static int write_xml_clock_tree_taps(std::fstream& fp, case ClockNetwork::e_tap_type::ALL: { openfpga::write_tab_to_file(fp, 4); fp << "<" << XML_CLOCK_TREE_TAP_ALL_NODE_NAME << ""; - write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, - clk_ntwk.tap_from_port(tap_id).to_verilog_string().c_str()); + write_xml_attribute( + fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, + clk_ntwk.tap_from_port(tap_id).to_verilog_string().c_str()); write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, clk_ntwk.tap_to_port(tap_id).c_str()); fp << "/>" @@ -45,8 +46,9 @@ static int write_xml_clock_tree_taps(std::fstream& fp, case ClockNetwork::e_tap_type::SINGLE: { openfpga::write_tab_to_file(fp, 4); fp << "<" << XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME << ""; - write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, - clk_ntwk.tap_from_port(tap_id).to_verilog_string().c_str()); + write_xml_attribute( + fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, + clk_ntwk.tap_from_port(tap_id).to_verilog_string().c_str()); write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, clk_ntwk.tap_to_port(tap_id).c_str()); write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_X, @@ -60,8 +62,9 @@ static int write_xml_clock_tree_taps(std::fstream& fp, case ClockNetwork::e_tap_type::REGION: { openfpga::write_tab_to_file(fp, 4); fp << "<" << XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME << ""; - write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, - clk_ntwk.tap_from_port(tap_id).to_verilog_string().c_str()); + write_xml_attribute( + fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, + clk_ntwk.tap_from_port(tap_id).to_verilog_string().c_str()); write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_TO_PIN, clk_ntwk.tap_to_port(tap_id).c_str()); write_xml_attribute(fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_STARTX, diff --git a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp index 449352403..6f78b26fe 100644 --- a/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp +++ b/libs/libclkarchopenfpga/src/utils/clock_network_utils.cpp @@ -97,7 +97,8 @@ int link_clock_network_rr_graph(ClockNetwork& clk_ntwk, } /** Check for each global ports in tile annotation - * If a clock tree is required for a global port, the global port name define in the tile annotation should match the one in clock clock + * If a clock tree is required for a global port, the global port name define + * in the tile annotation should match the one in clock clock */ int check_clock_network_tile_annotation(const ClockNetwork& clk_ntwk, const TileAnnotation& tile_annotation) { @@ -106,16 +107,24 @@ int check_clock_network_tile_annotation(const ClockNetwork& clk_ntwk, continue; } std::string gport_name = tile_annotation.global_port_name(gport_id); - std::string clk_tree_name = tile_annotation.global_port_clock_arch_tree_name(gport_id); + std::string clk_tree_name = + tile_annotation.global_port_clock_arch_tree_name(gport_id); ClockTreeId clk_tree_id = clk_ntwk.find_tree(clk_tree_name); if (!clk_ntwk.valid_tree_id(clk_tree_id)) { - VTR_LOG_ERROR("Invalid clock tree name '%s' defined for global port '%s' in tile annotation! Must be a valid name defined in the clock network description!\n", - clk_tree_name.c_str(), gport_name.c_str()); + VTR_LOG_ERROR( + "Invalid clock tree name '%s' defined for global port '%s' in tile " + "annotation! Must be a valid name defined in the clock network " + "description!\n", + clk_tree_name.c_str(), gport_name.c_str()); return CMD_EXEC_FATAL_ERROR; } if (clk_ntwk.tree_global_port(clk_tree_id).get_name() != gport_name) { - VTR_LOG_ERROR("Global port '%s' of clock tree name '%s' must match the name of assoicated global port '%s' in tile annotation! Must be a valid name defined in the clock network description!\n", - clk_ntwk.tree_global_port(clk_tree_id).to_verilog_string().c_str(), clk_tree_name.c_str(), gport_name.c_str()); + VTR_LOG_ERROR( + "Global port '%s' of clock tree name '%s' must match the name of " + "assoicated global port '%s' in tile annotation! Must be a valid name " + "defined in the clock network description!\n", + clk_ntwk.tree_global_port(clk_tree_id).to_verilog_string().c_str(), + clk_tree_name.c_str(), gport_name.c_str()); return CMD_EXEC_FATAL_ERROR; } } diff --git a/openfpga/src/base/openfpga_read_arch_template.h b/openfpga/src/base/openfpga_read_arch_template.h index e0dd9dd34..346deae5f 100644 --- a/openfpga/src/base/openfpga_read_arch_template.h +++ b/openfpga/src/base/openfpga_read_arch_template.h @@ -246,10 +246,11 @@ int read_openfpga_clock_arch_template(T& openfpga_context, const Command& cmd, VTR_LOG_ERROR("Link clock network to routing architecture failed!"); return CMD_EXEC_FATAL_ERROR; } - if (CMD_EXEC_SUCCESS != - check_clock_network_tile_annotation(openfpga_context.clock_arch(), - openfpga_context.arch().tile_annotations)) { - VTR_LOG_ERROR("Check clock network consistency with tile annotation failed!"); + if (CMD_EXEC_SUCCESS != check_clock_network_tile_annotation( + openfpga_context.clock_arch(), + openfpga_context.arch().tile_annotations)) { + VTR_LOG_ERROR( + "Check clock network consistency with tile annotation failed!"); return CMD_EXEC_FATAL_ERROR; } /* Ensure clean data */ From 1bfcf7574c2e130dd492deca354597b210cceef3 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 1 Jul 2024 20:33:28 -0700 Subject: [PATCH 091/230] [test] validate region and single syntax --- .../regression_test_scripts/basic_reg_test.sh | 1 + .../config/clk_arch_1clk_1rst_2layer.xml | 36 +++++++++++++ .../config/pin_constraints_reset.xml | 8 +++ .../config/pin_constraints_resetb.xml | 8 +++ .../config/repack_pin_constraints.xml | 4 ++ .../config/task.conf | 54 +++++++++++++++++++ 6 files changed, 111 insertions(+) create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/clk_arch_1clk_1rst_2layer.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/pin_constraints_reset.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/pin_constraints_resetb.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/repack_pin_constraints.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index 476e8c010..f8742d781 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -235,6 +235,7 @@ run-task basic_tests/clock_network/homo_2clock_2layer $@ run-task basic_tests/clock_network/homo_2clock_2layer_disable_unused $@ run-task basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree $@ run-task basic_tests/clock_network/homo_1clock_1_reset_2layer $@ +run-task basic_tests/clock_network/homo_1clock_1_reset_2layer_syntax $@ run-task basic_tests/clock_network/homo_1clock_1_reset_2layer_disable_unused_spines $@ run-task basic_tests/clock_network/homo_1clock_1_reset_2layer_internal_driver $@ diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/clk_arch_1clk_1rst_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/clk_arch_1clk_1rst_2layer.xml new file mode 100644 index 000000000..ccdc3bc46 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/clk_arch_1clk_1rst_2layer.xml @@ -0,0 +1,36 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/pin_constraints_reset.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/pin_constraints_reset.xml new file mode 100644 index 000000000..3788a1411 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/pin_constraints_reset.xml @@ -0,0 +1,8 @@ + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/pin_constraints_resetb.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/pin_constraints_resetb.xml new file mode 100644 index 000000000..1311926f5 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/pin_constraints_resetb.xml @@ -0,0 +1,8 @@ + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/repack_pin_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/repack_pin_constraints.xml new file mode 100644 index 000000000..06a125111 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/repack_pin_constraints.xml @@ -0,0 +1,4 @@ + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf new file mode 100644 index 000000000..04489c15e --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf @@ -0,0 +1,54 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = false +spice_output=false +verilog_output=true +timeout_each_job = 3*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml +openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml +openfpga_vpr_device_layout=2x2 +openfpga_vpr_route_chan_width=40 +openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml +openfpga_verilog_testbench_port_mapping=--explicit_port_mapping +openfpga_route_clock_options= + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/counters/counter_8bit_async_reset/counter.v +bench1=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/counters/counter_8bit_async_resetb/counter.v + +[SYNTHESIS_PARAM] +# Yosys script parameters +bench_yosys_cell_sim_verilog_common=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_yosys_techlib/openfpga_dff_sim.v +bench_yosys_dff_map_verilog_common=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_yosys_techlib/openfpga_dff_map.v +bench_read_verilog_options_common = -nolatches +bench_yosys_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_dff_flow.ys +bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_flow_with_rewrite.ys;${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_rewrite_flow.ys + +bench0_top = counter +bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_reset.xml +bench0_openfpga_verilog_testbench_port_mapping= + +bench1_top = counter +bench1_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_resetb.xml +bench1_openfpga_verilog_testbench_port_mapping= + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= +vpr_fpga_verilog_formal_verification_top_netlist= From e00312d29e627fa62fbf4d0f8c1e54a3d4dbe356 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 1 Jul 2024 20:34:37 -0700 Subject: [PATCH 092/230] [test] typo --- .../config/clk_arch_1clk_1rst_2layer.xml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/clk_arch_1clk_1rst_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/clk_arch_1clk_1rst_2layer.xml index ccdc3bc46..41a1aed69 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/clk_arch_1clk_1rst_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/clk_arch_1clk_1rst_2layer.xml @@ -11,7 +11,7 @@ - + @@ -28,7 +28,7 @@ - + From 60e6e27e54872a1172853234cd1cb27c23ec458a Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 1 Jul 2024 20:45:55 -0700 Subject: [PATCH 093/230] [core] fixed a bug on tap point identificatin --- libs/libclkarchopenfpga/src/base/clock_network.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 2889876ab..78a0a9c60 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -429,11 +429,11 @@ bool ClockNetwork::valid_tap_coord_in_bb( return true; } if (tap_type(tap_id) == ClockNetwork::e_tap_type::SINGLE && - tap_bbs_[tap_id].strictly_contains(tap_coord)) { + tap_bbs_[tap_id].coincident(tap_coord)) { return true; } if (tap_type(tap_id) == ClockNetwork::e_tap_type::REGION && - tap_bbs_[tap_id].strictly_contains(tap_coord)) { + tap_bbs_[tap_id].coincident(tap_coord)) { /* Check if steps are considered, coords still matches */ bool x_in_bb = false; for (size_t ix = tap_bbs_[tap_id].xmin(); ix < tap_bbs_[tap_id].xmax(); From 73b30841a78b8be0b996dd39e161ec61658f7517 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 1 Jul 2024 20:56:27 -0700 Subject: [PATCH 094/230] [lib] typo --- libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index 1e03bc0ba..e36ed9ed8 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -61,7 +61,7 @@ static int write_xml_clock_tree_taps(std::fstream& fp, } case ClockNetwork::e_tap_type::REGION: { openfpga::write_tab_to_file(fp, 4); - fp << "<" << XML_CLOCK_TREE_TAP_SINGLE_NODE_NAME << ""; + fp << "<" << XML_CLOCK_TREE_TAP_REGION_NODE_NAME << ""; write_xml_attribute( fp, XML_CLOCK_TREE_TAP_ATTRIBUTE_FROM_PIN, clk_ntwk.tap_from_port(tap_id).to_verilog_string().c_str()); From 578d7c8ec03fecf1c47db548b8825e3b1e811866 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 1 Jul 2024 20:58:41 -0700 Subject: [PATCH 095/230] [core] fixed a bug on region tap point identification --- libs/libclkarchopenfpga/src/base/clock_network.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 78a0a9c60..bd02259b7 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -436,7 +436,7 @@ bool ClockNetwork::valid_tap_coord_in_bb( tap_bbs_[tap_id].coincident(tap_coord)) { /* Check if steps are considered, coords still matches */ bool x_in_bb = false; - for (size_t ix = tap_bbs_[tap_id].xmin(); ix < tap_bbs_[tap_id].xmax(); + for (size_t ix = tap_bbs_[tap_id].xmin(); ix <= tap_bbs_[tap_id].xmax(); ix = ix + tap_bb_steps_[tap_id].x()) { if (tap_coord.x() == ix) { x_in_bb = true; @@ -448,7 +448,7 @@ bool ClockNetwork::valid_tap_coord_in_bb( return false; } bool y_in_bb = false; - for (size_t iy = tap_bbs_[tap_id].ymin(); iy < tap_bbs_[tap_id].ymax(); + for (size_t iy = tap_bbs_[tap_id].ymin(); iy <= tap_bbs_[tap_id].ymax(); iy = iy + tap_bb_steps_[tap_id].y()) { if (tap_coord.y() == iy) { y_in_bb = true; From ec7ca1add1431ff79a7cfb4aec7f49e9f7a5a100 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 1 Jul 2024 21:41:33 -0700 Subject: [PATCH 096/230] [doc] add example to example clock network --- .../manual/file_formats/clock_network.rst | 32 +++++++++++++----- .../figures/prog_clk_network_example_2x2.png | Bin 0 -> 64210 bytes 2 files changed, 24 insertions(+), 8 deletions(-) create mode 100644 docs/source/manual/file_formats/figures/prog_clk_network_example_2x2.png diff --git a/docs/source/manual/file_formats/clock_network.rst b/docs/source/manual/file_formats/clock_network.rst index 5979c2f24..0b99fea10 100644 --- a/docs/source/manual/file_formats/clock_network.rst +++ b/docs/source/manual/file_formats/clock_network.rst @@ -37,6 +37,14 @@ Using the clock network description language, users can define multiple clock ne +.. _fig_prog_clock_network_example_2x2: + +.. figure:: figures/prog_clock_network_example_2x2.png + :width: 100% + :alt: An example of programmable clock network considering a 2x2 FPGA fabric + + An example of programmable clock network considering a 2x2 FPGA fabric + General Settings ^^^^^^^^^^^^^^^^ @@ -44,7 +52,7 @@ The following syntax are applicable to the XML definition under the root node `` .. option:: default_segment="" - Define the default routing segment to be used when building the routing tracks for the clock network. Must be a valid routing segment defined in the VPR architecture file. For example, + Define the default routing segment to be used when building the routing tracks for the clock network. The routing segments are used to build the spines of clock networks as shown in :numref:`fig_prog_clock_network_example_2x2`. Must be a valid routing segment defined in the VPR architecture file. For example, .. code-block:: xml @@ -62,11 +70,13 @@ where the segment is defined in the VPR architecture file: .. option:: default_tap_switch="" - Define the default routing switch to be used when interconnects the routing tracks to the input pins of programmable blocks in the clock network. Must be a valid routing switch defined in the VPR architecture file. See the example in the ``default_driver_switch``. + Define the default routing switch to be used when interconnects the routing tracks to the input pins of programmable blocks in the clock network. The tap switches are used to build the taps of clock networks as shown in :numref:`fig_prog_clock_network_example_2x2`. Must be a valid routing switch defined in the VPR architecture file. See the example in the ``default_driver_switch``. .. option:: default_driver_switch="" - Define the default routing switch to be used when interconnects the routing tracks in the clock network. Must be a valid routing switch defined in the VPR architecture file. For example, + .. note:: For internal drivers, suggest to use the same driver switch for the output pins of a programmable block as defined in VPR architecture. + + Define the default routing switch to be used when interconnects the routing tracks in the clock network. The driver switches are used to build the switch points of clock networks as shown in :numref:`fig_prog_clock_network_example_2x2`. Must be a valid routing switch defined in the VPR architecture file. For example, .. code-block:: xml @@ -103,13 +113,18 @@ where the clock network is used to drive the global clock pin ``clk0`` in OpenFP - + -.. option:: global_port="" +.. option:: global_port="" - Define the source port of the clock network. For example, ``clk[0:7]``. Note that the global port name should match the ``from_pin`` when defining the tap points (See details in :ref:`file_formats_clock_network_clock_tap_point`). + .. note:: When programmable clock network is specified for a global port in OpenFPGA architecure description file, the width of clock tree will be the final size of the global port. + + Define the source port of the clock network. For example, ``clk[0:7]``. Note that the global port name should match + + - the ``from_pin`` when defining the tap points (See details in :ref:`file_formats_clock_network_clock_tap_point`). + - the ``name`` of global port definition in OpenFPGA architecture description file .. _file_formats_clock_network_clock_spine: @@ -145,7 +160,7 @@ For example, -where a horizental clock spine ``spine0`` is defined which spans from (1, 1) to (2, 1) +where a horizental clock spine ``spine0`` is defined which spans from (1, 1) to (2, 1), as highlighted in orange in the :numref:`fig_prog_clock_network_example_2x2` .. note:: We only support clock spines in horizental and vertical directions. Diagonal clock spine is not supported! @@ -177,7 +192,7 @@ For example, -where clock spine ``spine0`` will drive another clock spine ``spine1`` at (1, 1). +where clock spine ``spine0`` will drive another clock spine ``spine1`` at (1, 1), as highlighted in blue in the :numref:`fig_prog_clock_network_example_2x2` For each switch point, outputs of neighbouring programmable blocks are allowed to drive the spine at next level, through syntax ``internal_driver``. @@ -285,3 +300,4 @@ where all the clock spines of the clock network ``clk_tree_0`` tap the clock pin + diff --git a/docs/source/manual/file_formats/figures/prog_clk_network_example_2x2.png b/docs/source/manual/file_formats/figures/prog_clk_network_example_2x2.png new file mode 100644 index 0000000000000000000000000000000000000000..eae255144ba225c55ceb0307bb04ee6a37a39c18 GIT binary patch literal 64210 zcmeFZ1yodT+b>Lt2!aaIf=UTW4N@|K1xQOvcO#wBf*>%|(5;{#-6@TLLyC0AkdgyP z$JrYNd7kH8XPtG{`ObR3@B6cs%VBfJ6~8O)YhU;Hy^s>Qe2L-`8XDSV(dW-((a>t5>ge-fd9~KWJR8!<#bRj0KZ@vK9+ophL#_Ucc6_8{O#fk33(ylIqG6iVsUU@^8pY}9>6y@%vn{1_v$Jz^bMtxr^Q~nI5o!zh0Sgt(i%}Yji;GLqngAEe zF~`VuMF(j~%A6yu7jwJlmd-u)gpM z*zk*vW(*ztH8C-jC>NtJzHe@0Nf9t)fB|9XgUY2_mFPg^C_*}0%2B&sINjj}xC14) z1E*sgstd_$g^z(bDva9s}Ezoopw}-kpMDsafqdLsYRkUgMr^ zQ2r;tsB=E~1Wu&|rjp`SPf$N5JF+K9hMRzce zkbK9NzPz@BPUUJZeqHeGAA%(&);I3>nJ{^;;Q%pA=dl#TM|{g?|1IIzHJ4KND>#3| z5L4SYCj(Cr2ey4ybF!Kq9jyg}{gI<(*yFZk;?pfX#XD1oK~8T0oCaW%Bfg0{-nVfH zyP8)b_eT;PSUJ#O+0Ou3zJC_d-Shtx<92v0YeW1%hnD@^NLFB^Hy+6A-4k9l7TyUJopK-LVVItGJ`iv@ z&t&YG*^%-PWSIVh;rrD{J^Z}!dqn_DAnrLvz@Rnj?T&F))}&Vtw{|o(A%Ad? zfU9Opz5L?*$jQwio)m>d*Y&UB_%wBo|6~~qmR(LowDAhS$T5$THA7*QpI+`8knuaT z>?{xyUOvUI1h1wI2yQSVYJ5YA_|^@*^cBa_u#|kG>fImoH1mbTh|7H-y#_R?l)jdqF z@b@TsT2~tz)(R#pNoIqF?J3@oa^kT#PH@DCaI~CFj{B)f>3cd8ZutasBv^po%AepRC#0@LNVcC& z#Rl=pc`~&bK_;~%DfgEo7TyP-3xlG8ptp>MRkrg&Q7w|lbBxb}?!xl&3FF$3^{-?2 zoUb6=wi}+OE`?Xc8YGR62~CF|>%a?&%rPQ-2)2?MO| zTsUF%4tay+4~~V@xz0X_U|q!-d@%asML4zPfq{--s+~V^lib)xlx>=Z&!Iajfc4Bq zLo`m{Pj#}Xm)eY$epyI zS978V1ij-do&>GRje#eglj!2P*g^XqfXV?T2$c}ACMCiNhQ!ES*H;yqvvDvv9yiRt zCIt=l0Ih`(1kGb+a-ygFiK)BnJzABT)oZ=AsL~tbXOi)}+ev#x7_`D0_=6IhK5?S#f>5bJj$zV}v{wkp@Ac+Oav82YzSD8Ujb1enehKxhA)kN-K${{w@6YC8W^%l4x@aD<8;W~!vPwxA$-0?2E0X#G(;K{o3MBcZA_G|m3&-Ewoetx(2F5?@?8`}xE zib@+vN>-y{_N5yNy267q+)HEDl)v`B@-RZRALod8W=gtTP^g?&ow`4D30z~{fi~kV*kHr6h%70_yyiR<$v%4|JM(B35 z)AWH~C;OoJBY(>g%-mFnXxudG^i{e&UZPT~#bi(D%gS$^Nzm7L zax>0@s-l-o()EZRdY*U>RU!Un$GoiwtuTJ>6{1|ReSN4ialA4a^Em&^R|9LrCs8^h zbRT~P_*<1`RSg!y1>%)TcIL7R`oz8{_1DMZS#4vju-~NCD_>}#M%v+KGZ>hpx-i{w+rCqa zhe0K-BQJedXs)j8<@Sh*Fv`z=bV;4S5L21)sDWUhZ8fk7h071gfF&`%Ov{lV4sk&6y=8<+K+#QB9Bu{n7u8WcMlN|*X|ML?JDIDA21*~u_g1WC#P%&o4Vd9(EE#*d?nX-eN~Fk+TBR)+-l z`i!aDa%L*Upz(g{S)iT;uLl+YEgIO>Qjx( z2RD3L4-9WE8IdxCS5aJ2Qpi42ChpQL9_b0VIhoVIf(>*bwUcxL(aasggN6GJR*tDm7GxC5L;MqKfgs3obL)FX z>1#KPDB{iO=6bUq<`lk48B+s4Rqk>YD;!mjO;(H+HCUpM&$LpE2uf@pxu_oS?2?+0 zzs(_;Fj%#ovUPNnD9bWoiGU?Df-x9R3ujp+v!Btj>ypEpYgA>%YGL7Vtl11l%+w@W z$xx|K5k~LDYxIO`c^Bn`_=Xq7SW>>vXz9#9Gz+)!&rKuB$y5=iPnpLBqW|c&HcnMX z=%yV9MeAk#`e#=e!}W1R%wsD^VHQ)QV%xN=R_}jh_i++r*8C$cDfSc&CDRkG$*(b z-*)w0<%k!<$~l55F8KMa^DdX}|0p=K%GHJoQ(d@|73w$zUKU}n{V$$GEhFL0rHIf2 z+_#Gv73pu2%cizgt?eyrekfJFeY=iF^q_(j7T0r%#%VByrQE(p+=x9l$=7c}{>l3CN=d++am|>zNdH@_FcoHUA!#tJ zsg}0Ke7i`%zs8VgjgT0(?OvPelCXN=@41fKG5;&PUcXdYSSqdQOT1Hxw^em3VqcOi z??rXOIPN5bKIIA)U>6`Ixn3-44%>Q__OuSusV))AJ3ki^KgEoFl}u-|L3MDvuw52j z(P2UICL?`Tt#vf3;^oRR{%U)i@%{^+eecDs1d^sz>!i9tz1iN-IG@QXh9E(ywcsJ% z;Ltc>FL&j3%U<)99=&T@7yNa;Z>(V}nUZTBeuxyTHh)8fA1?R~acJUuLrq`#uj+9x zhieN?7Q`_ki-%#fJP&OncGkPekMwj?70p{jtL}fb?8=GM9{3ne;vaoEH`!AyduA#% zv|sNiS&8h9d#$Ks_k(fW%6CB3Zy@!r?uaPYX5v~c2Xio4l7_21NK6?W{+^ei#BkNJw$8$n3 z++X`5+!TT%GYaka@*ZMSz&0@(dww4NdaTvDO%WKZ?f1Bobk(>#i04L2EWL>`I?oaTB`@;+tznY)vU^{w9a$bP(S4fO!9!+a<5M(^6R_a zBOug73A0409s}sHkA)A%&TS1a$(}l&Hwjn|+%cMWi5Zay9DZq@;u7j3wH9G{W=bcM z&Oy}_)9||mVohNxtYfp$xIEc2v#wGmx*KiOU(To?nViR^Vw8`E5sBoY)L8iEIPAI; z5>|-f8D--IWjoWkkx4a__@qsN+P zp9%uE!iM0SbKVa!pOT-6{5L~yD={e%8+JA* zspZZXh}+~vThA~>-l~Da$zs{h;!6%LL1L|Xe7k3yfRYZWt5y85g*HE7O9Z3x7?1Tu z@6bc$_Na|A4{IUP{+-t>yNqtK#R!tbJ4%TRIn7^X#mLgnytg`Sg*%2r*L2Xxrg0iH zs4bV~X#5$7G#u#o@^smPS=txka*6U}-e2{4(5#t*Ju6Z}z<9&&3Qi3sP&r@SMX+<*^&nTv+puSrQL^nHmw{Tb529%9`cfaeJFye&n~)sCfP zTJC6J!{Mc=_5L8FY>`CP9+T7HEO2toIK|}``Lo04YX`Dts%3MGv>o{3%NA~987B8a z-By|9Rk}-;Lyl`uCOPwtH>qB1ou@o2hvxoew zwQQ14hBx5#sNFaVhD^!Aj;iPiT882U6KiM+P9RyW&6OJz5m3XQ+mjEIq&z5(ax;J07} z!i=wD`5;Lm`wKS=#yzz#OSQk!>Z4n!s(+M$$-}xKaKS%Ed4!&4wB>%-L+$qm(Pa$a zN)FOZ(HKsv4|C{|v+5lyWoURa&E8JTfWFUpei$EM6EfDLmPhYP0K7Qh z}$!i5OV# z>lImdTN->Y=CMR2&JyFz_*%vWTpzsLwzPP}z~IjtlZ9O^+v?q7N=VtkF5~uf#h_H^ zEO}_xR?;tD$cA&Mh4pf&_A8V{CC!(GKE^PE2gf;p#g0h?n>v+d&Dj#n zZ6MQ`Ya($%>*{nCxLXJ(=tzgz@#X&-D&PHJA*JOD2G{!bsk!}mFGhnl;8{VT-Cxz4%CH)QmBxg4$4|aLr5^Xxl20T$l1kJsx*d>{NT)A zmliog-0&?YQbuwpB3Ju^kxtInqj9v$Ee6Vi#V}`QMmX}*{=ls*Lg$i z`&vCU30UuZVf3>Z7Nd_wTlLw}P437bUmWv_9r0en;Te?1ZRsVV1qzZDWnY@i^pBSz z9GhwhxIy7sAB?7UxeYl-Uw+!qljwyIEQ^sy6PxQ1&3qsUz&R%J3rV_2`}0D;{x>amSHI{^pjWNUykG)2-dX@eZw3d%yE0^E>WW!569H&vv2P${TX)uYXdd=+)lRk~xdB4xW-r zv1uEOmWxIs8}SSEudYo;F9eR*#@yoX>qbtX+XDeMfH$Hz+RT7O8o+!_hS}Y?JA%V? ziwjn3XyRLxS~QxDOvCPw;rdGd@PRj&2B*WWn*HRRDROZC^XH z^j(TSwv@e+weTzeke6&wc$qKu>*q7Kx4Le7Lt1)*A!yll;kDsW;r2+8W@1wN*JryQ zW)mJ;LxnQ-xq#rHQYCQ= zGE~{lA)A=8i!Qyyo^=|FSBCQfWzAlPq=;@E?vXI~8*K6A^8|P&93AAll~A!g=y_iF z@KVRUw(!-1`^F)i7JMBC@wOXTxCZ_h`|$&cOu3QrZg(PTQuPGmn2t-_m^t&ipi?rMIeNQNz;p59f7T$yQF~Z9r2kYK|Ecf(Q<(mzqW-_}4g*c-$vevR+zURD zcPr3_BVwj7?_7H_a>eIs$af&?*7^dG1NzaQyn`O0YUT)8w4USRvMAZ21FvhMj7-v! z8IE^Wcb?*rU3>bZbs4wABhnfaqbDqlR^{2oQ`x(q^*C-7{tT*J%zCN+_d;4R8TSh|$_3Z{K;KlP-b zDjxB5r!ulzn2-fMN_iqela#&zTZ@ z`<_aXrtVGAnJf`GkTh?7tk?H7uHG>m+QgcbysX=md`N*Q%52C*Qa4ZwOuw!i0ZhO9 zcREFprYSgGSQVJA=q*GCOeg$1{r#Z|IGshY?&UZz{nr4v`y%?;?mtU4ZNTY5y5R0! z^zpltK}xzKI#14ov$xz&1+tfq+w_ws(4^gDNo_@HqjAE;2K4YxSuWkY<{Tg5 zjk}4WdAMLgGh6#uAUU^;(-c5*BD7H4Jyrioj`hAP2B`Cp>dw!v!Mz$DGcAtY4^ovl z6P`@zp#TP5dOR0zUUw|W`hz@M{*3>6%yr(1&d*NZI`!I2ng2GX_)q{7Sm!!#QMW(1 z4s)I*uE~ErW{YavP`=Vw-n4JxV>p)uMKcRSIOnU^7#pcR`JV^fXfWXNQqEFKMPrgF zDuoyBsa|`C_8ILzM%Z+$aQ++xgnZXhu|@M(g%EAY^GsRShganQ2^x18LlnPsy)adr z$emr==UPFVnREF9+KzAS%u{^h@D_+u6R-^CeuUtBFVPzZ+s{bHwhk{rrq0Tt-2 z@u1Gr|1(!+vYr{{8J9*s++KMCFuA??1D8PlS+??ZdR?Fu_f-2~Tc&uRv_C{iS-!sw z80;PLVYD-h#TIF*f`GqRW%~y6x{Rr!5MtoMnaaC99u8sr-(oq@o!q?Fy)6lL4s-uk z3Hbk^%>QBe{}YzgqM088WC^)^vSH@~$bTc_{+|O4@(kj3I1{B=?LJdy_@91{?&SjJ ziD!$r3P)Xq5kdFx|0k|f_<7S6ScRbrPTRSBE!*>i2%lBBMWxoS4z^Yx4!CynHp=fg_xu{*>ADi zm0opA-^xDAr`pRJG1m&VzR-v0sY*qfv=2!S>KXP*RQtc;e8S&9$*g)8+f;6vo{;vk zH&TJO-jeZ0DN~(9a0dgsg=8<}3Uj`MaGw8Tq)IGl7}12xwLVB_;$^WNtUp2XDQA#r zUkH5b3sZ=ma)%{esFssb=wxaoou~52@)TWI4)Kx&VgaW}d}uk_SMaBV)q zG1WJQ>i#2}jbUG0-X|DT$D$bR3mjgT|4=a?N6zSQD0^i-Pko{ijH{i#19KmG??Ug=>ZA}j2_pU2{3e!^yH|JTKe$65n0?Q%_HVCpH!<_B9_$Ol5VX?9Y z!}ARMD5y3qBFtP$52@)?EpJ$&TxWbTXbc{~mHDC?clNM*_pYtZGFrBK;hFC}tk>1j zP5a(qS5})0ul#kqwY-}+t9*|D3cFv6&aO0H_MWD?{RInYF}`l6-a(R;#4O$Y>=_(5 zhgx3tbb-pq#modPn!r;FkeJw8zk}$ae?{X_Gw4RtX+E517SRyE>=unKsyO2kyD%$G zk@W)_y_(wEFS=`u3+(%C&Nwx5o2%IB%vP!5}XwG1Ps~lN>=1uY>$s*k@ zA?fjv{N=9AFP%JNF;Cz!d`Z0nq?Zo42y5n21ID$iQ_z>ye9dNMsRzbw$dt0-Rys#W zo4}w;dxLRB{V;hFf>P?c>F+Im9kNDi zgv2BawxQwZ)V(9jak*W-SgjWl1M4KM?ZRwO;g%Ne(^dbrvCVdGscx|JG&j}4P>K>^ zs>dFlRlT4ahBL8RH2&;s5Hv-D&8kmwXZ3*(g7_7CPk7><+e72 zlzj!j?V(I!Q`;-9sLeV7C!*6dkipW*Dcr-gLix}TtK>D>k<>nm7sp})OyO7_gtl1= zVmz^MHtRd3Ifc8p9nWE*;L+2gB05%-XH3pSgr)2ipKS#(65CRKYj)H2wbrU0NPC2e zkEsKKY<#xT5p7M8C5}<|=fMGiUo(F)Zrs@Y)6*8aXFzKT7H~-*wA{#V%hiVg$ILP1 zkfg560^hdHCi-%?Tg`4ItNrHIjd|5oiCc(|@u2vCR@}YE3M)8j7*m36|)yIB1OD4fj*-b$*z!&lF{+oW;`vM5Kp4fkhdwox$LBnjg18m3}nsXP-v2I@WQA>zgOq^n_8nhb?1B~nfD zp~;9u`FHM1MMp}10ni^Q;tUVGe%Ja%k>lRG(z@>OI>Qjlt5G%8CjvK^B|K zVq_O9xh|2u-Nj5BX&!x6fMb#{-#Kq_8#sr|_rvD|!r2RHkvDfeQN=1gmuBcvlvz|6 zb$P$!VB^`EBv*b-es^}lX0UwaRK#fKR;@pc3Zfva?HpS57`Ve{LFTla<5h2&K*)I$ zksP1z=jbR^9%m4uog0fFa%{y|3xqJXKKL)K zTa10kR?_?oeWc#U4>_j9O&t&KQ?L-SoCbTX`hZXR?R|$taoani_-uJu16KPt>P7Xc zIQ8+C;i%93eM+fK8knLaGt;@9Hs!+=3%5y$5M=!czHAr!4d{_8Z=DW44C5bdb(rG5 zcyUxYrNZLGg~yjqt;vw0z(UDLYg(7`sPd4Pdl2{NaTxQpzt}bgF75RyzI_FxH5n;hLO+du{1wrDq;KyDapxWwa0IsX2(h5!wWM6{H$l9~3n8MK%gj;%Zp8(Lam1@B zahPKPSM&nHVeP=LRSlSHw)+WntO#er7V4Jg!a?`gMuYXxMK8?2uqP++E{)vBH#|zT z0Y#mUX!QQD?lAYzgI`Rz&;0Oq{(IWE!QV zGAzRkv`;P7lPd$Yb}s9lR!Zu;^9n>3ld_b_Ob^kZy>4@smz)lpBOi!0%>*osq1Izt zL4$f9-#>(OTJ9E%iX@INkuCQz;Df zGhT0`ianUEhVw0*m-YI#VUC>-<2qdOZSKQ)XY?Xp{pZtDG)I$39S0mc!N*~bc*Pn7 zD`sod$`)20O5S|HMX<9m0|P};EAJFGa1NA=ixZfwc>s6eGP9a^a@z!7A0{x- zMMP~Rw^l8tqWTBIiHB$cLfV9$k|4fSEZ>M>q_%JuaEa@3V5v)ePo-|1&Do70BeL9n z_U&V&WEiUG2^)8P;~^aVESQuPK!Rks|sMD7{P4yRvd$R4y{$-m(DM#n|c7}E4oqQ+Z@MU{b8 zygFTbVPV4VdABu%Ya#Y+-7urB84Y#y?F1MOio(~bHi?tS5f>EWlRr4RiH}S&ymWm^d+NUXRxT!$vq`i)Jf_Fnv?rjYZ z4^m8De#7lJelI~%Y!(B%_dS)OoYFI@$`Xlpn$rE=9TBTvomsUryUmq@mQeE^lF#d*|rIN(sa}Cpj-%HD&5-(Ztrk%C^=5+~p`~Cx{{#0V}M#aZ{)PhSG{E zE6m_l%qki3sE02m5_Gm4I*pWD-FG@O1<9mGI8$vp-$z~sXt=VD7Oxn4+1=d=qIduZ#C$2W)qa1S>!?_m=z{CT z0i!Y#snYy2sD04y>O=)nC4K0RU_izguD6L; za8_i`xDTy;NmpAl#9Mbnm#$O=Hrgq8z{dTg66Sm1%pN8!^~-0!wB$vynbx&JR1df) z@4Di;A2;f$mB{`ALwScJ=lDTX*@D_(+qfM*a6qhOpyYc%q!j0ydy$k8&ao1p%QMrZ zFQHP1RN>yMb$o+&0qjKj0U<|l*Yl@g8vg_pwjlcK$)}q44O(e-~likpmt_O9y1+1!XrVWs(&yt}l9$J^OI6%CCJJ*mxUVT-pmn|M4 zA?!sMKznvjxp?_L9fmAz&-?~Ab3KI#{Ted>&WKN>VpeFp3aG9 zI`d5;>!}rly5@@X+B}3id(eS}Xz(41i`3K!4l}DW56ds(olI8Rb;3Sl)6&>!b2`r; zCNzrt{VsHvEw~|7)FvF(X*7+(Nc?8D_Ao?mE;YtfeKr-Upr!;Q4ELh5Bbqt$@nbBW z)&o4l6gb^647+2i`mF&KYg*?=G9tdo?Z@5-oYRqOV~i&ZHmEm(92RiD**)}yTlt8$ zrZ9>iH)2RFcLDCwmb6MB(uj*AZ!|wuuW&Wh>phE zdI|a&!a^yLsfYo07M{UxUDpq)V#HnHFb-X(ooOQ|X`GJ0q>Cf5HafhVga?DLTTk8g z@)?&@_gG9LP0B526&4E&8l_DP`j)q2$&C7`2S4Tz7&1c(Jm~_gt#3O8xQg(oZ{#T+ z?M$mYY$(3Lkm9S7QxK|qH>dZ91*Cu*I&`HYVc)ESi}YU-Tu#Qr%?~&e!&}ZmX0hvf zSzFo{=#;}b1)M~ycSo$HfhE(Np9cPFbB1=9dW8v0?0jtx>k?ui9sCvwBv%VOQfuhFV4YHW16)%MwGBVec-tb|EafpZu@D*17LX4ELW z-1tBN>Ou)){!|Q3Q;tbOwsAE6oB4l{1o%9mygLj z^19|}ox%%up;$kU-ZZjTT2XSFuGcNi@G$&p5hTrD9wxjFyqTlp--!WRE6d(FQ6AC7iuz{v_aJ7Pp!o_sMk<-iK)D#s4L3TIG^hmSz<6FemZ;*zaZyn!=^yf1hcyCO~ zcr3b?9kB6WbQ)Iqwp{q@?$xM;>L(tzzsxV}2$VE@S=g|}f4ijp6CvjV;1nqu63I<$bm7qf+awf>B)tj=o_y|dhC0w-dfiChWn0q79 z#+UfRfHkb(99>0;`rWlVmTEDN?sui!)j9MZAIv(-*1!Ytbfp2*SuynGeQFiUA8t67 z1j?)8op26&jz4PUo`G?R4lkrM&zhiql#cY|kc0jj&wcl?5Dhni2I!O0i?>j8teoDF zATh{JSIIGTiiUBJZI{9tvyFf69zvmd^=>%#6mV-l@NDlV)^=fD(vEvOsT|eAOcoW4 z{ljbwLlK0WvaS>z@{W3#;Q4=F@3^zby^ar)=Q7*o&B2T)5Br>_tTtKnCRcwpd%cWR zhcvN-ju1f`Q(6a#Q%!a~aBR9t5IZavbTf>dd;sa+H2{3-)%T)_y{u{+`K3d58Ar1GdcVCr%0;zel9wFWI>Jw$lu*Fb8kOx_4P>fs z89I*47{Kz2!B+jN4^!iZ9SvT3Y6q85WkwB%bgCs4xnh^vlSlkLeyUB>a1P~YuFk+U zSM}7neTsm+>@(gm`lCcVmAK;Y>@9`v#Tj>v8P$|K5hQb2(Q&-o5Z-#eYJsB1Zi`i&ouzwR?zX#S~;UfI9iy);Nsu3 zpcZ=RW0PVVcEEC}jzFs}<(RQx2eKBI=CF}Q8i0lNR031y+WdnMJ;jbs2@KKVKvJDA zDa{(gZY&HSR84Efk3W$C;prQEf%nRDb6-mO6qE8Sdesg0%Wva%wzY4D9A$YkI@#W6 z)e)F*aoqHvmwGTC*83$IYqsvQ9?bHs6V}VZLI=)Ce?M3KM9IVCc~Yme!yNbM{9kP+ z1vzy->E%sj7*Qsy7i!nN;ZR-<_~yw=hV~WgqCrrX`?a1pg57nFX?pTN1r93N)RWSK zn>Yi6*8sk{-L-l2#rl+|Qmuw&`R9@@9cpwEq*-rL;P4Xqj_Pvhd{yxcYS-xe>Wp0I5u^jsu5{ z+D^6h@BC_5Ft1j`i~!4PJUiUuJJOyGOQGyQ5!yexbQZJMdba;V2+_v4r(7^|qgw57 za6h3OZEzs_s<%l#?`fw2aQq%pUh zL6SwCo;KS{!~x^XeUC!X*ov|@ov#!8;B8YD|fIA>P&F@Ss$8jcJ4dpV0fUjb*!S~ncqp{ncBw23I>#`533P>nG zs4d51i;Zi3lYb(bkM^cxQQXCwVK4``=nOpx8|1$aur?iw<}S9i?R>Yo4iwvD5Ye+u zgtfy(nsPTq%~h_dfG_Z6%egEh;#7cg2|wna%KQh6TVxiy=BfY6WrXTR6Cf;jKJF>m zOXVAwYbPx>;M*ZZ@Qr@Yt9Jfw;QmY#!)fOJxi*l-qx4@1|4o-Xg!^w-LD9(wpsV17 zD4?q#;M_({$tR@9cldt?PAFhkfqFPh^3>YcNlruub`_k^@R@6IohR!fJfHcL(Enn7 zB4US!D*fB}29*C;1?Ww{_~V^@@{PLPBu{!1PGk@CCXmwW5}o1;CUl}_us7iZ5hm>w zcgW-Z_9hISh#crmIMJ<)X&=y=fOVRc>UO0b#-8*h82xF-U_B?lvnHlLknD-Illgy6 zaR0FUkCvlqbm${s3a9z`e`Q`0O`0|zZ=Y`|`af&G|G@Ac82-Q0zv{mfrPo#X8y8Zx zOmR0mk1!g(mUS~dPWT6 z@5aC1#9Sq|QHutyHHE4XP)c}!= zM-%-#@A$~sZvGA z9nMVPg{y?c_4h>2_ve91#ZY{GVnPz4u#d5{~Y;GbGRR52(Rwkmj`-Gu3t&bTJG_+VQ?y@6=pkU^}+f zZ+xdwCdC3q-avhU!`HrLLy}!#a~gGE(@`Lj_mD5J$&rbWw)@>KPGD+_#mSAS3rh+s zs*I1|`jC}sH=-3RM#p%D5k)Dp^};wk=$>Q7;j0Vo+7@1 zXGxu+jGkTVj-x<}SBT5x+`~@896!DL$M3rocW;0go+F5kJHP*OJ z4

8$f!Ra5gl3Bcvs_5r*Tc6lIkq*Tg-?u*{Y2-VTD z;9D4)s8CQyjVbmAR;{8Cc=PoyaV@}=e#!F_A>zU= zTHlgLKbl`4f*!4LEA9hLQO9UOX>rXQlj4FZ;JR$KK<%tGi@cLgm6qcZ?9QEo1SiZS}I$$$5Xg_g_j=G zagVzWZ%F&25hCRdKqazgmqm9SkJmw}i4wf;c8015n8>ydp}WM0nECUgg|^}LQm~+~ zPxa7se*gez$>g-Q$$E`~TG&x{?(vA7S@?MRc`0Y=xxCc)Ikv{pK_l(xJ+UG^EDACU;!# zn%O75Tcds+5@y`WSv{IUba;CTbTU4%X(br)(LK4?WMxhZsij!>c+q)n053Y} zwH8P%cTye##ly0u!R}J&_3GsN!NkLEFW%|3UTU(vptu{I|7ceoyia@TPzpqwQk)xn z1*>NRKm&y|8UzAP=qyk9{p1>te35p#77-#X{qpVaq1y9SqktTxyU;MXqr` z7?GMjHo;d8($;9DsoV#9FM(`WEv8uz^n@eTEbi1epU0;H92IJ3>Ugcx7xjcHYcl3%DXc%tY8%@jCfEil=3N`u5o3l^IX9p{9g>?YhW9^ z@0iM^zyA_nGgQrCTKyMq9jE7_r`^>2CR4SEle!<(`~b92`Y&k~rCf4HW_7d(>m$lQ z5wckgrI|=n>^kdtM_>{Q+sV1?E|9Xvf{f5xngl4v7IK=Vr#8pttX7g+jYRpcOP!wp z5o;2OL^bPDm%{k!qMg;z0l4!TZbwOtuQQ}bK@6S^A~WLI;`{b=em<*S*Em1bBpT)z z*^(sfRQa-lvmXOAV=)>)_XXEy)*TNJG{H`4;Y5z*od)B#RGoOv3yPc`i5$M4TYryd zjba2c%b?2!OoLpX*|=D!^daho<8=#in+ON_b7+CfKm-JgTu_J!+O>ietir@L5)i1m z8iyOM4!5Pdu&L9xf8OQnrdO_u-cYd!ORG#fZzEZ7EC0fc{Yvq=m)naxL?DkJlK^Zf z`?I1Rv>&ZJVOQMSYkwBGo^zf-;EPFWT(29t?@AwsZx2rDB^M`sGN9L$28ktB*J~*x zE#K}uK5$SDer_We=n{-SlkjixBmpdc-&cR=8t0h(?QU+ww&Ho7;2e^gz*-v2)~(_* zqvxl7)RXuDxEwzv|ATcgC-?KRVSN_ND3dF)mcL?jbe_k1 z0m-9jojUbkG|>8I&R$Si%3o=eV8fvsR{9ni6V$E-EWI|JP{XY6Fh~4ympZ zDhDfak}(Mb%ki;?t|&|_7LbKuUD?HapeI~J-Q=E@b!)Wzm;>NwS-z86N`MCg7Nkb7 z8PIWb6?P!?vp=_!*hPRTP`4&Lr}4$jrI~J!DmhY3 z3{01zQ{$L1S14^Vv}#R<9a#kUQkBgvK?*H!3U-dB8b)9qG=bZQ1Uhn4thSxcI^Ew#44}htE!BZ#hpOm*4Id+a% z4y@?VZB{z8ox3~>X;k6k!1+9f(W>Dtt6;Pc@D8L4E$xM7BARQm!W}!rw>nK3a-T;t zC(1FR^j)^q_as_;PUMvF(7dF!kMI}83>CMiBGy2QEns{7vcg-P462Potz2;>(`Xq!%3M05 zI9ApQMf510&B(VO=dhFG2eY6>n{#4C^Kg!6`^l;4dm1V>XFHz_jCYK%x=!GXVbaN= z^=uWBKeU4@^vmfoBHiACdfyM~eP;!5u$DyoTqiecH$8_@S^0&cP&{5P_)WM7Wp+X+ zI`?b7rVYdPtQVT~CRC1Q;59PFr(FSYEsM!-iIsb6E1)s^M0qo_)s(ZrAnmq_=XnO~ zg6j>5f`Juggb0wxHiEdRib3bF?9@oV?fSE3G-dAB+@cy^yLIa#UsbTgRe75) z`QM5jASCPslvwiN4d~Rxgx_hg=v)OYkrcGVRgbx~PbuKw+d~&hg}uJ3@CA-{)_o#@I{3^?oFHN&-^S>DVlZ}Z&GLBnm- zB*c;cXfw_R)x)?swNyh`3MgOdIhXCJUSieBdJu9B74cYif%@7k-q=~KD65L8 z{fjYjs;-7k_ZoUdAqGc#oRk6dzQmx@V@*;Q`e#)o60FWM`bNUdxFZ;yvq zEKZ0Q1E5|6lA=7>{)z%YMIw|qP_eW7o0)*xC6Oukh`>W}+7o#f!efBo1=;5mOo1MIa4bwof-s4u@pp*{YAX@aN^WY9~Ct_XSaV zf!_zW<~ffz!7eyWE^!!50gZ-(+>UB~Yrc(hiAcKbn{m*>IHsiJ{-|1PrTM*RWTO?q zUnbSRrOYs;)wKc!(f8sFB`V`ejdhiozK*uqR+pA+W?gf5j;ud~E4x2>F1B)VKY7tm zY>-Fi;)xUCE$jn#gR9ru?yW!jF31oaT8Jl7r9h9>hu4S-rY5Lt>6B_Afe`_18Z=CzUk# z7{GeV)Kg%^D|*Ol5VoWQ}$#OXc3mKm(4b9&YyEBK3Wbl!EOf$et*JyL|$3m7>kuL zBFHRyQQMMYM-bm@?Zy0-(t%)lB~vr;#(0u3|KnE$jUVc~+UT?Hcs3O=JgX`y8Kuw{ zx>89nOGro|*)neeGk)y*C|hZzBk;sjX$jYqhISd#gtfTaK<2@JdH|N-4*zs5Dz}j3 zSyjyTG4^fmqf$#Dy|$vOt%=ur>nC&uu#WR!!Y&Q?pT<*1duLTb~%0%>l&e zx{YXUp_j(|Y@x0fTy!u%Gs7Y)LZ7{(iA#0xR!) zeJ{Z%o+vga10S_xL~VaIz}&gmBEW8BMdM*O={$Hu9_)dk6a;_#u(4S0#5~2Uf2y06 z$-S|D1M8zAW|IVNUis$!?X9spE3lDgYQ%6ffv9Cn=*{shkyEY*iYNIPu!SP^*W^b> zwpbHkMb>?Ab-h6{zg!a{Pkh0;%NGvDNaTD?zx@y4NRgQJ7~E#%<#;dEm`9bpV_xek zj1(-m?J>FNVFOQD{w+%8EgFgKlT>C~)^Xcngp<5GED=OwtQOTOx>oWM`oo(S=h`M#B{8iXZm6Dq}=5|ORC?u4L`-tDBeYE{iOMrI;I zV}pVX2bRjaC}iWdD;4P0^T+bo+Iy(NaK*XKiN!cI!t3v6N@Fcn)a!}G z8Y{kcotL{r(41cBmVnKpGFzLBaQoOmS`2-vehjjebI9Jmo6C#@vE<2dMyUP;a!(@*ZsNK^q4{c7MYQ4x_~<)pzbh76sa$CXIrH2Ieic{G6`T z(j2bz7Tv5xsrH{WeGIKx8bui;7uYCX_Xx#{xEUKoAY&0uVaUc4NIRY~mohd{Un5wG zgS{%ca-Bxc5nZh+*(SI0kXWrct<3rSDF*do^H^l8&NK%Dwwao$$`wh9p;0)f$$r$8B@k7GF_^H&GOwDaK^o^Y|LZm_rAQj>ncC1RTA4?^v7KYmh9cdaDgE5fBA(oHT->zIZKldsTu?6Jx%; zpQit8cD!caa)>I@Gi_2&BD&03FFMSA%~Oy|bzDrkr7r*Xbheg85L76+L?E%pA#QSL ziT*%(9sS`f!$eU-N%#dNw968vruHLqLbpM+BqSYolM(pPe$9qaH8T1ESYVDwE2}z^ z3#oEq+dTE(A$gl>h&mgjz|qONm?Wm?S=C2ZMPQzNka;8(RKu()QJh8;ITw-Xm}}^>L0@cck+a1xJI{Y|E62 z#EY5@g3CLQ6}|B{>^?qqU)CDjvfqT^tVg^aRMniw20inbfn2M)!OjYw@`X{`&C*A) zSF$TW>=1}^QZhQ9%@SGsNk46t_{9qA!Rkj4ywuAn$1s+F#T&TQ|+Ltag z1b-?X^y7HTHE6chYL@kz{ZdiD5iUTyo$2$WE8ZO>4G+cuQk>+W!#b+L3nE}fXVsT^9tYaota{9-$H1R98547 z7hSo{czN0qS$Bv6ewSqH;U)S*O_%5u5Jev+uStMSygPPNynUiqJl)Bi^wzN@7n$+J z-m+}@XR;h#PQn7s31i&h_cnxHS`&vjTm-MWiB}9QRs=#|$L))Vda%;3P$N8D5U;Gi zjz8(w7jHjo=Oi9Oe|6C9*-5B>&p%%6b!stV?T+EV@-eQ#)n^dSG50D2H&;*>2^uEi zK~NR|Vz&<_`!J)isfu`}DME(Mp3hRG+w4w?i_Pbvazqiw*1Pxp?mzdC$e)Ia;oPVR zxQ(}tcxzNZ?dSL$*MuKL(DKgN5Z@2BXH;Mb^4O8pxw8z3lAkoM4bZhDS}d+ZXCyh} z)#?(e9Cc_m$vETfM*}*{XG*S`UXAC%F6rq*SjY}kbtChcd4P3A6OE(LN8l%06TO-w zj)^)+@fGYWkQ>__=9WI8c^K#nYP#Q-;ebOKl(r?pYD{H!$0s&JJ9q~7@`N0wcfX6*BBGNAgI_Lnqf|-HI!tGarXEEnFD8YY}N0*~;8>cy^?SAe`27=J7kxTbG>Fii0!_oXZOBz3^ zhQA9D2P{PfWC*T(QvRH|L~v%b*GvPAB8&#z1z8)<>s zA`n(YAhRb&dHW8^wG_Q9i~iS-LfukNF5vyQq|r2T6-7y9dqf%F-Ki1cch{cj+AAUG z_U84$Mb0!Ut)&gNgex>)OYL$W12pIX0Yw;&vC!l2 zT0znrLTAGx@$F@>ney}kG+qHY1Nib-irVnu6Hcm+7E7i7SruhXbG*#;7*^|GrW;9b zZNZUe-rq-_oLDE-#A+38nMH&~Xi^sMO;709;4kahE~JO2+O@YS*qm)Rv7#Kic;W9e z%-6>5fi3g$}x0+p+aUXE~P}wGYql1&H z!B%5W0=eD>Z5P(SuQ@k5*u@&=_na?<0+-juXW2GRWZ{DRGLsT=G(7$H)&$q*OPU|b+j4GqP<1wFRnR25?7O(9 zW6^RXR^^ws3E%EG_D>~ASey5aer|0`lAv-1xMCpGd0ZN!VpV~;(Uk(TPy+|6! zQTL4ATi7Rrwqb5{s-AxHZ@k}+To`lS`?C4R?5)X9>b>A+zVC;0#+<>{_g~*Om+QHS z>Nmf2z2gu~gjW&p=X2|tHIK``ALUFI(7CqB9;}%fm(;7SvQZEf#JcY@I5!dIB01pp z_0(1LVSZaliCZs9p3N!ijWuc)cwwlzw{CB8|`@+{a; zVB6c@`sKtkmY3NSWX|aqcx=zUt&sLgGIo^3{w;8W1ad-GxGH0ZFFH*YCy@^EZM$B{ z(cIwm{w=Sggk9Qc=!F!UH76=Ew z4&Od`bO$TY^ep$IzR7Ee{bASJaNeumf9mfKGaVJYXaaq%!?!{H$xcL9-aY;tLk3>p z`~ko3k47H)+PO<2^*^5xAHDN0oiI5$&=1DFjKNkz08tc^1OLCo@=pK(;-bnPz2m>c z_&W*h(L4StWMC)1d-RUK@SuOhmrj83DRF%dc>wcjv&=t`vj4RisQ3TZoBoH; zqW}C1nwgS24)y{>ZP$f2sYZt{Sq{5wo^@l|Kp{w=UQ zFhdFYb`Oq|153x^`6r#9k(cf%=jOdD!Gyb%7+|d*x>JGU>DJFX(Txu6KVvsTTR)7S zTmWk|BWC~@|4cCe^IQfH(Kb4;`}LM|Cp>aP(Q<&uE1z&nL}pt~ffGx!zKIHfLSTPD zG14n!J8{0hAG$7LyRh@noA@pZoK~NwjOP|1;Qkf?7bMF{vKu43 z`>vrHtEU+0Zy=@bFF5E5`QSMJ+vT$_Gd4Yaue&dTn?5}kYMV~HMg>+p!S%Cp!kd#R zAk%~ny`}} zes&NMVY-GS;EussyZ3>u+37NOi7f%$g@v|{LNd--mIS-LGC){8-ZOWj?xGLXdA<`% zob|2a+JC4ezSo2DjE$>Y&OUIjq0bRqD4lgaj%=g{n}l)-vm^<+l_nXXNKD}wOBoZX z)~4JlbqBDIugj_RC7*ZkI6W^}eYzdoEs?r;x%$G%B@k~0k*)m4#;QistQ7*s@7Mo@pMGrxo}svOuW~&4N-P~eRVeWaqIXqqxRmmeIZrx zzV%03$~X8pu)|BUV|sFasKu}Yrk;mE`7Gh-g@(n;%#TBfC;>~>UW0jLIBwn2Wt|ZV z5@#>t>A+o*NVsFnu7I?-pMdP&vFPymC7%7{1-#$$ti`EZXgNOwq1Srm8SK*6UWqU# z2Gu^&P>|jhRv7Q<6(3p|&r?JD^b#-d%ZH*WTpXgQz<&D|0>idf&3A#uI5`c8#f7$g zq+AJ{!RK^nr52}pDS)7cEjx-|{VmqVj&QfDQa=BzntY45g3_J0zLsJ|vtECUeIN@K za$FeTJ`?hL=oT5`m~)0RS5Z3iYz+@zp5rzx(eo>8B8>@>ru`GmLl}e?83x(A?$o5j|f?I|#20ByMA9nG?U>&-XTzq%{Y8XOG}OigKGlI7H!s)K z*t66qR=GFVF150hwIZTo{Urg@BUzgzT<;ns=vFa)30^UD-JOfXm`~?%=B6w1TvZlc zcYeov?~rX*U$?XdHDXWZ#z%<<*?usv3h=qBTV?6oM(bw~JC%jX^X5o)kZyMDIx}pq z{0b%L>7xYUxN`i8W3HmRsiWS2Skcg|DGjFah?HHrlb^$4_i;{)G&bD$hrRHNs@g$5JrTzgei(xGO+9jL3!VMI~j z>>7XeiLj%`W;}!Fb?MK;{C4 z3MKt6lY%atsU=HPSWKhDp17=b)KG;jb+fZ}96Ky&m9DpK=ic@)fTRxsQ;Lm^Qm9&9 z9&m17*Rd#`;-g!`(9=mz93jj04Sg)kY;c-cN^!t^CNSZVmZhJ;079TkIdV*G^r`?t z0Bl^~gmou;DD&=x2?t=08j)nBi;x<9kxxFN6F0L<+$}1B_f+>}^ztEJ&~a2G55L-< z-D^vp`rN6Jo@tVYAzJ9Y<;S(SRv3d(^ys?oBh>qMw3%eU9v``&%y=ROF1)ZC6J%Hu zKbp~BvXGV=4VXs&M|H_$L-bH395{#@Z?VL|tShfBLG;=8SM9l(J9rWXx(faf1|aP1 zhbMup$rs(ZA83c%$&X)c!D`@VpL$f+&@Ft|Ben;jc%^jXxVrH?W%(Ai=^(OeOThsC z>W7+hu#ZOCcjpaE`CfCz#PDd0$oo`I$rdsUN3ZENp3C45A&R!Z1j?Rd&8Vj{fu);( zyVYJK+A<)@*atlCblB|yE?FPq?h2wKN7oR$w2=bXpVipWho1%e*ZI zE+OW%wO*w?HI}NFyZ}LHKN!TodUN0mHf{6Ww$6vvZJ;f+U+eJl6r zxnM&ppVRB|G zUF0A&xW!HzBs#1czCBw=9k)kQwvDjtb3dtf*N zUm4*Jf`8bB`j}lqS-orOEbkmGm)fRFLkz#9OnUy)*qSKH+JZ^waFKw z9-;deN5sYs{|0<04}F1(s%tZ9q93L5ZnK3)4ZUI8(^rW;O{qSwEBXi^`}Fb3Yrg=n zZsEGRTt-K8Jc4t2_-rfNbMH6XSYkBDI0kSFO+M>>qIqV3>r;br$xj26UkdK7{HM~5 zpwWgZF%a)b@}Om&)=Js4mAJn^mtM8wjqdnTk}!$}hLq>MEViZh=iHL`Uv3I*MHFa7 zOEOTcUU9TwUYJk=!Es&AcN)1d-1`Y}xLVfjddWeU=SQfeQ{=;+YNE6YROD%m^vFAB zpS0?`u}A(!%aBl4H*j^y^s6IiN_$4Ky9)--tm7ZBPc!)Hgn68+WEx9!OWtS-!~N#G z-Z}wvyyl@nA`A@3ULABDK0aj7)KRbT;w<;*DF!2=xgWi+qpZV45Me$-d=b;=jotoY zY*G_D+Zzf1h%nRu>Q3RHvI>fO*VDiZ!LAFr}bV6)D{B$bv3dsvHr${F|%rw z#M^k&rC3fQ8#yweR=f5CrY9k{>ti)qf0Np4)y!+v;NVu^RK4iBYwizqj^mWfaBYFR&79Fke{!7=w8j8c{ zT{$!xIA;)oSugKldT~W;QQ4dNlK{66Roc2YaV0^qi%;5xe0#097nxw$%|RE9xr6he z48JEx_CP5rlA$OKNJJsj<}PlPD9W^Ow79&n@%%OP8(UA5Ol;gYrteFmZp~d|QrL~e z(tTi_NmObz>f&7~<(!5;r{jwo=}tx>kAW{Hyq?E#!)%wZCW)%(<%z3q!O{^i zOSXgea`z8fRp^JerKAAg>+-%qKKS5z`h%{xX!aBPNr?hfa?9G*hpgq-@~v8J^bxpd=dBgYE(h(c9A4!VT0Gb$}iYBsFU7=cCOf4e00uO{PG6 zwZ}GqyBfmYOT*(Z{eLrcr6d_!GwbSTy_!ol^{GpJz)jD}Xcw+FV}R+JzIc<{=EvPt zb)Rg!EKm=z4j`om&fv=28WJlW)b0H$n5udK!j4V~ z^Ntg#`YT+k4$wRJK%7WO)Wu8oH)mo8yh~xbaZ`Y<#h5Gks~5~kioxim$tv0&Ca*pq z&IUN3fEx!0p8dEAR0|c6K8*}9s57gLyrB&E;RU)0X`-R85ERS3K%GVCX{xjPg+lKH^>xaF@NEXdOmBfb)*fmH^_1HgevHqjsu`dhXp+&Ukcr1LWt(QVP zzt4odo>ezv420-`Fcfl^k$#XOI+>ckw{c|QebYba({YEAG0TCihAuJV_x#PCTU3hP z>ou;hhAYV@^sEiGxd`qQdj9g&K1Z8xBhGMWBwtL$7&qz7*x8?EFlr0#k5Gsfxv!#- z-v`!qP{M|=mKVkI_J463C1oYdQs?)H}hQv&T@x9TKTmJ;4&3voj>JoVZZ8e84WDW zD#oJp@p|>ZTb}X{q^jS;qixsRVU3dRaA5sgO={LR!*S;Du1i{aoJQ%!6h5RH7;_#x ziZG3##|zD4DS(i&o5jS&;_EYDxKF2OPc03*6iGxPb1lZzhOD&Pbp$4?MsYrY`EVul z`dqZXS}^)SF7G&Xi@$>CGUnE1b!?L{zvqLcUBIZJi8$wlsg!_k*SY0NI|sGm^9>kV zPR(adL1f@pXANUOB-*zdFKaf|iU)1DiAMk6 zU`Q{&pZ_Z{?mA5AD=IpZxhVeKKJjMkm=dM*@Dw(wE=rXD8xvre3jmrV2SZKlVb&$t zFgZ!d&@$&>siOOj?=+Vw*bVnkhPRmruy%i9t;*L1%0A(^45!X!xs1E-N^E!6+JXWh zM7r}eo`G@~X7m#ZcRt+9mA*eD9Jk(0^|PnlXu0z|V+H80j2ISKf|s-b0&fTQY9`P% zc(&s9wMxtr;~Q4r>F8ia5o>gr*Km57z&?ZC=}l2sWYO}o@`DiSU0l@ z4j1vPclUb64e<)!^O#vZhtR8p6qNSLX<({FfOvIHd=P~k?ywHOk>3Z9h`BXCp}0Sh zug`M!?KB&d`9Hz6k2=0RqxYCHk8fT4<~KdNX7$mLRQhy?OafSsq07T*3t2g0Bf>=` zJw@|gHmTIaF6u+H4wklqc{XQVc)_k6mfKWYTQ$9N^z5xhG9goNj4FK$phV-1G@8f& z)>x4qe#fh4virhom%y+*4Ts-ssk=nrS_toHk4|9-I@v$YbhX{JJQ}&Q)Ny6r*ArXS z=h`zixV?TI!mL+sHJbOClot$tl%F4Zp^{8}q{& zBZb=U!xGBw#}0MpE!JjM%l;v?#XP^$yY%>x8NqtXWI<@@h!LVDvv|Oh`azbpoA8$2 zCLFGau9InfUSv{s1J+`z5j?XzomVYq!`SFnAo(7WV{ttYp`3Btu!HNyD5A|%0!nET zhTBY`>9yx-b6f z+PUSbhtUb->wSg0G{JfZ$Q}vO!V6gR|A;)v~nHoS0q~z$1c%Z*A z`d&ucJj)DR=*y zp$P}%-^0S+D7$26CqFA8DhQd)$A5F^pjG0s_d&PZzD9Fp!;?r`t{t5sv8^XHienQ& zrnU%;A-7tJS@2#dQhoJIMN>%-Mm#-WXbtA`d9^RnV+GoS?CNes?PUeGvrzpp#`?y7!OG7L2`Eb+e-lBKHCe z(OT3OQg|a&_ctUb#D178rEm;DqkIlz*R_?Z$mzmHTQ@>>fUnFqCIwXwG~%e1@6t{?-qP<(fsj?h`a#WZN*FXn=dc6ivuQ|f)&W**3wI|iWNB1F5*s(nq zYZ1*}NVVOTOtorH5ni{B|=9)eP8=(KJ*KAaH_fw(Lw($-_rK)hG}% zyVxyLc&8#98QMY1LNwRjhp{-awt`lXQWp<^vInX^Ar3~Z_KXJx15oC-unmEwv1x+) zIKE3eR|02)54BzLiA$7eUCKHMfn9yv*3)2tB@xAGUwwA=eoxO2OZa<=);tQRafSiQ zH_{iTSTIN`p)FV)>FGp6k!O48I3@ZH*23ulTO}tH>56_{*gIcwG3>r%duw4ArOUlY z+~((D&WH0m7hVNr_+y!U!G7*cA`N_)6EsOD&?HBN8P0fqHq=uF)k1ZLj^(7o&EbH< zVn=?nFAwWFu=GD&>zxOeT-r;nd6qo*h&4rJE9>4PT!a7)0DmIUlD$mI?cr^9kULl6 z#=2c!#W{_}i$xqAxc!3f@R$6ZL|F4;huVCE7k+f>VxgTesv?4LJ_F~hvpO55B0glI z_Z4!m(lf0MP7AGSj=)%d?%Usd13nacy`Gf$ZGe-APlX(8ar3IGQacrug;bZ%uZupe z`;Z^BR0jIqV~X#zTkw!>+I2^k6WWuBFd%&WQWmrDl?B=xhkG}+BwzSRmjvf82e>@| z*|o!_89$O8^)(RD3+1eR)Wh&Q`%hKQA1*3{`dm*pS-Cf`*3JBzfO$CQF1&q?bbaPz5bZBItL(wc<#X%88kk9PFvslh*`adYvT0_*W=G`*A_k#!!~53% zaqN@U8|MtZWl6VGL8TsvyuZLOFJQ|MiL8K}uG9`1Q*o@bOk~SKt2E|Qog1UT@0|S) zY|fp7#WbC!3o@wRcKaVVhSiq=zLm=<5V2E9rOE&Ef%R(}5n17ZCG|7E9p(Q3>;#x| zQj44UF*_vInc)9LSX5G1hJ%(q{r@I@?tB3D_g%ODB8ozD@VA)%E3x!vf&Q;t#-Dnt zJvWp73qSf_W`5n#{GVlZZutGL%|Q4=aQE^5qF(&3H~l}bOsZsMiVxQYlfH2*edE@@UE8_ddD)%2tPR>Oh4U~p<9dgs}pYp;ELn2yBWKO(K(_--4w=dSd~-g)ZZPjDI?`J=b^>)LVz zlx($vh1Oc-8tdP1&WCu9eIR4$&&%4b!)^mL02m~8T3m-sB^8vRlgGswVeRMLlcFNG zpB3#XZJ~i1ga?%!k(b5XA^juaFuGB`*#lh>&-oB~^{V^Js7Po${qlXTYXn&j-S3&=w^QZ(!XHDXaSJBVb(*e2U z=jVP6$(GenQYt;2@9TDx`o%qke&aM!`K#i7(OWgDg8Z63@TpjKj{=A~5YenXf4Rwg zT0HZx4QM4AzuTtlyEMEY&qs$C8lzv!6MtWw+fOYCYggsP&!%(o`)i5Nf@Q-oDeohVW+#El`c!TIMQJ3t zl;-k2F@E;CL(e&UilAmBs%M(n!!oZ1aR z%1F^u@=p@ZxPCOmUkdB%IJ9+QY~;Em^}Sn=f}FX46)^B$4DCE9`*%=C80vggVv;U1 zpa0*1IUM3nk7eYFC2mmy=E$iz3Ml%I8VF>*AMkqSVE>kRPteJq#P&oC6{k@XcpK_o zIVdJAk=dI;;aC8A>Y&cOt{wqsOnZV_MpIN5QhDh78%_nZu-CqTf`S27^uG-M4(s{P z!v8gi|F@k^U>%2@XfhXYI8k6qJudC#w4{zCH$SuK1S^ zus((FK&@AWb2wpq?@L_Xbu+s?--K|t!TOE@JLwwXL!-Rn`_%|mnMAb!Nk@f@NUyEo zqWq))+q}1lC~=#@YV8d@>B*PBy+fcx5ffpIE`iF9|A|)K<*>|DtGZbVr?rB+hrg6h z`$bDSVC`DLgY>iGG0M#<4IihjoldaBOb3|0>H=<8UG`;|zukjJ9rAm#`mVe{7fwANdvvazoY zQ3+9ut&0l+6p@49eJFoNEEXJCZEL?czBUf0w6khx*%v}AFGZ$gYEx3`^OWDr&84l! zQ?);WJJ3dyqJR0~mmXEgqWZbvq8CX%-Yz-1%T3w|70m(Mfw!wvH}rPnGB19Jk5pr0 z?rO=cw7@x9_NUnrE8Vtn9eqPhPE&7F(%F#Pk?9){+fzLC%4WwnkuTzSwfVgsPdJKN zN@icT=vwS^oj0gc-mu>N=sq2Dvx72XvY5v4mi(!UiZF+ES#`wov6M5pxDwy%)S~Ae zw7+?|k|`xbX2%~8`a+xcJQcdGWTJD?u61x$uf^lfr|{BN6b)g{ zP~Ut{4Rv%5^!xa(MOQt($!BM>=@wr5EUX((zF!fBi%7kY5g})WXc+qVMdoG-{=-E7 z)ssO6wTg&%{JZPV%LV(l-i*p2*n14j=51%vDX@;#*B z`I^7|LQxLqv-^d03sDd0 z3lInHCYw_hEK>q(jqRdP2kfw(z{lo+vA$gb@qEo3!kPqpm&h0AAdc9lG?tV^Gsj&z z@lho?BLXFu$+gADLVGs}t{Mt))*hZQPOZOlqlKjOW+RWIWDLD4mHHU`{3%^c5J3J5 z9SuIot18bDxgUH>;!TF+$>RF}r#7I@pYcFuQIM-)Dg9VhZ)E#S!TsthHvm1Y=w_ot z(H*{04v`z4>Ds@8M=PjGC!DsQG`cFH&zQPSrZB6OPPsdMc`#UW8BvTv(-o<}9Hh1I z(>Dc-Y?_k&F5OEa+}^E$#2NZS(X%}vp6_iNoxNY*yMZr#MBUIAQD2A&B^-J({jMbK zNm9|s>b%24+Xr7X%bz@1bo+zST=hs=DVs^O`!BG zOY;fRH}pJ-Lr8r!UfqX4YUF59))*^(m42c$zHD%rh-`X?sxmeaaH{Sh zx{M=`T`7*EDejT8>))pm-o!E%PxZ{Ikwwqa2K-R|e*fiUPf%Z-HroD5{gJ`HE1C0( zSBwOnuD_ZFC8pyVxc~VrzGvzUP3i37M{3**nZ4qNSo7^!*u{fLwqe(*HA;)s!qmfZjFI)Ythmha>6y@p6q z+I+%74bDN1j(FNZAUbe`P4p4?Jlt+Kk~iwO?FU6ZCWJ++R;&JjFzE#3lFV?pXV8ka zR%}`2m`xZ`Cd6QP>UL*mP2#ogr&l+4)n;?uB=oaB@iC}b6n|Sb z{X6BSD;GNQU-;&loN!0U)W!;9$P`PIV5-dJ=ysLa`1%f&d7NFDZYa3BjCsx<@y6zd z;DqX5W3DNGjm<3H(7ZRQ;M9JlH6=8=LGh*(wsw5~$1FIrYIv#3M8p|@)=$jU4p2+nYhOR;?#}1 zZ$v1pze=4+R18gzIP)xTV)#r}m%~8meo9*PEO^zCza}+7u_%i+bwf6A!fw>abTrH= zOypeK2~wLMx{vji>+H8GpUlE}G!sUBpj_$cVyE**6W5i#7Nf>##u~!i3A;mOXWS$w z`I$q+yz@`#2H6>e;`%~S(z6lup&KhT+k^cobeC^e9hE;G9`aDzQ&cx=a-^k1w{c@d zNPgi=LnP}$?jL^}R}-b}_!CPs_C^d7gO}d;tb&aV%9vD%w#I{GLtJ`zNm&4oNwOw( z<{&&p_XePSwUlT#7HOumZEOY~0*|cU`V)QM9B{k3CcrNKLvU)<2_mH@Nh3|7#M9qY zk~1?nh4`26kyO6a-8hx^LhzO!_@t2FkO;{$iOA8c-UsyQ?6%iv4F7iN(+onXhip;+{u%5iZ!z@X6<50Z0zGO|>q5~sONt7m?Wibo(l7n2< zy_bZT%`47;XvLe}`TF;?wE2~#d(!4rIeW1&8%EJ6e=4y;mSLW99!-(!o=wv9)%FhK zw{0CMPShbLn#Z&S#Mjhunp$Pe(60)ACQ+6xTtr+CiAC|ZJ?IqQJG8?COGi_fMEg%h z-vx<=6oD~HIC2^8tov@vCUCxx(0hkRW|V!mXKR-)PSbe66kJRXesjM&)yr9H3(LeRKt{cP&XB400zX z)Mrvk<-TQK?U-v&sY!F=Fw$MjXm)xNbtn=&zp$Ps$@(XZezunY_wX;bD%ezH)3?0K zBabm3OBrse)_6!vH-BF>_qr!pYV24F{wRW)S}tC>lgJ>=BqOBZDq7 z58E@xgODM5OlMx>hFW2dOaNd7u19vOZpwZ{k(A``|rm_3d>c2q{z0Lud zOJwy!jE!FTq{PR9H+4Urx*ktK#fJH;(w^tqgpx@px_?WY^b~1VWt2ql`^&Y=!`4)vIT<-Dc;BKnAPuAFTjsT# zx3AiCv71b3_3C6x2*@ffUsSa@++TXr{rhmylca#+<9M$~86K30Nf*p!{Doq0w0yvx z8I1L}3%p`_m^Kc#R#z%Tm{WTu(&buH4Y9Z$LER;f80Rt%gXcQuTHfKAxbPSSXD+;c zHrHjXAi*Z%3jzjCWBng;267+HtG&EY{-?F##mIZL^2jd)r{1aX5+DlW?{j1bTJjkg ztDFyhM7qo<%}Qd(A>6CNJU0Be$al@YJ>UZL;pMx<3SKbYsBQC2u>~Gd-_Vb`FV`Oj zcra~WjP?9`wlj<8XEwzQoOQWZKhn!zcQGsgm6c@QDq8ntkzLZ%^_sX!P67)lRgS3o zmTqlYMxC*!C1O#~Y)iw$_Q4*mhaCT4;A7)&QZkvb8yidhhWx4*!-C$no9nI*q@kq0 z;=YFYNxIR84AxwcstH^tlt#WQi`<_AFf`pJc` z<{k8`b&3BHY{a@REaS?_-YIaNj1pAl)Q{9hE#<|=@W^x!0{pRD&pr*~t_qn9{`4=nZzn$6tf9`$1z-6Q5F_Xl*Tk=jM;4C2mDQ&mA5osn2 zjvUh2Y6V_FlcgdZy((XNnykm9Z_RHN9d6m#*aLsOWWccJkEeQwG&j1~iN?;dQC(~; zvZINw5~R8O;b2xZxI>O7bw6UCEBZh@0~+6>Nz6ruPntqc4DFwMRgmlL zkoEZJiw$C#ZbNMHK*potoa4__v`L8<$MQWSb( zVc+ugUML@z$WAppO8;!Q^8|}Giv<%o&jcUYsVkCpH0PUs= zLA&_Rvi~)M|C+&n-oVd&b1d4R!fUL`4D!kU^b7653c{)jlcGP}{$6K#Ux7@%UsRju zAD-LP{SapTiGSjL;I|>KZ22jAK4eXTRe*DMBuHVP5`8h$=KniM#^YBv+Iqcq-M8`? zm&WyO|Fo;})XM?6N2^(ZOIJQKnUd%ME@MA?s&C6{qHQO`zXp*HM5eATiMZd03b#6{ zoZn-OY2U~y>+Tq0bV)J49e&|KyHHu8?)7OIH{V~yj(eqsd~%c$8d3PkR1p@D^;GJy zu{z(4*?)~sofNcB6jGYk<@`%3_r`NSPp|Y`u1u`LBHLmwK+iYaRoSldLeLh*9{R z3)8AV{H3FUN^i^>NwK>FCO!G2H~i``!EfhBVf2-rheXynr2~mOK@9)TaaiklDAGUr zgNHCB_`|Q!FxPvlKKa0uf0`P1zr@5yXngLQ%R9rntTnAMhtb=ft*Bhw-ZD7KuyEcb zS$qo5bhJgaejfEc_G?5&9b~|`*wx{V7=1j?_-c0|K>L~C^1+{>=wZ7gefZ#7j4hM( zHg?}HLp49{!hAa8ayE|w%|(UY^A;YwWmB?>j`1LE6IV!1Oa7CyGF9}^hrLNs>^Wce z=Iw(t%dFC`@aVYADV1G|H5w}qYj^kce~XvcOJQG0jpDstr`G{0JF-jE3l zHsNwb8n?GHUW6!IFb_(nK$^OaM77;eW0}<>`Rf(GCDgmRt0zx92$pZ0Nc+25%-!KV z$6B(iMN7tFwHA*z>Kyq~cuhY zFfP`V3eV^o@>@!$YCU#ZtHhcVBXGPOtc1&f`FAwV{QhIt$B3Gv~#2 zSulCvcL_zlVney!oso;2+55aie)*^pWknHFQ)BCy-;RT}OcCADO(jL|zdQ50bFmlO z#>hB3qx@K7_v73t-@#UDc4Os43ZLvblBLBZqQ9$1RaaKDx&{N-E3$W=jLcrX0uX2)LpI!du* zl9?5-3h^=o0T-Az#Lv(^A-%GqxAyJ?2 zBhDrppCxjA+x$4sm?Cw~0Z;XJFV3omf?-=Ig?iGuf#3{xkQ?(!-MM&kkEy$`ZI68* zhk6F8JqacBs8`cl;}e(vPkY}P)MVGSiz13hlPXC!8gY=G+Py|E>Jyekz zkd745P!o#ulF$SN1ZfgN2k9k--s>0N?|ppqIp@!rGxN=vGjIN6X3xI&Uh7(GUu*9* zyLg=|c@S0>NN9sZnI|z?i7;n3d>$Wt37i=HfRy83%J=PfZNIFE_Lcm4JgQW${>AIU zPuFVy(jhAAvx$(D{vFFDA6cIVL*r=6pXWlC{st;0VdFbtPdbQn`T}7k(DqZWx2&*U zu$ioJ7i7Zk7oe008-tg*vZ>*2gjE26)O-m1=a=ephj2p!zYFm%!(R(rK1+3-dGZg| z*zpomNY{A73ENd%2#qqEU!?!7;XBbhD?5lkM;fJxNHq6A>=!TR#DzU3)i!-ru35{c z3ysj}E4)mh)Se_i!MTq2A+NSBv0=)sOD8;F?3x%DM)gF5JA2|!qkr6~Zd4JS>woop zbzIA>q>l98al`f_2=HpUV(bk24{3LQ`q(A2)aiVB_B=wv&tFHgYU<4>{4doW_KdsB z`+CsE+0-!x{X1LeXnPr={6x*y{Zq?zMz4Gl#2Z-J2f5RRmOL<{t z*Sa^CB2zP7)iBZiBAjKyK}Y5OcA%Rcg6vN{a{NTZt8bueWSAE#WF>xv(Vw$}itBHp zmuvlKG$sB23{7qAH`iAzS02_red>bPqR7Qp5Cjs4=j`z0lO3g<`YiU3P)4YKFG_B! z1$+!Ozq^rg@Tz}Xc=p_1dfx{ywGQKqTk`&tsqf(D!J0ZodE)h}F(37s{N784SV{WR z{^ATB0QbO)&n6w#Vm)B*XT-n9kA|%ciJ8x{6*gjdx2co}U}^Jp@Ne*{)INp(!!Zbf zN$y?i=F;o=lWBHi0g_)}A*{(2^4GNG*Z(AjhFE2)mS#s$6RE}IHTq!Cm;K;}0NaKy zhRJ2!f4ZC)kX@sX#;qKWyDx$5P$U3E^X1yg7XNU})bxdakYZq#a8~w5a&w?M#jWx< zPqy7()O;)66&P>r9Z=ie`1WrvN@ZTR%1?2YA)GVXTl?C+W?uMt=5IfeXOeEorey~H z&gYmwXQMmQlO%tc)*>s~@;c7aZs7MZm&)9C)!Uut*SKtfP0f@*Ig-F)e!n{aqIo_H zf&XiMh-@yH1zjv=|2;18Kc6DdSzF;t?X-Z3N>+l&{=M{9CkalU+#u`@^@?+(dSZP3 zH!tT|b9r?{{%`z^DTIOQP8WZ(Df=XNeaY-ET*OIp>!%6BAe*}7+E31U`#rD5k1+kL zZ-Y(E5i0$afB0Ir^>eU@x3psJP6GG|w9)pHHg}sf*SraPXX`HpgQjw69z+xUp20|$ zJN#rodWZ6lRK@PU&h3CmEy@3^y5CXT*_2>N`M0S5Nu~TJ@AIFG+JDl2|4$`WkI%-? zXfCi57g?)oQUXRNmp`m7A9}`EdB5S-El5`zFtEM8_dbB;`~?S;9DA?d)OFL?61>T` zB%Cl&GfrC%^h!F#eI9k?|F?2!k%>^3*ConTGk@#)e^mBPKt^DKgvcv%v(s={*pJw> zpYTUS^cwLXBWd+K5z!m|Pk$B={SW*CpP-K`+G3T6iPrHthpYW|L`03_C>JI~G*Mv1 zJHUCO$7tl{gzMmQ3zrnS?Bg7kX6Jn(%4*lT(_@2mlMjqLa$IaL8Npsb4YfXet`2TyTk2YTw>P+?*0!P__v z>SLMu+Lo@1Ykfc_ozWw=Z_JUn&6oq@6dtxMnEj(9Q1R@D`=g4c@CV^stpjulJqaRl zRgx}Nerb+-Jc?)z1C7>B%F84t3@0dFJaw0cUt4mMUw9bFoI79kFuzDL6-$hdFd# z+sT0Hj~T&hhTLpFoJ9J}kC^(`b0-HvHIB9@Iknp`O3;lzS@jD-Ao**hjoC3>;zm6TU@xt=o4d#*iSIqZUYr#!^)KGKV zZ*^&}E}5z0$hC5>j_r)N^jGd_<#}wHxJH$@$lcu<$tu-m+C#vMAWgQ?viyt?2{r3W zsk?;N&RIzJ6(`dl=?op@+B~3}#$uVZlW3nKbvfU1TA;VMc{VcI z7o&t;_OEkmaa;<0TJaD89t9TUSa;1HpVTxCr)js1aEz_lI{q-jX&zX#>Nm;c8&QD( zKE;6Q6g`@m(>QjW6;TKN*@db*L!#nQ$ezJ9?qU5Mnvv!#uIFjf%r7TSPMXf}Pgtrj z4%002JU&yBK|eCI2181#i*4`jab}8+pQ>np^>CG_&RSO|*7{oh&^rN?0SJ!-441?v zl5Jblhc5vUO01sbnlhStQ?WZ~Q#mzD&DYVR(T7<;LZ>C+aYH7Qha;;?flOZiw+K zw|`x;a~Y95K`4LJJ^2J?HG@=Y?gqSRLIBS&jCa4_au+n+vH6W_6{cAk>jk$K$JXaO z;k^|D{$|vLvTP0&qXl9ELLQp(kiGb4XtB5Ep4;s1grEHq7_tH4)R?ee4I zTacWfiN&nh{gs~EN5~YrfYYP3k}8lu`Bp3Ir;iTRxCB~kglWfIsZ0UD-;A_SitSnU zsGVfPl_seRs*U!^kDv+Ml(F8aj}w0a_7(JgA)F%pX1w zT_h&#iM`A?L)D!gM<1F)>-bAUYKceVc00(>2Hhmrfzedr>pnRGyYA)_YxO-X9f%OY zHP%7dth~zid)^WA_5=?%V1bOejNeBupALr2`-(H1tgljRR}L;yX8UmBr;hZ)~99J zH8Vc8884PtVVEq4mUvfa8nO*2TeVS4bRA93?vCJil9eN4Ciz?g)c?4OLAo-{>O#WK zPEDGYt*QN-SLDj_gh99|LJ<1Wb4W=@)weK=Dp;Z*DNz@nec#ITwFQT%&3K8#sLUn% zGD|B}yWCRVDWC+JAHLFD=IUgUOqOb-xNO!K(ZPAhcQ6OZ1+40@q_c2*BdsFCLGGf0 z?eT=ym}4Zg;GXO&y!-=v(~rls9yxm$%BQAPJil>zYei3>j4eONKazP`)pmm~t_Z$; zb<&insKcGtNL9t+%Qov%>^)6<^yNlG0(rS?#pKlpnob$N7 z?oVgu(RjQs#jO4*R}S|q#?Ew_(I;gP+>0^DS8c~7hguZSc6EM?UlkteTdZuyT6;*fEu zLH;WlR4zjKto1Qr>uZsA&6|@@IaRAR_o|61^`a)!m5GPRZtmda5*J%jU>7HxkCK1( zWTufeDYw;W{c=XVutQpbNdJQal9;zlPU{;GJ$}tgWA7xA!|sw1Bi?Rs-${9J?x?ISht)Sil8rMU@UyPc zQI;9^e2ZvwzxwQW)xwq%Eof>}0lyF*g0?#H2)Le`HN$0E>oYJEQO&Lux@^IM`CMMz z-LTsF?7ff!m$Ve7h+HxwNp)a)(wv2!F`|pdmgyU1hgL&c!u4BfD0@%JP1sJY$`TPl zq7s0!DGUBo<6>9(5L{!l3tp%&-hxmNqRr(_Xno)Fc$w6uh)rv@(;h=fW8C%X!0yYU zZpB>VLU`^I{H4k)D<(F1jzmlHncur^YN0$oGSxpY6W&A1 zGTirBQ&}nS$p_YlCwY)c%&<*iuYBzlE3a{P;VKS$1~sa21D8&_}n z$h;`7L^~+JQqM#0uG`JExk?HvQ10^c^uabCXsahlrAo13gIYxxZlJ>hTGR_pez(4z zEUL`4fPE{f2nvWhsJg$}%i}6}Q(s-2=i`pt?av^ctlpS2GF>wcaoQb{4O&4E;ZZ`L zU7bYv=8IV^h5+Sn`B51{CQ|!$6)`^F?ZEm>#S>Zew>Yb6-nDF>t46}+g?obYM0SZGnSppKX3Ll_#Y zy~yKDD>VN+382$WmTyt2Dl;?-5XDOjb-k4d4(J;kis0P~i<0htfjTvcsrFCCGGWSJ z!5qL(Vhm7Qcv5($)8&%2AikBzL7569z}c9w>rl*6f>LgbTBy`We5~0=SSOXTTGuo~ z=pZ{_W^g&AQ}G=@v*C#M#*s6dz?#gNy%b&sokDF6CzwXUSGfxH#$Vjzw0f}bN`jC3 ziX9+i%=4R&t>r`wqo`ITi#UI^5Dd9ne1^1t6Weo@O+7ssacL+ee;Ci9(T|d#m@6DD`*M#oFRhk4_tTqC?(j4#eO`uBEiX%V(EAZHcV%U=v?_@)N*3 zt0i~9FVth_cVqLexo0Nw&+bCXieimy4SvAik)xv3jE6^@1K3K+ZuQEz#kj@KSbw=8 ze_UB1-~?SY(PUeSpF<-A*_^vjQUh|5-EuJfS>&n1hBkUcI+_yaW^dOw(Gk=amL660 z*exQ{MjuADWo*tAg7dYAtm$zF5aKI{fOUaAywNZw)gmZFw}N61PEAlqB+@gHF|CS< z-K&gZkil{2s<ABQ3rN8%`040qg2h6E16e4y96eohZ_>L`I`ApKq&np^#M_u`kn9t_@W5cUnoJ zPXu)2grIDCv-x8Zg2utGe+XFEPN<=fFFdkOQjV(M6PSo?WkwJMAm0FdSr zTy!hq5%8PgA#bp?YtGAF&aWZLAcFzk`~%Y@KU&PQow4Abs%EbP-#2+6qe6Dd|3yCMWHlb>*Vf=zcZ1vxUhHau`Rs^lf<~%hgbi)nN8&6KEx?ReT%)=Z7 zMeZZh$C3anqAptIi3ZQF$Va?r0;o0l^gmV(8SgaS%goS$*9?B{FDU1#c6wudd-+nH zo$1Gl5d2G=6;ya10|n-RrRy=D&LIhF2h$9@jl#hikJrj3uPy`NJGGe;CD_x&c*GG4 z{)F-K-CQ3`)VJ;7aLgm~B!h7Nw~(qUMXnC?Sl@j0;p;UYY$x8f_eTiycNH!H04c{s zBN;;|J&ij{E!ZD2WWV5IkgCmiov`p1#qQ1KOWvO(bc~N3i$M=? zZuxDQGoN=R2{iK|k*W%eeQ#5K&fai9-zKzpP*A(J89S7l2XXY{u?XfZDrT_>nE{;~ zgdE7;R)o~OAAZaV#*PU2@J08ZN85Crc(z=Tcw-10;K3k z&g(qDsu?4XG>1;%Q`71f)eO~i*bhPdPaUR5Nva$D@8~B*tb6^pvuyg-h^ZFq0l!$t z|I1l6^o+8Ed+4?`T>PoIS5({s{UhA=@I-CZ+BUN9goSa0zVgvpH$ad0cQHl=d)f-K zcBh)UHnMQxSFv8EOirdk0tQVN^FE-5X2^oM!^jy#J2f=j>)$}83hxvu)scR%HhAFm9FLm(47+0dazPFbfZ|a^r5$%Z#1h!(Zc(@POnF%;|uPCyJN6L~)I@9AwSc z^&D%I>X1xd7lZhWhB6PnH_S+N2^cfnJPXR-p0bT_4;(2^^FF(~5d}MeJ~kD!EPEMQ z1=W0^a;q~OJF6Wc@Umn!3ISl(W9(wq_cY!MIM9x=qcCpTwju142SZBJdXU&anCrQ0 z3p6bU4*sb9#bRpRO#?I&i+eYm@1p5&+JrkJcm*r6J_nTZuA-;X>pmV%o|g ze2=O=Aa`z{Pz##EIso#>ps#IvQ#bxkA?a5@rV-7hn`>dv;J51oUADCJ{~p0DlWkw0PuFgl1C)Piimm6B9|t`Xp?-$cG)tJiBV098MMaz>^P<$P1z2QA8x+ zS1#iy%N^O`OU_3^^xH9w`K0wgs*)&4th4IgZYav_Y&&V@od7Awh5AwiWU7RA!w`!{ zdti9sJfoHBaz8s@4FyVw8D+7iBzZ?eZEi+WQbz-o{blOZj$_e_dlbpz?_%V6H}8pq z)jWirCC27sCgwD8muHw<@|BxZE@9pJZ|rsf1wBElO;2Q;g*OV44fZlW%u*!!6Yn2b>)jvWT-3ew8jl2B zOWkonS-M(YF{KOd_rAC#_PO7viuYTUhnbXECrfrk4GBg;%$zfBj=<$_9UAnnvadUQ{3bhAV-JvoNGV(<}MB_+58$V^050GExQSbC#9vgE|gZGx| zt67|kwimxV93xPN>olmMR#=Ul-336FlT3kP{H}0B6N4fV1$23#fuw&u7rhj$Q- zy9$MMqpsb}sjb}A>k4fqG%frfN^}2j--;tI^7vndX>E)mlM4o5!gusJfSEP!FWU*% zhEwIdiU|N?ZkaoZmX8O1Ul~+&)+(!8^84s8qkm24cF+5smoVWn1MsP}QnpInd^qI8 zPOVOE9CU?TGtN$wU1v3a^7dKwq5QazDm|6!$JBztrzaC-n=0Q_L}@xq zbwmY%A~urh?vNHX1Fk{@bfoWla`Pij~Q8U2nc=5U_28cr+I%b%Bz$#!t0} z8Kd&7d*A!QwK|nA6j4PA#xPnGo$HdlDFO0nN&5r;0@G$eg zZTu9fNWHNymQB)zFzHm*4w&iXs-ddCc$8e2HPEHJagC zfnn z{_?NSmdH5NPuLPS41~sWQtEY-h Date: Tue, 2 Jul 2024 09:33:16 -0700 Subject: [PATCH 097/230] [test] fix a bug in arch --- .../openfpga_arch/k4_frac_N4_fracff_40nm_cc_openfpga.xml | 1 + 1 file changed, 1 insertion(+) diff --git a/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_cc_openfpga.xml b/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_cc_openfpga.xml index 7a7154031..cc4d00f54 100644 --- a/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_cc_openfpga.xml +++ b/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_cc_openfpga.xml @@ -197,6 +197,7 @@ + From 29452a7442bf2a48749e271884fead3eb38c83d8 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 2 Jul 2024 11:52:19 -0700 Subject: [PATCH 098/230] [test] fixed a bug on out-of-date arch --- .../config/clk_arch_1clk_2layer.xml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_clkntwk/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_clkntwk/config/clk_arch_1clk_2layer.xml index 0570406fd..6f289dbf4 100644 --- a/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_clkntwk/config/clk_arch_1clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_clkntwk/config/clk_arch_1clk_2layer.xml @@ -1,5 +1,5 @@ - - + + @@ -11,7 +11,7 @@ - + From 1e7cca8cebfb4991885863c0463c2f5ffd2f4fc9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 2 Jul 2024 11:52:30 -0700 Subject: [PATCH 099/230] [arch] code format --- openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml b/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml index ad21e6f59..013466e5c 100644 --- a/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml @@ -108,8 +108,8 @@ - - + + clb.reset clb.clk clb.O[4:7] clb.I[6:11] clb.O[0:3] clb.I[0:5] From 7e461b09f88e479499dd84b41bcf135ebb3ee5c2 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 2 Jul 2024 13:22:41 -0700 Subject: [PATCH 100/230] [core] add missing file --- .../config/repack_pin_constraints.xml | 4 ++++ 1 file changed, 4 insertions(+) create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/repack_pin_constraints.xml diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/repack_pin_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/repack_pin_constraints.xml new file mode 100644 index 000000000..06a125111 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/repack_pin_constraints.xml @@ -0,0 +1,4 @@ + + + + From 078fad1e74d2dd7cc4e05350c2b4a78cd71b7c9a Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 2 Jul 2024 14:57:24 -0700 Subject: [PATCH 101/230] [test] typo --- openfpga_flow/regression_test_scripts/basic_reg_test.sh | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index f8742d781..72f1c01d6 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -234,10 +234,10 @@ run-task basic_tests/clock_network/homo_1clock_2layer_full_tb $@ run-task basic_tests/clock_network/homo_2clock_2layer $@ run-task basic_tests/clock_network/homo_2clock_2layer_disable_unused $@ run-task basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree $@ -run-task basic_tests/clock_network/homo_1clock_1_reset_2layer $@ -run-task basic_tests/clock_network/homo_1clock_1_reset_2layer_syntax $@ -run-task basic_tests/clock_network/homo_1clock_1_reset_2layer_disable_unused_spines $@ -run-task basic_tests/clock_network/homo_1clock_1_reset_2layer_internal_driver $@ +run-task basic_tests/clock_network/homo_1clock_1reset_2layer $@ +run-task basic_tests/clock_network/homo_1clock_1reset_2layer_syntax $@ +run-task basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines $@ +run-task basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver $@ echo -e "Testing configuration chain of a K4N4 FPGA using .blif generated by yosys+verific"; run-task basic_tests/verific_test $@ From 6d30871f1101e5560cb9a1ebad3b4689cad15738 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Wed, 3 Jul 2024 00:15:44 +0000 Subject: [PATCH 102/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index 98319eb52..823466c7b 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2290 +1.2.2381 From e3a258a5ab3db18588142318fd90e9bc9f7de67c Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 2 Jul 2024 19:31:45 -0700 Subject: [PATCH 103/230] [doc] typo --- docs/source/manual/file_formats/clock_network.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/source/manual/file_formats/clock_network.rst b/docs/source/manual/file_formats/clock_network.rst index 0b99fea10..f9c94b5a3 100644 --- a/docs/source/manual/file_formats/clock_network.rst +++ b/docs/source/manual/file_formats/clock_network.rst @@ -39,7 +39,7 @@ Using the clock network description language, users can define multiple clock ne .. _fig_prog_clock_network_example_2x2: -.. figure:: figures/prog_clock_network_example_2x2.png +.. figure:: figures/prog_clk_network_example_2x2.png :width: 100% :alt: An example of programmable clock network considering a 2x2 FPGA fabric From 50e87232ede6cf08cb781cc9774565c7bd628ead Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Wed, 3 Jul 2024 04:10:48 +0000 Subject: [PATCH 104/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index 823466c7b..2e87e34ef 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2381 +1.2.2386 From 60f0c087d87d6f11069aa8cfcdf9d5b3d2a89fc8 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 3 Jul 2024 16:54:34 -0700 Subject: [PATCH 105/230] [lib] update vtr to latest --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 6a4f0cac3..3095c28d3 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 6a4f0cac3b180bdb78f53f58c9f3f23912858173 +Subproject commit 3095c28d3c2795e8042710d54f941be76ab1819d From f681c6a903a18f0de6c53be6574441a8b0f7a4d5 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 3 Jul 2024 17:04:06 -0700 Subject: [PATCH 106/230] [core] update API call due to vtr upgrade --- .../src/annotation/append_clock_rr_graph.cpp | 25 ++++++++++++------- 1 file changed, 16 insertions(+), 9 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index d5f325ca6..d05fd136d 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -45,6 +45,7 @@ static size_t estimate_clock_rr_graph_num_chan_nodes( *******************************************************************/ static size_t estimate_clock_rr_graph_num_nodes(const DeviceGrid& grids, const size_t& layer, + const bool& perimeter_cb, const bool& through_channel, const ClockNetwork& clk_ntwk) { size_t num_nodes = 0; @@ -55,7 +56,7 @@ static size_t estimate_clock_rr_graph_num_nodes(const DeviceGrid& grids, /* Bypass if the routing channel does not exist when through channels are * not allowed */ if ((false == through_channel) && - (false == is_chanx_exist(grids, layer, chanx_coord))) { + (false == is_chanx_exist(grids, layer, chanx_coord, perimeter_cb))) { continue; } /* Estimate the routing tracks required by clock routing only */ @@ -69,7 +70,7 @@ static size_t estimate_clock_rr_graph_num_nodes(const DeviceGrid& grids, /* Bypass if the routing channel does not exist when through channel are * not allowed */ if ((false == through_channel) && - (false == is_chany_exist(grids, layer, chany_coord))) { + (false == is_chany_exist(grids, layer, chany_coord, perimeter_cb))) { continue; } /* Estimate the routing tracks required by clock routing only */ @@ -151,7 +152,9 @@ static void add_rr_graph_block_clock_nodes( static void add_rr_graph_clock_nodes( RRGraphBuilder& rr_graph_builder, RRClockSpatialLookup& clk_rr_lookup, const RRGraphView& rr_graph_view, const DeviceGrid& grids, - const size_t& layer, const bool& through_channel, + const size_t& layer, + const bool& perimeter_cb, + const bool& through_channel, const ClockNetwork& clk_ntwk, const bool& verbose) { /* Pre-allocate memory: Must do otherwise data will be messed up! */ clk_rr_lookup.reserve_nodes(grids.width(), grids.height(), @@ -165,7 +168,7 @@ static void add_rr_graph_clock_nodes( /* Bypass if the routing channel does not exist when through channels are * not allowed */ if ((false == through_channel) && - (false == is_chanx_exist(grids, layer, chanx_coord))) { + (false == is_chanx_exist(grids, layer, chanx_coord, perimeter_cb))) { continue; } add_rr_graph_block_clock_nodes( @@ -187,7 +190,7 @@ static void add_rr_graph_clock_nodes( /* Bypass if the routing channel does not exist when through channel are * not allowed */ if ((false == through_channel) && - (false == is_chany_exist(grids, layer, chany_coord))) { + (false == is_chany_exist(grids, layer, chany_coord, perimeter_cb))) { continue; } add_rr_graph_block_clock_nodes( @@ -729,7 +732,9 @@ static int add_rr_graph_opin2clk_edges( static void add_rr_graph_clock_edges( RRGraphBuilder& rr_graph_builder, size_t& num_edges_to_create, const RRClockSpatialLookup& clk_rr_lookup, const RRGraphView& rr_graph_view, - const DeviceGrid& grids, const size_t& layer, const bool& through_channel, + const DeviceGrid& grids, const size_t& layer, + const bool& perimeter_cb, + const bool& through_channel, const ClockNetwork& clk_ntwk, const bool& verbose) { /* Add edges which is driven by X-direction clock routing tracks */ for (size_t iy = 0; iy < grids.height() - 1; ++iy) { @@ -738,7 +743,7 @@ static void add_rr_graph_clock_edges( /* Bypass if the routing channel does not exist when through channels are * not allowed */ if ((false == through_channel) && - (false == is_chanx_exist(grids, layer, chanx_coord))) { + (false == is_chanx_exist(grids, layer, chanx_coord, perimeter_cb))) { continue; } add_rr_graph_block_clock_edges(rr_graph_builder, num_edges_to_create, @@ -754,7 +759,7 @@ static void add_rr_graph_clock_edges( /* Bypass if the routing channel does not exist when through channel are * not allowed */ if ((false == through_channel) && - (false == is_chany_exist(grids, layer, chany_coord))) { + (false == is_chany_exist(grids, layer, chany_coord, perimeter_cb))) { continue; } add_rr_graph_block_clock_edges(rr_graph_builder, num_edges_to_create, @@ -793,7 +798,7 @@ int append_clock_rr_graph(DeviceContext& vpr_device_ctx, /* Estimate the number of nodes and pre-allocate */ size_t orig_num_nodes = vpr_device_ctx.rr_graph.num_nodes(); size_t num_clock_nodes = estimate_clock_rr_graph_num_nodes( - vpr_device_ctx.grid, 0, vpr_device_ctx.arch->through_channel, clk_ntwk); + vpr_device_ctx.grid, 0, vpr_device_ctx.arch->perimeter_cb, vpr_device_ctx.arch->through_channel, clk_ntwk); vpr_device_ctx.rr_graph_builder.unlock_storage(); vpr_device_ctx.rr_graph_builder.reserve_nodes(num_clock_nodes + orig_num_nodes); @@ -805,6 +810,7 @@ int append_clock_rr_graph(DeviceContext& vpr_device_ctx, /* Add clock nodes */ add_rr_graph_clock_nodes(vpr_device_ctx.rr_graph_builder, clk_rr_lookup, vpr_device_ctx.rr_graph, vpr_device_ctx.grid, 0, + vpr_device_ctx.arch->perimeter_cb, vpr_device_ctx.arch->through_channel, clk_ntwk, verbose); VTR_LOGV(verbose, @@ -820,6 +826,7 @@ int append_clock_rr_graph(DeviceContext& vpr_device_ctx, vpr_device_ctx.rr_graph_builder, num_clock_edges, static_cast(clk_rr_lookup), vpr_device_ctx.rr_graph, vpr_device_ctx.grid, 0, + vpr_device_ctx.arch->perimeter_cb, vpr_device_ctx.arch->through_channel, clk_ntwk, verbose); VTR_LOGV(verbose, "Added %lu clock edges to routing " From a27325d987f55f6c85e3fe3d3af4737f7be5eb28 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 3 Jul 2024 17:05:27 -0700 Subject: [PATCH 107/230] [core] code format --- .../src/annotation/append_clock_rr_graph.cpp | 27 +++++++++---------- 1 file changed, 12 insertions(+), 15 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index d05fd136d..ffe49e146 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -152,9 +152,7 @@ static void add_rr_graph_block_clock_nodes( static void add_rr_graph_clock_nodes( RRGraphBuilder& rr_graph_builder, RRClockSpatialLookup& clk_rr_lookup, const RRGraphView& rr_graph_view, const DeviceGrid& grids, - const size_t& layer, - const bool& perimeter_cb, - const bool& through_channel, + const size_t& layer, const bool& perimeter_cb, const bool& through_channel, const ClockNetwork& clk_ntwk, const bool& verbose) { /* Pre-allocate memory: Must do otherwise data will be messed up! */ clk_rr_lookup.reserve_nodes(grids.width(), grids.height(), @@ -732,10 +730,9 @@ static int add_rr_graph_opin2clk_edges( static void add_rr_graph_clock_edges( RRGraphBuilder& rr_graph_builder, size_t& num_edges_to_create, const RRClockSpatialLookup& clk_rr_lookup, const RRGraphView& rr_graph_view, - const DeviceGrid& grids, const size_t& layer, - const bool& perimeter_cb, - const bool& through_channel, - const ClockNetwork& clk_ntwk, const bool& verbose) { + const DeviceGrid& grids, const size_t& layer, const bool& perimeter_cb, + const bool& through_channel, const ClockNetwork& clk_ntwk, + const bool& verbose) { /* Add edges which is driven by X-direction clock routing tracks */ for (size_t iy = 0; iy < grids.height() - 1; ++iy) { for (size_t ix = 1; ix < grids.width() - 1; ++ix) { @@ -798,7 +795,8 @@ int append_clock_rr_graph(DeviceContext& vpr_device_ctx, /* Estimate the number of nodes and pre-allocate */ size_t orig_num_nodes = vpr_device_ctx.rr_graph.num_nodes(); size_t num_clock_nodes = estimate_clock_rr_graph_num_nodes( - vpr_device_ctx.grid, 0, vpr_device_ctx.arch->perimeter_cb, vpr_device_ctx.arch->through_channel, clk_ntwk); + vpr_device_ctx.grid, 0, vpr_device_ctx.arch->perimeter_cb, + vpr_device_ctx.arch->through_channel, clk_ntwk); vpr_device_ctx.rr_graph_builder.unlock_storage(); vpr_device_ctx.rr_graph_builder.reserve_nodes(num_clock_nodes + orig_num_nodes); @@ -808,11 +806,10 @@ int append_clock_rr_graph(DeviceContext& vpr_device_ctx, num_clock_nodes, (float)(num_clock_nodes / orig_num_nodes)); /* Add clock nodes */ - add_rr_graph_clock_nodes(vpr_device_ctx.rr_graph_builder, clk_rr_lookup, - vpr_device_ctx.rr_graph, vpr_device_ctx.grid, 0, - vpr_device_ctx.arch->perimeter_cb, - vpr_device_ctx.arch->through_channel, clk_ntwk, - verbose); + add_rr_graph_clock_nodes( + vpr_device_ctx.rr_graph_builder, clk_rr_lookup, vpr_device_ctx.rr_graph, + vpr_device_ctx.grid, 0, vpr_device_ctx.arch->perimeter_cb, + vpr_device_ctx.arch->through_channel, clk_ntwk, verbose); VTR_LOGV(verbose, "Added %lu clock nodes to routing " "resource graph.\n", @@ -826,8 +823,8 @@ int append_clock_rr_graph(DeviceContext& vpr_device_ctx, vpr_device_ctx.rr_graph_builder, num_clock_edges, static_cast(clk_rr_lookup), vpr_device_ctx.rr_graph, vpr_device_ctx.grid, 0, - vpr_device_ctx.arch->perimeter_cb, - vpr_device_ctx.arch->through_channel, clk_ntwk, verbose); + vpr_device_ctx.arch->perimeter_cb, vpr_device_ctx.arch->through_channel, + clk_ntwk, verbose); VTR_LOGV(verbose, "Added %lu clock edges to routing " "resource graph.\n", From a78fddc3cbd43fcb869bf84df73e2e72e7e32e41 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 3 Jul 2024 19:59:24 -0700 Subject: [PATCH 108/230] [test] add a new testcase to validate perimeter cb --- .../regression_test_scripts/basic_reg_test.sh | 2 ++ .../perimeter_cb/config/task.conf | 36 +++++++++++++++++++ openfpga_flow/vpr_arch/README.md | 1 + 3 files changed, 39 insertions(+) create mode 100644 openfpga_flow/tasks/basic_tests/tile_organization/perimeter_cb/config/task.conf diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index 72f1c01d6..e8902632c 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -190,6 +190,8 @@ run-task basic_tests/tile_organization/tileable_io $@ echo -e "Testing tiles with I/O consisting of subtiles"; run-task basic_tests/tile_organization/io_subtile $@ run-task basic_tests/tile_organization/io_subtile_strong $@ +echo -e "Testing tiles with routing tracks around I/O"; +run-task basic_tests/tile_organization/perimeter_cb $@ echo -e "Testing tile grouping on a homogeneous FPGA fabric (Full testbench)"; run-task basic_tests/tile_organization/homo_fabric_tile $@ echo -e "Testing tile grouping on a homogeneous FPGA fabric (Preconfigured testbench)"; diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/perimeter_cb/config/task.conf b/openfpga_flow/tasks/basic_tests/tile_organization/perimeter_cb/config/task.conf new file mode 100644 index 000000000..4e6a514f3 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/tile_organization/perimeter_cb/config/task.conf @@ -0,0 +1,36 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 20*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/fix_device_example_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_N4_40nm_IoSubtile_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml +openfpga_vpr_device_layout=3x3 + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/or2/or2.v + +[SYNTHESIS_PARAM] +bench_read_verilog_options_common = -nolatches +bench0_top = or2 + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= +vpr_fpga_verilog_formal_verification_top_netlist= diff --git a/openfpga_flow/vpr_arch/README.md b/openfpga_flow/vpr_arch/README.md index 7f8a000b5..2c69935c6 100644 --- a/openfpga_flow/vpr_arch/README.md +++ b/openfpga_flow/vpr_arch/README.md @@ -23,6 +23,7 @@ Please reveal the following architecture features in the names to help quickly s - reduced\_io: If I/Os only appear a certain or multiple sides of FPGAs - registerable\_io: If I/Os are registerable (can be either combinational or sequential) - IoSubtile: If I/O block contains sub tiles (more compact with a higher density of I/Os) +- PerimeterCb: If connection blocks can occur on perimeter I/Os (I/O tile has more routability) - CustomIoLoc: Use OpenFPGA's extended custom I/O location syntax - rstOnLut: The reset signal of CLB can feed LUT inputs through a local routing architecture - localClkGen: The clock signal of CLB can be generated by internal programmable resources From 3d19e10611a335378ae4e33725320c16dd1b8ee2 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 3 Jul 2024 21:48:37 -0700 Subject: [PATCH 109/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 3095c28d3..64bbd2454 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 3095c28d3c2795e8042710d54f941be76ab1819d +Subproject commit 64bbd2454e81ea4790b27a7846cd5e454f5bc3f9 From bc94e08c77cdef86b84149f11892e02bd00bfb53 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 3 Jul 2024 22:28:22 -0700 Subject: [PATCH 110/230] [lib] update vtr and fixing some bugs in annotate gsb when perimeter_cb is enabled --- openfpga/src/annotation/annotate_rr_graph.cpp | 11 +++++++++-- vtr-verilog-to-routing | 2 +- 2 files changed, 10 insertions(+), 3 deletions(-) diff --git a/openfpga/src/annotation/annotate_rr_graph.cpp b/openfpga/src/annotation/annotate_rr_graph.cpp index 291d9cd9b..613364de2 100644 --- a/openfpga/src/annotation/annotate_rr_graph.cpp +++ b/openfpga/src/annotation/annotate_rr_graph.cpp @@ -420,6 +420,9 @@ void annotate_device_rr_gsb(const DeviceContext& vpr_device_ctx, */ vtr::Point gsb_range(vpr_device_ctx.grid.width() - 1, vpr_device_ctx.grid.height() - 1); + if (vpr_device_ctx.arch->perimeter_cb) { + gsb_range.set(vpr_device_ctx.grid.width(), vpr_device_ctx.grid.height()); + } device_rr_gsb.reserve(gsb_range); VTR_LOGV(verbose_output, "Start annotation GSB up to [%lu][%lu]\n", @@ -434,10 +437,14 @@ void annotate_device_rr_gsb(const DeviceContext& vpr_device_ctx, * the GSBs at the borderside correctly sort drive_rr_nodes should be * called if required by users */ + vtr::Point sub_gsb_range(vpr_device_ctx.grid.width() - 2, + vpr_device_ctx.grid.height() - 2); + if (vpr_device_ctx.arch->perimeter_cb) { + sub_gsb_range.set(vpr_device_ctx.grid.width() - 1, vpr_device_ctx.grid.height() - 1); + } const RRGSB& rr_gsb = build_rr_gsb(vpr_device_ctx, - vtr::Point(vpr_device_ctx.grid.width() - 2, - vpr_device_ctx.grid.height() - 2), + sub_gsb_range, layer, vtr::Point(ix, iy), include_clock); /* Add to device_rr_gsb */ vtr::Point gsb_coordinate = rr_gsb.get_sb_coordinate(); diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 64bbd2454..7aa23041f 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 64bbd2454e81ea4790b27a7846cd5e454f5bc3f9 +Subproject commit 7aa23041f18ce07a10b62fa7459166f613d039d2 From e06b53658c333e3284814bfe00bf88e97ecc5dd8 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 3 Jul 2024 22:33:48 -0700 Subject: [PATCH 111/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 7aa23041f..97c106cd8 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 7aa23041f18ce07a10b62fa7459166f613d039d2 +Subproject commit 97c106cd89bcd3c4a6d29dfea5101cd15554d3f3 From 550ce0c3901b4b2bcf524fbff6a379447b3f923f Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 10:58:44 -0700 Subject: [PATCH 112/230] [core] fixed the bug on build gsb when cbs are on perimeters --- openfpga/src/annotation/annotate_rr_graph.cpp | 32 +++++++++++++++---- 1 file changed, 26 insertions(+), 6 deletions(-) diff --git a/openfpga/src/annotation/annotate_rr_graph.cpp b/openfpga/src/annotation/annotate_rr_graph.cpp index 613364de2..badeca9de 100644 --- a/openfpga/src/annotation/annotate_rr_graph.cpp +++ b/openfpga/src/annotation/annotate_rr_graph.cpp @@ -126,7 +126,12 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, switch (side) { case TOP: /* TOP = 0 */ - /* For the border, we should take special care */ + /* For the border, we should take special care + * For the fabric where no cbs are on perimeter tiles (y = H - 1), + * the the border should be on the y = H - 2 + * For the fabric where cbs are on perimeter tiles, + * the border should be on the y = H - 1 + */ if (gsb_coord.y() == gsb_range.y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; @@ -157,7 +162,12 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, break; case RIGHT: /* RIGHT = 1 */ - /* For the border, we should take special care */ + /* For the border, we should take special care + * For the fabric where no cbs are on perimeter tiles (x = W - 1), + * the the border should be on the x = W - 2 + * For the fabric where cbs are on perimeter tiles, + * the border should be on the x = W - 1 + */ if (gsb_coord.x() == gsb_range.x()) { rr_gsb.clear_one_side(side_manager.get_side()); break; @@ -189,8 +199,13 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, gsb_coord.x() + 1, gsb_coord.y(), OPIN, opin_grid_side[1]); break; case BOTTOM: /* BOTTOM = 2*/ - /* For the border, we should take special care */ - if (gsb_coord.y() == 0) { + /* For the border, we should take special care + * For the fabric where no cbs are on perimeter tiles (y = 0), + * the the border should be on the y = 0 + * For the fabric where cbs are on perimeter tiles, + * the border should be on the y = - 1, leading to no border + */ + if (!vpr_device_ctx.arch->perimeter_cb && gsb_coord.y() == 0) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -220,8 +235,13 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, gsb_coord.y(), OPIN, opin_grid_side[1]); break; case LEFT: /* LEFT = 3 */ - /* For the border, we should take special care */ - if (gsb_coord.x() == 0) { + /* For the border, we should take special care + * For the fabric where no cbs are on perimeter tiles (x = 0), + * the the border should be on the x = 0 + * For the fabric where cbs are on perimeter tiles, + * the border should be on the x = - 1, leading to no border + */ + if (!vpr_device_ctx.arch->perimeter_cb && gsb_coord.x() == 0) { rr_gsb.clear_one_side(side_manager.get_side()); break; } From 724c14d1f7fe53c200060429ea328a031e4f6759 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 11:09:01 -0700 Subject: [PATCH 113/230] [core] fixed a bug on build top module connections on perimeter gsb when cbs occur --- openfpga/src/fabric/build_top_module_connection.cpp | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index e6a98cd46..38b0491a9 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -760,6 +760,12 @@ static void add_top_module_nets_connect_sb_and_cb( } if (RIGHT == side_manager.get_side() || BOTTOM == side_manager.get_side()) { + /* Only for the condition where cbs are on perimeter, the neighbour cb will be invalid + * Bypass in such case on finding neighbour cbs + */ + if (instance_sb_coordinate.x() == device_rr_gsb.get_gsb_range().x() || instance_sb_coordinate.y() == 0) { + continue; + } const RRGSB& adjacent_gsb = device_rr_gsb.get_gsb(module_gsb_cb_coordinate); if (false == adjacent_gsb.is_cb_exist(cb_type)) { From 93649a12440db99d450f9bd7b93c891e5ce04559 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 12:16:32 -0700 Subject: [PATCH 114/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 97c106cd8..b172d26a0 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 97c106cd89bcd3c4a6d29dfea5101cd15554d3f3 +Subproject commit b172d26a0c75dbabdaf78fc37d6260f74f22ff4c From 5736f45f769b552b3912b1dbf8a3c79cbbbf9366 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 12:18:20 -0700 Subject: [PATCH 115/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index b172d26a0..ae9eb2dbb 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit b172d26a0c75dbabdaf78fc37d6260f74f22ff4c +Subproject commit ae9eb2dbb0e685d6a2bff11d01713498f12e2b27 From 48590df452c13f7e559a9375d32bec30d23b2e3e Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 12:20:20 -0700 Subject: [PATCH 116/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index ae9eb2dbb..159bea4ff 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit ae9eb2dbb0e685d6a2bff11d01713498f12e2b27 +Subproject commit 159bea4ff7e2df8eedaa219cf1765b5dee1921f1 From a7178823047d6283775ccb906febd4193ca83a17 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 12:44:48 -0700 Subject: [PATCH 117/230] [core] now when perimeter_cb is on, I/O pins can access three sides of routing tracks --- openfpga/src/fabric/build_device_module.cpp | 2 +- .../build_grid_module_duplicated_pins.cpp | 20 +++++++------ .../build_grid_module_duplicated_pins.h | 6 ++-- .../src/fabric/build_grid_module_utils.cpp | 18 +++++++++--- openfpga/src/fabric/build_grid_module_utils.h | 4 +-- openfpga/src/fabric/build_grid_modules.cpp | 28 +++++++++++-------- openfpga/src/fabric/build_tile_modules.cpp | 15 ++++++---- openfpga/src/fabric/build_tile_modules.h | 1 + 8 files changed, 60 insertions(+), 34 deletions(-) diff --git a/openfpga/src/fabric/build_device_module.cpp b/openfpga/src/fabric/build_device_module.cpp index 757171c78..e03ee2510 100644 --- a/openfpga/src/fabric/build_device_module.cpp +++ b/openfpga/src/fabric/build_device_module.cpp @@ -128,7 +128,7 @@ int build_device_module_graph( openfpga_ctx.device_rr_gsb(), vpr_device_ctx.rr_graph, openfpga_ctx.arch().tile_annotations, openfpga_ctx.arch().circuit_lib, sram_model, openfpga_ctx.arch().config_protocol.type(), - name_module_using_index, frame_view, verbose); + name_module_using_index, vpr_device_ctx.arch->perimeter_cb, frame_view, verbose); } /* Build FPGA fabric top-level module */ diff --git a/openfpga/src/fabric/build_grid_module_duplicated_pins.cpp b/openfpga/src/fabric/build_grid_module_duplicated_pins.cpp index 32304bdc7..7e628e459 100644 --- a/openfpga/src/fabric/build_grid_module_duplicated_pins.cpp +++ b/openfpga/src/fabric/build_grid_module_duplicated_pins.cpp @@ -55,7 +55,8 @@ void add_grid_module_duplicated_pb_type_ports( ModuleManager& module_manager, const ModuleId& grid_module, const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, - const TileAnnotation& tile_annotation, const e_side& border_side) { + const TileAnnotation& tile_annotation, const e_side& border_side, + const bool& perimeter_cb) { /* Ensure that we have a valid grid_type_descriptor */ VTR_ASSERT(false == is_empty_type(grid_type_descriptor)); @@ -66,7 +67,7 @@ void add_grid_module_duplicated_pb_type_ports( */ if (true == is_io_type(grid_type_descriptor)) { grid_pin_sides = - find_grid_module_pin_sides(grid_type_descriptor, border_side); + find_grid_module_pin_sides(grid_type_descriptor, border_side, perimeter_cb); } else { grid_pin_sides = {TOP, RIGHT, BOTTOM, LEFT}; } @@ -172,7 +173,9 @@ static void add_grid_module_net_connect_duplicated_pb_graph_pin( const size_t& child_inst_subtile_index, const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, t_pb_graph_pin* pb_graph_pin, - const e_side& border_side, const e_pin2pin_interc_type& pin2pin_interc_type) { + const e_side& border_side, + const bool& perimeter_cb, + const e_pin2pin_interc_type& pin2pin_interc_type) { /* Make sure this is ONLY applied to output pins */ VTR_ASSERT(OUTPUT2OUTPUT_INTERC == pin2pin_interc_type); @@ -183,7 +186,7 @@ static void add_grid_module_net_connect_duplicated_pb_graph_pin( */ if (true == is_io_type(grid_type_descriptor)) { grid_pin_sides = - find_grid_module_pin_sides(grid_type_descriptor, border_side); + find_grid_module_pin_sides(grid_type_descriptor, border_side, perimeter_cb); } else { grid_pin_sides = {TOP, RIGHT, BOTTOM, LEFT}; } @@ -316,7 +319,8 @@ void add_grid_module_nets_connect_duplicated_pb_type_ports( const ModuleId& child_module, const size_t& child_instance, const t_sub_tile& sub_tile, const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, - const TileAnnotation& tile_annotation, const e_side& border_side) { + const TileAnnotation& tile_annotation, const e_side& border_side, + const bool& perimeter_cb) { /* Ensure that we have a valid grid_type_descriptor */ VTR_ASSERT(false == is_empty_type(grid_type_descriptor)); @@ -334,7 +338,7 @@ void add_grid_module_nets_connect_duplicated_pb_type_ports( module_manager, grid_module, child_module, child_instance, child_inst_subtile_index, vpr_device_annotation, grid_type_descriptor, tile_annotation, &(top_pb_graph_node->input_pins[iport][ipin]), - border_side, INPUT2INPUT_INTERC); + border_side, perimeter_cb, INPUT2INPUT_INTERC); } } @@ -345,7 +349,7 @@ void add_grid_module_nets_connect_duplicated_pb_type_ports( module_manager, grid_module, child_module, child_instance, child_inst_subtile_index, vpr_device_annotation, grid_type_descriptor, &(top_pb_graph_node->output_pins[iport][ipin]), border_side, - OUTPUT2OUTPUT_INTERC); + perimeter_cb, OUTPUT2OUTPUT_INTERC); } } @@ -356,7 +360,7 @@ void add_grid_module_nets_connect_duplicated_pb_type_ports( module_manager, grid_module, child_module, child_instance, child_inst_subtile_index, vpr_device_annotation, grid_type_descriptor, tile_annotation, &(top_pb_graph_node->clock_pins[iport][ipin]), - border_side, INPUT2INPUT_INTERC); + border_side, perimeter_cb, INPUT2INPUT_INTERC); } } } diff --git a/openfpga/src/fabric/build_grid_module_duplicated_pins.h b/openfpga/src/fabric/build_grid_module_duplicated_pins.h index 4f2eafee2..9f95b7dd7 100644 --- a/openfpga/src/fabric/build_grid_module_duplicated_pins.h +++ b/openfpga/src/fabric/build_grid_module_duplicated_pins.h @@ -21,14 +21,16 @@ void add_grid_module_duplicated_pb_type_ports( ModuleManager& module_manager, const ModuleId& grid_module, const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, - const TileAnnotation& tile_annotation, const e_side& border_side); + const TileAnnotation& tile_annotation, const e_side& border_side, + const bool& perimeter_cb); void add_grid_module_nets_connect_duplicated_pb_type_ports( ModuleManager& module_manager, const ModuleId& grid_module, const ModuleId& child_module, const size_t& child_instance, const t_sub_tile& sub_tile, const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, - const TileAnnotation& tile_annotation, const e_side& border_side); + const TileAnnotation& tile_annotation, const e_side& border_side, + const bool& perimeter_cb); } /* end namespace openfpga */ diff --git a/openfpga/src/fabric/build_grid_module_utils.cpp b/openfpga/src/fabric/build_grid_module_utils.cpp index 3b3f14203..e78981ca2 100644 --- a/openfpga/src/fabric/build_grid_module_utils.cpp +++ b/openfpga/src/fabric/build_grid_module_utils.cpp @@ -24,7 +24,7 @@ namespace openfpga { * 5. I/O grids in the center part of FPGA can have ports on any side *******************************************************************/ std::vector find_grid_module_pin_sides( - t_physical_tile_type_ptr grid_type_descriptor, const e_side& border_side) { + t_physical_tile_type_ptr grid_type_descriptor, const e_side& border_side, const bool& perimeter_cb) { /* We must have an regular (non-I/O) type here */ VTR_ASSERT(true == is_io_type(grid_type_descriptor)); SideManager side_manager(border_side); @@ -33,7 +33,16 @@ std::vector find_grid_module_pin_sides( return {TOP, RIGHT, BOTTOM, LEFT}; } - return std::vector(1, side_manager.get_opposite()); + if (!perimeter_cb) { + return std::vector(1, side_manager.get_opposite()); + } + /* For cbs on perimeter, exclude the border side. All the other 3 sides are ok */ + std::vector pin_sides; + pin_sides.reserve(3); + for (e_side pin_side : {TOP, RIGHT, BOTTOM, LEFT}) { + pin_sides.push_back(pin_side); + } + return pin_sides; } /******************************************************************** @@ -47,7 +56,8 @@ void add_grid_module_net_connect_pb_graph_pin( const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, const TileAnnotation& tile_annotation, t_pb_graph_pin* pb_graph_pin, - const e_side& border_side, const e_pin2pin_interc_type& pin2pin_interc_type) { + const e_side& border_side, const bool& perimeter_cb, + const e_pin2pin_interc_type& pin2pin_interc_type) { /* Find the pin side for I/O grids*/ std::vector grid_pin_sides; /* For I/O grids, we care only one side @@ -55,7 +65,7 @@ void add_grid_module_net_connect_pb_graph_pin( */ if (true == is_io_type(grid_type_descriptor)) { grid_pin_sides = - find_grid_module_pin_sides(grid_type_descriptor, border_side); + find_grid_module_pin_sides(grid_type_descriptor, border_side, perimeter_cb); } else { grid_pin_sides = {TOP, RIGHT, BOTTOM, LEFT}; } diff --git a/openfpga/src/fabric/build_grid_module_utils.h b/openfpga/src/fabric/build_grid_module_utils.h index a8d5f9d12..1051ae601 100644 --- a/openfpga/src/fabric/build_grid_module_utils.h +++ b/openfpga/src/fabric/build_grid_module_utils.h @@ -19,7 +19,7 @@ namespace openfpga { std::vector find_grid_module_pin_sides( - t_physical_tile_type_ptr grid_type_descriptor, const e_side& border_side); + t_physical_tile_type_ptr grid_type_descriptor, const e_side& border_side, const bool& perimeter_cb); void add_grid_module_net_connect_pb_graph_pin( ModuleManager& module_manager, const ModuleId& grid_module, @@ -28,7 +28,7 @@ void add_grid_module_net_connect_pb_graph_pin( const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, const TileAnnotation& tile_annotation, t_pb_graph_pin* pb_graph_pin, - const e_side& border_side, + const e_side& border_side, const bool& perimeter_cb, const enum e_pin2pin_interc_type& pin2pin_interc_type); } /* end namespace openfpga */ diff --git a/openfpga/src/fabric/build_grid_modules.cpp b/openfpga/src/fabric/build_grid_modules.cpp index eaa24246b..8ffe94fda 100644 --- a/openfpga/src/fabric/build_grid_modules.cpp +++ b/openfpga/src/fabric/build_grid_modules.cpp @@ -42,7 +42,9 @@ static void add_grid_module_pb_type_ports( ModuleManager& module_manager, const ModuleId& grid_module, const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, - const TileAnnotation& tile_annotation, const e_side& border_side) { + const TileAnnotation& tile_annotation, + const e_side& border_side, + const bool& perimeter_cb) { /* Ensure that we have a valid grid_type_descriptor */ VTR_ASSERT(nullptr != grid_type_descriptor); @@ -53,7 +55,7 @@ static void add_grid_module_pb_type_ports( */ if (true == is_io_type(grid_type_descriptor)) { grid_pin_sides = - find_grid_module_pin_sides(grid_type_descriptor, border_side); + find_grid_module_pin_sides(grid_type_descriptor, border_side, perimeter_cb); } else { grid_pin_sides = {TOP, RIGHT, BOTTOM, LEFT}; } @@ -125,7 +127,8 @@ static void add_grid_module_nets_connect_pb_type_ports( const ModuleId& child_module, const size_t& child_instance, const t_sub_tile& sub_tile, const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, - const TileAnnotation& tile_annotation, const e_side& border_side) { + const TileAnnotation& tile_annotation, const e_side& border_side, + const bool& perimeter_cb) { /* Ensure that we have a valid grid_type_descriptor */ VTR_ASSERT(nullptr != grid_type_descriptor); @@ -144,7 +147,7 @@ static void add_grid_module_nets_connect_pb_type_ports( module_manager, grid_module, child_module, child_instance, child_inst_subtile_index, vpr_device_annotation, grid_type_descriptor, tile_annotation, &(top_pb_graph_node->input_pins[iport][ipin]), - border_side, INPUT2INPUT_INTERC); + border_side, perimeter_cb, INPUT2INPUT_INTERC); } } @@ -155,7 +158,7 @@ static void add_grid_module_nets_connect_pb_type_ports( module_manager, grid_module, child_module, child_instance, child_inst_subtile_index, vpr_device_annotation, grid_type_descriptor, tile_annotation, &(top_pb_graph_node->output_pins[iport][ipin]), - border_side, OUTPUT2OUTPUT_INTERC); + border_side, perimeter_cb, OUTPUT2OUTPUT_INTERC); } } @@ -166,7 +169,7 @@ static void add_grid_module_nets_connect_pb_type_ports( module_manager, grid_module, child_module, child_instance, child_inst_subtile_index, vpr_device_annotation, grid_type_descriptor, tile_annotation, &(top_pb_graph_node->clock_pins[iport][ipin]), - border_side, INPUT2INPUT_INTERC); + border_side, perimeter_cb, INPUT2INPUT_INTERC); } } } @@ -1168,6 +1171,7 @@ static int build_physical_tile_module( const TileAnnotation& tile_annotation, const e_side& border_side, const QLMemoryBankConfigSetting* ql_memory_bank_config_setting, const bool& duplicate_grid_pin, const bool& group_config_block, + const bool& perimeter_cb, const bool& verbose) { int status = CMD_EXEC_SUCCESS; /* Create a Module for the top-level physical block, and add to module manager @@ -1247,7 +1251,7 @@ static int build_physical_tile_module( /* Default way to add these ports by following the definition in pb_types */ add_grid_module_pb_type_ports(module_manager, grid_module, vpr_device_annotation, phy_block_type, - tile_annotation, border_side); + tile_annotation, border_side, perimeter_cb); /* Add module nets to connect the pb_type ports to sub modules */ for (const t_sub_tile& sub_tile : phy_block_type->sub_tiles) { VTR_ASSERT(sub_tile.equivalent_sites.size() == 1); @@ -1264,7 +1268,7 @@ static int build_physical_tile_module( module_manager.child_module_instances(grid_module, pb_module)) { add_grid_module_nets_connect_pb_type_ports( module_manager, grid_module, pb_module, child_instance, sub_tile, - vpr_device_annotation, phy_block_type, tile_annotation, border_side); + vpr_device_annotation, phy_block_type, tile_annotation, border_side, perimeter_cb); } } } else { @@ -1272,7 +1276,7 @@ static int build_physical_tile_module( /* Add these ports with duplication */ add_grid_module_duplicated_pb_type_ports( module_manager, grid_module, vpr_device_annotation, phy_block_type, - tile_annotation, border_side); + tile_annotation, border_side, perimeter_cb); /* Add module nets to connect the duplicated pb_type ports to sub modules */ for (const t_sub_tile& sub_tile : phy_block_type->sub_tiles) { @@ -1290,7 +1294,7 @@ static int build_physical_tile_module( module_manager.child_module_instances(grid_module, pb_module)) { add_grid_module_nets_connect_duplicated_pb_type_ports( module_manager, grid_module, pb_module, child_instance, sub_tile, - vpr_device_annotation, phy_block_type, tile_annotation, border_side); + vpr_device_annotation, phy_block_type, tile_annotation, border_side, perimeter_cb); } } } @@ -1436,7 +1440,7 @@ int build_grid_modules( module_manager, decoder_lib, device_annotation, circuit_lib, sram_orgz_type, sram_model, &physical_tile, tile_annotation, io_type_side, ql_memory_bank_config_setting, duplicate_grid_pin, - group_config_block, verbose); + group_config_block, device_ctx.arch->perimeter_cb, verbose); if (status != CMD_EXEC_SUCCESS) { return CMD_EXEC_FATAL_ERROR; } @@ -1446,7 +1450,7 @@ int build_grid_modules( status = build_physical_tile_module( module_manager, decoder_lib, device_annotation, circuit_lib, sram_orgz_type, sram_model, &physical_tile, tile_annotation, NUM_SIDES, - ql_memory_bank_config_setting, duplicate_grid_pin, group_config_block, + ql_memory_bank_config_setting, duplicate_grid_pin, group_config_block, device_ctx.arch->perimeter_cb, verbose); if (status != CMD_EXEC_SUCCESS) { return CMD_EXEC_FATAL_ERROR; diff --git a/openfpga/src/fabric/build_tile_modules.cpp b/openfpga/src/fabric/build_tile_modules.cpp index 74797aa39..f93cd07bf 100644 --- a/openfpga/src/fabric/build_tile_modules.cpp +++ b/openfpga/src/fabric/build_tile_modules.cpp @@ -1175,6 +1175,7 @@ static int build_tile_port_and_nets_from_pb( const TileAnnotation& tile_annotation, const vtr::Point& pb_coord, const std::vector& pb_instances, const FabricTile& fabric_tile, const FabricTileId& curr_fabric_tile_id, const size_t& ipb, + const bool& perimeter_cb, const bool& frame_view, const bool& verbose) { size_t pb_instance = pb_instances[ipb]; t_physical_tile_type_ptr phy_tile = grids.get_physical_type( @@ -1201,7 +1202,7 @@ static int build_tile_port_and_nets_from_pb( * Otherwise, we will iterate all the 4 sides */ if (true == is_io_type(phy_tile)) { - grid_pin_sides = find_grid_module_pin_sides(phy_tile, grid_side); + grid_pin_sides = find_grid_module_pin_sides(phy_tile, grid_side, perimeter_cb); } else { grid_pin_sides = {TOP, RIGHT, BOTTOM, LEFT}; } @@ -1378,6 +1379,7 @@ static int build_tile_module_ports_and_nets( const FabricTileId& fabric_tile_id, const std::vector& pb_instances, const std::map>& cb_instances, const std::vector& sb_instances, const bool& name_module_using_index, + const bool& perimeter_cb, const bool& frame_view, const bool& verbose) { int status_code = CMD_EXEC_SUCCESS; @@ -1441,7 +1443,7 @@ static int build_tile_module_ports_and_nets( status_code = build_tile_port_and_nets_from_pb( module_manager, tile_module, grids, layer, vpr_device_annotation, rr_graph_view, tile_annotation, pb_coord, pb_instances, fabric_tile, - fabric_tile_id, ipb, frame_view, verbose); + fabric_tile_id, ipb, perimeter_cb, frame_view, verbose); if (status_code != CMD_EXEC_SUCCESS) { return CMD_EXEC_FATAL_ERROR; } @@ -1487,7 +1489,9 @@ static int build_tile_module( const TileAnnotation& tile_annotation, const CircuitLibrary& circuit_lib, const CircuitModelId& sram_model, const e_config_protocol_type& sram_orgz_type, - const bool& name_module_using_index, const bool& frame_view, + const bool& name_module_using_index, + const bool& perimeter_cb, + const bool& frame_view, const bool& verbose) { int status_code = CMD_EXEC_SUCCESS; @@ -1635,7 +1639,7 @@ static int build_tile_module( module_manager, tile_module, grids, layer, vpr_device_annotation, device_rr_gsb, rr_graph_view, tile_annotation, fabric_tile, fabric_tile_id, pb_instances, cb_instances, sb_instances, name_module_using_index, - frame_view, verbose); + perimeter_cb, frame_view, verbose); /* Add global ports to the pb_module: * This is a much easier job after adding sub modules (instances), @@ -1709,6 +1713,7 @@ int build_tile_modules(ModuleManager& module_manager, const CircuitModelId& sram_model, const e_config_protocol_type& sram_orgz_type, const bool& name_module_using_index, + const bool& perimeter_cb, const bool& frame_view, const bool& verbose) { vtr::ScopedStartFinishTimer timer("Build tile modules for the FPGA fabric"); @@ -1722,7 +1727,7 @@ int build_tile_modules(ModuleManager& module_manager, module_manager, decoder_lib, fabric_tile, fabric_tile_id, grids, layer, vpr_device_annotation, device_rr_gsb, rr_graph_view, tile_annotation, circuit_lib, sram_model, sram_orgz_type, name_module_using_index, - frame_view, verbose); + perimeter_cb, frame_view, verbose); if (status_code != CMD_EXEC_SUCCESS) { return CMD_EXEC_FATAL_ERROR; } diff --git a/openfpga/src/fabric/build_tile_modules.h b/openfpga/src/fabric/build_tile_modules.h index 83f219610..e3a629808 100644 --- a/openfpga/src/fabric/build_tile_modules.h +++ b/openfpga/src/fabric/build_tile_modules.h @@ -36,6 +36,7 @@ int build_tile_modules(ModuleManager& module_manager, const CircuitModelId& sram_model, const e_config_protocol_type& sram_orgz_type, const bool& name_module_using_index, + const bool& perimeter_cb, const bool& frame_view, const bool& verbose); } /* end namespace openfpga */ From a3723b33b35a9482f8e8692aedbbe9379a7278de Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 12:52:29 -0700 Subject: [PATCH 118/230] [core] fixed a minor bug --- openfpga/src/fabric/build_grid_module_utils.cpp | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/openfpga/src/fabric/build_grid_module_utils.cpp b/openfpga/src/fabric/build_grid_module_utils.cpp index e78981ca2..951e2429d 100644 --- a/openfpga/src/fabric/build_grid_module_utils.cpp +++ b/openfpga/src/fabric/build_grid_module_utils.cpp @@ -40,7 +40,9 @@ std::vector find_grid_module_pin_sides( std::vector pin_sides; pin_sides.reserve(3); for (e_side pin_side : {TOP, RIGHT, BOTTOM, LEFT}) { - pin_sides.push_back(pin_side); + if (pin_side != border_side) { + pin_sides.push_back(pin_side); + } } return pin_sides; } From b80ed8d15cf328e180319dafb433997a406b6b3e Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 12:58:16 -0700 Subject: [PATCH 119/230] [core] fixed a bug --- openfpga/src/fabric/build_top_module_connection.cpp | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index 38b0491a9..2493c20c9 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -763,7 +763,10 @@ static void add_top_module_nets_connect_sb_and_cb( /* Only for the condition where cbs are on perimeter, the neighbour cb will be invalid * Bypass in such case on finding neighbour cbs */ - if (instance_sb_coordinate.x() == device_rr_gsb.get_gsb_range().x() || instance_sb_coordinate.y() == 0) { + if (BOTTOM == side_manager.get_side() && instance_sb_coordinate.y() == 0) { + continue; + } + if (RIGHT == side_manager.get_side() && instance_sb_coordinate.x() == device_rr_gsb.get_gsb_range().x()) { continue; } const RRGSB& adjacent_gsb = From 75407b1e8c0fafbfb6923fa4932f11a02f802973 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 13:15:54 -0700 Subject: [PATCH 120/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 159bea4ff..fbbf53d82 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 159bea4ff7e2df8eedaa219cf1765b5dee1921f1 +Subproject commit fbbf53d8207d55df8c6c62e1a0505f94ccba612a From d2a68ff9c5316b2a4db6941c0629ca6aebda48f2 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 13:25:57 -0700 Subject: [PATCH 121/230] [core] now corner tile are considered as config child --- openfpga/src/fabric/build_top_module_memory.cpp | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/openfpga/src/fabric/build_top_module_memory.cpp b/openfpga/src/fabric/build_top_module_memory.cpp index 5dae3f0f4..302bd6675 100644 --- a/openfpga/src/fabric/build_top_module_memory.cpp +++ b/openfpga/src/fabric/build_top_module_memory.cpp @@ -458,12 +458,12 @@ void organize_top_module_memory_modules( std::map>> io_coords; /* BOTTOM side I/Os */ - for (size_t ix = 1; ix < grids.width() - 1; ++ix) { + for (size_t ix = 0; ix < grids.width() - 1; ++ix) { io_coords[BOTTOM].push_back(vtr::Point(ix, 0)); } /* RIGHT side I/Os */ - for (size_t iy = 1; iy < grids.height() - 1; ++iy) { + for (size_t iy = 0; iy < grids.height() - 1; ++iy) { io_coords[RIGHT].push_back(vtr::Point(grids.width() - 1, iy)); } @@ -483,13 +483,13 @@ void organize_top_module_memory_modules( * +--------+ +--------+ * */ - for (size_t ix = grids.width() - 2; ix >= 1; --ix) { + for (size_t ix = grids.width() - 1; ix >= 1; --ix) { io_coords[TOP].push_back(vtr::Point(ix, grids.height() - 1)); } io_coords[TOP].push_back(vtr::Point(0, grids.height() - 1)); /* LEFT side I/Os */ - for (size_t iy = grids.height() - 2; iy >= 1; --iy) { + for (size_t iy = grids.height() - 1; iy >= 1; --iy) { io_coords[LEFT].push_back(vtr::Point(0, iy)); } From 4b53e57c92a8c571ec68667ec59d2dd2a7383643 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 13:33:04 -0700 Subject: [PATCH 122/230] [core] fixed a bug --- .../src/fabric/build_top_module_memory.cpp | 18 +++++++++--------- 1 file changed, 9 insertions(+), 9 deletions(-) diff --git a/openfpga/src/fabric/build_top_module_memory.cpp b/openfpga/src/fabric/build_top_module_memory.cpp index 302bd6675..47238685e 100644 --- a/openfpga/src/fabric/build_top_module_memory.cpp +++ b/openfpga/src/fabric/build_top_module_memory.cpp @@ -370,12 +370,13 @@ void build_top_module_configurable_regions( * Note: the organization of inter-tile aims to reduce the wire length * to connect between tiles. Therefore, it is organized as a snake * where we can avoid long wires between rows and columns + * Note: Corner I/Os only occur when perimeter cb is allowed * * +--------------------------------------------------------+ - * | +------+------+-----+------+ | - * | | I/O | I/O | ... | I/O | | - * | | TOP | TOP | | TOP | | - * | +------+------+-----+------+ | + * | +------+ +------+------+-----+------+ +------+ | + * | | I/O | | I/O | I/O | ... | I/O | | I/O | | + * | | LEFT | | TOP | TOP | | TOP | | TOP | | + * | +------+ +------+------+-----+------+ +------+ | * | +---------------------------------->tail | * | +------+ | +------+------+-----+------+ +------+ | * | | | | | | | | | | | | @@ -397,10 +398,10 @@ void build_top_module_configurable_regions( * | | LEFT | | [0] | [1] | | [i] | | |RIGHT | | * | +------+ +------+------+-----+------+ | +------+ | * +-------------------------------------------+ | - * +------+------+-----+------+ | - * | I/O | I/O | ... | I/O | | - * |BOTTOM|BOTTOM| |BOTTOM| | - * +------+------+-----+------+ | + * +------+ +------+------+-----+------+ +------+ | + * | I/O | | I/O | I/O | ... | I/O | | I/O | | + * |BOTTOM| |BOTTOM|BOTTOM| |BOTTOM| |RIGHT | | + * +------+ +------+------+-----+------+ +------+ | * head >-----------------------------------------------+ * * Inner tile connection: @@ -486,7 +487,6 @@ void organize_top_module_memory_modules( for (size_t ix = grids.width() - 1; ix >= 1; --ix) { io_coords[TOP].push_back(vtr::Point(ix, grids.height() - 1)); } - io_coords[TOP].push_back(vtr::Point(0, grids.height() - 1)); /* LEFT side I/Os */ for (size_t iy = grids.height() - 1; iy >= 1; --iy) { From a8850d4f0f10eb68b70520b536fb1a76414f9d66 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 14:22:30 -0700 Subject: [PATCH 123/230] [core] now verbose mode is applicable to more build top module cb instances --- openfpga/src/fabric/build_top_module.cpp | 2 +- .../build_top_module_child_fine_grained_instance.cpp | 11 +++++++---- .../build_top_module_child_fine_grained_instance.h | 3 ++- 3 files changed, 10 insertions(+), 6 deletions(-) diff --git a/openfpga/src/fabric/build_top_module.cpp b/openfpga/src/fabric/build_top_module.cpp index 238004e4d..bce676019 100644 --- a/openfpga/src/fabric/build_top_module.cpp +++ b/openfpga/src/fabric/build_top_module.cpp @@ -79,7 +79,7 @@ int build_top_module( rr_clock_lookup, vpr_device_annotation, grids, layer, tile_annotation, rr_graph, device_rr_gsb, tile_direct, arch_direct, config_protocol, sram_model, frame_view, compact_routing_hierarchy, duplicate_grid_pin, - fabric_key, group_config_block); + fabric_key, group_config_block, verbose); } else { /* Build the tile instances under the top module */ status = build_top_module_tile_child_instances( diff --git a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp index 7640a969c..e3abe7c07 100644 --- a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp +++ b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp @@ -244,7 +244,7 @@ static vtr::Matrix add_top_module_switch_block_instances( static vtr::Matrix add_top_module_connection_block_instances( ModuleManager& module_manager, const ModuleId& top_module, const DeviceRRGSB& device_rr_gsb, const t_rr_type& cb_type, - const bool& compact_routing_hierarchy) { + const bool& compact_routing_hierarchy, const bool& verbose) { vtr::ScopedStartFinishTimer timer( "Add connection block instances to top module"); @@ -264,6 +264,7 @@ static vtr::Matrix add_top_module_connection_block_instances( vtr::Point cb_coordinate(rr_gsb.get_cb_x(cb_type), rr_gsb.get_cb_y(cb_type)); if (false == rr_gsb.is_cb_exist(cb_type)) { + VTR_LOGV(verbose, "Skip connnection block at (%lu, %lu) as it does not exist\n", cb_coordinate.x(), cb_coordinate.y()); continue; } /* If we use compact routing hierarchy, we should instanciate the unique @@ -295,6 +296,7 @@ static vtr::Matrix add_top_module_connection_block_instances( top_module, cb_module, cb_instance_ids[rr_gsb.get_cb_x(cb_type)][rr_gsb.get_cb_y(cb_type)], cb_instance_name); + VTR_LOGV(verbose, "Added connnection block '%s' (module '%s')\n", cb_instance_name.c_str(), cb_module_name.c_str()); } } @@ -445,7 +447,8 @@ int build_top_module_fine_grained_child_instances( const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, - const bool& group_config_block) { + const bool& group_config_block, + const bool& verbose) { int status = CMD_EXEC_SUCCESS; std::map> cb_instance_ids; @@ -460,10 +463,10 @@ int build_top_module_fine_grained_child_instances( /* Add all the CBX and CBYs across the fabric */ cb_instance_ids[CHANX] = add_top_module_connection_block_instances( module_manager, top_module, device_rr_gsb, CHANX, - compact_routing_hierarchy); + compact_routing_hierarchy, verbose); cb_instance_ids[CHANY] = add_top_module_connection_block_instances( module_manager, top_module, device_rr_gsb, CHANY, - compact_routing_hierarchy); + compact_routing_hierarchy, verbose); /* Update I/O children list */ add_top_module_io_children(module_manager, top_module, grids, layer, diff --git a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h index f54e07c24..dd4f8e24d 100644 --- a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h +++ b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h @@ -44,7 +44,8 @@ int build_top_module_fine_grained_child_instances( const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, - const bool& group_config_block); + const bool& group_config_block, + const bool& verbose); } /* end namespace openfpga */ From f560fb83816b90844769fe6dc34fa878e3f5f833 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 14:27:17 -0700 Subject: [PATCH 124/230] [core] more verbose --- .../fabric/build_top_module_child_fine_grained_instance.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp index e3abe7c07..3978339a2 100644 --- a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp +++ b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp @@ -264,7 +264,7 @@ static vtr::Matrix add_top_module_connection_block_instances( vtr::Point cb_coordinate(rr_gsb.get_cb_x(cb_type), rr_gsb.get_cb_y(cb_type)); if (false == rr_gsb.is_cb_exist(cb_type)) { - VTR_LOGV(verbose, "Skip connnection block at (%lu, %lu) as it does not exist\n", cb_coordinate.x(), cb_coordinate.y()); + VTR_LOGV(verbose, "Skip %s connnection block at (%lu, %lu) as it does not exist\n", cb_type == CHANX ? "X-" : "Y-", cb_coordinate.x(), cb_coordinate.y()); continue; } /* If we use compact routing hierarchy, we should instanciate the unique @@ -296,7 +296,7 @@ static vtr::Matrix add_top_module_connection_block_instances( top_module, cb_module, cb_instance_ids[rr_gsb.get_cb_x(cb_type)][rr_gsb.get_cb_y(cb_type)], cb_instance_name); - VTR_LOGV(verbose, "Added connnection block '%s' (module '%s')\n", cb_instance_name.c_str(), cb_module_name.c_str()); + VTR_LOGV(verbose, "Added %s connnection block '%s' (module '%s')\n", cb_type == CHANX ? "X-" : "Y-", cb_instance_name.c_str(), cb_module_name.c_str()); } } From 6d798897fd60b0a1a204ce956e9229aa1f5a9039 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 14:46:57 -0700 Subject: [PATCH 125/230] [lib] update vtr --- .../src/fabric/build_top_module_child_fine_grained_instance.cpp | 1 + vtr-verilog-to-routing | 2 +- 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp index 3978339a2..2e7a33182 100644 --- a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp +++ b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp @@ -261,6 +261,7 @@ static vtr::Matrix add_top_module_connection_block_instances( * We will skip those modules */ const RRGSB& rr_gsb = device_rr_gsb.get_gsb(ix, iy); + VTR_LOGV(verbose, "Try to add %s connnection block at (%lu, %lu)\n", cb_type == CHANX ? "X-" : "Y-", ix, iy); vtr::Point cb_coordinate(rr_gsb.get_cb_x(cb_type), rr_gsb.get_cb_y(cb_type)); if (false == rr_gsb.is_cb_exist(cb_type)) { diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index fbbf53d82..19fa43cc6 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit fbbf53d8207d55df8c6c62e1a0505f94ccba612a +Subproject commit 19fa43cc62bf7a38e4151c1c3867a760ba683719 From 93af72f1e362aa22a8dbbf0b734b9c7a9f703f0b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 14:57:36 -0700 Subject: [PATCH 126/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 19fa43cc6..589b6bcd8 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 19fa43cc62bf7a38e4151c1c3867a760ba683719 +Subproject commit 589b6bcd8bd37ac445c55239af02c757223f5d4f From 1dd03d0fddc71d8b2359d60fc60012379df8a96b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 18:34:39 -0700 Subject: [PATCH 127/230] [core] on a new feature to connect undriven pins to ground --- .../fpga_verilog/verilog_module_writer.cpp | 100 ++++++++++++------ 1 file changed, 65 insertions(+), 35 deletions(-) diff --git a/openfpga/src/fpga_verilog/verilog_module_writer.cpp b/openfpga/src/fpga_verilog/verilog_module_writer.cpp index af901e592..7feaa1c28 100644 --- a/openfpga/src/fpga_verilog/verilog_module_writer.cpp +++ b/openfpga/src/fpga_verilog/verilog_module_writer.cpp @@ -139,6 +139,67 @@ static BasicPort generate_verilog_port_for_module_net( return port_to_return; } +/******************************************************************** + * Find all the undriven nets that are going to be local wires + * And organize it in a vector of ports + * Verilog wire writter function will use the output of this function + * to write up local wire declaration in Verilog format + *******************************************************************/ +static void +find_verilog_module_local_undriven_wires( + std::map>& local_wires; + const ModuleManager& module_manager, + const ModuleId& module_id, + const std::vector& port_type_blacklist) { + + /* Local wires could also happen for undriven ports of child module */ + for (const ModuleId& child : module_manager.child_modules(module_id)) { + for (size_t instance : + module_manager.child_module_instances(module_id, child)) { + for (const ModulePortId& child_port_id : + module_manager.module_ports(child)) { + BasicPort child_port = module_manager.module_port(child, child_port_id); + ModuleManager::e_module_port_type child_port_type = module_manager.port_type(child, child_port_id); + bool filter_out = false; + for (ModuleManager::e_module_port_type curr_port_type : port_type_blacklist) { + if (child_port_type == curr_port_type) { + filter_out = true; + break; + } + } + if (filter_out) { + continue; + } + std::vector undriven_pins; + for (size_t child_pin : child_port.pins()) { + /* Find the net linked to the pin */ + ModuleNetId net = module_manager.module_instance_port_net( + module_id, child, instance, child_port_id, child_pin); + /* We only care undriven ports */ + if (ModuleNetId::INVALID() == net) { + undriven_pins.push_back(child_pin); + } + } + if (true == undriven_pins.empty()) { + continue; + } + /* Reach here, we need a local wire, we will create a port only for the + * undriven pins of the port! */ + BasicPort instance_port; + instance_port.set_name(generate_verilog_undriven_local_wire_name( + module_manager, module_id, child, instance, child_port_id)); + /* We give the same port name as child module, this case happens to + * global ports */ + instance_port.set_width( + *std::min_element(undriven_pins.begin(), undriven_pins.end()), + *std::max_element(undriven_pins.begin(), undriven_pins.end())); + + local_wires[instance_port.get_name()].push_back(instance_port); + } + } + } +} + /******************************************************************** * Find all the nets that are going to be local wires * And organize it in a vector of ports @@ -206,41 +267,7 @@ find_verilog_module_local_wires(const ModuleManager& module_manager, } } - /* Local wires could also happen for undriven ports of child module */ - for (const ModuleId& child : module_manager.child_modules(module_id)) { - for (size_t instance : - module_manager.child_module_instances(module_id, child)) { - for (const ModulePortId& child_port_id : - module_manager.module_ports(child)) { - BasicPort child_port = module_manager.module_port(child, child_port_id); - std::vector undriven_pins; - for (size_t child_pin : child_port.pins()) { - /* Find the net linked to the pin */ - ModuleNetId net = module_manager.module_instance_port_net( - module_id, child, instance, child_port_id, child_pin); - /* We only care undriven ports */ - if (ModuleNetId::INVALID() == net) { - undriven_pins.push_back(child_pin); - } - } - if (true == undriven_pins.empty()) { - continue; - } - /* Reach here, we need a local wire, we will create a port only for the - * undriven pins of the port! */ - BasicPort instance_port; - instance_port.set_name(generate_verilog_undriven_local_wire_name( - module_manager, module_id, child, instance, child_port_id)); - /* We give the same port name as child module, this case happens to - * global ports */ - instance_port.set_width( - *std::min_element(undriven_pins.begin(), undriven_pins.end()), - *std::max_element(undriven_pins.begin(), undriven_pins.end())); - - local_wires[instance_port.get_name()].push_back(instance_port); - } - } - } + find_verilog_module_local_undriven_wires(local_wires, module_manager, module_id, std::vector()); return local_wires; } @@ -575,6 +602,9 @@ void write_verilog_module_to_file( } } + /* Use constant to drive undriven local wires */ + find_verilog_module_local_undriven_wires(local_wires, module_manager, module_id, std::vector()); + /* Print an empty line as splitter */ fp << std::endl; From 4e21bbb3f1bae2e15c018bf5dce54936b6be6313 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 20:32:56 -0700 Subject: [PATCH 128/230] [core] now support constant undriven local wires in verilog writer --- .../fpga_verilog/fabric_verilog_options.cpp | 7 ++++++ .../src/fpga_verilog/fabric_verilog_options.h | 3 +++ openfpga/src/fpga_verilog/verilog_grid.cpp | 3 +++ openfpga/src/fpga_verilog/verilog_lut.cpp | 1 + openfpga/src/fpga_verilog/verilog_memory.cpp | 5 ++++ .../fpga_verilog/verilog_module_writer.cpp | 24 +++++++++++++++++-- .../src/fpga_verilog/verilog_module_writer.h | 1 + openfpga/src/fpga_verilog/verilog_mux.cpp | 2 ++ openfpga/src/fpga_verilog/verilog_routing.cpp | 2 ++ .../verilog_shift_register_banks.cpp | 2 ++ openfpga/src/fpga_verilog/verilog_tile.cpp | 1 + .../src/fpga_verilog/verilog_top_module.cpp | 2 ++ 12 files changed, 51 insertions(+), 2 deletions(-) diff --git a/openfpga/src/fpga_verilog/fabric_verilog_options.cpp b/openfpga/src/fpga_verilog/fabric_verilog_options.cpp index 17fdad397..44da5e47c 100644 --- a/openfpga/src/fpga_verilog/fabric_verilog_options.cpp +++ b/openfpga/src/fpga_verilog/fabric_verilog_options.cpp @@ -21,6 +21,7 @@ FabricVerilogOption::FabricVerilogOption() { default_net_type_ = VERILOG_DEFAULT_NET_TYPE_NONE; time_stamp_ = true; use_relative_path_ = false; + constant_undriven_inputs_ = false; verbose_output_ = false; } @@ -53,6 +54,8 @@ e_verilog_default_net_type FabricVerilogOption::default_net_type() const { return default_net_type_; } +bool FabricVerilogOption::constant_undriven_inputs() const { return constant_undriven_inputs_; } + bool FabricVerilogOption::verbose_output() const { return verbose_output_; } /****************************************************************************** @@ -106,6 +109,10 @@ void FabricVerilogOption::set_default_net_type( } } +void FabricVerilogOption::set_constant_undriven_inputs(const bool& enabled) { + constant_undriven_inputs_ = enabled; +} + void FabricVerilogOption::set_verbose_output(const bool& enabled) { verbose_output_ = enabled; } diff --git a/openfpga/src/fpga_verilog/fabric_verilog_options.h b/openfpga/src/fpga_verilog/fabric_verilog_options.h index dfa7844e9..c8d5cc5eb 100644 --- a/openfpga/src/fpga_verilog/fabric_verilog_options.h +++ b/openfpga/src/fpga_verilog/fabric_verilog_options.h @@ -28,6 +28,7 @@ class FabricVerilogOption { bool compress_routing() const; e_verilog_default_net_type default_net_type() const; bool print_user_defined_template() const; + bool constant_undriven_inputs() const; bool verbose_output() const; public: /* Public mutators */ @@ -39,6 +40,7 @@ class FabricVerilogOption { void set_compress_routing(const bool& enabled); void set_print_user_defined_template(const bool& enabled); void set_default_net_type(const std::string& default_net_type); + void set_constant_undriven_inputs(const bool& enabled); void set_verbose_output(const bool& enabled); private: /* Internal Data */ @@ -50,6 +52,7 @@ class FabricVerilogOption { e_verilog_default_net_type default_net_type_; bool time_stamp_; bool use_relative_path_; + bool constant_undriven_inputs_; bool verbose_output_; }; diff --git a/openfpga/src/fpga_verilog/verilog_grid.cpp b/openfpga/src/fpga_verilog/verilog_grid.cpp index 7d79f3a4d..7ccdd35d4 100644 --- a/openfpga/src/fpga_verilog/verilog_grid.cpp +++ b/openfpga/src/fpga_verilog/verilog_grid.cpp @@ -114,6 +114,7 @@ static void print_verilog_primitive_block( /* Write the verilog module */ write_verilog_module_to_file(fp, module_manager, primitive_module, true, + options.constant_undriven_inputs(), options.default_net_type()); /* Close file handler */ @@ -234,6 +235,7 @@ static void rec_print_verilog_logical_tile( /* Write the verilog module */ write_verilog_module_to_file(fp, module_manager, pb_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); print_verilog_comment( @@ -348,6 +350,7 @@ static void print_verilog_physical_tile_netlist( module_manager.module_name(grid_module) + " -----")); write_verilog_module_to_file(fp, module_manager, grid_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); print_verilog_comment( diff --git a/openfpga/src/fpga_verilog/verilog_lut.cpp b/openfpga/src/fpga_verilog/verilog_lut.cpp index fab9205e4..b20b1d07a 100644 --- a/openfpga/src/fpga_verilog/verilog_lut.cpp +++ b/openfpga/src/fpga_verilog/verilog_lut.cpp @@ -63,6 +63,7 @@ void print_verilog_submodule_luts(const ModuleManager& module_manager, fp, module_manager, lut_module, options.explicit_port_mapping() || circuit_lib.dump_explicit_port_map(lut_model), + options.constant_undriven_inputs(), options.default_net_type()); } diff --git a/openfpga/src/fpga_verilog/verilog_memory.cpp b/openfpga/src/fpga_verilog/verilog_memory.cpp index 8c56e5e8e..0e0972269 100644 --- a/openfpga/src/fpga_verilog/verilog_memory.cpp +++ b/openfpga/src/fpga_verilog/verilog_memory.cpp @@ -61,6 +61,7 @@ static void print_verilog_mux_memory_module( fp, module_manager, mem_module, options.explicit_port_mapping() || circuit_lib.dump_explicit_port_map(mux_model), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ @@ -84,6 +85,7 @@ static void print_verilog_mux_memory_module( fp, module_manager, feedthru_mem_module, options.explicit_port_mapping() || circuit_lib.dump_explicit_port_map(mux_model), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ @@ -208,6 +210,7 @@ void print_verilog_submodule_memories( write_verilog_module_to_file(fp, module_manager, mem_module, options.explicit_port_mapping() || circuit_lib.dump_explicit_port_map(model), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ @@ -228,6 +231,7 @@ void print_verilog_submodule_memories( write_verilog_module_to_file(fp, module_manager, feedthru_mem_module, options.explicit_port_mapping() || circuit_lib.dump_explicit_port_map(model), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ @@ -241,6 +245,7 @@ void print_verilog_submodule_memories( /* Write the module content in Verilog format */ write_verilog_module_to_file(fp, module_manager, mem_group_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ diff --git a/openfpga/src/fpga_verilog/verilog_module_writer.cpp b/openfpga/src/fpga_verilog/verilog_module_writer.cpp index 7feaa1c28..1c5ce17ad 100644 --- a/openfpga/src/fpga_verilog/verilog_module_writer.cpp +++ b/openfpga/src/fpga_verilog/verilog_module_writer.cpp @@ -147,7 +147,7 @@ static BasicPort generate_verilog_port_for_module_net( *******************************************************************/ static void find_verilog_module_local_undriven_wires( - std::map>& local_wires; + std::map>& local_wires, const ModuleManager& module_manager, const ModuleId& module_id, const std::vector& port_type_blacklist) { @@ -572,6 +572,7 @@ static void write_verilog_instance_to_file(std::fstream& fp, void write_verilog_module_to_file( std::fstream& fp, const ModuleManager& module_manager, const ModuleId& module_id, const bool& use_explicit_port_map, + const bool& constant_local_undriven_wires, const e_verilog_default_net_type& default_net_type) { VTR_ASSERT(true == valid_file_stream(fp)); @@ -603,7 +604,26 @@ void write_verilog_module_to_file( } /* Use constant to drive undriven local wires */ - find_verilog_module_local_undriven_wires(local_wires, module_manager, module_id, std::vector()); + if (constant_local_undriven_wires) { + std::vector blacklist = { + ModuleManager::e_module_port_type::MODULE_GLOBAL_PORT, + ModuleManager::e_module_port_type::MODULE_GPIN_PORT, + ModuleManager::e_module_port_type::MODULE_GPOUT_PORT, + ModuleManager::e_module_port_type::MODULE_GPIO_PORT, + ModuleManager::e_module_port_type::MODULE_INOUT_PORT, + ModuleManager::e_module_port_type::MODULE_OUTPUT_PORT, + ModuleManager::e_module_port_type::MODULE_CLOCK_PORT + }; + std::map> local_undriven_wires; + find_verilog_module_local_undriven_wires(local_undriven_wires, module_manager, module_id, blacklist); + for (std::pair> port_group : + local_undriven_wires) { + for (const BasicPort& local_undriven_wire : port_group.second) { + fp << generate_verilog_port_constant_values(local_undriven_wire, std::vector(local_undriven_wire.get_width(), 0), false) << ";" + << std::endl; + } + } + } /* Print an empty line as splitter */ fp << std::endl; diff --git a/openfpga/src/fpga_verilog/verilog_module_writer.h b/openfpga/src/fpga_verilog/verilog_module_writer.h index 8e11637a7..0657c3985 100644 --- a/openfpga/src/fpga_verilog/verilog_module_writer.h +++ b/openfpga/src/fpga_verilog/verilog_module_writer.h @@ -19,6 +19,7 @@ namespace openfpga { void write_verilog_module_to_file( std::fstream& fp, const ModuleManager& module_manager, const ModuleId& module_id, const bool& use_explicit_port_map, + const bool& constant_local_undriven_wires, const e_verilog_default_net_type& default_net_type); } /* end namespace openfpga */ diff --git a/openfpga/src/fpga_verilog/verilog_mux.cpp b/openfpga/src/fpga_verilog/verilog_mux.cpp index 6fd2b2df4..38fcc0224 100644 --- a/openfpga/src/fpga_verilog/verilog_mux.cpp +++ b/openfpga/src/fpga_verilog/verilog_mux.cpp @@ -679,6 +679,7 @@ static void generate_verilog_mux_branch_module( fp, module_manager, mux_module, use_explicit_port_map || circuit_lib.dump_explicit_port_map(mux_model), + false, default_net_type); /* Add an empty line as a splitter */ fp << std::endl; @@ -1423,6 +1424,7 @@ static void generate_verilog_mux_module( circuit_lib.dump_explicit_port_map(mux_model) || circuit_lib.dump_explicit_port_map( circuit_lib.pass_gate_logic_model(mux_model))), + false, default_net_type); /* Add an empty line as a splitter */ fp << std::endl; diff --git a/openfpga/src/fpga_verilog/verilog_routing.cpp b/openfpga/src/fpga_verilog/verilog_routing.cpp index dbe59e935..3826a2552 100644 --- a/openfpga/src/fpga_verilog/verilog_routing.cpp +++ b/openfpga/src/fpga_verilog/verilog_routing.cpp @@ -117,6 +117,7 @@ static void print_verilog_routing_connection_box_unique_module( /* Write the verilog module */ write_verilog_module_to_file(fp, module_manager, cb_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ @@ -238,6 +239,7 @@ static void print_verilog_routing_switch_box_unique_module( /* Write the verilog module */ write_verilog_module_to_file(fp, module_manager, sb_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Close file handler */ diff --git a/openfpga/src/fpga_verilog/verilog_shift_register_banks.cpp b/openfpga/src/fpga_verilog/verilog_shift_register_banks.cpp index f2c0e02e6..6d4707b1e 100644 --- a/openfpga/src/fpga_verilog/verilog_shift_register_banks.cpp +++ b/openfpga/src/fpga_verilog/verilog_shift_register_banks.cpp @@ -58,6 +58,7 @@ void print_verilog_submodule_shift_register_banks( /* Write the module content in Verilog format */ write_verilog_module_to_file(fp, module_manager, sr_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ @@ -69,6 +70,7 @@ void print_verilog_submodule_shift_register_banks( /* Write the module content in Verilog format */ write_verilog_module_to_file(fp, module_manager, sr_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ diff --git a/openfpga/src/fpga_verilog/verilog_tile.cpp b/openfpga/src/fpga_verilog/verilog_tile.cpp index da32fc58c..f6b10beaf 100644 --- a/openfpga/src/fpga_verilog/verilog_tile.cpp +++ b/openfpga/src/fpga_verilog/verilog_tile.cpp @@ -60,6 +60,7 @@ static int print_verilog_tile_module_netlist( /* Write the module content in Verilog format */ write_verilog_module_to_file(fp, module_manager, tile_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ diff --git a/openfpga/src/fpga_verilog/verilog_top_module.cpp b/openfpga/src/fpga_verilog/verilog_top_module.cpp index b8c302f21..60ed91956 100644 --- a/openfpga/src/fpga_verilog/verilog_top_module.cpp +++ b/openfpga/src/fpga_verilog/verilog_top_module.cpp @@ -63,6 +63,7 @@ void print_verilog_core_module(NetlistManager& netlist_manager, /* Write the module content in Verilog format */ write_verilog_module_to_file(fp, module_manager, core_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ @@ -129,6 +130,7 @@ void print_verilog_top_module(NetlistManager& netlist_manager, /* Write the module content in Verilog format */ write_verilog_module_to_file(fp, module_manager, top_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ From 72ee39f178dcc583dcc4776c48ecb0bf2fbf27b9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 20:39:02 -0700 Subject: [PATCH 129/230] [core] add new command line option 'constant_undriven_inputs' --- openfpga/src/base/openfpga_verilog_command_template.h | 4 ++++ openfpga/src/base/openfpga_verilog_template.h | 11 +++++++++++ 2 files changed, 15 insertions(+) diff --git a/openfpga/src/base/openfpga_verilog_command_template.h b/openfpga/src/base/openfpga_verilog_command_template.h index 5fa7d6171..2cd03278e 100644 --- a/openfpga/src/base/openfpga_verilog_command_template.h +++ b/openfpga/src/base/openfpga_verilog_command_template.h @@ -30,6 +30,10 @@ ShellCommandId add_write_fabric_verilog_command_template( shell_cmd.set_option_short_name(output_opt, "f"); shell_cmd.set_option_require_value(output_opt, openfpga::OPT_STRING); + /* Add an option '--constant_undriven_inputs' */ + shell_cmd.add_option("constant_undriven_inputs", false, + "Use constant gnd for undriven wires in Verilog netlists. Recommand to enable when there are boundary routing tracks in FPGA fabric"); + /* Add an option '--explicit_port_mapping' */ shell_cmd.add_option("explicit_port_mapping", false, "Use explicit port mapping in Verilog netlists"); diff --git a/openfpga/src/base/openfpga_verilog_template.h b/openfpga/src/base/openfpga_verilog_template.h index 2c9656eaa..53893fe62 100644 --- a/openfpga/src/base/openfpga_verilog_template.h +++ b/openfpga/src/base/openfpga_verilog_template.h @@ -28,6 +28,8 @@ int write_fabric_verilog_template(T& openfpga_ctx, const Command& cmd, CommandOptionId opt_output_dir = cmd.option("file"); CommandOptionId opt_explicit_port_mapping = cmd.option("explicit_port_mapping"); + CommandOptionId opt_constant_undriven_inputs = + cmd.option("constant_undriven_inputs"); CommandOptionId opt_include_timing = cmd.option("include_timing"); CommandOptionId opt_print_user_defined_template = cmd.option("print_user_defined_template"); @@ -56,6 +58,15 @@ int write_fabric_verilog_template(T& openfpga_ctx, const Command& cmd, } options.set_verbose_output(cmd_context.option_enable(cmd, opt_verbose)); options.set_compress_routing(openfpga_ctx.flow_manager().compress_routing()); + /* For perimeter cb, enable the constant undriven inputs, unless it is off by user */ + if (g_vpr_ctx.device().arch->perimeter_cb) { + options.set_constant_undriven_inputs(true); + VTR_LOG("Automatically enable the constant_undriven_input option as perimeter connection blocks are seen in FPGA fabric\n"); + if (!cmd_context.option_enable(cmd, opt_constant_undriven_inputs)) { + VTR_LOG("User forces to disable the constant_undriven_input option even when perimeter connection blocks are enabled\n"); + } + } + options.set_constant_undriven_inputs(cmd_context.option_enable(cmd, opt_constant_undriven_inputs)); return fpga_fabric_verilog( openfpga_ctx.mutable_module_graph(), From 1f8c2436efbb91b188adedb1dd0ddfcd39f13a40 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 20:46:38 -0700 Subject: [PATCH 130/230] [core] now constant_undriven_inputs are force to enable when perimeter_cb is selected --- openfpga/src/base/openfpga_verilog_template.h | 6 ++---- openfpga/src/fpga_verilog/verilog_module_writer.cpp | 3 +-- 2 files changed, 3 insertions(+), 6 deletions(-) diff --git a/openfpga/src/base/openfpga_verilog_template.h b/openfpga/src/base/openfpga_verilog_template.h index 53893fe62..19109ce21 100644 --- a/openfpga/src/base/openfpga_verilog_template.h +++ b/openfpga/src/base/openfpga_verilog_template.h @@ -62,11 +62,9 @@ int write_fabric_verilog_template(T& openfpga_ctx, const Command& cmd, if (g_vpr_ctx.device().arch->perimeter_cb) { options.set_constant_undriven_inputs(true); VTR_LOG("Automatically enable the constant_undriven_input option as perimeter connection blocks are seen in FPGA fabric\n"); - if (!cmd_context.option_enable(cmd, opt_constant_undriven_inputs)) { - VTR_LOG("User forces to disable the constant_undriven_input option even when perimeter connection blocks are enabled\n"); - } + } else { + options.set_constant_undriven_inputs(cmd_context.option_enable(cmd, opt_constant_undriven_inputs)); } - options.set_constant_undriven_inputs(cmd_context.option_enable(cmd, opt_constant_undriven_inputs)); return fpga_fabric_verilog( openfpga_ctx.mutable_module_graph(), diff --git a/openfpga/src/fpga_verilog/verilog_module_writer.cpp b/openfpga/src/fpga_verilog/verilog_module_writer.cpp index 1c5ce17ad..3b52c2d87 100644 --- a/openfpga/src/fpga_verilog/verilog_module_writer.cpp +++ b/openfpga/src/fpga_verilog/verilog_module_writer.cpp @@ -619,8 +619,7 @@ void write_verilog_module_to_file( for (std::pair> port_group : local_undriven_wires) { for (const BasicPort& local_undriven_wire : port_group.second) { - fp << generate_verilog_port_constant_values(local_undriven_wire, std::vector(local_undriven_wire.get_width(), 0), false) << ";" - << std::endl; + print_verilog_wire_constant_values(fp, local_undriven_wire, std::vector(local_undriven_wire.get_width(), 0)); } } } From 5865aebf93c03c108ff61321a3000f8814a77245 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 21:12:26 -0700 Subject: [PATCH 131/230] [test] add new arch --- ...N4_tileable_IoSubtile_PerimeterCb_40nm.xml | 345 ++++++++++++++++++ 1 file changed, 345 insertions(+) create mode 100644 openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml new file mode 100644 index 000000000..ee4d3cf11 --- /dev/null +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml @@ -0,0 +1,345 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + fpga_input_center[1:0].inpad + fpga_input_center[3:2].inpad + fpga_input_center[4:4].inpad + fpga_input_center[5:5].inpad + + + + + + + + + + fpga_output_center[1:0].outpad + + fpga_output_center[3:2].outpad + + + + + + + + + + + + + fpga_input[0:0].inpad + + fpga_input[1:1].inpad + fpga_input[2:3].inpad + + + + + + + + + + + + fpga_output[0:0].outpad + fpga_output[1:1].outpad + + + + + + + + + + + + fpga_input[0:0].inpad + + fpga_input[1:1].inpad + fpga_input[2:3].inpad + + + + + + + + + + + + fpga_output[0:0].outpad + fpga_output[1:1].outpad + + + + + + + + + + + + + + fpga_input.inpad + + + + + + + + + + + + + fpga_output.outpad + + + + + + + + + + + + + + fpga_input[0:1].inpad + fpga_input[2:3].inpad + + + + + + + + + + + + fpga_output[0:0].outpad + fpga_output[1:1].outpad + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 1 1 1 1 1 + 1 1 1 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 261e-12 + 261e-12 + 261e-12 + 261e-12 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From 4064c29d495016db9367b91584abf0540a2cd283 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 21:23:15 -0700 Subject: [PATCH 132/230] [test] updating arch for perimeter cb --- ...N4_tileable_IoSubtile_PerimeterCb_40nm.xml | 30 +++++++++---------- 1 file changed, 15 insertions(+), 15 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml index ee4d3cf11..1af2645f1 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml @@ -65,10 +65,10 @@ - fpga_input[0:0].inpad + - fpga_input[1:1].inpad - fpga_input[2:3].inpad + + fpga_input[0:3].inpad @@ -80,8 +80,8 @@ - fpga_output[0:0].outpad - fpga_output[1:1].outpad + + fpga_output[0:1].outpad @@ -93,10 +93,10 @@ - fpga_input[0:0].inpad + fpga_input[0:3].inpad - fpga_input[1:1].inpad - fpga_input[2:3].inpad + + @@ -106,10 +106,10 @@ - + fpga_output[0:1].outpad - fpga_output[0:0].outpad - fpga_output[1:1].outpad + + @@ -150,8 +150,8 @@ - fpga_input[0:1].inpad - fpga_input[2:3].inpad + fpga_input[0:3].inpad + @@ -163,8 +163,8 @@ - fpga_output[0:0].outpad - fpga_output[1:1].outpad + fpga_output[0:1].outpad + From fe73e03c690b59d9f2b0c7bd679c57d614adfdc5 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Thu, 4 Jul 2024 21:31:43 -0700 Subject: [PATCH 133/230] [test] changing arch --- ...k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml index 1af2645f1..11b4d4d4e 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml @@ -67,8 +67,8 @@ - - fpga_input[0:3].inpad + fpga_input[0:1].inpad + fpga_input[2:3].inpad @@ -80,8 +80,8 @@ - - fpga_output[0:1].outpad + fpga_output[0:0].outpad + fpga_output[1:1].outpad @@ -150,9 +150,9 @@ - fpga_input[0:3].inpad + fpga_input[0:1].inpad - + fpga_input[2:3].inpad @@ -163,9 +163,9 @@ - fpga_output[0:1].outpad + fpga_output[0:0].outpad - + fpga_output[1:1].outpad From 47ba57a27c9d4ce697a7def4f1b51c48f3ef9af7 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 10:16:50 -0700 Subject: [PATCH 134/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 589b6bcd8..ba0576d43 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 589b6bcd8bd37ac445c55239af02c757223f5d4f +Subproject commit ba0576d43d1a019ff76d350b01332f0cc7bd32e3 From 266c2686d46154bed603d048d5b73942721fe9be Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 10:32:33 -0700 Subject: [PATCH 135/230] [core] adapt new gsb coordinate system --- openfpga/src/annotation/annotate_rr_graph.cpp | 64 ++++++++++--------- 1 file changed, 34 insertions(+), 30 deletions(-) diff --git a/openfpga/src/annotation/annotate_rr_graph.cpp b/openfpga/src/annotation/annotate_rr_graph.cpp index badeca9de..8c721c5d0 100644 --- a/openfpga/src/annotation/annotate_rr_graph.cpp +++ b/openfpga/src/annotation/annotate_rr_graph.cpp @@ -98,7 +98,32 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, const vtr::Point& gsb_range, const size_t& layer, const vtr::Point& gsb_coord, + const bool& perimeter_cb, const bool& include_clock) { + /* Bounding box for GSB ranges on routing tracks. + * Note that when perimeter connection blocks are not allowed, + * - top side routing tracks for any GSB exist on y = [0, H-2) + * - right side routing tracks for any GSB exist on x = [0, W-2) + * - bottom side routing tracks for any GSB exist on y = [1, H-1) + * - left side routing tracks for any GSB exist on x = [1, W-1) + * Note that when perimeter connection blocks are allowed, + * - top side routing tracks for any GSB exist on y = [0, H-1) + * - right side routing tracks for any GSB exist on x = [0, W-1) + * - bottom side routing tracks for any GSB exist on y = [0, H) + * - left side routing tracks for any GSB exist on x = [0, W) + */ + std::map> track_range; + track_range[TOP] = vtr::Point(0, gsb_range.y(); + track_range[RIGHT] = vtr::Point(0, gsb_range.x()); + track_range[BOTTOM] = vtr::Point(1, gsb_range.y()); + track_range[LEFT] = vtr::Point(1, gsb_range.x()); + if (perimeter_cb) { + track_range[TOP] = vtr::Point(0, gsb_range.y() + 1); + track_range[RIGHT] = vtr::Point(0, gsb_range.x() + 1); + track_range[BOTTOM] = vtr::Point(0, gsb_range.y() + 2); + track_range[LEFT] = vtr::Point(0, gsb_range.x() + 2); + } + /* Create an object to return */ RRGSB rr_gsb; @@ -126,13 +151,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, switch (side) { case TOP: /* TOP = 0 */ - /* For the border, we should take special care - * For the fabric where no cbs are on perimeter tiles (y = H - 1), - * the the border should be on the y = H - 2 - * For the fabric where cbs are on perimeter tiles, - * the border should be on the y = H - 1 - */ - if (gsb_coord.y() == gsb_range.y()) { + if (track_range[side_manager.get_side()].x() > gsb_coordinate.y() || gsb_coordinate.y() >= track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -168,7 +187,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, * For the fabric where cbs are on perimeter tiles, * the border should be on the x = W - 1 */ - if (gsb_coord.x() == gsb_range.x()) { + if (track_range[side_manager.get_side()].x() > gsb_coordinate.x() || gsb_coordinate.x() >= track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -199,13 +218,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, gsb_coord.x() + 1, gsb_coord.y(), OPIN, opin_grid_side[1]); break; case BOTTOM: /* BOTTOM = 2*/ - /* For the border, we should take special care - * For the fabric where no cbs are on perimeter tiles (y = 0), - * the the border should be on the y = 0 - * For the fabric where cbs are on perimeter tiles, - * the border should be on the y = - 1, leading to no border - */ - if (!vpr_device_ctx.arch->perimeter_cb && gsb_coord.y() == 0) { + if (track_range[side_manager.get_side()].x() > gsb_coordinate.y() || gsb_coordinate.y() >= track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -235,13 +248,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, gsb_coord.y(), OPIN, opin_grid_side[1]); break; case LEFT: /* LEFT = 3 */ - /* For the border, we should take special care - * For the fabric where no cbs are on perimeter tiles (x = 0), - * the the border should be on the x = 0 - * For the fabric where cbs are on perimeter tiles, - * the border should be on the x = - 1, leading to no border - */ - if (!vpr_device_ctx.arch->perimeter_cb && gsb_coord.x() == 0) { + if (track_range[side_manager.get_side()].x() > gsb_coordinate.y() || gsb_coordinate.y() >= track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -355,9 +362,9 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, /* Check if TOP side chan width is 0 or not */ chan_side = TOP; /* Build the connection block: ipin and ipin_grid_side */ - /* LEFT side INPUT Pins of Grid[x+1][y+1] */ + /* LEFT side INPUT Pins of Grid[x+1][y] */ ix = rr_gsb.get_sb_x() + 1; - iy = rr_gsb.get_sb_y() + 1; + iy = rr_gsb.get_sb_y(); ipin_rr_node_grid_side = LEFT; break; case BOTTOM: /* BOTTOM = 2*/ @@ -375,9 +382,9 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, /* Check if left side chan width is 0 or not */ chan_side = TOP; /* Build the connection block: ipin and ipin_grid_side */ - /* RIGHT side INPUT Pins of Grid[x][y+1] */ + /* RIGHT side INPUT Pins of Grid[x][y] */ ix = rr_gsb.get_sb_x(); - iy = rr_gsb.get_sb_y() + 1; + iy = rr_gsb.get_sb_y(); ipin_rr_node_grid_side = RIGHT; break; default: @@ -459,13 +466,10 @@ void annotate_device_rr_gsb(const DeviceContext& vpr_device_ctx, */ vtr::Point sub_gsb_range(vpr_device_ctx.grid.width() - 2, vpr_device_ctx.grid.height() - 2); - if (vpr_device_ctx.arch->perimeter_cb) { - sub_gsb_range.set(vpr_device_ctx.grid.width() - 1, vpr_device_ctx.grid.height() - 1); - } const RRGSB& rr_gsb = build_rr_gsb(vpr_device_ctx, sub_gsb_range, - layer, vtr::Point(ix, iy), include_clock); + layer, vtr::Point(ix, iy), perimeter_cb, include_clock); /* Add to device_rr_gsb */ vtr::Point gsb_coordinate = rr_gsb.get_sb_coordinate(); device_rr_gsb.add_rr_gsb(gsb_coordinate, rr_gsb); From 1dc602a849468439118006783f26a90a53663f5d Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 10:38:26 -0700 Subject: [PATCH 136/230] [core] syntax --- openfpga/src/annotation/annotate_rr_graph.cpp | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/openfpga/src/annotation/annotate_rr_graph.cpp b/openfpga/src/annotation/annotate_rr_graph.cpp index 8c721c5d0..b811b3521 100644 --- a/openfpga/src/annotation/annotate_rr_graph.cpp +++ b/openfpga/src/annotation/annotate_rr_graph.cpp @@ -113,7 +113,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, * - left side routing tracks for any GSB exist on x = [0, W) */ std::map> track_range; - track_range[TOP] = vtr::Point(0, gsb_range.y(); + track_range[TOP] = vtr::Point(0, gsb_range.y()); track_range[RIGHT] = vtr::Point(0, gsb_range.x()); track_range[BOTTOM] = vtr::Point(1, gsb_range.y()); track_range[LEFT] = vtr::Point(1, gsb_range.x()); @@ -151,7 +151,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, switch (side) { case TOP: /* TOP = 0 */ - if (track_range[side_manager.get_side()].x() > gsb_coordinate.y() || gsb_coordinate.y() >= track_range[side_manager.get_side()].y()) { + if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() >= track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -187,7 +187,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, * For the fabric where cbs are on perimeter tiles, * the border should be on the x = W - 1 */ - if (track_range[side_manager.get_side()].x() > gsb_coordinate.x() || gsb_coordinate.x() >= track_range[side_manager.get_side()].y()) { + if (track_range[side_manager.get_side()].x() > gsb_coord.x() || gsb_coord.x() >= track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -218,7 +218,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, gsb_coord.x() + 1, gsb_coord.y(), OPIN, opin_grid_side[1]); break; case BOTTOM: /* BOTTOM = 2*/ - if (track_range[side_manager.get_side()].x() > gsb_coordinate.y() || gsb_coordinate.y() >= track_range[side_manager.get_side()].y()) { + if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() >= track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -248,7 +248,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, gsb_coord.y(), OPIN, opin_grid_side[1]); break; case LEFT: /* LEFT = 3 */ - if (track_range[side_manager.get_side()].x() > gsb_coordinate.y() || gsb_coordinate.y() >= track_range[side_manager.get_side()].y()) { + if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() >= track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -469,7 +469,7 @@ void annotate_device_rr_gsb(const DeviceContext& vpr_device_ctx, const RRGSB& rr_gsb = build_rr_gsb(vpr_device_ctx, sub_gsb_range, - layer, vtr::Point(ix, iy), perimeter_cb, include_clock); + layer, vtr::Point(ix, iy), vpr_device_ctx.arch->perimeter_cb, include_clock); /* Add to device_rr_gsb */ vtr::Point gsb_coordinate = rr_gsb.get_sb_coordinate(); device_rr_gsb.add_rr_gsb(gsb_coordinate, rr_gsb); From b6e89b8943a09975cfb3084e2820aa806bcffae3 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 10:52:35 -0700 Subject: [PATCH 137/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index ba0576d43..826a10be4 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit ba0576d43d1a019ff76d350b01332f0cc7bd32e3 +Subproject commit 826a10be4e6e45976f64ea3a0f6503516a8d777d From ba1482f53335c676eac64b669b1a41075ebe1c5f Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 11:01:31 -0700 Subject: [PATCH 138/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 826a10be4..b06f2ee34 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 826a10be4e6e45976f64ea3a0f6503516a8d777d +Subproject commit b06f2ee34036a8c981a2c202ec06251c1bb2b81a From f6adca15452405bcbb63adcfae4315ead242cd12 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 11:02:01 -0700 Subject: [PATCH 139/230] [core] fixed a bug --- openfpga/src/annotation/annotate_rr_graph.cpp | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/openfpga/src/annotation/annotate_rr_graph.cpp b/openfpga/src/annotation/annotate_rr_graph.cpp index b811b3521..d0833ae19 100644 --- a/openfpga/src/annotation/annotate_rr_graph.cpp +++ b/openfpga/src/annotation/annotate_rr_graph.cpp @@ -151,7 +151,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, switch (side) { case TOP: /* TOP = 0 */ - if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() >= track_range[side_manager.get_side()].y()) { + if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() > track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -187,7 +187,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, * For the fabric where cbs are on perimeter tiles, * the border should be on the x = W - 1 */ - if (track_range[side_manager.get_side()].x() > gsb_coord.x() || gsb_coord.x() >= track_range[side_manager.get_side()].y()) { + if (track_range[side_manager.get_side()].x() > gsb_coord.x() || gsb_coord.x() > track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -218,7 +218,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, gsb_coord.x() + 1, gsb_coord.y(), OPIN, opin_grid_side[1]); break; case BOTTOM: /* BOTTOM = 2*/ - if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() >= track_range[side_manager.get_side()].y()) { + if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() > track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -248,7 +248,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, gsb_coord.y(), OPIN, opin_grid_side[1]); break; case LEFT: /* LEFT = 3 */ - if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() >= track_range[side_manager.get_side()].y()) { + if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() > track_range[side_manager.get_side()].y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } From fdbc427f70aea0cd1bfe98e4be8e70edc2b931ea Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 11:17:05 -0700 Subject: [PATCH 140/230] [core] debug --- openfpga/src/annotation/annotate_rr_graph.cpp | 8 ++++---- vtr-verilog-to-routing | 2 +- 2 files changed, 5 insertions(+), 5 deletions(-) diff --git a/openfpga/src/annotation/annotate_rr_graph.cpp b/openfpga/src/annotation/annotate_rr_graph.cpp index d0833ae19..78ff7c356 100644 --- a/openfpga/src/annotation/annotate_rr_graph.cpp +++ b/openfpga/src/annotation/annotate_rr_graph.cpp @@ -115,13 +115,13 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, std::map> track_range; track_range[TOP] = vtr::Point(0, gsb_range.y()); track_range[RIGHT] = vtr::Point(0, gsb_range.x()); - track_range[BOTTOM] = vtr::Point(1, gsb_range.y()); - track_range[LEFT] = vtr::Point(1, gsb_range.x()); + track_range[BOTTOM] = vtr::Point(0, gsb_range.y()); + track_range[LEFT] = vtr::Point(0, gsb_range.x()); if (perimeter_cb) { track_range[TOP] = vtr::Point(0, gsb_range.y() + 1); track_range[RIGHT] = vtr::Point(0, gsb_range.x() + 1); - track_range[BOTTOM] = vtr::Point(0, gsb_range.y() + 2); - track_range[LEFT] = vtr::Point(0, gsb_range.x() + 2); + track_range[BOTTOM] = vtr::Point(0, gsb_range.y() + 1); + track_range[LEFT] = vtr::Point(0, gsb_range.x() + 1); } /* Create an object to return */ diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index b06f2ee34..de0d0bc21 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit b06f2ee34036a8c981a2c202ec06251c1bb2b81a +Subproject commit de0d0bc214419cae53c10e6a1349d4ae251625f8 From e5d75cc51e92a78875f0271cab07a90596230886 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 11:47:04 -0700 Subject: [PATCH 141/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index de0d0bc21..0a1bc20b0 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit de0d0bc214419cae53c10e6a1349d4ae251625f8 +Subproject commit 0a1bc20b08da6ad0d0fbf5fe9e931b1bbdac09a1 From 46e3b4b0712a2de61346b6812190ee549a42d6d7 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 11:50:41 -0700 Subject: [PATCH 142/230] [core] debug --- openfpga/src/annotation/annotate_rr_graph.cpp | 42 +++---------------- 1 file changed, 6 insertions(+), 36 deletions(-) diff --git a/openfpga/src/annotation/annotate_rr_graph.cpp b/openfpga/src/annotation/annotate_rr_graph.cpp index 78ff7c356..915dae2fd 100644 --- a/openfpga/src/annotation/annotate_rr_graph.cpp +++ b/openfpga/src/annotation/annotate_rr_graph.cpp @@ -100,30 +100,6 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, const vtr::Point& gsb_coord, const bool& perimeter_cb, const bool& include_clock) { - /* Bounding box for GSB ranges on routing tracks. - * Note that when perimeter connection blocks are not allowed, - * - top side routing tracks for any GSB exist on y = [0, H-2) - * - right side routing tracks for any GSB exist on x = [0, W-2) - * - bottom side routing tracks for any GSB exist on y = [1, H-1) - * - left side routing tracks for any GSB exist on x = [1, W-1) - * Note that when perimeter connection blocks are allowed, - * - top side routing tracks for any GSB exist on y = [0, H-1) - * - right side routing tracks for any GSB exist on x = [0, W-1) - * - bottom side routing tracks for any GSB exist on y = [0, H) - * - left side routing tracks for any GSB exist on x = [0, W) - */ - std::map> track_range; - track_range[TOP] = vtr::Point(0, gsb_range.y()); - track_range[RIGHT] = vtr::Point(0, gsb_range.x()); - track_range[BOTTOM] = vtr::Point(0, gsb_range.y()); - track_range[LEFT] = vtr::Point(0, gsb_range.x()); - if (perimeter_cb) { - track_range[TOP] = vtr::Point(0, gsb_range.y() + 1); - track_range[RIGHT] = vtr::Point(0, gsb_range.x() + 1); - track_range[BOTTOM] = vtr::Point(0, gsb_range.y() + 1); - track_range[LEFT] = vtr::Point(0, gsb_range.x() + 1); - } - /* Create an object to return */ RRGSB rr_gsb; @@ -151,7 +127,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, switch (side) { case TOP: /* TOP = 0 */ - if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() > track_range[side_manager.get_side()].y()) { + if (gsb_coord.y() == gsb_range.y()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -181,13 +157,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, break; case RIGHT: /* RIGHT = 1 */ - /* For the border, we should take special care - * For the fabric where no cbs are on perimeter tiles (x = W - 1), - * the the border should be on the x = W - 2 - * For the fabric where cbs are on perimeter tiles, - * the border should be on the x = W - 1 - */ - if (track_range[side_manager.get_side()].x() > gsb_coord.x() || gsb_coord.x() > track_range[side_manager.get_side()].y()) { + if (gsb_coord.x() == gsb_range.x()) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -218,7 +188,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, gsb_coord.x() + 1, gsb_coord.y(), OPIN, opin_grid_side[1]); break; case BOTTOM: /* BOTTOM = 2*/ - if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() > track_range[side_manager.get_side()].y()) { + if (!perimeter_cb && gsb_coord.y() == 0) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -248,7 +218,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, gsb_coord.y(), OPIN, opin_grid_side[1]); break; case LEFT: /* LEFT = 3 */ - if (track_range[side_manager.get_side()].x() > gsb_coord.y() || gsb_coord.y() > track_range[side_manager.get_side()].y()) { + if (!perimeter_cb && gsb_coord.x() == 0) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -464,8 +434,8 @@ void annotate_device_rr_gsb(const DeviceContext& vpr_device_ctx, * the GSBs at the borderside correctly sort drive_rr_nodes should be * called if required by users */ - vtr::Point sub_gsb_range(vpr_device_ctx.grid.width() - 2, - vpr_device_ctx.grid.height() - 2); + vtr::Point sub_gsb_range(vpr_device_ctx.grid.width() - 1, + vpr_device_ctx.grid.height() - 1); const RRGSB& rr_gsb = build_rr_gsb(vpr_device_ctx, sub_gsb_range, From 36c0cfe645007479436591cfab0455dead961cb8 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 12:14:14 -0700 Subject: [PATCH 143/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 0a1bc20b0..4da28ffbc 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 0a1bc20b08da6ad0d0fbf5fe9e931b1bbdac09a1 +Subproject commit 4da28ffbccf4eb2b31a57ec36a3c135225ef40f9 From f2506598a98feadbec3c8d676d4482ea44280fe7 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 12:20:49 -0700 Subject: [PATCH 144/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 4da28ffbc..c71c3b9d3 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 4da28ffbccf4eb2b31a57ec36a3c135225ef40f9 +Subproject commit c71c3b9d3a1a17b6865511b29e5d2e14f5e9fe15 From 283aa3a1c9fea01cf1952a82da59612ed6e45c0c Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 12:21:17 -0700 Subject: [PATCH 145/230] [core] debug --- openfpga/src/annotation/annotate_rr_graph.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga/src/annotation/annotate_rr_graph.cpp b/openfpga/src/annotation/annotate_rr_graph.cpp index 915dae2fd..a1ad9c24c 100644 --- a/openfpga/src/annotation/annotate_rr_graph.cpp +++ b/openfpga/src/annotation/annotate_rr_graph.cpp @@ -330,7 +330,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, case RIGHT: /* RIGHT = 1 */ /* For the bording, we should take special care */ /* Check if TOP side chan width is 0 or not */ - chan_side = TOP; + chan_side = BOTTOM; /* Build the connection block: ipin and ipin_grid_side */ /* LEFT side INPUT Pins of Grid[x+1][y] */ ix = rr_gsb.get_sb_x() + 1; @@ -350,7 +350,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, case LEFT: /* LEFT = 3 */ /* For the bording, we should take special care */ /* Check if left side chan width is 0 or not */ - chan_side = TOP; + chan_side = BOTTOM; /* Build the connection block: ipin and ipin_grid_side */ /* RIGHT side INPUT Pins of Grid[x][y] */ ix = rr_gsb.get_sb_x(); From a41f4371096633cca8782c34121b293dc4ae77af Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 12:36:47 -0700 Subject: [PATCH 146/230] [core] now netlist look ok --- openfpga/src/fabric/build_top_module_connection.cpp | 6 +++--- openfpga/src/fabric/build_top_module_utils.cpp | 8 ++++---- 2 files changed, 7 insertions(+), 7 deletions(-) diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index 2493c20c9..d5d4e7aea 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -753,17 +753,17 @@ static void add_top_module_nets_connect_sb_and_cb( * is_cb_exist() FOr RIGHT and BOTTOM side, find the adjacent RRGSB and then * use is_cb_exist() */ - if (TOP == side_manager.get_side() || LEFT == side_manager.get_side()) { + if (BOTTOM == side_manager.get_side() || LEFT == side_manager.get_side()) { if (false == rr_gsb.is_cb_exist(cb_type)) { continue; } } - if (RIGHT == side_manager.get_side() || BOTTOM == side_manager.get_side()) { + if (RIGHT == side_manager.get_side() || TOP == side_manager.get_side()) { /* Only for the condition where cbs are on perimeter, the neighbour cb will be invalid * Bypass in such case on finding neighbour cbs */ - if (BOTTOM == side_manager.get_side() && instance_sb_coordinate.y() == 0) { + if (TOP == side_manager.get_side() && instance_sb_coordinate.y() == device_rr_gsb.get_gsb_range().y()) { continue; } if (RIGHT == side_manager.get_side() && instance_sb_coordinate.x() == device_rr_gsb.get_gsb_range().x()) { diff --git a/openfpga/src/fabric/build_top_module_utils.cpp b/openfpga/src/fabric/build_top_module_utils.cpp index ebf52eb77..8b7c8f2e6 100644 --- a/openfpga/src/fabric/build_top_module_utils.cpp +++ b/openfpga/src/fabric/build_top_module_utils.cpp @@ -100,13 +100,13 @@ vtr::Point find_top_module_gsb_coordinate_by_sb_side( vtr::Point gsb_coordinate; - if ((TOP == sb_side) || (LEFT == sb_side)) { + if ((BOTTOM == sb_side) || (LEFT == sb_side)) { gsb_coordinate.set_x(rr_gsb.get_x()); gsb_coordinate.set_y(rr_gsb.get_y()); return gsb_coordinate; } - VTR_ASSERT((RIGHT == sb_side) || (BOTTOM == sb_side)); + VTR_ASSERT((RIGHT == sb_side) || (TOP == sb_side)); /* RIGHT side: x + 1 */ if (RIGHT == sb_side) { @@ -115,9 +115,9 @@ vtr::Point find_top_module_gsb_coordinate_by_sb_side( } /* BOTTOM side: y - 1 */ - if (BOTTOM == sb_side) { + if (TOP == sb_side) { gsb_coordinate.set_x(rr_gsb.get_x()); - gsb_coordinate.set_y(rr_gsb.get_y() - 1); + gsb_coordinate.set_y(rr_gsb.get_y() + 1); } return gsb_coordinate; From 5e89b950edc561e5b5b3e72f373abd3d62201c7b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 13:41:38 -0700 Subject: [PATCH 147/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index c71c3b9d3..d2be1c8b2 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit c71c3b9d3a1a17b6865511b29e5d2e14f5e9fe15 +Subproject commit d2be1c8b2629069479863826695ed317bf88618a From 46d916f0a056c9bdbfca4bab90eedb1fa8ba07f7 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 16:59:08 -0700 Subject: [PATCH 148/230] [core] fixed the bugs in fabric tile build-up --- openfpga/src/fabric/build_fabric_tile.cpp | 261 +++++++++++++++------ openfpga/src/fabric/build_tile_modules.cpp | 4 +- 2 files changed, 186 insertions(+), 79 deletions(-) diff --git a/openfpga/src/fabric/build_fabric_tile.cpp b/openfpga/src/fabric/build_fabric_tile.cpp index a1e5f9460..c20c37537 100644 --- a/openfpga/src/fabric/build_fabric_tile.cpp +++ b/openfpga/src/fabric/build_fabric_tile.cpp @@ -22,12 +22,110 @@ namespace openfpga { /******************************************************************** - * Build tiles by following the top-level style. - * - The programmble block, e.g., clb, is placed on the top-left corner - * - The connection blocks and switch block are placed on the right and bottom - *sides + * With a given coordinate of a grid, find an existing fabric tile + * or create a new fabric tile + * - A grid may never exist in any fabric tile (no coordinate matches) + * Create a new one + * - A grid already in another fabric tile (occur in heterogeneous blocks) + * Find the existing one *******************************************************************/ -static int build_fabric_tile_style_top_left(FabricTile& fabric_tile, +static int find_or_create_one_fabric_tile_from_grid( + FabricTile& fabric_tile, + FabricTileId& curr_tile_id, + const DeviceGrid& grids, + const t_physical_tile_loc& tile_loc, + const RRGraphView& rr_graph, + const DeviceRRGSB& device_rr_gsb, + const bool& verbose) { + t_physical_tile_type_ptr phy_tile_type = + grids.get_physical_type(tile_loc); + vtr::Point curr_tile_coord(tile_loc.x, tile_loc.y); + vtr::Point curr_gsb_coord(tile_loc.x, tile_loc.y); + + bool skip_add_pb = false; + /* For EMPTY grid, routing blocks may still be required if there is a gsb + */ + if (true == is_empty_type(phy_tile_type)) { + skip_add_pb = true; + if (!device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { + VTR_LOGV(verbose, "Skip tile[%lu][%lu] as it is empty\n", + curr_tile_coord.x(), curr_tile_coord.y()); + return CMD_EXEC_SUCCESS; + } + /* Need to create a new tile here */ + VTR_LOGV(verbose, + "Create tile[%lu][%lu] which only has routing but not a " + "programmable block\n", + curr_tile_coord.x(), curr_tile_coord.y()); + curr_tile_id = fabric_tile.create_tile(curr_tile_coord); + } else if ((0 < grids.get_width_offset(tile_loc)) || + (0 < grids.get_height_offset(tile_loc))) { + /* Skip width, height > 1 tiles (mostly heterogeneous blocks) */ + /* Find the root of this grid, the instance id should be valid. + * We just copy it here + */ + vtr::Point root_tile_coord( + curr_tile_coord.x() - grids.get_width_offset(tile_loc), + curr_tile_coord.y() - grids.get_height_offset(tile_loc)); + skip_add_pb = true; + VTR_LOGV(verbose, + "Tile[%lu][%lu] contains a heterogeneous block which is " + "rooted from tile[%lu][%lu]\n", + curr_tile_coord.x(), curr_tile_coord.y(), root_tile_coord.x(), + root_tile_coord.y()); + curr_tile_id = fabric_tile.find_tile(root_tile_coord); + /* Update the coordinates of the pb in tiles */ + size_t root_pb_idx_in_curr_tile = + fabric_tile.find_pb_index_in_tile(curr_tile_id, root_tile_coord); + int status_code = fabric_tile.set_pb_max_coordinate( + curr_tile_id, root_pb_idx_in_curr_tile, curr_tile_coord); + if (status_code != CMD_EXEC_SUCCESS) { + return CMD_EXEC_FATAL_ERROR; + } + } else { + /* Need to create a new tile here */ + VTR_LOGV(verbose, "Create a regular tile[%lu][%lu]\n", + curr_tile_coord.x(), curr_tile_coord.y()); + curr_tile_id = fabric_tile.create_tile(curr_tile_coord); + } + + /* Ensure that we have a valid id */ + if (!fabric_tile.valid_tile_id(curr_tile_id)) { + VTR_LOG_ERROR("Failed to get a valid id for tile[%lu][%lu]!\n", + curr_tile_coord.x(), curr_tile_coord.y()); + return CMD_EXEC_FATAL_ERROR; + } + + /* Add components: pb, cbx, cby, and sb if exists */ + if (!skip_add_pb) { + fabric_tile.add_pb_coordinate(curr_tile_id, curr_tile_coord, + curr_gsb_coord); + } + return CMD_EXEC_SUCCESS; +} + +/******************************************************************** + * Build tiles by following the bottom style. + * - The programmble block, e.g., clb, is placed on the bottom-left corner + * - The connection blocks and switch block are placed on the top and bottom + *sides + * This is exactly how GSB is organized. Just need to transfer data from one GSB + * The gsb coordinate is the same as the grid coordinate when the + * bottom-left style is considered + * + * ------------------------------ + * +----------+ +----------+ ^ + * | CBx | | SB | | + * | [x][y] | | [x][y] | GSB[x][y] + * +----------+ +----------+ | + * +----------+ +----------+ | + * | Grid | | CBy | | + * | [x][y] | | [x][y] | | + * +----------+ +----------+ v + * ------------------------------ + * + *******************************************************************/ +static int build_fabric_tile_style_bottom_left(FabricTile& fabric_tile, const DeviceGrid& grids, const size_t& layer, const RRGraphView& rr_graph, @@ -39,82 +137,16 @@ static int build_fabric_tile_style_top_left(FabricTile& fabric_tile, for (size_t ix = 0; ix < grids.width(); ++ix) { for (size_t iy = 0; iy < grids.height(); ++iy) { t_physical_tile_loc tile_loc(ix, iy, layer); - t_physical_tile_type_ptr phy_tile_type = - grids.get_physical_type(tile_loc); - bool skip_add_pb = false; - vtr::Point curr_tile_coord(ix, iy); - vtr::Point curr_gsb_coord(ix, iy - 1); FabricTileId curr_tile_id = FabricTileId::INVALID(); - /* For EMPTY grid, routing blocks may still be required if there is a gsb - */ - if (true == is_empty_type(phy_tile_type)) { - skip_add_pb = true; - if (!device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { - VTR_LOGV(verbose, "Skip tile[%lu][%lu] as it is empty\n", - curr_tile_coord.x(), curr_tile_coord.y()); - continue; - } - /* Need to create a new tile here */ - VTR_LOGV(verbose, - "Create tile[%lu][%lu] which only has routing but not a " - "programmable block\n", - curr_tile_coord.x(), curr_tile_coord.y()); - curr_tile_id = fabric_tile.create_tile(curr_tile_coord); - } else if ((0 < grids.get_width_offset(tile_loc)) || - (0 < grids.get_height_offset(tile_loc))) { - /* Skip width, height > 1 tiles (mostly heterogeneous blocks) */ - /* Find the root of this grid, the instance id should be valid. - * We just copy it here - */ - vtr::Point root_tile_coord( - ix - grids.get_width_offset(tile_loc), - iy - grids.get_height_offset(tile_loc)); - skip_add_pb = true; - VTR_LOGV(verbose, - "Tile[%lu][%lu] contains a heterogeneous block which is " - "rooted from tile[%lu][%lu]\n", - curr_tile_coord.x(), curr_tile_coord.y(), root_tile_coord.x(), - root_tile_coord.y()); - curr_tile_id = fabric_tile.find_tile(root_tile_coord); - /* Update the coordinates of the pb in tiles */ - size_t root_pb_idx_in_curr_tile = - fabric_tile.find_pb_index_in_tile(curr_tile_id, root_tile_coord); - status_code = fabric_tile.set_pb_max_coordinate( - curr_tile_id, root_pb_idx_in_curr_tile, vtr::Point(ix, iy)); - if (status_code != CMD_EXEC_SUCCESS) { - return CMD_EXEC_FATAL_ERROR; - } - } else { - /* Need to create a new tile here */ - VTR_LOGV(verbose, "Create a regular tile[%lu][%lu]\n", - curr_tile_coord.x(), curr_tile_coord.y()); - curr_tile_id = fabric_tile.create_tile(curr_tile_coord); - } - - /* Ensure that we have a valid id */ - if (!fabric_tile.valid_tile_id(curr_tile_id)) { - VTR_LOG_ERROR("Failed to get a valid id for tile[%lu][%lu]!\n", ix, iy); + status_code = find_or_create_one_fabric_tile_from_grid(fabric_tile, curr_tile_id, grids, tile_loc, rr_graph, device_rr_gsb, verbose); + if (status_code != CMD_EXEC_SUCCESS) { return CMD_EXEC_FATAL_ERROR; } - - /* Add components: pb, cbx, cby, and sb if exists */ - if (!skip_add_pb) { - fabric_tile.add_pb_coordinate(curr_tile_id, curr_tile_coord, - curr_gsb_coord); + /* If no valid tile is created or spotted, the tile does not exist physically, skip */ + if (fabric_tile.valid_tile_id(curr_tile_id)) { + continue; } - /* The gsb coordinate is different than the grid coordinate when the - * top-left style is considered - * - * +----------+ +----------+ - * | Grid | | CBx | - * | [x][y] | | [x][y] | - * +----------+ +----------+ - * +----------+ +----------+ - * | CBy | | SB | - * | [x][y-1] | | [x][y-1] | - * +----------+ +----------+ - * - */ + vtr::Point curr_gsb_coord(ix, iy); if (!device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { continue; } @@ -135,6 +167,77 @@ static int build_fabric_tile_style_top_left(FabricTile& fabric_tile, return status_code; } + +/******************************************************************** + * Build tiles by following the top-left style. + * - The programmble block, e.g., clb, is placed on the top-left corner + * - The connection blocks and switch block are placed on the right and bottom + *sides + * Tile[x][y] + * ------------------------------ + * +----------+ +----------+ ^ + * | Grid | | CBy | GSB[x][y] + * | [x][y] | | [x][y] | | + * +----------+ +----------+ v + * ------------------------------ + * +----------+ +----------+ ^ + * | CBx | | SB | | + * | [x][y-1] | | [x][y-1] | GSB[x][y-1] + * +----------+ +----------+ | + * ------------------------------ + + *******************************************************************/ +static int build_fabric_tile_style_top_left(FabricTile& fabric_tile, + const DeviceGrid& grids, + const size_t& layer, + const RRGraphView& rr_graph, + const DeviceRRGSB& device_rr_gsb, + const bool& verbose) { + int status_code = CMD_EXEC_SUCCESS; + + /* Walk through all the device rr_gsb and create tile one by one */ + for (size_t ix = 0; ix < grids.width(); ++ix) { + for (size_t iy = 0; iy < grids.height(); ++iy) { + t_physical_tile_loc tile_loc(ix, iy, layer); + FabricTileId curr_tile_id = FabricTileId::INVALID(); + status_code = find_or_create_one_fabric_tile_from_grid(fabric_tile, curr_tile_id, grids, tile_loc, rr_graph, device_rr_gsb, verbose); + if (status_code != CMD_EXEC_SUCCESS) { + return CMD_EXEC_FATAL_ERROR; + } + /* If no valid tile is created or spotted, the tile does not exist physically, skip */ + if (fabric_tile.valid_tile_id(curr_tile_id)) { + continue; + } + /* For the cby in the same gsb */ + vtr::Point curr_gsb_coord(ix, iy); + if (!device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { + continue; + } + const RRGSB& curr_rr_gsb = device_rr_gsb.get_gsb(curr_gsb_coord); + if (curr_rr_gsb.is_cb_exist(CHANY)) { + fabric_tile.add_cb_coordinate(curr_tile_id, CHANY, + curr_rr_gsb.get_sb_coordinate()); + } + /* For the cbx and sb in the neighbour gsb */ + vtr::Point neighbor_gsb_coord(ix, iy - 1); + if (!device_rr_gsb.is_gsb_exist(rr_graph, neighbor_gsb_coord)) { + continue; + } + const RRGSB& neighbor_rr_gsb = device_rr_gsb.get_gsb(neighbor_gsb_coord); + if (neighbor_rr_gsb.is_cb_exist(CHANX)) { + fabric_tile.add_cb_coordinate(curr_tile_id, CHANX, + neighbor_rr_gsb.get_sb_coordinate()); + } + if (neighbor_rr_gsb.is_sb_exist(rr_graph)) { + fabric_tile.add_sb_coordinate(curr_tile_id, + neighbor_rr_gsb.get_sb_coordinate()); + } + } + } + + return status_code; +} + /******************************************************************** * Build tile-level information for a given FPGA fabric, w.r.t. to configuration *******************************************************************/ @@ -152,6 +255,10 @@ int build_fabric_tile(FabricTile& fabric_tile, const TileConfig& tile_config, if (tile_config.style() == TileConfig::e_style::TOP_LEFT) { status_code = build_fabric_tile_style_top_left( fabric_tile, grids, 0, rr_graph, device_rr_gsb, verbose); + } else if (tile_config.style() == TileConfig::e_style::BOTTOM_LEFT) { + status_code = build_fabric_tile_style_bottom_left( + fabric_tile, grids, 0, rr_graph, device_rr_gsb, verbose); + } else { /* Error out for styles that are not supported yet! */ VTR_LOG_ERROR("Tile style '%s' is not supported yet!\n", diff --git a/openfpga/src/fabric/build_tile_modules.cpp b/openfpga/src/fabric/build_tile_modules.cpp index f93cd07bf..ab5b535fe 100644 --- a/openfpga/src/fabric/build_tile_modules.cpp +++ b/openfpga/src/fabric/build_tile_modules.cpp @@ -732,13 +732,13 @@ static int build_tile_module_port_and_nets_between_sb_and_cb( * is_cb_exist() FOr RIGHT and BOTTOM side, find the adjacent RRGSB and then * use is_cb_exist() */ - if (TOP == side_manager.get_side() || LEFT == side_manager.get_side()) { + if (BOTTOM == side_manager.get_side() || LEFT == side_manager.get_side()) { if (false == rr_gsb.is_cb_exist(cb_type)) { continue; } } - if (RIGHT == side_manager.get_side() || BOTTOM == side_manager.get_side()) { + if (RIGHT == side_manager.get_side() || TOP == side_manager.get_side()) { const RRGSB& adjacent_gsb = device_rr_gsb.get_gsb(module_gsb_cb_coordinate); if (false == adjacent_gsb.is_cb_exist(cb_type)) { From cca9fb475663e2cf01f000178b76a98413fda47f Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 17:55:19 -0700 Subject: [PATCH 149/230] [core] fixed a bug on bottom left tile organization --- openfpga/src/fabric/build_fabric_tile.cpp | 123 ++++++++++++++-------- 1 file changed, 78 insertions(+), 45 deletions(-) diff --git a/openfpga/src/fabric/build_fabric_tile.cpp b/openfpga/src/fabric/build_fabric_tile.cpp index c20c37537..9e4580600 100644 --- a/openfpga/src/fabric/build_fabric_tile.cpp +++ b/openfpga/src/fabric/build_fabric_tile.cpp @@ -34,8 +34,6 @@ static int find_or_create_one_fabric_tile_from_grid( FabricTileId& curr_tile_id, const DeviceGrid& grids, const t_physical_tile_loc& tile_loc, - const RRGraphView& rr_graph, - const DeviceRRGSB& device_rr_gsb, const bool& verbose) { t_physical_tile_type_ptr phy_tile_type = grids.get_physical_type(tile_loc); @@ -46,18 +44,7 @@ static int find_or_create_one_fabric_tile_from_grid( /* For EMPTY grid, routing blocks may still be required if there is a gsb */ if (true == is_empty_type(phy_tile_type)) { - skip_add_pb = true; - if (!device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { - VTR_LOGV(verbose, "Skip tile[%lu][%lu] as it is empty\n", - curr_tile_coord.x(), curr_tile_coord.y()); - return CMD_EXEC_SUCCESS; - } - /* Need to create a new tile here */ - VTR_LOGV(verbose, - "Create tile[%lu][%lu] which only has routing but not a " - "programmable block\n", - curr_tile_coord.x(), curr_tile_coord.y()); - curr_tile_id = fabric_tile.create_tile(curr_tile_coord); + return CMD_EXEC_SUCCESS; } else if ((0 < grids.get_width_offset(tile_loc)) || (0 < grids.get_height_offset(tile_loc))) { /* Skip width, height > 1 tiles (mostly heterogeneous blocks) */ @@ -138,28 +125,42 @@ static int build_fabric_tile_style_bottom_left(FabricTile& fabric_tile, for (size_t iy = 0; iy < grids.height(); ++iy) { t_physical_tile_loc tile_loc(ix, iy, layer); FabricTileId curr_tile_id = FabricTileId::INVALID(); - status_code = find_or_create_one_fabric_tile_from_grid(fabric_tile, curr_tile_id, grids, tile_loc, rr_graph, device_rr_gsb, verbose); + status_code = find_or_create_one_fabric_tile_from_grid(fabric_tile, curr_tile_id, grids, tile_loc, verbose); if (status_code != CMD_EXEC_SUCCESS) { return CMD_EXEC_FATAL_ERROR; } - /* If no valid tile is created or spotted, the tile does not exist physically, skip */ - if (fabric_tile.valid_tile_id(curr_tile_id)) { - continue; - } + /* If no tile is created for the pb, check if routing exists */ + vtr::Point curr_tile_coord(tile_loc.x, tile_loc.y); vtr::Point curr_gsb_coord(ix, iy); - if (!device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { - continue; + if (!fabric_tile.valid_tile_id(curr_tile_id)) { + if (!device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { + VTR_LOGV(verbose, "Skip tile[%lu][%lu] as it is empty\n", + curr_tile_coord.x(), curr_tile_coord.y()); + continue; + } + /* Need to create a new tile here */ + VTR_LOGV(verbose, + "Create tile[%lu][%lu] which only has routing but not a " + "programmable block\n", + curr_tile_coord.x(), curr_tile_coord.y()); + curr_tile_id = fabric_tile.create_tile(curr_tile_coord); } const RRGSB& curr_rr_gsb = device_rr_gsb.get_gsb(curr_gsb_coord); for (t_rr_type cb_type : {CHANX, CHANY}) { if (curr_rr_gsb.is_cb_exist(cb_type)) { fabric_tile.add_cb_coordinate(curr_tile_id, cb_type, curr_rr_gsb.get_sb_coordinate()); + VTR_LOGV(verbose, "Added %s connection block [%lu][%lu] to tile[%lu][%lu]\n", + cb_type == CHANX ? "x-" : "y-", curr_rr_gsb.get_cb_x(cb_type), curr_rr_gsb.get_cb_y(cb_type), + ix, iy); } } if (curr_rr_gsb.is_sb_exist(rr_graph)) { fabric_tile.add_sb_coordinate(curr_tile_id, curr_rr_gsb.get_sb_coordinate()); + VTR_LOGV(verbose, "Added switch block [%lu][%lu] to tile[%lu][%lu]\n", + curr_rr_gsb.get_sb_x(), curr_rr_gsb.get_sb_y(), + ix, iy); } } } @@ -200,37 +201,69 @@ static int build_fabric_tile_style_top_left(FabricTile& fabric_tile, for (size_t iy = 0; iy < grids.height(); ++iy) { t_physical_tile_loc tile_loc(ix, iy, layer); FabricTileId curr_tile_id = FabricTileId::INVALID(); - status_code = find_or_create_one_fabric_tile_from_grid(fabric_tile, curr_tile_id, grids, tile_loc, rr_graph, device_rr_gsb, verbose); + status_code = find_or_create_one_fabric_tile_from_grid(fabric_tile, curr_tile_id, grids, tile_loc, verbose); if (status_code != CMD_EXEC_SUCCESS) { return CMD_EXEC_FATAL_ERROR; } - /* If no valid tile is created or spotted, the tile does not exist physically, skip */ - if (fabric_tile.valid_tile_id(curr_tile_id)) { - continue; + /* If no valid tile is created/found by the pb, check if there is any routing inside */ + vtr::Point curr_tile_coord(tile_loc.x, tile_loc.y); + vtr::Point curr_gsb_coord(ix, iy); + vtr::Point neighbor_gsb_coord(ix, iy - 1); + if (!fabric_tile.valid_tile_id(curr_tile_id)) { + bool routing_exist = false; + if (device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { + const RRGSB& routing_rr_gsb = device_rr_gsb.get_gsb(curr_gsb_coord); + if (routing_rr_gsb.is_cb_exist(CHANY)) { + routing_exist = true; + } + } + if (device_rr_gsb.is_gsb_exist(rr_graph, neighbor_gsb_coord)) { + const RRGSB& routing_rr_gsb = device_rr_gsb.get_gsb(neighbor_gsb_coord); + if (routing_rr_gsb.is_cb_exist(CHANX) || routing_rr_gsb.is_sb_exist(rr_graph)) { + routing_exist = true; + } + } + if (!routing_exist) { + VTR_LOGV(verbose, "Skip tile[%lu][%lu] as it is empty\n", + curr_tile_coord.x(), curr_tile_coord.y()); + continue; + } + /* Need to create a new tile here */ + VTR_LOGV(verbose, + "Create tile[%lu][%lu] which only has routing but not a " + "programmable block\n", + curr_tile_coord.x(), curr_tile_coord.y()); + curr_tile_id = fabric_tile.create_tile(curr_tile_coord); } /* For the cby in the same gsb */ - vtr::Point curr_gsb_coord(ix, iy); - if (!device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { - continue; - } - const RRGSB& curr_rr_gsb = device_rr_gsb.get_gsb(curr_gsb_coord); - if (curr_rr_gsb.is_cb_exist(CHANY)) { - fabric_tile.add_cb_coordinate(curr_tile_id, CHANY, - curr_rr_gsb.get_sb_coordinate()); + if (device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { + const RRGSB& curr_rr_gsb = device_rr_gsb.get_gsb(curr_gsb_coord); + if (curr_rr_gsb.is_cb_exist(CHANY)) { + fabric_tile.add_cb_coordinate(curr_tile_id, CHANY, + curr_rr_gsb.get_sb_coordinate()); + VTR_LOGV(verbose, "Added y- connection block [%lu][%lu] to tile[%lu][%lu]\n", + curr_rr_gsb.get_cb_x(CHANY), curr_rr_gsb.get_cb_y(CHANY), + ix, iy); + } } /* For the cbx and sb in the neighbour gsb */ - vtr::Point neighbor_gsb_coord(ix, iy - 1); - if (!device_rr_gsb.is_gsb_exist(rr_graph, neighbor_gsb_coord)) { - continue; - } - const RRGSB& neighbor_rr_gsb = device_rr_gsb.get_gsb(neighbor_gsb_coord); - if (neighbor_rr_gsb.is_cb_exist(CHANX)) { - fabric_tile.add_cb_coordinate(curr_tile_id, CHANX, - neighbor_rr_gsb.get_sb_coordinate()); - } - if (neighbor_rr_gsb.is_sb_exist(rr_graph)) { - fabric_tile.add_sb_coordinate(curr_tile_id, - neighbor_rr_gsb.get_sb_coordinate()); + if (device_rr_gsb.is_gsb_exist(rr_graph, neighbor_gsb_coord)) { + const RRGSB& neighbor_rr_gsb = device_rr_gsb.get_gsb(neighbor_gsb_coord); + if (neighbor_rr_gsb.is_cb_exist(CHANX)) { + fabric_tile.add_cb_coordinate(curr_tile_id, CHANX, + neighbor_rr_gsb.get_sb_coordinate()); + + VTR_LOGV(verbose, "Added x- connection block [%lu][%lu] to tile[%lu][%lu]\n", + neighbor_rr_gsb.get_cb_x(CHANX), neighbor_rr_gsb.get_cb_y(CHANX), + ix, iy); + } + if (neighbor_rr_gsb.is_sb_exist(rr_graph)) { + fabric_tile.add_sb_coordinate(curr_tile_id, + neighbor_rr_gsb.get_sb_coordinate()); + VTR_LOGV(verbose, "Added switch block [%lu][%lu] to tile[%lu][%lu]\n", + neighbor_rr_gsb.get_sb_x(), neighbor_rr_gsb.get_sb_y(), + ix, iy); + } } } } From a46820b7c1e820446a5e3557970ea46acb982535 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 18:00:37 -0700 Subject: [PATCH 150/230] [core] add a new test for bottom-left tile grouping --- .../regression_test_scripts/basic_reg_test.sh | 1 + .../homo_fabric_tile_bl/config/task.conf | 35 +++++++++++++++++++ .../config/tile_config.xml | 1 + 3 files changed, 37 insertions(+) create mode 100644 openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_bl/config/task.conf create mode 100644 openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_bl/config/tile_config.xml diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index e8902632c..cdb93ee16 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -194,6 +194,7 @@ echo -e "Testing tiles with routing tracks around I/O"; run-task basic_tests/tile_organization/perimeter_cb $@ echo -e "Testing tile grouping on a homogeneous FPGA fabric (Full testbench)"; run-task basic_tests/tile_organization/homo_fabric_tile $@ +run-task basic_tests/tile_organization/homo_fabric_tile_bl $@ echo -e "Testing tile grouping on a homogeneous FPGA fabric (Preconfigured testbench)"; run-task basic_tests/tile_organization/fabric_tile_global_tile_clock_io_subtile $@ run-task basic_tests/tile_organization/homo_fabric_tile_preconfig $@ diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_bl/config/task.conf b/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_bl/config/task.conf new file mode 100644 index 000000000..c07a08d33 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_bl/config/task.conf @@ -0,0 +1,35 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = true +spice_output=false +verilog_output=true +timeout_each_job = 20*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/group_tile_full_testbench_example_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_N4_40nm_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml +openfpga_group_tile_config_file=${PATH:TASK_DIR}/config/tile_config.xml + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_TileOrgzTl_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/or2/or2.v + +[SYNTHESIS_PARAM] +bench_read_verilog_options_common = -nolatches +bench0_top = or2 + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_bl/config/tile_config.xml b/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_bl/config/tile_config.xml new file mode 100644 index 000000000..026c140be --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_bl/config/tile_config.xml @@ -0,0 +1 @@ + From e95b264965eaf5063226a4ecb580d70af6d9767a Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 18:08:48 -0700 Subject: [PATCH 151/230] [core] debugging --- openfpga/src/fabric/build_fabric_tile.cpp | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/openfpga/src/fabric/build_fabric_tile.cpp b/openfpga/src/fabric/build_fabric_tile.cpp index 9e4580600..b8dd25938 100644 --- a/openfpga/src/fabric/build_fabric_tile.cpp +++ b/openfpga/src/fabric/build_fabric_tile.cpp @@ -145,6 +145,11 @@ static int build_fabric_tile_style_bottom_left(FabricTile& fabric_tile, curr_tile_coord.x(), curr_tile_coord.y()); curr_tile_id = fabric_tile.create_tile(curr_tile_coord); } + if (fabric_tile.valid_tile_id(curr_tile_id) && !device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { + VTR_LOGV(verbose, "Skip to add routing to tile[%lu][%lu] as it is not required\n", + curr_tile_coord.x(), curr_tile_coord.y()); + continue; + } const RRGSB& curr_rr_gsb = device_rr_gsb.get_gsb(curr_gsb_coord); for (t_rr_type cb_type : {CHANX, CHANY}) { if (curr_rr_gsb.is_cb_exist(cb_type)) { From 1f7fbfef64cd2b2657600341b0655725731606a7 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 18:19:22 -0700 Subject: [PATCH 152/230] [core] fixed a bug on inter-tile connections in top module --- openfpga/src/fabric/build_top_module_child_tile_instance.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga/src/fabric/build_top_module_child_tile_instance.cpp b/openfpga/src/fabric/build_top_module_child_tile_instance.cpp index 3c1c86c1c..0ad953f68 100644 --- a/openfpga/src/fabric/build_top_module_child_tile_instance.cpp +++ b/openfpga/src/fabric/build_top_module_child_tile_instance.cpp @@ -802,13 +802,13 @@ static int build_top_module_tile_nets_between_sb_and_cb( * is_cb_exist() FOr RIGHT and BOTTOM side, find the adjacent RRGSB and then * use is_cb_exist() */ - if (TOP == side_manager.get_side() || LEFT == side_manager.get_side()) { + if (BOTTOM == side_manager.get_side() || LEFT == side_manager.get_side()) { if (false == rr_gsb.is_cb_exist(cb_type)) { continue; } } - if (RIGHT == side_manager.get_side() || BOTTOM == side_manager.get_side()) { + if (RIGHT == side_manager.get_side() || TOP == side_manager.get_side()) { const RRGSB& adjacent_gsb = device_rr_gsb.get_gsb(module_gsb_cb_coordinate); if (false == adjacent_gsb.is_cb_exist(cb_type)) { From 6024e35f89ce05eaa8431481008c00c945fa0ae6 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Fri, 5 Jul 2024 18:50:14 -0700 Subject: [PATCH 153/230] [core] fixed a bug --- openfpga/src/fabric/build_top_module_memory.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/openfpga/src/fabric/build_top_module_memory.cpp b/openfpga/src/fabric/build_top_module_memory.cpp index 47238685e..d9d65fbc2 100644 --- a/openfpga/src/fabric/build_top_module_memory.cpp +++ b/openfpga/src/fabric/build_top_module_memory.cpp @@ -141,7 +141,7 @@ static void organize_top_module_tile_memory_modules( const vtr::Point& tile_coord, const e_side& tile_border_side) { vtr::Point gsb_coord_range = device_rr_gsb.get_gsb_range(); - vtr::Point gsb_coord(tile_coord.x(), tile_coord.y() - 1); + vtr::Point gsb_coord(tile_coord.x(), tile_coord.y()); /* We do NOT consider SB and CBs if the gsb is not in the range! */ if ((gsb_coord.x() < gsb_coord_range.x()) && From 43ca3ec747e4232d54509d2c4c4d9add5518706e Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 6 Jul 2024 12:11:31 -0700 Subject: [PATCH 154/230] [test] make arch pin loc for spread for perimeter cb validation --- ...k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml index 11b4d4d4e..2bab2cd89 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml @@ -93,9 +93,9 @@ - fpga_input[0:3].inpad + fpga_input[0:1].inpad - + fpga_input[2:3].inpad @@ -106,9 +106,9 @@ - fpga_output[0:1].outpad + fpga_output[0:0].outpad - + fpga_output[1:1].outpad @@ -122,8 +122,8 @@ - - fpga_input.inpad + fpga_input[0:1].inpad + fpga_input[2:3].inpad @@ -135,8 +135,8 @@ - - fpga_output.outpad + fpga_output[0:0].outpad + fpga_output[1:1].outpad From 703cbddc9ed3812b0ff651765997516236bcc9af Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 6 Jul 2024 12:14:57 -0700 Subject: [PATCH 155/230] [core] code format --- openfpga/src/annotation/annotate_rr_graph.cpp | 10 +-- .../base/openfpga_verilog_command_template.h | 6 +- openfpga/src/base/openfpga_verilog_template.h | 10 ++- openfpga/src/fabric/build_device_module.cpp | 3 +- openfpga/src/fabric/build_fabric_tile.cpp | 87 ++++++++++--------- .../build_grid_module_duplicated_pins.cpp | 11 ++- .../src/fabric/build_grid_module_utils.cpp | 10 ++- openfpga/src/fabric/build_grid_module_utils.h | 3 +- openfpga/src/fabric/build_grid_modules.cpp | 20 ++--- openfpga/src/fabric/build_tile_modules.cpp | 38 ++++---- openfpga/src/fabric/build_tile_modules.h | 23 +++-- ...top_module_child_fine_grained_instance.cpp | 23 +++-- ...d_top_module_child_fine_grained_instance.h | 3 +- .../fabric/build_top_module_connection.cpp | 10 ++- .../fpga_verilog/fabric_verilog_options.cpp | 4 +- openfpga/src/fpga_verilog/verilog_grid.cpp | 14 ++- openfpga/src/fpga_verilog/verilog_lut.cpp | 3 +- openfpga/src/fpga_verilog/verilog_memory.cpp | 13 ++- .../fpga_verilog/verilog_module_writer.cpp | 39 +++++---- openfpga/src/fpga_verilog/verilog_mux.cpp | 6 +- openfpga/src/fpga_verilog/verilog_routing.cpp | 14 ++- .../verilog_shift_register_banks.cpp | 14 ++- openfpga/src/fpga_verilog/verilog_tile.cpp | 7 +- .../src/fpga_verilog/verilog_top_module.cpp | 14 ++- 24 files changed, 192 insertions(+), 193 deletions(-) diff --git a/openfpga/src/annotation/annotate_rr_graph.cpp b/openfpga/src/annotation/annotate_rr_graph.cpp index a1ad9c24c..373607fb2 100644 --- a/openfpga/src/annotation/annotate_rr_graph.cpp +++ b/openfpga/src/annotation/annotate_rr_graph.cpp @@ -98,8 +98,7 @@ static RRGSB build_rr_gsb(const DeviceContext& vpr_device_ctx, const vtr::Point& gsb_range, const size_t& layer, const vtr::Point& gsb_coord, - const bool& perimeter_cb, - const bool& include_clock) { + const bool& perimeter_cb, const bool& include_clock) { /* Create an object to return */ RRGSB rr_gsb; @@ -436,10 +435,9 @@ void annotate_device_rr_gsb(const DeviceContext& vpr_device_ctx, */ vtr::Point sub_gsb_range(vpr_device_ctx.grid.width() - 1, vpr_device_ctx.grid.height() - 1); - const RRGSB& rr_gsb = - build_rr_gsb(vpr_device_ctx, - sub_gsb_range, - layer, vtr::Point(ix, iy), vpr_device_ctx.arch->perimeter_cb, include_clock); + const RRGSB& rr_gsb = build_rr_gsb( + vpr_device_ctx, sub_gsb_range, layer, vtr::Point(ix, iy), + vpr_device_ctx.arch->perimeter_cb, include_clock); /* Add to device_rr_gsb */ vtr::Point gsb_coordinate = rr_gsb.get_sb_coordinate(); device_rr_gsb.add_rr_gsb(gsb_coordinate, rr_gsb); diff --git a/openfpga/src/base/openfpga_verilog_command_template.h b/openfpga/src/base/openfpga_verilog_command_template.h index 2cd03278e..001f7ee40 100644 --- a/openfpga/src/base/openfpga_verilog_command_template.h +++ b/openfpga/src/base/openfpga_verilog_command_template.h @@ -31,8 +31,10 @@ ShellCommandId add_write_fabric_verilog_command_template( shell_cmd.set_option_require_value(output_opt, openfpga::OPT_STRING); /* Add an option '--constant_undriven_inputs' */ - shell_cmd.add_option("constant_undriven_inputs", false, - "Use constant gnd for undriven wires in Verilog netlists. Recommand to enable when there are boundary routing tracks in FPGA fabric"); + shell_cmd.add_option( + "constant_undriven_inputs", false, + "Use constant gnd for undriven wires in Verilog netlists. Recommand to " + "enable when there are boundary routing tracks in FPGA fabric"); /* Add an option '--explicit_port_mapping' */ shell_cmd.add_option("explicit_port_mapping", false, diff --git a/openfpga/src/base/openfpga_verilog_template.h b/openfpga/src/base/openfpga_verilog_template.h index 19109ce21..93dc8035a 100644 --- a/openfpga/src/base/openfpga_verilog_template.h +++ b/openfpga/src/base/openfpga_verilog_template.h @@ -58,12 +58,16 @@ int write_fabric_verilog_template(T& openfpga_ctx, const Command& cmd, } options.set_verbose_output(cmd_context.option_enable(cmd, opt_verbose)); options.set_compress_routing(openfpga_ctx.flow_manager().compress_routing()); - /* For perimeter cb, enable the constant undriven inputs, unless it is off by user */ + /* For perimeter cb, enable the constant undriven inputs, unless it is off by + * user */ if (g_vpr_ctx.device().arch->perimeter_cb) { options.set_constant_undriven_inputs(true); - VTR_LOG("Automatically enable the constant_undriven_input option as perimeter connection blocks are seen in FPGA fabric\n"); + VTR_LOG( + "Automatically enable the constant_undriven_input option as perimeter " + "connection blocks are seen in FPGA fabric\n"); } else { - options.set_constant_undriven_inputs(cmd_context.option_enable(cmd, opt_constant_undriven_inputs)); + options.set_constant_undriven_inputs( + cmd_context.option_enable(cmd, opt_constant_undriven_inputs)); } return fpga_fabric_verilog( diff --git a/openfpga/src/fabric/build_device_module.cpp b/openfpga/src/fabric/build_device_module.cpp index e03ee2510..a04e9e015 100644 --- a/openfpga/src/fabric/build_device_module.cpp +++ b/openfpga/src/fabric/build_device_module.cpp @@ -128,7 +128,8 @@ int build_device_module_graph( openfpga_ctx.device_rr_gsb(), vpr_device_ctx.rr_graph, openfpga_ctx.arch().tile_annotations, openfpga_ctx.arch().circuit_lib, sram_model, openfpga_ctx.arch().config_protocol.type(), - name_module_using_index, vpr_device_ctx.arch->perimeter_cb, frame_view, verbose); + name_module_using_index, vpr_device_ctx.arch->perimeter_cb, frame_view, + verbose); } /* Build FPGA fabric top-level module */ diff --git a/openfpga/src/fabric/build_fabric_tile.cpp b/openfpga/src/fabric/build_fabric_tile.cpp index b8dd25938..e9747e920 100644 --- a/openfpga/src/fabric/build_fabric_tile.cpp +++ b/openfpga/src/fabric/build_fabric_tile.cpp @@ -22,7 +22,7 @@ namespace openfpga { /******************************************************************** - * With a given coordinate of a grid, find an existing fabric tile + * With a given coordinate of a grid, find an existing fabric tile * or create a new fabric tile * - A grid may never exist in any fabric tile (no coordinate matches) * Create a new one @@ -30,13 +30,9 @@ namespace openfpga { * Find the existing one *******************************************************************/ static int find_or_create_one_fabric_tile_from_grid( - FabricTile& fabric_tile, - FabricTileId& curr_tile_id, - const DeviceGrid& grids, - const t_physical_tile_loc& tile_loc, - const bool& verbose) { - t_physical_tile_type_ptr phy_tile_type = - grids.get_physical_type(tile_loc); + FabricTile& fabric_tile, FabricTileId& curr_tile_id, const DeviceGrid& grids, + const t_physical_tile_loc& tile_loc, const bool& verbose) { + t_physical_tile_type_ptr phy_tile_type = grids.get_physical_type(tile_loc); vtr::Point curr_tile_coord(tile_loc.x, tile_loc.y); vtr::Point curr_gsb_coord(tile_loc.x, tile_loc.y); @@ -71,8 +67,8 @@ static int find_or_create_one_fabric_tile_from_grid( } } else { /* Need to create a new tile here */ - VTR_LOGV(verbose, "Create a regular tile[%lu][%lu]\n", - curr_tile_coord.x(), curr_tile_coord.y()); + VTR_LOGV(verbose, "Create a regular tile[%lu][%lu]\n", curr_tile_coord.x(), + curr_tile_coord.y()); curr_tile_id = fabric_tile.create_tile(curr_tile_coord); } @@ -100,7 +96,7 @@ static int find_or_create_one_fabric_tile_from_grid( * The gsb coordinate is the same as the grid coordinate when the * bottom-left style is considered * - * ------------------------------ + * ------------------------------ * +----------+ +----------+ ^ * | CBx | | SB | | * | [x][y] | | [x][y] | GSB[x][y] @@ -113,11 +109,11 @@ static int find_or_create_one_fabric_tile_from_grid( * *******************************************************************/ static int build_fabric_tile_style_bottom_left(FabricTile& fabric_tile, - const DeviceGrid& grids, - const size_t& layer, - const RRGraphView& rr_graph, - const DeviceRRGSB& device_rr_gsb, - const bool& verbose) { + const DeviceGrid& grids, + const size_t& layer, + const RRGraphView& rr_graph, + const DeviceRRGSB& device_rr_gsb, + const bool& verbose) { int status_code = CMD_EXEC_SUCCESS; /* Walk through all the device rr_gsb and create tile one by one */ @@ -125,7 +121,8 @@ static int build_fabric_tile_style_bottom_left(FabricTile& fabric_tile, for (size_t iy = 0; iy < grids.height(); ++iy) { t_physical_tile_loc tile_loc(ix, iy, layer); FabricTileId curr_tile_id = FabricTileId::INVALID(); - status_code = find_or_create_one_fabric_tile_from_grid(fabric_tile, curr_tile_id, grids, tile_loc, verbose); + status_code = find_or_create_one_fabric_tile_from_grid( + fabric_tile, curr_tile_id, grids, tile_loc, verbose); if (status_code != CMD_EXEC_SUCCESS) { return CMD_EXEC_FATAL_ERROR; } @@ -145,9 +142,12 @@ static int build_fabric_tile_style_bottom_left(FabricTile& fabric_tile, curr_tile_coord.x(), curr_tile_coord.y()); curr_tile_id = fabric_tile.create_tile(curr_tile_coord); } - if (fabric_tile.valid_tile_id(curr_tile_id) && !device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { - VTR_LOGV(verbose, "Skip to add routing to tile[%lu][%lu] as it is not required\n", - curr_tile_coord.x(), curr_tile_coord.y()); + if (fabric_tile.valid_tile_id(curr_tile_id) && + !device_rr_gsb.is_gsb_exist(rr_graph, curr_gsb_coord)) { + VTR_LOGV( + verbose, + "Skip to add routing to tile[%lu][%lu] as it is not required\n", + curr_tile_coord.x(), curr_tile_coord.y()); continue; } const RRGSB& curr_rr_gsb = device_rr_gsb.get_gsb(curr_gsb_coord); @@ -155,17 +155,17 @@ static int build_fabric_tile_style_bottom_left(FabricTile& fabric_tile, if (curr_rr_gsb.is_cb_exist(cb_type)) { fabric_tile.add_cb_coordinate(curr_tile_id, cb_type, curr_rr_gsb.get_sb_coordinate()); - VTR_LOGV(verbose, "Added %s connection block [%lu][%lu] to tile[%lu][%lu]\n", - cb_type == CHANX ? "x-" : "y-", curr_rr_gsb.get_cb_x(cb_type), curr_rr_gsb.get_cb_y(cb_type), - ix, iy); + VTR_LOGV( + verbose, "Added %s connection block [%lu][%lu] to tile[%lu][%lu]\n", + cb_type == CHANX ? "x-" : "y-", curr_rr_gsb.get_cb_x(cb_type), + curr_rr_gsb.get_cb_y(cb_type), ix, iy); } } if (curr_rr_gsb.is_sb_exist(rr_graph)) { fabric_tile.add_sb_coordinate(curr_tile_id, curr_rr_gsb.get_sb_coordinate()); VTR_LOGV(verbose, "Added switch block [%lu][%lu] to tile[%lu][%lu]\n", - curr_rr_gsb.get_sb_x(), curr_rr_gsb.get_sb_y(), - ix, iy); + curr_rr_gsb.get_sb_x(), curr_rr_gsb.get_sb_y(), ix, iy); } } } @@ -173,19 +173,18 @@ static int build_fabric_tile_style_bottom_left(FabricTile& fabric_tile, return status_code; } - /******************************************************************** * Build tiles by following the top-left style. * - The programmble block, e.g., clb, is placed on the top-left corner * - The connection blocks and switch block are placed on the right and bottom *sides * Tile[x][y] - * ------------------------------ + * ------------------------------ * +----------+ +----------+ ^ * | Grid | | CBy | GSB[x][y] * | [x][y] | | [x][y] | | * +----------+ +----------+ v - * ------------------------------ + * ------------------------------ * +----------+ +----------+ ^ * | CBx | | SB | | * | [x][y-1] | | [x][y-1] | GSB[x][y-1] @@ -206,11 +205,13 @@ static int build_fabric_tile_style_top_left(FabricTile& fabric_tile, for (size_t iy = 0; iy < grids.height(); ++iy) { t_physical_tile_loc tile_loc(ix, iy, layer); FabricTileId curr_tile_id = FabricTileId::INVALID(); - status_code = find_or_create_one_fabric_tile_from_grid(fabric_tile, curr_tile_id, grids, tile_loc, verbose); + status_code = find_or_create_one_fabric_tile_from_grid( + fabric_tile, curr_tile_id, grids, tile_loc, verbose); if (status_code != CMD_EXEC_SUCCESS) { return CMD_EXEC_FATAL_ERROR; } - /* If no valid tile is created/found by the pb, check if there is any routing inside */ + /* If no valid tile is created/found by the pb, check if there is any + * routing inside */ vtr::Point curr_tile_coord(tile_loc.x, tile_loc.y); vtr::Point curr_gsb_coord(ix, iy); vtr::Point neighbor_gsb_coord(ix, iy - 1); @@ -223,8 +224,10 @@ static int build_fabric_tile_style_top_left(FabricTile& fabric_tile, } } if (device_rr_gsb.is_gsb_exist(rr_graph, neighbor_gsb_coord)) { - const RRGSB& routing_rr_gsb = device_rr_gsb.get_gsb(neighbor_gsb_coord); - if (routing_rr_gsb.is_cb_exist(CHANX) || routing_rr_gsb.is_sb_exist(rr_graph)) { + const RRGSB& routing_rr_gsb = + device_rr_gsb.get_gsb(neighbor_gsb_coord); + if (routing_rr_gsb.is_cb_exist(CHANX) || + routing_rr_gsb.is_sb_exist(rr_graph)) { routing_exist = true; } } @@ -246,28 +249,30 @@ static int build_fabric_tile_style_top_left(FabricTile& fabric_tile, if (curr_rr_gsb.is_cb_exist(CHANY)) { fabric_tile.add_cb_coordinate(curr_tile_id, CHANY, curr_rr_gsb.get_sb_coordinate()); - VTR_LOGV(verbose, "Added y- connection block [%lu][%lu] to tile[%lu][%lu]\n", - curr_rr_gsb.get_cb_x(CHANY), curr_rr_gsb.get_cb_y(CHANY), - ix, iy); + VTR_LOGV( + verbose, "Added y- connection block [%lu][%lu] to tile[%lu][%lu]\n", + curr_rr_gsb.get_cb_x(CHANY), curr_rr_gsb.get_cb_y(CHANY), ix, iy); } } /* For the cbx and sb in the neighbour gsb */ if (device_rr_gsb.is_gsb_exist(rr_graph, neighbor_gsb_coord)) { - const RRGSB& neighbor_rr_gsb = device_rr_gsb.get_gsb(neighbor_gsb_coord); + const RRGSB& neighbor_rr_gsb = + device_rr_gsb.get_gsb(neighbor_gsb_coord); if (neighbor_rr_gsb.is_cb_exist(CHANX)) { fabric_tile.add_cb_coordinate(curr_tile_id, CHANX, neighbor_rr_gsb.get_sb_coordinate()); - VTR_LOGV(verbose, "Added x- connection block [%lu][%lu] to tile[%lu][%lu]\n", - neighbor_rr_gsb.get_cb_x(CHANX), neighbor_rr_gsb.get_cb_y(CHANX), - ix, iy); + VTR_LOGV(verbose, + "Added x- connection block [%lu][%lu] to tile[%lu][%lu]\n", + neighbor_rr_gsb.get_cb_x(CHANX), + neighbor_rr_gsb.get_cb_y(CHANX), ix, iy); } if (neighbor_rr_gsb.is_sb_exist(rr_graph)) { fabric_tile.add_sb_coordinate(curr_tile_id, neighbor_rr_gsb.get_sb_coordinate()); VTR_LOGV(verbose, "Added switch block [%lu][%lu] to tile[%lu][%lu]\n", - neighbor_rr_gsb.get_sb_x(), neighbor_rr_gsb.get_sb_y(), - ix, iy); + neighbor_rr_gsb.get_sb_x(), neighbor_rr_gsb.get_sb_y(), ix, + iy); } } } diff --git a/openfpga/src/fabric/build_grid_module_duplicated_pins.cpp b/openfpga/src/fabric/build_grid_module_duplicated_pins.cpp index 7e628e459..6118e673d 100644 --- a/openfpga/src/fabric/build_grid_module_duplicated_pins.cpp +++ b/openfpga/src/fabric/build_grid_module_duplicated_pins.cpp @@ -66,8 +66,8 @@ void add_grid_module_duplicated_pb_type_ports( * Otherwise, we will iterate all the 4 sides */ if (true == is_io_type(grid_type_descriptor)) { - grid_pin_sides = - find_grid_module_pin_sides(grid_type_descriptor, border_side, perimeter_cb); + grid_pin_sides = find_grid_module_pin_sides(grid_type_descriptor, + border_side, perimeter_cb); } else { grid_pin_sides = {TOP, RIGHT, BOTTOM, LEFT}; } @@ -173,8 +173,7 @@ static void add_grid_module_net_connect_duplicated_pb_graph_pin( const size_t& child_inst_subtile_index, const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, t_pb_graph_pin* pb_graph_pin, - const e_side& border_side, - const bool& perimeter_cb, + const e_side& border_side, const bool& perimeter_cb, const e_pin2pin_interc_type& pin2pin_interc_type) { /* Make sure this is ONLY applied to output pins */ VTR_ASSERT(OUTPUT2OUTPUT_INTERC == pin2pin_interc_type); @@ -185,8 +184,8 @@ static void add_grid_module_net_connect_duplicated_pb_graph_pin( * Otherwise, we will iterate all the 4 sides */ if (true == is_io_type(grid_type_descriptor)) { - grid_pin_sides = - find_grid_module_pin_sides(grid_type_descriptor, border_side, perimeter_cb); + grid_pin_sides = find_grid_module_pin_sides(grid_type_descriptor, + border_side, perimeter_cb); } else { grid_pin_sides = {TOP, RIGHT, BOTTOM, LEFT}; } diff --git a/openfpga/src/fabric/build_grid_module_utils.cpp b/openfpga/src/fabric/build_grid_module_utils.cpp index 951e2429d..812038b10 100644 --- a/openfpga/src/fabric/build_grid_module_utils.cpp +++ b/openfpga/src/fabric/build_grid_module_utils.cpp @@ -24,7 +24,8 @@ namespace openfpga { * 5. I/O grids in the center part of FPGA can have ports on any side *******************************************************************/ std::vector find_grid_module_pin_sides( - t_physical_tile_type_ptr grid_type_descriptor, const e_side& border_side, const bool& perimeter_cb) { + t_physical_tile_type_ptr grid_type_descriptor, const e_side& border_side, + const bool& perimeter_cb) { /* We must have an regular (non-I/O) type here */ VTR_ASSERT(true == is_io_type(grid_type_descriptor)); SideManager side_manager(border_side); @@ -36,7 +37,8 @@ std::vector find_grid_module_pin_sides( if (!perimeter_cb) { return std::vector(1, side_manager.get_opposite()); } - /* For cbs on perimeter, exclude the border side. All the other 3 sides are ok */ + /* For cbs on perimeter, exclude the border side. All the other 3 sides are ok + */ std::vector pin_sides; pin_sides.reserve(3); for (e_side pin_side : {TOP, RIGHT, BOTTOM, LEFT}) { @@ -66,8 +68,8 @@ void add_grid_module_net_connect_pb_graph_pin( * Otherwise, we will iterate all the 4 sides */ if (true == is_io_type(grid_type_descriptor)) { - grid_pin_sides = - find_grid_module_pin_sides(grid_type_descriptor, border_side, perimeter_cb); + grid_pin_sides = find_grid_module_pin_sides(grid_type_descriptor, + border_side, perimeter_cb); } else { grid_pin_sides = {TOP, RIGHT, BOTTOM, LEFT}; } diff --git a/openfpga/src/fabric/build_grid_module_utils.h b/openfpga/src/fabric/build_grid_module_utils.h index 1051ae601..d83d9ab9f 100644 --- a/openfpga/src/fabric/build_grid_module_utils.h +++ b/openfpga/src/fabric/build_grid_module_utils.h @@ -19,7 +19,8 @@ namespace openfpga { std::vector find_grid_module_pin_sides( - t_physical_tile_type_ptr grid_type_descriptor, const e_side& border_side, const bool& perimeter_cb); + t_physical_tile_type_ptr grid_type_descriptor, const e_side& border_side, + const bool& perimeter_cb); void add_grid_module_net_connect_pb_graph_pin( ModuleManager& module_manager, const ModuleId& grid_module, diff --git a/openfpga/src/fabric/build_grid_modules.cpp b/openfpga/src/fabric/build_grid_modules.cpp index 8ffe94fda..051a53f4f 100644 --- a/openfpga/src/fabric/build_grid_modules.cpp +++ b/openfpga/src/fabric/build_grid_modules.cpp @@ -42,8 +42,7 @@ static void add_grid_module_pb_type_ports( ModuleManager& module_manager, const ModuleId& grid_module, const VprDeviceAnnotation& vpr_device_annotation, t_physical_tile_type_ptr grid_type_descriptor, - const TileAnnotation& tile_annotation, - const e_side& border_side, + const TileAnnotation& tile_annotation, const e_side& border_side, const bool& perimeter_cb) { /* Ensure that we have a valid grid_type_descriptor */ VTR_ASSERT(nullptr != grid_type_descriptor); @@ -54,8 +53,8 @@ static void add_grid_module_pb_type_ports( * Otherwise, we will iterate all the 4 sides */ if (true == is_io_type(grid_type_descriptor)) { - grid_pin_sides = - find_grid_module_pin_sides(grid_type_descriptor, border_side, perimeter_cb); + grid_pin_sides = find_grid_module_pin_sides(grid_type_descriptor, + border_side, perimeter_cb); } else { grid_pin_sides = {TOP, RIGHT, BOTTOM, LEFT}; } @@ -1171,8 +1170,7 @@ static int build_physical_tile_module( const TileAnnotation& tile_annotation, const e_side& border_side, const QLMemoryBankConfigSetting* ql_memory_bank_config_setting, const bool& duplicate_grid_pin, const bool& group_config_block, - const bool& perimeter_cb, - const bool& verbose) { + const bool& perimeter_cb, const bool& verbose) { int status = CMD_EXEC_SUCCESS; /* Create a Module for the top-level physical block, and add to module manager */ @@ -1268,7 +1266,8 @@ static int build_physical_tile_module( module_manager.child_module_instances(grid_module, pb_module)) { add_grid_module_nets_connect_pb_type_ports( module_manager, grid_module, pb_module, child_instance, sub_tile, - vpr_device_annotation, phy_block_type, tile_annotation, border_side, perimeter_cb); + vpr_device_annotation, phy_block_type, tile_annotation, border_side, + perimeter_cb); } } } else { @@ -1294,7 +1293,8 @@ static int build_physical_tile_module( module_manager.child_module_instances(grid_module, pb_module)) { add_grid_module_nets_connect_duplicated_pb_type_ports( module_manager, grid_module, pb_module, child_instance, sub_tile, - vpr_device_annotation, phy_block_type, tile_annotation, border_side, perimeter_cb); + vpr_device_annotation, phy_block_type, tile_annotation, border_side, + perimeter_cb); } } } @@ -1450,8 +1450,8 @@ int build_grid_modules( status = build_physical_tile_module( module_manager, decoder_lib, device_annotation, circuit_lib, sram_orgz_type, sram_model, &physical_tile, tile_annotation, NUM_SIDES, - ql_memory_bank_config_setting, duplicate_grid_pin, group_config_block, device_ctx.arch->perimeter_cb, - verbose); + ql_memory_bank_config_setting, duplicate_grid_pin, group_config_block, + device_ctx.arch->perimeter_cb, verbose); if (status != CMD_EXEC_SUCCESS) { return CMD_EXEC_FATAL_ERROR; } diff --git a/openfpga/src/fabric/build_tile_modules.cpp b/openfpga/src/fabric/build_tile_modules.cpp index ab5b535fe..f10d913bb 100644 --- a/openfpga/src/fabric/build_tile_modules.cpp +++ b/openfpga/src/fabric/build_tile_modules.cpp @@ -1175,8 +1175,7 @@ static int build_tile_port_and_nets_from_pb( const TileAnnotation& tile_annotation, const vtr::Point& pb_coord, const std::vector& pb_instances, const FabricTile& fabric_tile, const FabricTileId& curr_fabric_tile_id, const size_t& ipb, - const bool& perimeter_cb, - const bool& frame_view, const bool& verbose) { + const bool& perimeter_cb, const bool& frame_view, const bool& verbose) { size_t pb_instance = pb_instances[ipb]; t_physical_tile_type_ptr phy_tile = grids.get_physical_type( t_physical_tile_loc(pb_coord.x(), pb_coord.y(), layer)); @@ -1202,7 +1201,8 @@ static int build_tile_port_and_nets_from_pb( * Otherwise, we will iterate all the 4 sides */ if (true == is_io_type(phy_tile)) { - grid_pin_sides = find_grid_module_pin_sides(phy_tile, grid_side, perimeter_cb); + grid_pin_sides = + find_grid_module_pin_sides(phy_tile, grid_side, perimeter_cb); } else { grid_pin_sides = {TOP, RIGHT, BOTTOM, LEFT}; } @@ -1379,8 +1379,7 @@ static int build_tile_module_ports_and_nets( const FabricTileId& fabric_tile_id, const std::vector& pb_instances, const std::map>& cb_instances, const std::vector& sb_instances, const bool& name_module_using_index, - const bool& perimeter_cb, - const bool& frame_view, const bool& verbose) { + const bool& perimeter_cb, const bool& frame_view, const bool& verbose) { int status_code = CMD_EXEC_SUCCESS; /* Get the submodule of Switch blocks one by one, build connections between sb @@ -1489,10 +1488,8 @@ static int build_tile_module( const TileAnnotation& tile_annotation, const CircuitLibrary& circuit_lib, const CircuitModelId& sram_model, const e_config_protocol_type& sram_orgz_type, - const bool& name_module_using_index, - const bool& perimeter_cb, - const bool& frame_view, - const bool& verbose) { + const bool& name_module_using_index, const bool& perimeter_cb, + const bool& frame_view, const bool& verbose) { int status_code = CMD_EXEC_SUCCESS; /* Create the module */ @@ -1702,19 +1699,16 @@ static int build_tile_module( /******************************************************************** * Build all the tile modules *******************************************************************/ -int build_tile_modules(ModuleManager& module_manager, - DecoderLibrary& decoder_lib, - const FabricTile& fabric_tile, const DeviceGrid& grids, - const VprDeviceAnnotation& vpr_device_annotation, - const DeviceRRGSB& device_rr_gsb, - const RRGraphView& rr_graph_view, - const TileAnnotation& tile_annotation, - const CircuitLibrary& circuit_lib, - const CircuitModelId& sram_model, - const e_config_protocol_type& sram_orgz_type, - const bool& name_module_using_index, - const bool& perimeter_cb, - const bool& frame_view, const bool& verbose) { +int build_tile_modules( + ModuleManager& module_manager, DecoderLibrary& decoder_lib, + const FabricTile& fabric_tile, const DeviceGrid& grids, + const VprDeviceAnnotation& vpr_device_annotation, + const DeviceRRGSB& device_rr_gsb, const RRGraphView& rr_graph_view, + const TileAnnotation& tile_annotation, const CircuitLibrary& circuit_lib, + const CircuitModelId& sram_model, + const e_config_protocol_type& sram_orgz_type, + const bool& name_module_using_index, const bool& perimeter_cb, + const bool& frame_view, const bool& verbose) { vtr::ScopedStartFinishTimer timer("Build tile modules for the FPGA fabric"); int status_code = CMD_EXEC_SUCCESS; diff --git a/openfpga/src/fabric/build_tile_modules.h b/openfpga/src/fabric/build_tile_modules.h index e3a629808..b1507e62c 100644 --- a/openfpga/src/fabric/build_tile_modules.h +++ b/openfpga/src/fabric/build_tile_modules.h @@ -25,19 +25,16 @@ /* begin namespace openfpga */ namespace openfpga { -int build_tile_modules(ModuleManager& module_manager, - DecoderLibrary& decoder_lib, - const FabricTile& fabric_tile, const DeviceGrid& grids, - const VprDeviceAnnotation& vpr_device_annotation, - const DeviceRRGSB& device_rr_gsb, - const RRGraphView& rr_graph_view, - const TileAnnotation& tile_annotation, - const CircuitLibrary& circuit_lib, - const CircuitModelId& sram_model, - const e_config_protocol_type& sram_orgz_type, - const bool& name_module_using_index, - const bool& perimeter_cb, - const bool& frame_view, const bool& verbose); +int build_tile_modules( + ModuleManager& module_manager, DecoderLibrary& decoder_lib, + const FabricTile& fabric_tile, const DeviceGrid& grids, + const VprDeviceAnnotation& vpr_device_annotation, + const DeviceRRGSB& device_rr_gsb, const RRGraphView& rr_graph_view, + const TileAnnotation& tile_annotation, const CircuitLibrary& circuit_lib, + const CircuitModelId& sram_model, + const e_config_protocol_type& sram_orgz_type, + const bool& name_module_using_index, const bool& perimeter_cb, + const bool& frame_view, const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp index 2e7a33182..d25a9af72 100644 --- a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp +++ b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp @@ -261,11 +261,15 @@ static vtr::Matrix add_top_module_connection_block_instances( * We will skip those modules */ const RRGSB& rr_gsb = device_rr_gsb.get_gsb(ix, iy); - VTR_LOGV(verbose, "Try to add %s connnection block at (%lu, %lu)\n", cb_type == CHANX ? "X-" : "Y-", ix, iy); + VTR_LOGV(verbose, "Try to add %s connnection block at (%lu, %lu)\n", + cb_type == CHANX ? "X-" : "Y-", ix, iy); vtr::Point cb_coordinate(rr_gsb.get_cb_x(cb_type), rr_gsb.get_cb_y(cb_type)); if (false == rr_gsb.is_cb_exist(cb_type)) { - VTR_LOGV(verbose, "Skip %s connnection block at (%lu, %lu) as it does not exist\n", cb_type == CHANX ? "X-" : "Y-", cb_coordinate.x(), cb_coordinate.y()); + VTR_LOGV( + verbose, + "Skip %s connnection block at (%lu, %lu) as it does not exist\n", + cb_type == CHANX ? "X-" : "Y-", cb_coordinate.x(), cb_coordinate.y()); continue; } /* If we use compact routing hierarchy, we should instanciate the unique @@ -297,7 +301,9 @@ static vtr::Matrix add_top_module_connection_block_instances( top_module, cb_module, cb_instance_ids[rr_gsb.get_cb_x(cb_type)][rr_gsb.get_cb_y(cb_type)], cb_instance_name); - VTR_LOGV(verbose, "Added %s connnection block '%s' (module '%s')\n", cb_type == CHANX ? "X-" : "Y-", cb_instance_name.c_str(), cb_module_name.c_str()); + VTR_LOGV(verbose, "Added %s connnection block '%s' (module '%s')\n", + cb_type == CHANX ? "X-" : "Y-", cb_instance_name.c_str(), + cb_module_name.c_str()); } } @@ -448,8 +454,7 @@ int build_top_module_fine_grained_child_instances( const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, - const bool& group_config_block, - const bool& verbose) { + const bool& group_config_block, const bool& verbose) { int status = CMD_EXEC_SUCCESS; std::map> cb_instance_ids; @@ -463,11 +468,11 @@ int build_top_module_fine_grained_child_instances( compact_routing_hierarchy); /* Add all the CBX and CBYs across the fabric */ cb_instance_ids[CHANX] = add_top_module_connection_block_instances( - module_manager, top_module, device_rr_gsb, CHANX, - compact_routing_hierarchy, verbose); + module_manager, top_module, device_rr_gsb, CHANX, compact_routing_hierarchy, + verbose); cb_instance_ids[CHANY] = add_top_module_connection_block_instances( - module_manager, top_module, device_rr_gsb, CHANY, - compact_routing_hierarchy, verbose); + module_manager, top_module, device_rr_gsb, CHANY, compact_routing_hierarchy, + verbose); /* Update I/O children list */ add_top_module_io_children(module_manager, top_module, grids, layer, diff --git a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h index dd4f8e24d..604cce99b 100644 --- a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h +++ b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h @@ -44,8 +44,7 @@ int build_top_module_fine_grained_child_instances( const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, - const bool& group_config_block, - const bool& verbose); + const bool& group_config_block, const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index d5d4e7aea..959532257 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -760,13 +760,15 @@ static void add_top_module_nets_connect_sb_and_cb( } if (RIGHT == side_manager.get_side() || TOP == side_manager.get_side()) { - /* Only for the condition where cbs are on perimeter, the neighbour cb will be invalid - * Bypass in such case on finding neighbour cbs + /* Only for the condition where cbs are on perimeter, the neighbour cb + * will be invalid Bypass in such case on finding neighbour cbs */ - if (TOP == side_manager.get_side() && instance_sb_coordinate.y() == device_rr_gsb.get_gsb_range().y()) { + if (TOP == side_manager.get_side() && + instance_sb_coordinate.y() == device_rr_gsb.get_gsb_range().y()) { continue; } - if (RIGHT == side_manager.get_side() && instance_sb_coordinate.x() == device_rr_gsb.get_gsb_range().x()) { + if (RIGHT == side_manager.get_side() && + instance_sb_coordinate.x() == device_rr_gsb.get_gsb_range().x()) { continue; } const RRGSB& adjacent_gsb = diff --git a/openfpga/src/fpga_verilog/fabric_verilog_options.cpp b/openfpga/src/fpga_verilog/fabric_verilog_options.cpp index 44da5e47c..23f6aef12 100644 --- a/openfpga/src/fpga_verilog/fabric_verilog_options.cpp +++ b/openfpga/src/fpga_verilog/fabric_verilog_options.cpp @@ -54,7 +54,9 @@ e_verilog_default_net_type FabricVerilogOption::default_net_type() const { return default_net_type_; } -bool FabricVerilogOption::constant_undriven_inputs() const { return constant_undriven_inputs_; } +bool FabricVerilogOption::constant_undriven_inputs() const { + return constant_undriven_inputs_; +} bool FabricVerilogOption::verbose_output() const { return verbose_output_; } diff --git a/openfpga/src/fpga_verilog/verilog_grid.cpp b/openfpga/src/fpga_verilog/verilog_grid.cpp index 7ccdd35d4..4da841564 100644 --- a/openfpga/src/fpga_verilog/verilog_grid.cpp +++ b/openfpga/src/fpga_verilog/verilog_grid.cpp @@ -233,10 +233,9 @@ static void rec_print_verilog_logical_tile( std::string(physical_pb_type->name) + " -----")); /* Write the verilog module */ - write_verilog_module_to_file(fp, module_manager, pb_module, - options.explicit_port_mapping(), - options.constant_undriven_inputs(), - options.default_net_type()); + write_verilog_module_to_file( + fp, module_manager, pb_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); print_verilog_comment( fp, @@ -348,10 +347,9 @@ static void print_verilog_physical_tile_netlist( print_verilog_comment( fp, std::string("----- BEGIN Grid Verilog module: " + module_manager.module_name(grid_module) + " -----")); - write_verilog_module_to_file(fp, module_manager, grid_module, - options.explicit_port_mapping(), - options.constant_undriven_inputs(), - options.default_net_type()); + write_verilog_module_to_file( + fp, module_manager, grid_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); print_verilog_comment( fp, std::string("----- END Grid Verilog module: " + diff --git a/openfpga/src/fpga_verilog/verilog_lut.cpp b/openfpga/src/fpga_verilog/verilog_lut.cpp index b20b1d07a..45dc0b862 100644 --- a/openfpga/src/fpga_verilog/verilog_lut.cpp +++ b/openfpga/src/fpga_verilog/verilog_lut.cpp @@ -63,8 +63,7 @@ void print_verilog_submodule_luts(const ModuleManager& module_manager, fp, module_manager, lut_module, options.explicit_port_mapping() || circuit_lib.dump_explicit_port_map(lut_model), - options.constant_undriven_inputs(), - options.default_net_type()); + options.constant_undriven_inputs(), options.default_net_type()); } /* Close the file handler */ diff --git a/openfpga/src/fpga_verilog/verilog_memory.cpp b/openfpga/src/fpga_verilog/verilog_memory.cpp index 0e0972269..99557e6b9 100644 --- a/openfpga/src/fpga_verilog/verilog_memory.cpp +++ b/openfpga/src/fpga_verilog/verilog_memory.cpp @@ -61,8 +61,7 @@ static void print_verilog_mux_memory_module( fp, module_manager, mem_module, options.explicit_port_mapping() || circuit_lib.dump_explicit_port_map(mux_model), - options.constant_undriven_inputs(), - options.default_net_type()); + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ fp << std::endl; @@ -85,8 +84,7 @@ static void print_verilog_mux_memory_module( fp, module_manager, feedthru_mem_module, options.explicit_port_mapping() || circuit_lib.dump_explicit_port_map(mux_model), - options.constant_undriven_inputs(), - options.default_net_type()); + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ fp << std::endl; @@ -243,10 +241,9 @@ void print_verilog_submodule_memories( for (ModuleId mem_group_module : module_manager.modules_by_usage( ModuleManager::e_module_usage_type::MODULE_CONFIG_GROUP)) { /* Write the module content in Verilog format */ - write_verilog_module_to_file(fp, module_manager, mem_group_module, - options.explicit_port_mapping(), - options.constant_undriven_inputs(), - options.default_net_type()); + write_verilog_module_to_file( + fp, module_manager, mem_group_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ fp << std::endl; diff --git a/openfpga/src/fpga_verilog/verilog_module_writer.cpp b/openfpga/src/fpga_verilog/verilog_module_writer.cpp index 3b52c2d87..c99a088c0 100644 --- a/openfpga/src/fpga_verilog/verilog_module_writer.cpp +++ b/openfpga/src/fpga_verilog/verilog_module_writer.cpp @@ -145,13 +145,10 @@ static BasicPort generate_verilog_port_for_module_net( * Verilog wire writter function will use the output of this function * to write up local wire declaration in Verilog format *******************************************************************/ -static void -find_verilog_module_local_undriven_wires( +static void find_verilog_module_local_undriven_wires( std::map>& local_wires, - const ModuleManager& module_manager, - const ModuleId& module_id, + const ModuleManager& module_manager, const ModuleId& module_id, const std::vector& port_type_blacklist) { - /* Local wires could also happen for undriven ports of child module */ for (const ModuleId& child : module_manager.child_modules(module_id)) { for (size_t instance : @@ -159,9 +156,11 @@ find_verilog_module_local_undriven_wires( for (const ModulePortId& child_port_id : module_manager.module_ports(child)) { BasicPort child_port = module_manager.module_port(child, child_port_id); - ModuleManager::e_module_port_type child_port_type = module_manager.port_type(child, child_port_id); + ModuleManager::e_module_port_type child_port_type = + module_manager.port_type(child, child_port_id); bool filter_out = false; - for (ModuleManager::e_module_port_type curr_port_type : port_type_blacklist) { + for (ModuleManager::e_module_port_type curr_port_type : + port_type_blacklist) { if (child_port_type == curr_port_type) { filter_out = true; break; @@ -267,7 +266,9 @@ find_verilog_module_local_wires(const ModuleManager& module_manager, } } - find_verilog_module_local_undriven_wires(local_wires, module_manager, module_id, std::vector()); + find_verilog_module_local_undriven_wires( + local_wires, module_manager, module_id, + std::vector()); return local_wires; } @@ -606,20 +607,22 @@ void write_verilog_module_to_file( /* Use constant to drive undriven local wires */ if (constant_local_undriven_wires) { std::vector blacklist = { - ModuleManager::e_module_port_type::MODULE_GLOBAL_PORT, - ModuleManager::e_module_port_type::MODULE_GPIN_PORT, - ModuleManager::e_module_port_type::MODULE_GPOUT_PORT, - ModuleManager::e_module_port_type::MODULE_GPIO_PORT, - ModuleManager::e_module_port_type::MODULE_INOUT_PORT, - ModuleManager::e_module_port_type::MODULE_OUTPUT_PORT, - ModuleManager::e_module_port_type::MODULE_CLOCK_PORT - }; + ModuleManager::e_module_port_type::MODULE_GLOBAL_PORT, + ModuleManager::e_module_port_type::MODULE_GPIN_PORT, + ModuleManager::e_module_port_type::MODULE_GPOUT_PORT, + ModuleManager::e_module_port_type::MODULE_GPIO_PORT, + ModuleManager::e_module_port_type::MODULE_INOUT_PORT, + ModuleManager::e_module_port_type::MODULE_OUTPUT_PORT, + ModuleManager::e_module_port_type::MODULE_CLOCK_PORT}; std::map> local_undriven_wires; - find_verilog_module_local_undriven_wires(local_undriven_wires, module_manager, module_id, blacklist); + find_verilog_module_local_undriven_wires( + local_undriven_wires, module_manager, module_id, blacklist); for (std::pair> port_group : local_undriven_wires) { for (const BasicPort& local_undriven_wire : port_group.second) { - print_verilog_wire_constant_values(fp, local_undriven_wire, std::vector(local_undriven_wire.get_width(), 0)); + print_verilog_wire_constant_values( + fp, local_undriven_wire, + std::vector(local_undriven_wire.get_width(), 0)); } } } diff --git a/openfpga/src/fpga_verilog/verilog_mux.cpp b/openfpga/src/fpga_verilog/verilog_mux.cpp index 38fcc0224..6f7a2a282 100644 --- a/openfpga/src/fpga_verilog/verilog_mux.cpp +++ b/openfpga/src/fpga_verilog/verilog_mux.cpp @@ -679,8 +679,7 @@ static void generate_verilog_mux_branch_module( fp, module_manager, mux_module, use_explicit_port_map || circuit_lib.dump_explicit_port_map(mux_model), - false, - default_net_type); + false, default_net_type); /* Add an empty line as a splitter */ fp << std::endl; } else { @@ -1424,8 +1423,7 @@ static void generate_verilog_mux_module( circuit_lib.dump_explicit_port_map(mux_model) || circuit_lib.dump_explicit_port_map( circuit_lib.pass_gate_logic_model(mux_model))), - false, - default_net_type); + false, default_net_type); /* Add an empty line as a splitter */ fp << std::endl; break; diff --git a/openfpga/src/fpga_verilog/verilog_routing.cpp b/openfpga/src/fpga_verilog/verilog_routing.cpp index 3826a2552..00bcaeda5 100644 --- a/openfpga/src/fpga_verilog/verilog_routing.cpp +++ b/openfpga/src/fpga_verilog/verilog_routing.cpp @@ -115,10 +115,9 @@ static void print_verilog_routing_connection_box_unique_module( VTR_ASSERT(true == module_manager.valid_module_id(cb_module)); /* Write the verilog module */ - write_verilog_module_to_file(fp, module_manager, cb_module, - options.explicit_port_mapping(), - options.constant_undriven_inputs(), - options.default_net_type()); + write_verilog_module_to_file( + fp, module_manager, cb_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ fp << std::endl; @@ -237,10 +236,9 @@ static void print_verilog_routing_switch_box_unique_module( VTR_ASSERT(true == module_manager.valid_module_id(sb_module)); /* Write the verilog module */ - write_verilog_module_to_file(fp, module_manager, sb_module, - options.explicit_port_mapping(), - options.constant_undriven_inputs(), - options.default_net_type()); + write_verilog_module_to_file( + fp, module_manager, sb_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Close file handler */ fp.close(); diff --git a/openfpga/src/fpga_verilog/verilog_shift_register_banks.cpp b/openfpga/src/fpga_verilog/verilog_shift_register_banks.cpp index 6d4707b1e..6f548d8ec 100644 --- a/openfpga/src/fpga_verilog/verilog_shift_register_banks.cpp +++ b/openfpga/src/fpga_verilog/verilog_shift_register_banks.cpp @@ -56,10 +56,9 @@ void print_verilog_submodule_shift_register_banks( for (const ModuleId& sr_module : blwl_sr_banks.bl_bank_unique_modules()) { VTR_ASSERT(true == module_manager.valid_module_id(sr_module)); /* Write the module content in Verilog format */ - write_verilog_module_to_file(fp, module_manager, sr_module, - options.explicit_port_mapping(), - options.constant_undriven_inputs(), - options.default_net_type()); + write_verilog_module_to_file( + fp, module_manager, sr_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ fp << std::endl; @@ -68,10 +67,9 @@ void print_verilog_submodule_shift_register_banks( for (const ModuleId& sr_module : blwl_sr_banks.wl_bank_unique_modules()) { VTR_ASSERT(true == module_manager.valid_module_id(sr_module)); /* Write the module content in Verilog format */ - write_verilog_module_to_file(fp, module_manager, sr_module, - options.explicit_port_mapping(), - options.constant_undriven_inputs(), - options.default_net_type()); + write_verilog_module_to_file( + fp, module_manager, sr_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ fp << std::endl; diff --git a/openfpga/src/fpga_verilog/verilog_tile.cpp b/openfpga/src/fpga_verilog/verilog_tile.cpp index f6b10beaf..a3bb1e992 100644 --- a/openfpga/src/fpga_verilog/verilog_tile.cpp +++ b/openfpga/src/fpga_verilog/verilog_tile.cpp @@ -58,10 +58,9 @@ static int print_verilog_tile_module_netlist( options.time_stamp()); /* Write the module content in Verilog format */ - write_verilog_module_to_file(fp, module_manager, tile_module, - options.explicit_port_mapping(), - options.constant_undriven_inputs(), - options.default_net_type()); + write_verilog_module_to_file( + fp, module_manager, tile_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ fp << std::endl; diff --git a/openfpga/src/fpga_verilog/verilog_top_module.cpp b/openfpga/src/fpga_verilog/verilog_top_module.cpp index 60ed91956..26268e4c1 100644 --- a/openfpga/src/fpga_verilog/verilog_top_module.cpp +++ b/openfpga/src/fpga_verilog/verilog_top_module.cpp @@ -61,10 +61,9 @@ void print_verilog_core_module(NetlistManager& netlist_manager, options.time_stamp()); /* Write the module content in Verilog format */ - write_verilog_module_to_file(fp, module_manager, core_module, - options.explicit_port_mapping(), - options.constant_undriven_inputs(), - options.default_net_type()); + write_verilog_module_to_file( + fp, module_manager, core_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ fp << std::endl; @@ -128,10 +127,9 @@ void print_verilog_top_module(NetlistManager& netlist_manager, fp, std::string("Top-level Verilog module for FPGA"), options.time_stamp()); /* Write the module content in Verilog format */ - write_verilog_module_to_file(fp, module_manager, top_module, - options.explicit_port_mapping(), - options.constant_undriven_inputs(), - options.default_net_type()); + write_verilog_module_to_file( + fp, module_manager, top_module, options.explicit_port_mapping(), + options.constant_undriven_inputs(), options.default_net_type()); /* Add an empty line as a splitter */ fp << std::endl; From 201b2555e5cd10cb536b3db55abb6895bedef5d4 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sat, 6 Jul 2024 12:15:08 -0700 Subject: [PATCH 156/230] [test] code format --- ...N4_tileable_IoSubtile_PerimeterCb_40nm.xml | 32 +++++++++---------- 1 file changed, 16 insertions(+), 16 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml index 2bab2cd89..e25a5a686 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml @@ -65,8 +65,8 @@ - - + + fpga_input[0:1].inpad fpga_input[2:3].inpad @@ -78,8 +78,8 @@ - - + + fpga_output[0:0].outpad fpga_output[1:1].outpad @@ -94,9 +94,9 @@ fpga_input[0:1].inpad - + fpga_input[2:3].inpad - + @@ -107,9 +107,9 @@ fpga_output[0:0].outpad - + fpga_output[1:1].outpad - + @@ -121,10 +121,10 @@ - + fpga_input[0:1].inpad fpga_input[2:3].inpad - + @@ -134,10 +134,10 @@ - + fpga_output[0:0].outpad fpga_output[1:1].outpad - + @@ -149,9 +149,9 @@ - + fpga_input[0:1].inpad - + fpga_input[2:3].inpad @@ -162,9 +162,9 @@ - + fpga_output[0:0].outpad - + fpga_output[1:1].outpad From 91f8bb584137d87a2a93365388cf7af989cc1a99 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 13:40:01 -0700 Subject: [PATCH 157/230] [doc] update figures for ecb --- .../figures/ecb_allowed_direct_connection.png | Bin 112293 -> 99353 bytes ...d_direct_connection_inner_tile_example.png | Bin 107355 -> 111180 bytes ...d_direct_connection_inter_tile_example.png | Bin 112800 -> 100259 bytes .../ecb_forbid_direct_connection_example.png | Bin 116620 -> 122110 bytes 4 files changed, 0 insertions(+), 0 deletions(-) diff --git a/docs/source/manual/arch_lang/figures/ecb_allowed_direct_connection.png b/docs/source/manual/arch_lang/figures/ecb_allowed_direct_connection.png index bc8e07855628ca5e700ff596426eeee7cf4793ce..35dddf336aeea216b839699a1ea64fdaf185a160 100644 GIT binary patch literal 99353 zcmeFa2UL?w_cv-oM4G^nB27^c6ahg6q~s_niWC(UQHqFw9u)$Jgc_9+l%^5{q*>wE zs45^mp(s^EDFP{Uq$CtcAnnc*KqBh<{qKA4_uX~Z(zVVyD&_}#C>(eQt+3uZ;`3se~aCZ817z_Rwp$8J}h(EWwLA0 zqRdEMx*Zqz9Cyh0=w9%D@K5zfr2ekGfB*gWfpyDI*d4LAw|6>r`l91emuvQLBX!pU zDxUs9*KBqM1qGoVdW4+b8*Zl)>9!Z`dh%}QxkQhXNvK1qsj2^Z?SI-3@$6M$)=igJ zQGTzUoPJf=m!BM4n&FGRb+kGQS=Uqi@mb{O*AH7;TfgMp#tl;NaQV)n#GcXiuUSrC zF{#6TTgRy+R&N}O#S;C?Y8BvY@#Q0?=8OLBZE*x2c;Ndj-4`uVFMy1A>y}{*2&4qRX7-d4yvgt*-T&zK$&l-rFCFy;|*z2m(~=%LhEKq2Z= zK**||wdT9`Bs`Yc6R5}y=yh)RwpMPB_B-?{p^Z^LPfs$aDWYmR{(T75)RZsqn zuyA)(=;6;_OUZ@Toq{jRDnXj6IxKKJK{(}D5Y1s7^!4knrLQ#kO&5c&ik9@8E?2U9 z_cc`|H85Ka8lwH=H^MEVnUyI|pGN7hp3taF)vgQXyY&x-ocIX7dZM&0S$>c54Yv^_7xcE{TrACd-r1 z@gZ8-fS$TMALR)cDAM1vu`R=M+Wj>1BvfJfPoN#85K96+B3dOuR zB8W?7^RP#o`md7RQ2a`9l{%*|p?W~70LC8Uh8y{?IR;+2cR-wa$YpPt9w0|DMj9ddTe5$GcE><3sCU_ z2Wni z>Hdt90xAM->-i(8%iHDKd8CE7!H=rVAc2@267aq!Jce7>83%q;o*IY_2yp_^(2_@N zmg@!D|M#A7!D^xL8E5$Z_*cl6eLgIpf8GcowN@?(!iNuAm0~kq5bz58;tA;zh zo{pWvh8lZ36dN1F4s2~3Kpm_ld3`4|@O7niJ!?Dl&;f$+!UZlC%5^K3Znvw97jkU| zlP+fuC0bRA0z;vO86%#o49~Zfs6A!YV8qpI)t&;X-65aQ9CSfnPHyB2CyH~Gi2l~^ zEE3lzfj|E{1Ma`IsRc?zB!hO&j@pr!E9B}3tl}J7l+rz?4a_mO>L~ksZ&pmj+>+5{ z4n;;*6;uA&`rh&DV$zZkkMTA)IBOI_+C!;}=ZqA;39`uf4|dQ;Je7EaqWsS4LDt39 zLoiPb3_F8m0AkC@v%S%qc}#DGP~{YCw66nSf9`giMcM|fU2>hF zv51}(Hrn!ZQiy|V-I@BD%@)E5Yey{0c569iI?a$gx1w(dIT>+GZM-Ua*~Snb(acp5 zaR(YykC&EhHY6MKG_0zNdk=l&d{|*`@d+-Gbg5De`8@_&P6~$Lqei;b0r>S?Cm~*9 zY}{XrGpmgY+?Lg&SMd`Q;-tXGngTcZQl8GFy~=_1jz=a!kExAT3F(^e-WKTY=mvfK z!@wU2{E@&P3H*`39|`=Cz#j?xMgq^N#QehKg4O1(b;c163~CnzpM3z&qQ(>>@W(S& z&ZJDP^_@@A0nP9ldd;Rs#1m$F`sl-R%9-lQzpDsAUss#^L(OQQx5UtienX1Bo8i?y~=Hl5ewtsXyl2M zauCSml0h<@6-NtU0a+zx&mqNvaI9ZG zW-EDzC^YU|+L#C?C;&~cB15CTs+4Fa8c^+)L5(e$mHC4&{W_@9r+ilUi1W|{CIh9jh=_2^vxMLf8tc&sP&=lTCT@F>3AFZXR3bX*C}axI07ZVojr)n!5au6n=*? z-EPSowUbWAN>w#j6O98Jm0hyyQSnN=?hrcVTNuf2rW%xp+Y}H!O7qH00;0PKiO%jH zZSiE`2zv}hc3yfO&sK`zO?Ms*wwKO*DXzfm9B-OE=>|Es7KVdsR-_DO9?=$-Bw-f?>RnYIv|ky7ga;c_N`nAFC?u zWKTNSFYZG(s?xy-5w_OZI!9L+?=^m#cx_Jbe6%sJOF)7~DO?k=yQ=j1>{DJyBXZO3 zIk=;>3p{hFJIAH5>jfQ%^;qNz$D!h-B4QB0gj?=PyWpagh+Dy;CK0eI1F^cKEvu`R z7L1x5I9&i4Jke`_U60CwD{jnHm_B)C9C@_{eaKNuC<>>O5Y1&y??+{yrKH90?vlrSs)}l)z0W$|9WaMMQs^vQ>e*c*0i< z(e}yPXWMKv3cmI8LZr$vUg<M& zSVDiQ{2(#Yf+V#(^(~zGtyS`-G-=|v1=|cGNHRqWsRL$rQp03# ze6?=GJ@#D#;q{iC7q=%b_8l5dH!D|RG|kR(38ck$83h3}cMO>pS_5Oobj$LIZJ&e0 zUvb|&--Twuji&6a$&+W2x(rMs`&U8}uClGu zcW9T`!k7Ah@MUZ-Cwsuh!$)smgH#dqx0D`8tKJQIUg<+>^$Ehp3*(h9GZuF>ql4ll zPCr&_PZTb)r61(cnRhNKPo#XgAcIWk>me}FtK!0l2>N-EaN1#VwM!I&j|q;GoFJ;?Q3&e3POTq zFS69eWC-NrrSasnjz^5EuAQ*%rI0HvxAy;l7>y`VA{HE{_YC>e@NNNr|(gHCnCcb|3>A%ok(i_*ejM$@C1YF8{bLVSv8)lY=h!9Z}39^60Z zP?~JJZx!Xm!K46mz+TAHs={-m!i;%*QtM?!{hGf=wUEjO&y=lvsr^2|q@Ap^j{*Q1 zRN+3CwFMVQz+FG%4P|b*^L=lmNj`E{Rp~>>m&N3o3yc`^IJrm`6t0-_+Iw~RU1EYV zKfwxo#Et2Oz8iocjP5mcSy8u>Rj5RqIOn2zor9R!95FG8E!>`|fnBi~-TBKoh#hkv zs@D-E5Bk`Pc?5uL$2Z#CnjDds55kRRcnlZPUt(8#wu;xvS(z18ozTvO$y4L^&PO7} zPP^FHR}|TcT)CT6v5EcEr4=4kn5QZU!Ph}*@<3U6s`dx9a?PsM*732FZY&g)Dvb6D zedkGPT5J<Im&v^dD* z0xFofCr0Yo8huWYEz1-Y^Vk{_Ox=FeMNh&+VcDAUK=0Ha$THq?Z676@3_% zyhfbt2MmUS-P+Z4%q@;CupPQr+$?jc$FnIOHz2`2Fy_&K0^E^VS7NJZj=8X+v+3Kw zt-R)B{s>7Ko#Udu@oLF}~5?3tQc9TD3W~tG8ALdevx=~nCh05SENaDLSSvX99k{z}74rl0~?#^MYX{?nt zlpRe0&MX@16c%-7l4*d#TcLxxXg9F1hj>px5YfAM^F~tZkieNtH9xMJ&r7F4X3GUZ zTg2K_ z1&-Mb*#~c$cZ8;FTZR(1qPlmLOR>`H0c=gMEsG8Z&1o|ZFuDG{KNjUZ7TFSs)G{qo@_1= z_-dlcO#v`U6$tf51Gle)N+^tZ1!KT{%?L|fzG8-*LYC|4k|!5iLmR5!66nZ+fB7E8 z>F<02v-8QKtxL>U>X#$_=XO9VbT_am1w3hc40}Zey^w+%m*LKsoH$>(g3AijNaNWck<3XH!d5w}FAsx*%3f9qh`eIyVpV3i8AW ze*x5>d=a9iWz6HLtg``x`kHkwJyjYf>zNhtP7cI;S<^^eEB{SPP`kTo^+ZbyLIK+^^$#LC^B2K+bivS_J;nvza={vlnp zBBWwQc_pMyj;(wTm7deuz#1Of&gJ`}@KfTZEbdJKjHUkKxWB9lkhzE!a(uR`o-8#~ z0A>$4A5H?QJ*Sm^AaHlIarAi&j82HTOUk-AB-1bLDhQ;}FZTR2`;61l=c@@>H9Uk*2c(;HlHPnAMOu1AkPgjuX!~gu{Dpa3s!3QiK=XScN4MZhtv5}73163 z9RE&gHvq@OKrRDwa#;X}R5r)#0et5Mn6CyfE(=)lb^S~kbf z06z&H?5oSEY}=oYSnW6s&F>f5KF`)OGyq$G3lJ&d`d}BNk;XZVa9YV52VFA_h-3Bu zYym<8%t!gykUuJ)HHH62D&IFWQ=?L*2b{+*&@xwevJhw?dUHnszr^VJ$(eeRpWvP8 zG@BUy+A_+LW_F?%8rAyAxq_5m+GBW&27AH(lPQ-a&1H$e&;9HLgnXbQ84FXMS^&e* zk7lP+>N}srpT6-ufxUGcARjTX5%`VKk0#&UFa&;8#xj6>o3}h17W)byz5F2TnuLC6 zjPrF4_bcKBXh1`lsLiYE@oL~2Y^Hf?$d(0{ML5Cz4$z2W)8Mekmux1?P6xAjy(f;rcEkQw|pv0`+l2JXL%6*t&rckS7~bPRT! z=Jl)e0Jt}HecUhI5&w5{ixXbx$!0RVPN)iS73J4XkRvht$$zq)jmT{A-Y;D*mLsWJ z=(T5@&mWclDi-`vIj9ev^=_RUi;-VJ)y9#X@BNgHl~=RZ9qgd>E7wr)KyD?E1w>DRjPo7c`L8q;@d-14<};_?dBQ=m44y-1%kqc_k0l_GV^_YpGO0JhyS|bJf57-nA*I+c+X@%t6D6p*!`$HdajPAzluC{U-Qa7{4y(xpxbZN zy!*sJp#i4@DR(>;y;SB7vgbUgahqA{)Fld^`EpyH75gBOZ-)awNJ4h zZO75uiO+jZ3>F$xErD2@so5Vk(r#(RzXnI%e6DmhU-QDza(}i`B$D3Bwb}TiD&c8T z^kSQm9&^g}sr%UE4X9J#>f3SlPCPs5yKua!v<~fdD^k>AZhM}{$8+_Dq5lnv@0fj|fEM`W+M4$2v5+8XO4DZ7=xT6E2cw zdL-eUnSM!&i_3aw-5o*gKJFc(>vNB}oh^ExjIby5J{64AD!!20=e8@iI=T3-9~E=) z`4NF%SZUky5PeYfUBpDDDc`OyU0?xUCf6&*lSK{AhU98UNd^Q_-%*XK=;)fqBKvdT^4 zwR%&rK~J`xr99x0wA0h-Wp&0fT#0wktskZMMo)=*X%dUpvsQLX+RRmp0u(3!s3Ybu zitF5~CZ0#t`Y~T%?N!spJ-O{apN$XJ-0kE*n0_iKnEREL<6!qQhxgD+A*kI|vfDm` zG-+cVtR+76jVHaW9>rHIHASIV$y`y@Yagh6uDOzyfBgOJ*5E!;c;R5GX9$KvuF-kObIn$jn9-FPMX0_wU&M%6* z%{>0&pQacdMfkfxjA0L(tqXK~3g8D=61ME~i;3+V_<l9I&Q!Z)^CoTsDJ1hGhkj&X@_bMI zblL?`3_2VyHTmGO)mb|Hb9sA>gBazas2OwUn-vTkP%D3~Eo}bW4Y{2kKoo>ca9fl_ zr}bRb%Go^433iyDk@y&5h;KY}TpjM`qkJ&f$n}&c-K)(xiT=&JkD@uua+EmkTfQJZ zZ#kf?^>h<=nZ&7&Jsds`IgQSMm0Ebch^H*3#rL875GBX%w&QY&?GvTqE-3QiTJpWW zVoAv{Tr$1&wqXmvBrny0UV1cosc3Jno$YmSEINC8hmK8M7BN8;Dyo}~$NEPJ_|Rx7 zGOs%~=rxmX7G}CLKBXg7NA!^IX>FSe&;00$TZ11+a1Yg!1ebvb;vV6w2*$F2iD zdYka*pyzl&=aGWEi~0NevQxbop9}{H!v}4~SGz9|W8k9%H#Nmg+}JMqR4C`PC($LP z#Zj-C9f;Ezg3aDtAv!K@XA=*2rw#V`GXRE6EXA9MLv|NLcQ0V?3Sckae?;}}QW;Hs zuPqTAlg>_EW;&55;%hCMJ5cYlp|i8ReRjP7vdh*U<81hoCQsjlAg>$|F zzhf@2{1{L+aGGW8<4b0PIpz^X;E@B7o?**4sYw}eNk^~O;8$&t^sz8wq+H~4u39fR z-j`mnz-+?M0q%g(vBKc*{Cg_;$ zLZj2rdauO2X~shS;joZoU3tDfx=o!xv7SI_Gb#~}v+{}BY4zsg20alo##_Dwy>Fr` z*DbK%&B0L#ZfldKA-kaLOMk+tQYIR%>Xcqb>v`$pKveKZd;b1Phwn}Rj>>Axo1c8a z<|6`i$D#v{u_`#ujG6Dvmu#GNuCrlWL3Ryg;OlB~58N4SYuk?Iav8FQeH+cnC?0>P z>xP0MwHM&xIKaj8P24YPYN-=@Iem+l5n&I$wzV36XPXm>VQNXhWusp1JW%frBo81_ zTt{j1Xu;bIFU0Pf>tL$)fdbTANlWi>&eT(1UMl-)7xJ!1SJZ^sRa=Y5!J}UkY#JV= z78U!ApLA}r>-Z^(++>uRXlp^1pvR>5aPo&R%6(7dJzGAI!&U-1h$!bW1{ z+h7))`6*pC!!tj4@F!E)_gKn`@HF{lMQG1eREKooT>!;2y1`K#=a&Akg?w}%b8zY~mkp3htrWKorfxU==D zW|g$Drot?^JBc~@kG6XCA<=?N&+$(#|KK_(0)wj;8YXE;a!ijmdF+riJ`Y+zMhRQs{^!Pk#`)BM4P$G1_axPVq zyzK^$=wte8=Q37w9^^0#&z(Zzgc9Dlg3NA*>~=^gD-K4Gx* zs|y@En6DF}LVNK7AO^{319Q%Z-9P*`2 z4r_*DJS=fDNBmCB z$xkS5>)dB6FNpn0wID?B2{wEBOLK@%FiF_?4(ptFSiLaaaf8feUhFYkNv%U$c@%Qu zrYoWn4mTfb@?RJkUlR7DO5OigiZ7Dfx0<8A0|^{T{2MG01{>2vF($(?xAw<)y0&^p zO-MDHMO(PjKB1alf>gKuh4qRBX~C(|I8*Jv4{M79RY0MAE@?)Nq185w)e>c&VfL=h zRpQNY(G3pFs|jhVzUNAL%F^{U8OXu!bGr8WCoc-z)kr?=lWfe=<6!YnHM4ktc^RqY zs19Q4j|YY7P&}<B(IHZv?vRalZe#U6nMOQ1qxUCk1t7Lo)%R#3x*eV7%ui+Y zJ^V{ifl@Hj3;-R+cJLgcI$fx5f;fiHxuhGa3*_0O2ii` z3HeF)Dg|i7@XEM`>f;HI@qb&!vOa0ZUu0jjnAzSmI3iF{ctT*Tp>y`a1d@tYOJE($ z!GD(C%$wt3_r>87V)SV`b72a1>W-V**Yeb&Y5WOJx9Dd?%}GvQiEWAT$ipX_3D{m- zV_futM^*nD!vO?oa4BeRTL+B}*b0e29c0wu>;gi1S8AX%>rxWPs5QJFOWdgRcfaz0 zl5ooJj{j0sUXdvJQ8^30pI~@EJGZnNQ|49@DqTQE-Bjm@m3qcJ^#xkO>G}tXM~3)6 zzYJa6?zET zvV~D#MaG^CxV}Uz%p%l>Yx|wn+WOwi<7`L$(FM4Ec|aRcha#z*@KAv&LuWJcdNg^= z)6=Fv83)Q*IhXGjOsaNia0pdHzMb{vqx}}-si&3;($k8^Qm;6Y3|(^!`TR6Ji`?lj zD)3{ZwX{DX@TGJ<(trapYCva+Pm&fxBE7+7^J`F^_rtmotRt>)n6;BptgWb{?W$u7 z{Ac(9?Q`55ekmoek>Zicv}`aWb(3x_OnsZg&3!iZoF4pPb_s=Iu{2fQbXxl2Zz2CH zEwPDbo*wq?m?n3>d&aL?0{tIevYG5+!3b__!=GNqRN?9dg+spw~ja$_9 z@%u4(ap|Ccn22fA%rTv^A=FKeHMKn0xXN9t#7I5%X%g$y^gq-p_v*r(!_&PU)fXQ8T8=iX&X+@p); z#ZRqB2_)w4!?j2rASJ8b#MJGd9v3*%>2#+-z%**6o2D#z6v&qD_w0vpe= zx7N2-3;EiEr4jq+54Vgjx(joOX|6qX=}9E^7qiumaa$G1IopdyYZL3Ue7j9bm`REF zqG9UzrNwI_V3mD8sAhJ`$p^JV3^}H(xkLU|H#iEKG+Fe7kb^bw-RNqmb!E~s!gowO zyz3V-OU^ePK?i76o>yn@3dZ)VD0&H+U5;g|!)XeqJ?mYZ1jTVMD~qeQ%@n#s0H5sT ztYhARQm7W zU7pW{s~}T@XtKyfHLZGyr_J3+>at%c%p53e6^Fv!q%8Y5b+JF0tU!ReEKt|}4<9;0 zf5CSP7l~g+zBNb@v!|4E|5DChQeCTdwU={<=_y##>U3L5#F7=R9!xVT2{co9bl_Km zg1y91aSl$Gr!I3D(la9w&0;K)cbihrs^2U76)=G1M7naA!9PagZtapfBDiJb$GY}6wafaF)5*m=;y7n3SfCbD zD!x+4EAHt>5u2PF^M)`&1Ke77h7^Df!z)zV%7DR}nD8`fGbc<+4y|^^*@WRFPL==q z!3~~OyIi#7(lrcsVyXc@LcRw<@4{}4qL_3jU`C`U+j}$}8791mN5M3T@dG5mM;@O2;{*)E)%9 zlOIy9=f0VgP-L~P)%l3afiahW+oj%}fsrgy1e_xDQ*8ru45g3}TzsUlNrj@l*@2|6 zV;BAtL7`JnKUvYg$y-c#65_K1D(v3s8)9|BNMmk5FH9LwwbR6Y?BoEL~7d^4bYDhvt&h4o>Hl# z4=rAEz>nFxSkq-KqU_c9nslw_HMsGxNSuUEe&zp&%mXF6{Ro$#VHcdA2zfkH-zs^V z>2S+vX!DT8w6E+w{3q#*EsvffJ#BwGy-ajK_?L^I(QU?}>n`Vv2rUWx45t}uHf?ijeWz<&5U)ve z68SAaK8U$%J?%g&!^?uA4#g%!)U56jmF%>R<67GAzDGoZ*ZWM}*8%jlp>f$<4%Zk{ z{nUX@+_}R=#j3v*)gee!xifIe(U6BsPK-zB=YhDtq55>w1Z(C19#GynW0Hx~5H}FZjPMIUeMav%UM= zkos?>Z7?Hk_^h-Yjl=~$0be?%a9S%%jHD(bRgB=Gk-JSgm@1--x4{V+sunRfek-g4 z+AaU`$AH$hylX+^2JUs(MgO2nTAuDj`_vsccwrg(Mzy8~ZM*E7o3;@nYiR1s{~&pY z*q}V_(0A4dEj7x#Qkdu5;R#<5ShS`GK7#c?+F$!5T6T!nu&hg+SL_~#Qyam6ET#x{ z$1TI;l*)`V0FA4gxxe`NQHNQs*qGDe5y0TCn&RpmtK*a4*wl6*DGtH z?6Xr>oj(Knw5Wl?l}2V#l?F3r^K$9M|-Clihe7$rI6SL zX2n*8hWp)DToS{5QR{)2bKfUa>JCRAX3B`vob-PS)E~%vLc@1h9T81K)jp>P+NX}= z7^C;>-WO&X#O3CcXm0QBCp!Gr0{@0A@a=cOTjh@{5S&=crrKOmRaFvw5cD(pcWI;X zZ=(j+xM7Og^M5NLCm^9lL4_7ejMR_DRy+UMa%b#eS#xWILqq5rN}5!?Pmp zN0YzCUxfrKS#@1=a#E9Y_kxV>+Y12OF27aMWuT;tISONFG6s~oIj9!&h3K@Po;nay zf~7{|^J$ph`J+bQkFalM{m~!M!8mI2;y3N zUO_+&!MEdqW^aHD{@x}M=-C6~lik21_q9a|Pwh-Ewag!~|Uz@Dfl*jyVOWl<;+-}0JeMW~=%-bCj+Yd$7u@so#YGQXib2m0I zPPi<bOUnLwz2wNpsvj>=+2o2);JhIhZ?&qBexEPR3~aHlEbUfOv0 zpy!&6bVtzNCb{)rA*bYR0-k4$VnfzCyMP*q6VIgn`V89nxPz|78CPL^fI&fK=V?U= z!NKz6G<#nPO*LK3z>-{nWA=6k`gxJhSrRmvy4SPqAAdf1cqQ_C5( zpy*`=(x1-`D-m}c)AFIIwS#vT2<>Xe)jg52vV0+h{sg!@2)H~nB#2%7)+4DN*YonQ zes>e8I8Dt8d2WB4xSvmkySYJxA}>XyjW{$b^k>=62r+TU>4Ay3uF=TbSP*hVgvy48 zPga$NmsjoD3;KZP?gp&A9;u=G6jRpe;JN_Wvo$fFxr7;SmD_0na@)^NY{6iwuW0Eg zKzBHw74#ontx}YD`Cq=uop29#Yk<|6lG8#xFwfT~v=#pa$Y=(Kk`F!wJcB3I;fN5) zPhy{ghz8hfUxjhT6rrsY)PT4iUPi420J1-pYl2v5kP_Iu3a|#!H^POgQW~V-<>0o# z(Vfp%`sehUA$R)qBBt#hdm=8N1*aI-a=2~H#e%cg>D2QaZY+1Gri%WdZW*KuwsmU* zSb&@N$iwoI9!)VD(;O#GiqfYXmbv=SqTTMND{;Ft19_f;=5Zhn z*}u1sO{`XhZE@J|1?3Dj(Ofw3_MNJ{M`If5Wy-%3M^OYkKQijU>ls2e$fsr~vLIw~Av`Db!h9 z(S3hw=Ch1(RQ3(Lq4$MPy`2)$xgXxDw^NbP9Womor5)=e378x8%&V3 zE;f@TP-8*xh@Ux5A09qhRhrvVRT>W@aGDR&oHjjU)e!OOa4=kFah4vm2izJs&YIM@xf8HwmJ z-h4a>dJgGb)s&T#dApfc4C?|)<)X7_N8uQ8(_EMdx72V4Yp3EUb2@RdU+VTe&jBfi{FzcU}cRC0t@Q#ygQA; z9*80GmM4X>rm!RnQIhzL0hfYrG8|0ibpTtvtHQ0?ywpOyhae0AH8S2ZzIH~-M_c3PyXwITW#*RK2pZ` zP#^hEWRZ%tZ8F<+snJ=4=4b_`yU}fYEVX$*ZU@12J8`I>=4jlo^YX~CQmxf@pw@hh zlU?fzzbVYKCj(?Seb0^j4>Vt>b^UfQ-)W>v=c5@!P16({I$^28$U{=bn|XcB&XcK2 z>guYEqg#zX-N4JPkty(WEq$P3RqT`N6{Xg$H!3^Hq58<+VN-3b@x48S&)&D()S%w` zMm2QJJHD@S_VGu8A|GsrV7k>iOUu~F#3%2?;8$!MpVdsBvCQ=@px_F8$i2G;Hi8Ca zZ&Z2+O=8gjs!77r&Y%#tWcum8hAl zWP_KX3XV7B&)0!T1MNn;!9Sh^Ym7r@9N!8=CfSP8D~(_3C!;`3Lc#kd30n2E{x-Qz z)g<2vt#<9qWqR{<`h#FG$F8<^aZ@rENLvN^@ZV5X;zep54O-0{?hl798MwG@>5C4E zPhTfpRkF3q5N7{^5xCVH(*!!C@q=otQH5rJft5gY=o8PBeJQ9%1}6P^n_gIp?n`0C zs}qJpmmHz-*rx=(Jg7}w1L{CJO&~|I8ge9CFVeb6YiMwn@Ue9{DR+}1p$j3nZnvUS zq5`f3+nM|9axV;hje%}KKrcXWt>3wA$g`^SBPhctkGHA=HVE1CnHCDfb3e5Z8(fVU zt;M`^3M)@mb2MssYgcS8z^+pSL{p@!)&YwW#ugzDShf`Uy_1#W&5d=5;ezSw`aMRt z8-8Oe#<_)r4q(?`YUaf%aa%w!sVswJdsH-q<*pA}({>}G4q6@)HFfo+z(od}pxgJA+i!&Ac7V?RVH3iB5=pL6P;PO%dUhT`-d(b{jJGHIt?XcG&L>8+~WE zqB$mLe1g@OEWmQwqLbJJ+B&`;RX{Phs;*O{VD?hX10t+l<{V6v1*b|WL$%5U;C2FJ zRp`@QVk*2oDAr)J4U4gn{X8f>f(Z&lCLR|}E6&)~{>+C2b{htRrOjr#u_DZ?O10QZ z8lrcDWtb1-=-aMVBF(;tbr^#D3r1mYmN2$_9HVr>Od2aGZwa;ltts? zrs}5^AvUag2Vd2TqfimiK}5}%^GK$IJ!8(cFF++oo=;|+xG?HyBDHy!>@ehTE2=QW zuQDv*_Yl-QsB7UzDsaeta{O~mZYNIx*fD=hEKmbzx49ftCm}4VN)>?;{@r?!*<}oi zBNK>1j~q&iy;GD6&Y!3_Pm&2Xj6ieYH(D_&d^~S6-qSA3!@zsnMenLo3}h^A!4AgS zxqBdI*vs(vz|B@BI_MxYjZ2P0{im*9(EoJGX&-RgU6N59g}lBH7vq2nsCE+pT7!v0 zT)0xhT(?EKP*1yruaUW(1-}8|d6+EGh4vKGAKOvLDEC-F(x)GqhU~o-*t;-f@0URN zA!J4GG8iIwJ?aVQ;jJe{gKeKEgNH|mHrCVul>JUxN&H)a4=UbsHF)t(3>ES*ll*N- zZW+pumnoXfX1c*>kHKh7ryd0xhV9j%M-{Y!df)elOQH+R#U_%WV|N# zJfYckj^J0u+FAxs5EMw>YU)jyO*2kdg0O=QqDaHeN=@;>#c7357ds_?QXzJVOUDzJ zP;m46U9j&R9alb4ZW9$q(-xuQq;+Mn{$ZsTR~n|LcVm2e}xz~v~q z9v3U3jhh)@SeO6h(P;+>OldNzGEqG_KE{-EumTrXfSPAeiK5?gdKVD=Lr`749kO9} zBdTt)jgHV}%BOmbw4(=0w=D;N$2)7#)6vIM7iRm+dKtGY_7p1=^>x zFOaO6b%k|A)j*vXk}Q+pQZVIhskQ9OXi^$4-_jXH}-;$7=y^vKFio|NyVd(p;J|R zcL;h8`%?#|Yb*NuLVYU##ie1tmvIgP!-6bhZ`{m6?$Fo6)>^dO4?Y!A!npWH{`d>W zPNXP@tqyKvU8_3Hx+)u7zfu(k(?!_B5mr->m7lylgUrJqg9!v#z(0va*_VguI>xx| zj=L>$89R<@LeqGe9AWFgBytzO@{Kummhv}A+^L{a(3=aY*<_cpzHplDbo%;;0A!%$ z%**sIqe0b2C+oKAca9j;F}Dv2fTH4vTKCF=V!IC$9|ykE%kx zr5)}bb$@poMQ2iw{cl0nLqOr>xPA4snYf1hs|_;^`_2rWgtjd1l2f}Z;yj@hfupb`@!I686}Va)`aaa5?R*g}B}KLo0A z&va$)D>Z<(2))mAH8_^bBAFGlJM(h9Ug(D(UE(eBgx*63HLiT~657cD9sM9?F6F6i z25(k``ck*NVQ~5GGZ#Uxn&CFdXj>*s8R%Np=^Kt&gOy93Nvfr)rWAVSxPw!Ux@m9sp z?^Xg#{?`mh#tAbcLlRl_I97+waUxRrF?g#~do}{arB?C5V<;Nb1rK4N_aUrXvzwA> zF&TO+LpFwuZDpL@;;^lkrF|U0%>^2q{aUKv4}LR`RL=AV3H3a&$ez!-(xw%>TuR>x z3i}V&U6!4B#C0a^>y#EP5jSX_p)D9QQ_|2e^N7C{^pdMT?EI0=AME_GtpD%*4z3DK z9z;shKTmiKrRz@@GY2h5Hw6Fw(J@}+VW5}to(_93*s_^czNrOOEmUw@iFkB1vwFy@ zbLjecj}0dmKC)Ok`sYVV`S5X6o6}dMZ+vbzY2(N}yg0pi)+07?#kT6m+6pLNm45i7 zphn=!Qk!(YT&dEHW<0t>4$gEvd=X=^4L|8mo6PpKR>yk!Z}nMTOgCb(rmMf{1ll6V zHq6csD^FHe58c-zeESkvZNgjk$fh?KKi+KXFY#qMz1Sv&2JYWi#sI!h7o}CR0w%9E zUx-&RB=Z~d;DZ+v&z(I)eN#`0ziy`_LEkxcKn@vRgZ{Ac)Vt6bl4)}!Bc}(SKC(Z1 zh#-`RUb=Y!vCp8gEZs4%(1kg2?xIodCIx#WTq<25^YEKnVy(M;N(NtB$Ha>!fLb_y zS@)=>_0mY?bl7XD6N`1uf?k%=ueb|r+AeEfPuG(Vt68B_Jk=I}pPah%rJo)i;QVz- zq=c8bQ2be5ui0OEy`!l}9VDV~(IEApIQ7tNK-m$(dQF+A{OumZd7OhC{ zI7$DmiEAbL>GjM&cxzi;buxGIDS;P2ORjX7Dq*+IIYC6>n*q0F$(r)H{NNZw64}#- zHHOngu=S{2#IbxHni6qL@Fpz~)^x4-qmsP-c#lCPzB##a~?mygu zk2ENK(1TY%s`iwuY+1JkWpY+@mMchI+fxIvx8S4O1F?y?vK$5)KGj6{8sWD%cWT>K zTbql#{AG^dWcnABd(?!i9%p(cZKS=U@TQHlzz7Ca*W@z{wh6?)1N;8pg>}J{2df2V zNH41l92XREP7N%!c|s!}?s4cjH{NC>{pebYF(_A=nfahwT$Tv+sA@CQCYAYq(s$_jAC!V(okqF4HpxRc%t= zKZ+Di%LZ4DW6dB5h)OZjokt33`L5H&eX=y~H49;31n%oc3hzq|M1g(eXBdz0R(wpl zymJ4i@r}oAS=0i}H(P%{7F@Bw_0n0R{#2X6mAmc$?XpklOFoJmX{Brl49<`nd_SWri{4 zm`0MA?{V#n6+2EN`cUVS> zshoUCgWs8i?66rG19ob(y34YYN*#Y+d3R|jp(=v4T0fkBr7;iFH(;>R@ftD9n8yXg zFUUe_mFy-y4Dl6zt59>rp@R&x#lv1d8vRE&%BpsSPYg>aDbdgzlcCoIE-8=o@%~nU zLWXDPuZGBNUAwyO!esrNfo#(T|Jm0<8&2_dPlwr#PB&byccD)$S%{jQpqiJ6)^xUl zIu0n1o-ThoU<(e1rLq(Jkc9Tn>iG10l!X1|z+4vhncu3FFxo7f=AU2Oa2OhQV2HM~ zFU?y&a!sPJzs$;ML}@Wn;^%RfIQ8P7$Evr!Othw7MP_!^nE>n5EANH%(et0yfJ?vt~f=#(e22rdlQY!@lFb<3R>e`Bx5*V3hUJ=G=4Y0jG;Tu#a z$=c~L$2v!I67hp$?tQs4!PjWD~CS9?hXh#vr-6p{H+a*ME@2 zP-I!hS?P+Vu4}#%A4;8~0UnHkC-sj!L(%GS{iE-mE0wMz#@iK8Ff9%b7lHwYhJV|& zw6Z^5NyGU?w%)mYql-3ct8X~*qCRDrGc7x~^)zy8alw>(nT({ybi6T-`RI=UTo!(E zM1FIzuFNZLP}81WbQeF?drSa;CCi&7!KFzXpM;juu% z#Z8ly+$+I0^h4z^KN%S_{_K)-+91rnTT1cdzfi# z48Amb#ZQl1zNI4#T8oqiXwOlGK!R#?kqVu~3oWu2a4@xo!C8jxK3zFdxj;E93W}WN~T_cs=bjBAy#%X+F7E_WJg;_crA$%?j`es35vq!4L`Q z?qq*%?T)B9_zb^oe?`!(>Vb-;$xG|XsIGa_igrh4XMe^t5r==vd__RWM@lCOQ^R4l z4;JX$*1<^J@l%7jJLB;6)OvKD{bS`JQttT3hLJPUq@#_`m56p7Ju#1}S^a`#zxM&3 z8+LjoexaQL=%2oqs1$Q4$^pLmQ>Ts|NDl5hM>0+9oD{#}a>@Pb3w8d|gooZc^!Mlo z7qb*lIJGzWJf+R(g7?H>jE7Qjfk%*S1XCh5T!LYe68Iiu;heds;D{Ly_J(d^jA3P8 z$OZi;QYW~Z$^DN42bHc>Mj&}dSG|)IQzkw7$=c$CM+Bc@TXl4HB)85=xSiC0SZ$q%2v+PTA^?kY$oP zDnfKeS%$HdHMt8}$5^wCeV8%xoYxE)s?YcJ`MrLBJm2T(KQ-5NUgvo%?_)WS^Ejs? zz|}WH^1NaRs?9MPPJjH;(MD=vNP||N$iJ7zf=J)wjos35G|&J4^RS81tn2?Q|vF8LMlK?5YP?=&;%AfecRTyNTzLIM6N{ zf$KRhs%nUq$(bCgMNM3Du#6tNl6vYvp0dD@d-=^Xb_o3#z6!WQGqtay;^Y3SJHGqL zv?wgH8pJd2aJun#^&SD8E$eVh=jCzEv z_J|W?N_hF@Bf4?f|ebw;rr-di-p;UPG=$J6V*imrEH8*CW8vjT;Js%W1 z&Ph;wVt(n?PL%pr`zbm1H;bXqLnL@C)Vbi{Z7JUGtJ`^S>JSWJ?&|u3^##5n66ws+ z=eS*+BKW6*RM3J}zRbVi(n*YZVPTduxN$TH;)mJ$-Q<}(LfZsXFgfM7R<*A~cik_Q z;LauT(6~b=)jsZeczr(z!EqeVD)`|NgdrPzAg^SBBhIKp_lMX+MN*WKmz6_BJcKVq zJd9Hg%FddsuFDL1f;`VG?jF11*`jt(+BbS7^=Le0Q%7%HJXqzY2W`&M^mf|Q<*60LeYF~E#hp#Iv+=y+W zPFIfvf_74S@+>XBtkLQz@xE(H?jA{90h>H*{I!hQ**tQMZ zj-PDkwUYMV`BW5SI z`o_&t)JsH48us%lR7Waq$ZUdgGp&hyJeJ2|_DT{0d#>-k>qt71-#S0nJKpFQgobgk z1OS=p_@zvFWCWqD+M90feaw?#ZFw8q`bM6Znk#-~5P^$MMhg*uBQn-a3n$1~Prjj9M^T$q3;Y#=poah^q2msTnU@w#bpWbSx{7Vayf9tgUfBbK z+4+N$Gy^*J9RXI_?un;K9?8wM?Um&}?$tU;T7?qsXI$ob9pa@>n^S%zvi-L`JVtMi zXI}%0@!9B=Iz2f$GHmS4&U@<#p@PlJ$tCaocdErD@);l+5!&k-<#Jv_yV8@4SYyvYCUGdoG1ra|i zXDSl8&oiY)yl8l*e&7^W5U3ZahX02S?C7;p9nAX*h@-5(sY z+j?C>*4^k0yI>l%6tNpxrIE;UhGKkm9bqRt7v|PtM9+IMYc>B`fKwL6g>RnXkqfXy z#PD6u&pU*jvu7f;_F7ti0^vm!3G;ZUIL>nD3N}NwhnHva?ahvT$fN4ifi7Gma&lnX z)^^e#7lM8%#Dh}}`*i`u@_dsfEOH%#Kvs`EMoI_1t64aZ8)3frQ(?99YDY*-OENx2 z`PFu~ex4|Q1!(7p7v+_BS>=D*DD%>`<{Uf{TgC-6oX9f|RHHYB%Kr2Bj(L5xcBh(3?5 zoSQ7k^|RI^RFvx%Ba|fzB9;f25Onr>TIr6T_hT8wJmPb0-i6Z0E9~dv?y+UeC92YL zXc7H#-d6|_oEnFsXDe!TS+=<(h`Zyu$2i;Y^)?Qo3OV0X<8Dw*KQTI1`Bi#j3=;Qk zf%?9Q&w!;|62+CZqHVb)kMYt&$+@OT4eDlG@bTwVxruXp%gj9o`*Ph zHmPXKUB%70>nh>L@`ycF!`Yl~!WUEX!1RI=nY@|m%+kNQxZb#${sia+z}k)9wh z+*;J!G=zjW;T$T_r&?K3fS$JjbqzHtGri?9GtpY}6Wsz+LbUB+I&;B9YN3f(lde+- zNNg^{3hvfTrD=oCFvEN@g$FgOrFf8hM`mQh<)Q;L78 zrA54NhE3)$xHnJ&<rT=DJ_{L5JA^kYM zItl{axc~%_HEU_b;^Mpe;Q|#` zmj%@=%v-XfrV}eE-;$|A7_aF>Zgs8amIeKH-e%rDvdRQ~{U_jt)f$iXdXxsSPs@

wh;aye7Wfv=;vGXAd zXIFJm%eYI5=R@TDu=Tef-XX?XiBuU}w56BW1hL7oLf6ylPKdKx-snuWv2I6QKEeIz zH$peU;&6)p7hsbXr+Cdo1e#)YV<#`APsoWe66E4l2C0n+a~gy zYx~@-#Eo7tg{Omr$5V3`&nMv!wmj|c8dS~Cs|JIJKZATymi{4 z@ORHS%~dB-x~~x8>+XJfe=hdEDN18UXlMSORr2sA^(l~t_{+pizG}`fo8(iy8)&v6rm93*#VFVY))y&AO+|K9f8VTMXJc5rM; ztT?lB2eIi;xaCaMf>v~tuRBk)Shu-a^MYquA*Y1J9km(hlY)l#gG4RMlMdgW^k%o! zb6`ocTJ)Y;DpXEGA8eSc|EghJTFzC>L}sV> zPt{PBs`EwY4QmwMeS6xL|21-dBb=JrSrpY$m@%0;9MkpEueDnB&Z=d-c@2GqpWE`7 zdK^a#xZ5I2gbD0O+4$!2Tvuq_&fvI#Pi>AuOnec5qqZr66W~R2nkvm{M%$u2w1K|h@ zVmQ>pZG4ky=y6ypb=kwCPRUrSJSb79t1g}%IajI?{tC2_wY=^MS{&i0wY_$hN}2{- z#oETLu3tL#btn7A;yc7+B`ra0{6&^osIuwEk0AJ=IfwwhiK&LL?Gpo6;R2yKox_Om z&k+wAHcgVV*|_W9i@5W5R1xm!!?#P(+hX+G50h;+7d5-Yulaa zZa9@7V?7X@Jc4*X-k7;VrE2Kd%npw-%w~&DKxXW@*oAEw61#EP$5;9FZ?RdUO;-A8Rw>l4?*>OXxfm0{C z2`8|h``5<99B>~iQ4BvoXlHbxqi8IvRZXj zAk<=~Vg{7#K>?xnNJgIc_Oo*gQaK=FEf*1C2X3xFwOgVs-)#TZK~pB{`d zqSeAy>8u^H>Kr7KfA*o=?z?sMZD|{J-l*54H{_VJvj<9cd@W zZ)KoAHRdWG+Ew6|Kq86r2%bBzs=#mOS2<|pK)8gfP5)rDV9={>yUop=O;`q5>NkYV z-f$+qR7(U3`T~1=r{~$@4^^f-5X0k-Mn9Km$>*8rn+9%5FR1=e84dZVDc72tJb@! z)c{QRZc{=P6nIdGyjXTnD-=K%b}-oXiT3zH@~%rL%!#ubu5VJD%~X{%YN?|Rw&Cix z1%gQJCffYX>U!-r-F3L8UPP`j1iUpM1SUrFC0c;TmMg)bTMal%@VSk%FR)c(*ul|$ z>eRvr>BzT^dx~-aaD>R}MR!H2nbL+MPjnYfpa(h<7cpm2P8dAl_ zW0r<67l1}fze9m9$HdvgNWda~PG3rT{+sZLz-d%ek>S6%`Pz zj=J7#`NB}X4=y)Qym=f!Y;MR_)Fc?hkfJ@=62C$!)P;J6yuo-{y? zgVlVr-~!zE-DEq9SAV^T?lQ8urW#{Esv4eu&@tE-Ewn3OdA% zy$n4BT5Rk+R={$WW0l;{NhsB&08CE7z&+$x96PrsNMu1-6L25_@K{l$vSG$$p#xXf zI*8GJ&M{QPDN&WEHj{^c!^IPkPZgIkK6qt0$D&GKao4-q&N%>U_e&S3$H2*?B`>l+ zvKUdfNshPBt!G`=fsRS1({&e~4kO#;u0(!^r?FiEU*-1v}3b zz_-6igo`NsB|Ac^okuMD^3&2}B z>f9h}PHcM(20nyM&J-gsp*r@hbVl-yx3*S%(4u%)fkQgtZPnshRwpzICrxuPo?1Mb z5_~!et+J~$=iGrEDv&bPbEE#G^|_c=&Uie_`? zEBDa)g)&QC;LRznB1c@qMF1q)dw_A*>;{```F)w}x!`&bMsEzdAi+K8Z|(Miqht4T z8#Nt5F_jP#m1s;1*?Q~tThr^7FW?CARRTQX@Csl6`ZlGv99Pf1O}>J4biBVdc{!#a z=cM{;giYM;>OVo@;`55+Wr{w(F-D=RQRWd})lVGoK$SCq!iHMFC{M{GMKR(iny-S7 zJd+vHSdG0VIMc77=Hc-ztgtm#S@Qyz?uhLUbi@tLMFl%b=#Jzl5^oI;G6ylfRE{BuV@CwW6;liN61`rJ18M5h%083ylx z=7kakjIk|EeAh7ru46g=A%@@>*XtmG9K-w9ye~XEw^gXSz zz>v4hv{M4c1c$*UO+Rwx2+7M%`v-Uc-z?B|`*_Ag?u#;1$B&jmF z3}lN}FAR}Fc@HP9vL9a_U4sPGT)_+T_$7ng(1%G($j3XwsW0`xp;6%9F2=S0*rC_A z%77WZ1!PkHll~hm1$|FJ;d(#I{nS-hv&YT`a?DHx7gNFXB&v2#&iq1-+qjrfihsp2 z9~K{ODr!ZMmmL?7(8rBTfjbzwu9aGy1Ma83oJneQVFFmqla;~BmzXfxYF@kRlSw6m z)Z;7cwmxjK8avEc#DuV2+nD0tB6yW~mGDTRVrRLAoVQXSgWLamI?yJ}qIUd* zI&Tue{kcWVJFnArc$T(UuDFgW>^>^Inr+yAeVBHVpzy_3*OV0*uYUsjDawA4ub7Iw z{~{~FXNCQ~fm+O;jJ ziO*LAV=r-UF*TcQ<-8RhZwG(0R_y+VA=jx7V_E+2(vHp-LYt-CJ>`wXf<}LVKd#|p zzb>6H(lqw9#Zhms5!`f<#dV*;?)PuT^+#x@Hy2}pE8O+%P(M`elNHQFX1xh;=koVO z&<<}BL1Q;6iCJFde|!~c?9A{K{dlM`2+bM51<>$1)G~RkjLjO4HIgmR>6J~(l%|82 z%ogK=Hp|`@Afg)7D0z|eSMktvSIzQ$B*^P1rTZ?0w1A3nI8a%(Nc%VA&^N!+n!cBI z5$q^^6k0|OK*n0A@$@3`TZzy&f8a9kA-KLf8D>C+ptjQvi`2gc9lrUI&B~H0feh9~ z(*^1{tpY@^i&OdoZ8P~tKF9KHDwS+AjV0gV$&8P_`~52T`%A3qsxz} zl`pPY*ftFdEt!5_50@1hFwCfdZ>xVUVFvoQ;rJ4RVUH4ztaDmsam@!$};=v!u zlJw2_&AUA}DzpnMzD;xV?mdjf<+xtj#o3j1l??uPT?Y9@CdOE-oObnQk=iK;3q*<= z!SVoj+^M^T5<0`gpt-|z&28Ar1A2Ps1OK=CZ&GP7bIdaCSm!Rj>L{cP(gC1VfmFN1 z+Kt7_fXk6jQ_G__!%IQ$0|+eD2PukKObnpXOb3-GPNIR(N0cSY{+tc+VN+a&MC^4M zB=RNT6`_xSfehZkx#YZ;5ktV4ju-=?L9~y6LYhwt)>dn1jrQqymqo|B!~N=9&_^!n z1wR<&1&2JB(K?`=hSuBnRa(`gaT zP(FH7KFHb_a<{U8yG2*#VD%4?Suz`oLFW~5pa{Va^kH!q)`Xs6!-L+{zkmFXMDPJ^ z;!eEVy7e7IuoHk>!Pl~xbke1b4<0U4HDlU#I#OoK)(dfR-s^W(MXp=_>Np_t25w_t zONTZ&3_)al^%J!@r}R2zp;tV8pjFFy$4D87J?n?8v;lsu*Nx>v?9-jVSWUMZL`= z1#NX8B`3n?9!JSoORwqQ1KRZ*eyf_o`he=8PyfZLPzKjYZ56=aUj^|IT&PzkhJlVt z=YEY;^vLWI?q^0Uv?Dn|ucIm@iF7qda^2ppJE%^$s`0_H=rh;!x(x5ubI*;20nrS( zpnV3RhvabWy8&wEf|a08eNOS;l7M~}&sUcX2mjvN=LhbB@p!oI)!%Qx0XHsaqBhzN zJqkQQ@UxFJ+@a6BRF$lm5AoyGc5eix`-%AEfo+`@qLkzB;fc}S;4{6a7Z-r6jo{qT4-PU0->3mSp0;*N8)H+>Fm3x% z;sHk98m=sP^)8#@1+o9?M?#V@7Dbsfx=0~bhM>;LZWW)ekx6Q~Z3Xn3ee%FgV{AP2 z#uRFP=Xo+l?r<3kpv>RIGU|<+)zigvMLnIudL{5}j!Ukr`4|7fkjs4QUH(y$B%(@G z2C43^1{X&vsXPwDQw6W@D)bCKQ=fVq7S;sZkFtF+yxQ0F@@A=%kCe7t@CA0_Y$nL= z1m9AW@BpVLWjVn1hlZE^rFwSoZS)>V6-!<^T7baSI&X|@A@Oi*$6C%+y zAMttoTb>)ki;$|QK7KfU?wL%|!qP-^kHdnPyN8vf?cj{yLw4aF6rGNk`kv+k~iNrh^ToDi?LqJ97 z(_TPN%J|W{CAo4XfSQc6PSQZWif#r0t*2BZLj~i5)xSCDIV3HP_OT)IUIZ9y&|c4h z;S0XGTQ3wv#PJn;mZtL$2z?o_1jk>|)EQb_kgep_9mM~*0$&%E@uz^zh*3P1rVZ9B+?}ios|4gQYJ?!*Dl(>T0ddI%iqTo7-oE*i$XL)D(gY$+~ z{Q4!b%M&&0g3?UmjPw^n=#-t8*dzJ+yKJU|?`0LjDdXr_gIVG|@OCmth7T>uhJ>nQ ze00DS?X6o!=hJ19=9a`P^*C&{8tZoCPVfov$ZLzG*#l;x$o&rIiFnt4?gTrbRV7uH zerv9aB#ec^s9i6QJq(x}+Z?-KGxkRvW#$?A%DwduuG#^4>U1wvsBfI#z4Z0gSUz!Z zB81eBqTr1-0j<~nWBr3`c6!pEuP({wp;Z51*K&IUSS@7R{pKK)6}8oC7Wf&%fB*Qu zfI^p3SbgP!KW%U`! zz(i}>NzrQN*K=pn<7#PO8eD4(XuY|%Zn{Vh_D=v~FdmZt>aI9}oiB{1YFw!NBpl1P z?|^NXYX$w`Ct?D1RLimD)E})0+>y>XbRj4KDx>wA`?{*?g4S>D#1y^CK*N#NZ_atu z{xYrKoPa02dEC;cR_zxpeu{oTfWArx_ElRGP}!|cgA2Wv-S6rArVhgy451^Pzh#Hk z$x-aLW-**$vH6WVzft+O&05;4{B1&MySe|n9Sr#)OG>W^v1l)@XlDfzhgcngMB#DLg?lWwQ1G5~#jZr_GQ`!Jr zleaO{yu|f0^19wsLdQ-^73t*?mvX1lap5!&J<^P}e4j$oZfr;I9|Xa%!igh(%xPA1 zhxSUI<%aI{&>UsdB>1`H*LrJ2w9MVz>+^jtS1wVc&LGAA?SRHi)zdZ)eZ@Q>rcq71 z{+)fSr%ULL=EjLk>Xcz=e=v0_GZ(#mB19jS0~MQa3X?Xkp@`3dzKB#^iR{hG`_RQ9 zP_UW|jTsQ?d5;MdK{Cd=bdv6|z2b20hEAGh`J==*upcn_ zs}t6^DoT2k#DEi>-;ZuW=>r=1q8&S^m|4zH771@~%t9~}mhKCA$TWX%y!O(x&{aa3WSmLIlU%K+9-?JI4;2;#It zmbb2l+TFjC;E3qgg(IoZea!K*STTsBYpj)T+b{F+JGY z<~p2*7!68LTQJ0|sUWb#rJ{fY_Z*P9pc&-1e~`$vm22SI3E(tqdC*fhv^1JWpY+A= z336uCIxiO7&#3WgWvuJ9hFVC=12y*+ z-s(({!67|USl5*$ob#2*xmEcD88`MXI17cK@mq1YddS!peMF924n%het;07gi;h+F z>=(~ja1cG@3^8O`aOTDiWk;lvP@PesFq19fP&rdHeXv=3y=JHSd6N?$O`&wR2*@b* zV~-`8uK;e${6}})u9C=>ywY<)8tqie$&qpwNGXm9&Gfz@Syo39gg|?5FO%i3E2_-3 zj-qxMV+B|p`yX0WU2Vmk`;-3>wTHcejmD+Yq5>_+9(S1gs9CPwciB89^oZPQe6T2* z$v4mGn4;~QYe%OsRCk27vZkUj)*N`My?lY5ZWO5?eOSc$GOeL6$c-K8-}!3oFi}tK z0e7Tu&|g;_A$-Agx6&@RIu(Etqi87FP=#aipmQ(sCGtQBKvNX*Om}1b)((d1jkHHl z1_ugKd-;YZ3|q;CG9?HF?FG4RttB}IcVKP!6vxXQ0UAptJ?gq(px9kIoJH+!Y+gV| zK>CdxrwR`KiN=6VqAvYZlRKM7%`z{8b*_VRY4uAX#1~Y^-F*~r1`W&vN2G>K{ap{{ z5|}?peW9{BSRNCqKR_rh0{Ypc7$tZ{v@%{9~^sc zBA0+oV*bD!!gVboE`7Gusc5qAexqOR?Ss(Z7tXXKRHApZ#qt&P@$$s-sq}nG5$j-f zoqUB$%71|zudqgL;^(36_-v9i{s@<#Ye^ek6s}Jsp**G>v5+_uKd`SyEF=8TsS{f2 z)Znhvq(P5ad&E$EX(8M-M+&0X)^Ld(96co%rb*bKM^()#F`dFU*g$GLgTAF(n?u*5)@p^}X1c+aDXuM?^xxJAV>w5?F)K~!VBz(gixKY=;%aWxQl+8HvJ1#sQ85jYx|o9 z>fCHiqn`6dcfQ-WbVHMAZv&eRZF|YJC2<-Zj&r)f79T+p zl{ae14nMQjKod8jTnQb`68t*a`sy9{Lk1B8P@VqU_%vawiw1cbl4N|hrCu`w=o_18 zJ^tM06@x5D?Z>Ej)J7tAvxL^bTvGkV1gR&nptPHAmiJhsbC^cVcI3Eh4w~IwR5~y} z#%&38mW@Y}O#Mk{VEv%FRh7pt#JOrKl=JYIk4WP1;~_BS=Sbv&BX-1c{V3`ofeosL z-Tc6=)FO?eiC1FoO3EzpC{@XmQi!Vt*GVFz+Q?gQ4Uq(5XHxpIHT{zM;!ZN zE*H^0X1LzKB>224_`C+Lj~5n^;$KPSv1MB_|CeL>_^Etd$%re#7+pM&`xa>*LfN3N32JkwT3KP+qFmUf+QbYX00bDnNM~rGI=?%eW^XGVE%bbJ( zECdDlAawJ(sc){}1(I~X_`ML4qQ;IA^=jAx0it6x$|a9@$k!MNOXbNYiH0c>v6o?*wG z&;O7#mMK39Nswh1k?ND>(lMu-;$Mi5&l<+?e2{IvQw?2e61JtjGlL^Yy#qU5&5?k* zU&4XfGseefss!SKMv6!k$`h@U=d~m*1EyRn#LHi;V z0j6$sl~3IxMsZ+vj5`gKIotTU47Vll@nFoRO20dkm$}?5fT&gM_3&{-3_rOJ>TygJ+%o|dV~;A6-1$qEL^L{zmK{OV__-f zR^vj0>m^;=gyMHQe-0|UXmDJcLWPg6Hx`CO|a7mrC88dyu@>= zN};UTGJ$#^3hC9`)u*wUW8P7HOLwrkohM%u?>FelLruqEA||lOX&-hI>|B&z+?coY zKpAdxqRBxnyMPo_yR92|S>=N)&fj7#pe7y~%fb~oDYlMnK|Ow*<6+Sp?K@TM)3UgC zG51WcYfZ2N1ooh~fyi&gVFMWRBsCdP*dNG*L0hotwCi&pm7p%Ot zQD9Yflp6%KzeO8^g{ehsRy%UAX-pI;Q8>XFyMW^4ec^v&JGIEaF3ta$hY^Xycm1wE z`CJrO;>8*2F3o3rRrkpxK7-BO?+aN{YZ5QrUT#J@E>*yfAOfw}z;lz6ed3U>0;T1?VhwG!bN#qj+cb&oLb5`8z< z9SaT7BvYREzCRv?G_!DUZ<@OUjoGAxx`V{%sZ;ZL|FI2}4)*mDH3(MU8g7=#+RJjh zLi3O4-hjz|*n<3yE{&f&Z6M0iZ6!412YL~^CZ!d6?0djb#D953)zq_mG{u!E2M>fy4zIalg77WLpt zcK5@|Shn4XdzUn5+t2Px8i*Rtb0EMGauo7A>w-w5Og>6x_4Bdwdhca%>&klkE+{9v zaAZhCzAL#EaJ_Rcpp~tr{3ddQNC+HrT}VL^2rzZ>#y9t9zHGg9{WV2<&k+k>6Y4GH zkFh4IALE=ff{Ygo&I)mLpqq9h8nJWZHdELVE&K8+VJ#nMq2_7+9Mf!pvIu!F zS@l0Uc+w`;$nU<5E1tPE-bJoUYQ(6l>1^1Ee|s9X;TtEHNr(A%h(V7MoXl*}V_e;` zkoZR%t~X*mo)&90<;;8adxKFC#m!&xJl_(s^jyb=0{IUgfb&Ql7F}PNZn<@0jjGK? zP2AU#=|lcSG}`rwD8AWq-BMmNov(xR4#&DA#rx~zQQcq4siW)Fbj@(}81s5fHH_U| zr$k4mVy#?Wu|nFHx<)TxQ5_p$5ik4)pCbTRRpR`Ew{^$d(%-KwbhYm+e<=LC!0goA znr%hNrbRyaAuy`*x$T-S_)=1f);xL4ALQqH8g@VQ6BbRS)GK#V-3(w-&5qbwY-hDw zbW=?7qhc|o5@HubLU?evhrouX_QMxhDdz<@GE^tF4UALR_iG_Gi#Gh z?jZM5$$#-dq*)S&N1x}qdQ?oQ=t6{$I;yzYCe|B(9pVDHWGngH2=~FK`ovu7xTGW& zwzS4qntGh3xeBexg3;SDIN7Id{U$ra0Rw>Al86{e|Jzf<`pb8+_AE0C0WfeJd%&~h zasT34Dyehux~Eu-$ua+zTb2Y&|MQ&nRLA4tXNj8os=0RWV&6ycUJocm3iwW%TXj_) zieC9f=k*5WS#*F-4ht?#tARW@?^6;pp34@UPM}hp=F^q3DLLVBrfqOIVI0iP7PD+x zI?SSZb-ti7*0vRNQ+TMKSXT@+UNecE9y65Tk|Lk{^2c>?iR%VCb#*#5(c}ArG9iG@ zwp>QM>>Uy@Ozc%@0r1*rF3pd)oe)$kPYZ!VM=Qjl=E@Nd$1d>gO&AF85zmVNM^wI( zM{e)<4Q<6WJ4^^-w1Mg1xV;h*5{9KqUitmZZ~D*-=Wp5gtrs-g^cxfYYs{QtZ6VnT zw5)rVWIDt7-3%W4V#Vyi-!l?@;^Lu!KpHwr@vx7l^WF9xUQoV!^^f+CtFgEUHZfBz zoxSDeq3>vKZ^Aq{WGk>&-l8&O`IkkH`;GlT|Io7u1AT9VZvo?&bSutsS5z<)f8>Xm zweFspymiV=YDcl!fetU>BdY$_2ci}Z3f$~QuB(?#T5}{kq-lKX4>KGQo}b(IqJW>j zD{eCa6S`I8pxRWG*OICI=)JWvAdQHz^bY*bZ&JquA1D3v<&VTThF_M#KlebdqHx)< zGFIY__pO^y{3@qb&{G`vv;Axi&)z%YWK}3Tz#oAhxf!i#OJWkbj-cxByh=l94WRIw zicVJU4d1y%kNRvs8r{cZO&rL_!5`d7A}cJr)**NT?%KzbQ7#uCj$RAHz&6RQ;L>SW zHJCYA|1X!Yue9~?(_gP5o$uj+Lr20nO_Rpez#mH-nZhFvmaTWJnc-Tv8JK(Em`TSS z7~%N6+&wE6O1JKEeu04B83*wQ-=A_|kPG4WaEX0aRxC6@U9>Dm@61~64SVIy16I;! z(WwJ6(& zPabl^N-i(@=U3}L8rr*xygt>N5X02T>UM7BLTMt8RsJmqlK;J6m5p zKvVjnMcII;zwy-AQS9<$T+O=i{9~GFSp=)*DSiMnS%dNn7UYINJnmZa%m~npI~AS& z$6b6FOwL2=M#KGh1U4mqKh3hxbn^WPWMHm!YpxBmhZKDRG}K!JgTUkPH9(Q$MwuDF zRJTO9Bk)>hIE;`0ptAXg>NYUCKgDGo(GBd^D*qFE9Db%Niw4?R)F4z{mgj4DQXGSU z{TY@@kttMQ2@`mgcG3uPx9KRR=@GaBesBBMhKv3`on4wbmF;Nk4*T!pyKfZ)e~()jkYg!2Iohg_{5|-ftGvqN_m1QHEDrx{ zjh4Ffc;fFL7r4o2dkcro0h*~^*3Le9sQ2fFtMp_b9oM*}|GLVSOm`2KpX8YwaHF%b=ZIrjDK{g2L?k2z2kqh!5@o>3na3q!-oMl^57oolXhamD3~abCad&p*k#u6blElXV5o7)I8w zYg0e)S#cM0$`P<9^lX&O{L`V(Tk;%zUs#`I)erI4i#2MWV_PvRA;;-TTWWOYwB*9Z zhjYy4T?5?ACZ#=L*sLpEq+CQaLPQGpY!8WV<=9^xTMmF7k|G#~cY_G)i@*;e0oF~$ z^%8LTPE`YK1L%b;`rZj0$<2ke4(Ip7lGlomd)FxJH`Se~V{3D6zcTUnq#%dwkp5{{ z+(CgQTf$QIXUZ78;n?p`9?2%8(LZ4T#53>U<4Sp72^g|Xvi_Rjr#uQ10sdc&Mwfuk#B{K8(Fg0$hc+<)Yg7oM(Chr%1d9w1)g zt%qC4*@wDBY2|XV4^PZSGsxuFQ$6Gb%V*mIHHHS7o};=+1;VPgLuHf9?}|wEomV(< zBe^)SbtrCZZtE(d%kR~Lh#u50q44l2s~X4f+l5n(Mg1Yg&NO$NW}{C?wo%xa0$RwK zb^#O8S{EK}9Nqpv>!5(n`Gi}A7mHg%Vg-3x&nvA|qSh^yAJ}&k&+g|2Ev#caEsd&z zTLb*ua9J((Ff&Q8RSg-y5ZR=^_zm=jJnJTAV=u(1={GXh_C*M|`%@zLn$w4dW6GZT z7jpykI`>_@zEaeUpc%81y)KRkFyRe(nw88XW90W=jDmI}A#mUUd;ET-vpDK#zQNR= zXRXkfUXRh^8W9Q4B_DX6O!U)%UHv+J16xL|zLme`$BBysRe_1;5~lFwU)BDIlC}Oi zJj6f_lozOB*+%wq#8}qzlizep%(U&`h)Z;Nx7W=;$oaU$p_xAiF(NFD84tQrnv^s7 z>@f)x3j`yMes!GwwfzuC2madf|FeD;~Pz3 z)3VkNA_Q~vn4UZaP71No6sF(P@_Ns%Pzjbkv!rzW@OtaY5u2NmvBMiO?En3Isi}Xu zxcKT=plRqzV4Y!enRJ9SX;;=MMOo&QPQjWXAD@zIT+hA`L)Qk)7950 z1(S3!JPu}gHf-|nCFdOb+>dh&)B5>!GjgYL3e6<1a-I0N?Fo<_Ml7ZNv+3lVk+Xy*j{ZW21cx^y)$ z+`EwwF?bTa3f_;$F4Vv9Uo}~r33E=~*{}8DEP=I-JknD7`c6fN<`dQXNXdVzsIA>` zK2viicgv=!I=fPsH_Py?XhL;K{-cxm)3?Mto9AIh@;Ki zZa%xnmcB=EXTkj&<=5k5oIP)wH)|C2Si2{$p14N0D{bf$2_b#BMLO!CqNTOan@W>X zK?cRA4$J!dX^zfmlMDSDFv$Vzx)5b3HU%3SrR!QHsyl7rX{>HqI z_}p(d#3;n<+9VKI81cwclEK18WbnmgyYvmK?CiQv5tqK!o>yG=YIE)7oiQYvud|n$ z_G%wXlH^Hld@^ap8AIRdUJ5qgz+VNRSn}`aa}FJ}4ASGYWaNgxhD(6g+%&x>C#SLY z5fXYamrh$XcCzTtnw_hAWXpyci@Q;h%&I!FuhL%T=0mX`r!J8f6+u&-uJQ;$`>`!4 zwMBf(AWM`og++*qy)d(mXWbEG`^KfY=z)u~&RZ*piD;Ac0cOrUDk#*RRnt`yRf48l zoE;&kYjW6nM%=fNyzrU9qQT$l6?csaf8DaA%-izOUY1!|dNHb` zlMEwDm`g^=cp$1CCP!B?WqV`T)%RV|sOC_Kd--XM@16F$tr@oePH+47$f}VQBG1jy z@MG-@XZV6XuC6lVIu}6Ky>xVq$OJ9bTRyO2+TTTQurt_qdOffN4Lg7oAKMf}s{8vhe3G?om(Z~c*F;$&L&zXBhF}4ANGhQ+#z7T-cXK)85 zsI}Y|qflkBJYnWiOChZ2jp{G_Zo@@6EC9+WKZcum)!Qiyj*nrBT z0K0%(rTiz5__NUxp$7 z*Sw)d{dRyhd?$Qs}zgxVu#&7u@3t?N^yxFVYb@CiWaK&2lrwq&O?{|^P| zVviyN!sXL3|MaFiX2htrDoE;T6J1~3{_sFLp?PLCF$~o0z?1_gfi1ioRs5%#{VFmT z0%6!NBU)fUXnpB!W(`y>QOkPxualB_N{U1Ca=j)Jm}dM~<|^-Y#NP&}gq6A>+#()h z{%n_Ix22j{_a#FL#wmX5R>!nkf!@77scSB) zbxf*eY;1jgE${}AisXhD#blE2Ny$*mDd{6v^4}k>++nnN9rjU1b_@S}llqhBZly}~ zNxQX%v- zkKTP`J`&WA@@%G=zF9L#0hXKivM1?A&f&Xme7%aP5f79-uW(>)^v}*JyAe?og6XG& zIwYbBT%U7j4(hg~C*a4p8GV`SQAVM!=G!zpZ^jf}%d}&=QCyomeYNtsjOlR2{-;B| z@%)`do+OKjKDo5)`c4t=mEM3eRteJXN5FZs*vL^QMJ7 z9kx-$_Z$B1|93q0>B!4Nb<@rK@f$kIqY>}gfFNom+n>b3?~BZt4{xtgU4$YT<_ z0Bj~(U$UAv!w!>tCz-LaW?EU}%Wf$dpXNW8zN^uF*n4xcd?U8947IkhjAPzq8Fk-&X;;%Fu>H$NMNx9V*wW(vC5A>CW-+DT~8FWjnmF=H0 zmAvYv~fVEV_79ao-#@LE02 zqUTT((kXa#fdLl>WUV7NRxHK|lTMYvmvdUlHw2D4jGV8RwH92*wv;&#b1Z;DrQI&t z79KjMVfl0kq_mhE9RKHBA9}PY8>_iX?us*)#qfOJ55}qt2a%(;fViRii-dw*6cgRz z@q&uprpyNgMkHtS0;T8%CF6NkUdtBOS3`$#()mj zi11l%s*B~Vf8ta9zurG_Xf`yd#V5`G;GV5c8NxRjf8aOX2;#S0mirkZ#oL6nd4fTo z4nz?`1v}qhny>PPCZ;|-zu(DE&1a=%hF4@jODQCH93PkxY+np-#q_cCfSrFg$y&FX%B^%9 zMjVD^A%~=TTH%5PkrRc6lbRVHAt{)-jMY5rWP_uTl4ofh~J^TtjI)=J`DKvFi4Pp~T2eNq~ zv}q=Ty}JZc7(Bz|;ABqek28P{6AU;M6|1Gr+_9arIwdKmznO&9TseUPJ)+x7zIc#E z?bO>txc0^ZS-Wkn0*7Bg{9{n1dUzjaoBP^4G;cFE?SW;gjHT-dec|z%clVaHo}Ydq zeBg4#ADRa)MiCrWFy|>$Ft6*h=1?s>v9iVkW$Bv8s}2q@N3bk2t0+@8$s=t5?9scu z*c7i!7&>mCe&YGsGe+t?95xewte`M`ecGu73bdS8&8*~{_X0(GV_ylQka$>{9Gv4S z3FAM-ooj*}ID(IL+ly?o59(RJg4>tCd4i7(9tLin0~L3wHsSvAKz2Pn!f(weNnmn5 zf?RND&mZ~Kxr*x^yMYs>6^5!GTJwSxC52`Yr`JVfl$!0RGlR|m+*CoAYKq5t*@hvT zow}{HAM2_2eR^_dh2(XbMkxxg;bnbZ;BpG)qnvALE`LJz;=zfAu zEhNvy4-O}0u9vT3kH1;-!z|MP2_GU~aMTgUyPxT)_ud&xp0f~_NMS4SF%^2C`xBl_ z%9Ky(HhLE6rud$y z_|KT~^b`y#pU9Oge&S)&>atExT>o2_JiQcSpJhj%#1?PzmL~lH{ zb@eQ`jvN@lm)o0Qr}iejQJ7=;8L=a+#Un-%K2Ti4vL5nE%k#W@eTL6^1$|Owaif(X zpf|ymHTpT&`@f>HaBq(P{X%1AB8f*Owq}8?y!`qW-W@K*44Raw2g!2J*cIoh8X1JV zRN9@n@$RHzbqk&TVOYjFIx^8@)d!{XoUcttNL9j=`q`sc8zsR#VP$D0tdQ(9!`k!` zfG9EX1?bJL(2>dKsvl$Ly$!B$^N)&g<$d;s$o8)^P^IZL4|(_9-h9UkC7Y~wNr>LQ zbpLR(;F*f*fq1QwTUP*n7SUJdlIB10TIsy%nJmTFVfIkG?ajKxu6O?oApwLxgihRu z5$zQtbRUrBHd*hH$eOgy5j(VXm{V@?!94(DJB=Yp{~RGWW&)FxsS)RYq4A*5*Rde9 zu7%$`*O`XRGy!L!a@ozk??7j)|1!Czy!=G;#y|Z%eQXyW)Dh$9)_B1;3exncdeVPd zKV)i7Fu_{?oJa>_JCa)#u7(i|v|Z1d4-0>?TuGzR7p!XkPkUb;4`mzuU6wS6B2l(_ zYAi#RRLE9Z4IvCEYlYS^$d+Zupd!kWog}*u3E78|y;8|zEMrf0F=WiV*F8gv=ka_0 zdjELe_vzCggSoHeobOq#bDwjr4SuIpHPeh>jg)$FOE7OR^P9xG`;Q!r%NH#|kJ0SP zfDHJVg#vs1y%VCi0hKhp-fhW4;S?4u>#{JYxuUTL(#V1UlWJzA>0F+I^K(tHx^+AJ zJ)MR(2Oj0Va@+4W+BaBUzU{|B=HBL6Ixi_K;CAKV_cq?Mesk}cHaS9+r4bBt9ijHU z23kQ%!C|4c=gk9Ya$MU)JTg;J$wNGxA%hr*8cc%PZ`WQr0xF%cncvHkCp-1|Q&y50Wv85mUelPHG5)3bfA z&xGp=$*_iEhX>gGp&4&;HsIZ#Au=|}aGZV$+c84J2Hi&aCjuKasng@Pz1_|6{-mpF zPhhpw-Vllt$6fyV`U$yg)bWD#j}kpr2znogKS>|1Jnv#>05=iVBzEP4?jjQx!y+S8 zp4`vConu^!R2S<_CPZ@2@bG-7_*3bNhYtgh1Y{XWRHHbjz7n?P@WdtLWMZ3EiY% zUJ1}DWk&sFjEzwA*$<6*Hm`1{zd8rU)O5>R2t=P+;VRu0aU2D= zZJNy4GslhY##C%ylavIFRz%`CY<&8l&rp-o={l_26NL(X(X<^ID7@{E^A_6Et0r~U zhlg=F8aM{==Ed&QzvtblDn@Wl>W6PrYmK{xQ-arEp8nACx4Zn;irmrFq9Qvm;ojI& z&&dzVq|ffo8eojJ3g29tbfBBR`2aqS>w<#bwMQs*36nya2BG7lg%qK0jjY>Km~ykN zCZuiWnnRAv>NYrPYB;?q|iHYel1z%BtF{lDJJ0aMt=tc3hy1U?X=e-kr+L({TePIKctrx zz=Z9e9D`tjn^_tNi_+8j`Z;gVE#!b!!q=;uHrrs`gYehB=j5ss_CH*q1>Hv$@B|;qQdIz#e5eQ2|NBh); z65_YlEa^LV{{9hfTF%Aj$0a?B5jdfKh84!{cTtJ4s^FTd%+QnPJyg!K7u4q_w7}7 zq|5-8pW*PuT@T))5&F^YyN!R@^Zuyuj)CrWqD8?8HyNq5RR76F!(_iEQItAdSitkj zd!{0Op`3+np5$+-qFTH{*#`FlqnS$os7}lg|>n(?uCyFG6b8~JT6=LG!VaRTR$r$3WgN5WvjJ^wUiov{0F>dAtk>KhM)Y(hZi;OFh*PL^_|OKC%1#;6 zndGZ!<@yS;65>+ISN&GeGR^>|vkh!6S=q!yge)Hl^cbWxwP7XvqSh@pFQ&j>ho5Y@ zxz>a%VYeJEO%VJkepU-!AO){x%B9H}KYC!Ad3q_%dNnOk;XTmt<=Fw9)`3{&a;%bF zSEQAfzsRE(M<1MA?-A*wc=p+QA(d9kwN#7D`S2YFZO5~~WF8dNJz#r>$FjtM`22j??~4Eg>uGRxDfJhoc6QxTR1=d}%=)(1u@tA1=8>7w)> zNNKsrDco!g6p4S++S6pK*a>U5J&7Okxtf2}l7Yb1dcZux&kcpodRjD@yM49R(t~S7 zNnTR40t8%Anx2f}Sc7uim2n5~HVYQ#t_yH-_n5f= zh1UQfA_M2vw0b`vJEth%L_v!Fpg8Ag$tyN@h7=xiwo0*N9{9W3=Fl1rf;&hz$f{*; z8ZV8{_ed(4{fN&PXwuac2dlM;F za=272APvD?uLMpeI-lKbqokBgT+f=Ub_^3x_?x>L#Am_)j`!=+eCDQ-D-ofYkk_EX zxCW6bVtLy(3YXJ15GS+v0k}IPkE-iLBCsJUjH_H5rED%bjgA^B%;i^?M|xbD6sv?( zLEoB0BHIg4<&ot_UFNmq zAuZvVQoU@D%A?FF%9A>U2mQW%{@q`SnCaa?tmZYXtppTGnb@3itz5nld5?`#&8!iT z$di2@^7kJhh*koW0e0v2MW1ihEB7Vdg^#gM#e!472Pwtkb4e<{&9nU;PL108`OV7t z3k0?12MA^PLUQ}v5{tfYi&Vw|HXDa;CQtS5%D|~DS@sB{Z?Mx(bDkjmp%@;wk0hSi zi5a!=H$C&@5bJQY&8x5Y>hM}fdqJl#$7cCZ6n-y=gmIAV{T5SmC%1bkad4EsIQ650 z&3qafmkF$bT=(ogk$%Ro3fKLdVPP4LX<}so_~D^Dzojuwip9~d6?-QXR|cLXFGZNw zyZM?^RI&M$-dS8y7!bRg3=p5lvj9nSw=SPOYdFgDq1$Xe1WIbT>`OmWOrX@$6~MA( z;j!RFfA3GWGMpR_2H=E(LU9gotTZz|yu$5peRCF9Gkx6cC|H9bYYhMBHZUf5Oy>Ft zY-v#hf>=TMo;qzt)S(`ruR{d-J~=>K{)-nWM1N2<1sA$~fX!b4uwRr=#D)HKL%Fp zgRngJSLNwAT_>yXcN-8v)BKov0sjihfHb!1EM>Z_qWGIj*vTT`J8qnJdvg<#4yW^Q z170rHwhW6`W#ZsyOsg{vvd#MoB#by8G4Ays)ds{E!Kf&tmtqnxr`1VA*p0`%VioIC z8;{Ii)7WT48S$2bUsTrO#l~DEFG!o4Vd%nH&f1LJowVD~>6*5g~&(>^I)lE13A~e0M z=8m=X1Y@CVRU8_Xo)LSqJWrR#EkCgL8{sktE;5#%ve5EP;!Z;$%u0?sQ@NtZ#rk7K-!pWL7Ns)gju*FOhsaFiOQH>U`}iPt8CF zF7FpV^ReTS>)FY#^|gy?pCRJ)`Wot)AkN{y>a9T2Pp(0VP8>)p3;=wcYa9WKE;Waf ziJaz!B0Y<(h+X7=UCjYnwehYH77feM@o`M%y;OsRC;Kel*9LSqhk?g>2^fB9aR(&r5A0?Pff^RVdls zNwnrLL+*EH^zects+(uoJR(h@e2EK6vl&7VgKY>>?x&-2`}T4bJ^FWF!Q z+BX0Roq;nK>du1GW@v`OLl8_?(OcJ zHM2I~!5$Ne@OHed*oPpt(?~Uxj8ht?nlH@u9$KEh+av`4rGu^CHyoUW8xkhr0WgDg ztu0(4=DRpb5RDl)jeLI0Rw#--5}RWoLmeI5U4M5O=SJ!vc_~*99$S0O_c^0XsAgG)iIE%u=r@#RC z?{2sMHo7xgfQt$Du@RO=8-knhxJ0Ozj)vP079;1~7Mv5WQYAkcRXSdy=fBN6A+-Rn zL87;26twi#3D{NhU7y>y(1sjzdVwYP(3kwe`(6@xL+rki!O@vKyoxbSS*S9{&y0a@PE}=k3i{5mg zTl+3laRl+kK=C@YcHQJy*K96ICb>kn0K07j z@NOC1cV>(jokQ@O;zta_?cZpPl2gGb>}cxn;%z1NCZTP-3is$HiB~2}^AXnxl)Bxb zL`7g=_t8&!j|I*dged!b`%JH8nHmdy42hNzJhtLAW=0dF1imLRJM%@WNJ>p|(K#rM zp&+W7{KMol!!b+WwrUeT!j3;Tb7U7js;!L$))=Z~%Hq0>Ns*qOU`~A`XDvQh8n|$P z8d1GB2L%Jk(}WD9f;6mXA<}*%9a&_2O3WmHf%CJfxeF$3d-i#HIYw=vPQ6P`GawA` z8nIzZ<|Jp4K$mAommgET)~MTwCV62p8C>@|%okeU&Z`*gWv)*=4zH8@i3}onICnT; zeT!ozQt={Xr{0|H8Tdz4Gn2~JVBW$EF84|E!HyvZQ8t*bW)z09$cqSo9W;4?nPUI7 z0L>>*E}|LKMmYCKxGsp3um9gJp1$`B3KhOLXJpx$_u7nRBVE3G9Elrh!h}Vc!zJ+FEWcpN_*OfDBCJ^8w&@G}VUu7FX)0Dy74VCAvN5a_X~Dr}T(LQ9=h-=NlAr@EW*s1L3m~x#>h^i_x)PxKGmtXB7T7vFRP+vW@_6fR^fQ1Ekc{p0@wZ6u+M;~byoE`%?)+{@r>Js35dX_2V2z7Bfak8mt z7g0=Eg9F$~`$4eWdVI$%9v#1Hrgq}ogmUv+E-B|FfComm6HG%3_=>T&`JEYh&5>5y z6V7a-C_bboqv}$&Z*(E7JL$STE15`@#6jt~9b%=e|DE0QG+<_QA;CZQ9UPtGy z1DTU1b=Sb_k?-vieqWt-(Izbsg{nBgUad&mqy5&0dNxD;yH2sc!O7S31y5@AVEJ9d`Ggw<QldrEP=oDbk7tp{Zq*)?Zhg0|n(UHFV1y>$lWiZv^g88AhG-nh4jCVzc5 z*)y7pRQOheGM5)Cc>*eS!gJlaP{7sbmNub$8V(A;JPgUD!a=ufRp%4oZ@-+&|H3yK5P&7 zbGQ+X`D~Y2j@ETLUbf7v*H_Vt+S!O3p5nlNx$ zle)$dZp2X~Edcs3r#7PPqOr%rCx_Ii@Cwb1l@=#ZFe5=;?h|;clV505(b+yFoz6v> z^j8~nhOOso{Dit~%bjh@XRY+a9Bg){c2sBYerhzrav@>H4x}*;AlV1hC(5zYWJmS( zsd-Ib)UUjC4)NWT<0!lcHvNO@GS|`&#EiZR_)|rr8$tFz4Fv(M1S3edJ7>uQ^+oLj(%=54;VA1U=v! z_J+0Z)t*V#nU5cbEVIevbel*~CofIfX1J;R8MqEpBE+{ZD(tSOAoY>Xm5p7xkbtHB z1(D*IbH!4?rg6PyQ{cpyE2fRYtadZ4%)6mE`pGp^KLpXqXdXgGjd=d~ z=Fek;a@%4}o70k`>@Q6v%$|WzaE`q%&uIO?M-~*mDdbo?YVLIf0IDUa1}Ys`LR9Vc zkP-pe?E}bWpoiqw4-le1wNQlpze@N|yR{4Fv;SOnY=wl$e1Vru2`V}EOeWV3YF01< zs5xtU;vwxepsW211siyKgGa`5U^5k@;o~~FBY;~B-s#TB2da2Rd4bB2YqEh2X3Sx< z&h(mGRz~f-&^MXv>PH_HVRa~4ib;m>{S;$5O$Nwj77x9<)d~cnvUmcW9+^L+6Wk6e zQ~-GZ*s4er{?LmFs~my)^a4bpK=Ct%H#q{mE3#nU%*v*=ZIY&!Go%kTBuc4iK{)=z zS9?4FHqeL+@5!s`zvHG;Z|ef^jvv3<04yq?(n9#rU|||b&NWXkZ`(epUsQxNk`vLb1!wlJKk&Uk}riQO_doD zCAi~#LQi`IXE0TmHzF+I@W6&`-1Sl6h$<_ex-F#mu)J_*Wh~h`PFZ7%I(h(1A$pwXh@yU#`1EHhr_7kf!^_W1qp5wP#U-oAhooQW)wvs zTbz$fgDMj;mp2yWP)MpYz;_M_CoXHOAiF2hZZ4B1kmbwJND-((&8#hG>e)HRx>4Za@$zl)`aCB_nVRM zcZR42915ku(wd@S-~~ZOJuN+sx<|eQ0vuyesY6;RmssXHG%$nbYby%FWYCxkRTB5N z{jAzQ7jX=Nw-16y#;U(+=K(royVt`~eGzsZFSQ(-u17Fu>IdI{nM|KH*+Ar*isu7K z5L`CW`n=bfGNl>7u#|yYgT<~^&w8d0_8psqGzH5}s0IbPL!!d{eN#51OV>$F2q1Ep z>JeW2Z3yD$sfoStK>paXlgK3I6|3!k@Z(;k9BoPi*bQ4{HK=l*-O@mW zyf8tPq)=NN?qY5WiV`HrWwFkCnZA?`ywW;zKJ6^aFY``!`$6qK^%ZWU;f@XqfWvNu z67t>iqRv|$17kzfX_ISdlDNhkQMg_7Hm&J@YGbxtMz;oAHLI7J5egPzv$b#$qU=S! z2$+LZ<~pmHt4mPR_p=^}GUv1~I()x0sJ;L>90;IGA+m^^`2bB~2CB$U2Ek34G^dJD zsKGxMY@|4GZey-%gJaZ_m%7h6R(5{Igj_OhY6%+iUj&ywxT=4(7bk8);j8x%e`Gwq z-kr7CBu$zp5Wz~q{VEYU1c$nz19xdCnCpiL@=gvHx*n$(f%3|8Eug3^UHO>%L*4R4 zWKX;dz39ytjIiAZQ*j{XM>|? zBM?9t8rw2{djv5!1SRHK#!~fsVDf`zPH~=OhgWmD9-T6nGmc7t2FnE^pZ9J7G`t)R zOnDH7_xpUXLM#Lvv5aQU-HXyn8KT{82|dJl$Yr>be`SKew-ERZ@1=Crh<(pI~hjI_Wa8lbWuMnEcpDi?|+lGny#~QimK`;HW4;Urk2NQ{#SH(8WI%w1s}b z0BF9SRLC-(Za0UO&m0DPGL2G+`1ZZuaUV5m=SlcC68>349ZtwNK)!K9(r39*3+8f+ zL08McQEW*Gm#eW~R_t^{1-alGxWWJ!{P zIJ*aIq1&y4g1!}|<(E_y-3o=bl&%M|OHdlv;8G3g=b$(DfNM-E92@9z?V#J}(3>34 z46!6=hQ!^=#aRTU^)Ur&q~XlQ$dW-lrbca5)jkZSsBH)t0;`-d7DE<^kI2!4C2)(1t%H@emZ*+*Q^a(SJ7Tj>l0m)XpQ&H_TUa72e8zgg{EZz>fe$ZLpuK;!fwKQ6(;e@(B(b}YqZ~x3ij!5aFxBmFA zNa2qrGQW5QTGT?VQU0UPfAsmU;L+zlx%j`ls7)Azq$rC~=5eaQ3!n0_)TI))5YBbL zT!HIARtoK*_IQ6(jMt`dWgo{+G{=hu?vRv_2-&@=Q$^Pid7VwmW(B$t0+=2$AL_Oo zX!oYkWn#D|#wWitkmGMglbq#Oli&Dsm9tkt+vM^91hFg1SGD|NB(>qqLFIz~`RAl4%(o#ZpOt}T-;k9|KjfZ}h}rGJk1 z0@?E-!j6zh7GuWyA`>SsMs^m?R^7EtN~bQ8>UT3VfC790=GXzNo9~2rZh<#UPaRnWIWc8FZYtcdHHDK8rf=Ec=`yPR3|Z9d7B$4J z9mT_2r~Uqj*)f)}_1Q+t1tCGZ%?48D)y{}-9pPTwov@?POXZ1k=^Yv!R6)uqzY#2a z2eC#cIDSD<%Qp4CmR?<@q%=kwetu8MF&5V?f-hK#%;*WlvxkCQe9a}e-OM-*<~)b> zeYWSG{2s*n{)n5`w@;}c6So!I@%LbIW+<2&-B^#qZffngqAwHSPNj@>3~ae@kgC`- zaf#F;Ol@I%r~Bs`Ra5nL$407BjYOQ1i6@m0M6P#Z8k(n?dHMa?vN4?Olns;0;qz4D zWi9L$VMpO_r0@+cCQB-)VT)WXzeFU!1vZe6(%yhUgret*e{&R=5^wWms;7RNuDrA@ zzona*-?NLhcnk;aB@M*-kyhf)E(5p%D@ez*s~9lZLvZ)QbbS>e^MSaNqFTGG7PbAN zx@W12=m2>r_ql+i&j^ux1_eBQ|EG1LsK{CTLkwAyn^ytf6}NL zkr>9DtIys*$H zGYmI^+b!>+0#Po0hnBa>ZHHkfo0LY$1n?edEQ5*a$5fa-|Do!ccf!%|o~(3z`?{xp z_1x{X$l2w#f%;Apq z;2lD_|_2k^AeDXLg@c!4Xat-R%%J^gk|FE(T4q}ff1K48(*n4+; zg;bD`oJYY77z#+6h9-DpGC=i*$o8HG{{uyZ&veNfq+SE@N&jtHqb;siWmx7mHYWyv zbaI+Fd4P0sd2rv{H|C2eD11vI67%6>aYbdMu(S}%TAOE*D;g)ZwwFCd&I5`%{1aG7 z2ygYrg5&M3kTPJ`a2Uu zRSrZl1+TlpKQ76o1M+hUSWc{lw>}(WLnw*(I|CPDF*rkw%-Q8!lQUGYg}OLv+gRr9 zle@n$-V@wDngtZyACqKoQf)*~_}05iXMpXg5}fP^79Jpu56yOW7JK{Xs;Snt>h$yb z^DJv^J-D(vvFCk*ha4e#hG|;Dgklv@{0-BO$=O8$7kIvl0QN!V{EX4pHizj>@3jO> zn!T{bl+8AitJF?yAiZi&(SZo8pAN#8!G_TAmHe$@wpJY(oW*5G*J|Y;OKO5U!v5oZ zJxp^3&cRVPZGg9V()q*NrziO4RS(Rayi&-nqcZ03wp+eu4Px5Vk2$sA8{RjJ86|v4 z`0>$#ix8=CUuM!Fx?C6qlTt5oWb+4k_^a3_57>9Q?@eIcd&`T1@=qC}7I;CXzXo^G z1j`#Oyn6+^QXAi=7g+27?;4s(O)i~LBrFL{NZ;4;=?KvWi2UuCeLp-c8lP(Iys^0W z$l?B(y}LH&eCAZ}mx3xvAPYMC6%_3}MfSuOFWzk!J2Uz4$~>e_Jm}Lxsw_xz$$h8m zu@JaQM)zjm>Zmm`iDB6hNdzm9M_*x9o);sst})~4iMpfBJXNPh35wdyv-_?a>?Wjh zjdQa94-2pZ8PBa5q|PBw#K~OPXR?LBSFBVk9{WP2;cL$x_0@ zh$K$Yxgj9D%<@V7BT@Gw_NbU0N&^u|&K;iix#cd6FiJV|Dn;Faf_?fi#l({1y!E_x z)S*W(bY1Syc&mmzr-x^}b_3;%NY15e{8(y%K$c&E!w91fb{M{5Lg^0EcFMuaU+*+L z<%rZxDTEX$x9}W9eO53)CKv#9xUfv!@r?wK_Mip^@#xNrnR{j2vdE(_@C48kh<% zeQ~I9x7a~o4YAvc()n=H@$}=58;)M&Fysx^^mOjqwo08%WFy6{8KYgs`w&$xFrikb zlu7a0A#P#rrcEiWyTiHtP2q#pIk)~E(n;fIScCaeoS8WL$$jC%22nPuBk5K9i?+b^ zjf7`YPa;G)N|5Vi$Qh#U6x=JG)&7kwO5Hg5RTtU{WZpXXBOfJVib9=3+#=08Us>7T ziPXuPwK}=|!L%FRXHES!Uw{dIF^ZterYumT77nd#= zeZOo-<(|8L1;f9C%Mp0g`}-}H`h19|$~?i_Byp1*nipUIOFs6jJTO`cj^SOpp2OuQ zc+$jzu&viclm!6AzdhRL)1=zDh1YBN8OezX9`4;5SLAZI$R1)^J{^bmmG==t5sAu8 zo!&m55Z>|pqLJ>^v ztMZ0Qg7Mn3W$_X!%-_hl<{2mJ!6mP})NJ&LnFLo`pMw+7r+a#qQt=}Xv$(cZ(|q6Z zWyx zH#Jo=_DR1R1Uaps8QuK{ffL5qWMomk$Yb4tzjs!2rys>|n67vtklzg~l#KMQhB*21 z_AfKt!I@0<_!}dYAI2lk*!#28{iwMSKAFodVHLw)sJw%34gZMDPSPCn6PNECRz0sr ziRhR(8o#5_=lrBa9SJ7{duC*M3BQU z6L%8oP?x)vE+)Wv%Zqf(P?d&P4G8ZkdnVwglFIc-T+WcA%bi z2zh|4cD!DZ8RYT;cdl8QSDT%BX`vIFPcD5h5T6&j z{T!!30~T9dt9BqvxrkX4R5a9o2ItB0cQOLSi%%F<8KM{zjJnkERD0gIxZw--M~?o6 zW?P{Fx7S%;CMGWJf@h%{dwK?jCC>*F0nUQg#+hs~dzs~#1#HZw4Zsp4_E+r{%PgZm zyl^F(l?9YjXl`~kH&|^6N)W^vTTfa%;Oeeh#&7NG-{y2{iFaRviegMEl)m_&i&{SHDT$aE*EjzgQy8(YJWZsB*=}WUlb}& z`=y;@3}G!rP+`orX^e_;arNdGCB-i;O*S0;J4ru4*U^JFyhNg6EoO$9FYP26EEO6= zC6H+ou@{rZeLRg>%1eV>ZeHDzQC@s_piAjKxG@q>*O%WAM7<}6thT9V6kj``5x48I zvx7K`bS4XD8x!)4Q{SW7HGPZ1=?e?g3;Cvpg$TG^q^<%_4j?dH(@@h>O(M;GrG9}9 z*m{1CJ2SaNqy>ahOgTO~0xiU{*73@A;PqXP{?rZAJtAP?X5lifM2zvwv#P3B&6xi2 zfO~%|+a3#Ov~Q2EkCY7h?dAeE%iS$z++)?(o2oLJL&MG2P_U)p2T->wf;YHgxN|3! zKzoYV(LC14$$TabL&vlO<7C)Bqzq(dzXBp|{N^FhEMOuf%NR+xvUW+R!%1cLGvGje zVI{CccX+$%G1O9-=jPX6vYo=k$n5D>5>horx|qPVngypOj~_RL1<=XU?GTPJ1#pt= zdkZuM)3+Qqtl~}6e8HFFDV=r2yZItP!tNT3cG<0y(dZ`dBi;L05zMNr^8R@dO<3E3 z?j6vgC@!)f&!2u&nM?DO~wCq+T3+m4ke z8{KJ?2aLrIgK!6N10jd2o^+?}xR?JmhJj1+Xrc}P>H)eNA&i1=O=2YN35fd4z?)S@ zI5Z_QW}i#xKPccTvzE4T+1=E-$Oz6qzWg%Jn5Du8_D%g|$q)w$N z6I&Zw(?-#tSCvJ(z^wyA=K5n|O(D;gRD6JovirM*#TpiT>O^!Vj??9;3=jHnI@h2S z8kAWu_FQQOg<13UwE=Yb1ff1M-!&Lp0#oBgQR#YKjxoMNh0SO81V(TO8N%}Or5}H{ zSo2J0i#yNu14Kl4&_^`N^!W=<@kt9RXK`8En7hnB>1A4Vgd~~3O+fRViJI5A4&1p8 zkP__Int6PO9%sG$S~z|9?ZaU;C=k3CBTIa#l{OYT##j9{$Ne`$l#e{s9O;{bZ5kWM z`CO3>yLyeGVw#f@FSY|sh_6Y^;`&@Y`$ufAUtFZ}G-fa9N6Vxs#k)Kv`teJV$qr8O z-@XqyGVv&N`!^A6qUC*4Xf0ljFKX#r3O9mIvdyRz_6RSBi|Jv#?@Q1rLsUn0J^D5< ziR7BT&PXYNT&x}(^S40owm~;^m7>{+pOL*Rt`N4ieMG;y87yeWaqWm*fdBfb5^03? z+QU{CXcbvblg$?-iRW|)y_Sc`Ko4*$)Y2o}%i+$_z&^@8?Wq1HEea2)RRVIdX0fK6t^Osd~IFx-#VWM#No~1dwOF z;;n!!!@A2Oki^A8)w_tiv~hcsredX0=;%;z9j-h_ISw^5o3PzS9Ur82!_NNECMl>oO8GTY(Z#F6(o&X}=Z5=U!!78YULZ#W z;N$N!_eZ(7RPg8Z0l#%O%4)My2u%g`Oj>{saOEX1a3sC45p)nqM&Y@2?Vt-BMcUV2 z%fRtI+KH~bu+k8UcIROotCp9N_37|QJXg&okXq0#P47PpwtH|xA=dJA&sVT!==C~y z<-5{$n*I=Gk@qtbxZ$FR31o6XZL8=n<2AT#LAE^%*%DB)KidUA*q=yDI9s$&=0`_No9CkkD26{%1eTusK742Tb2ZbL=#;vp{hlKOLOV<7+y90XXM!PTcKlkf;DY=2^GQo)H`?{ z(fPZ+PVKQL%DrrzhHLT)aNii;eR%CZw#p9rzc#kJPy$l?+~YEbs0}JQ^G*3Wsw~7eQY~YHP1!-E38|BAQ6uhC`2ir&@bWJ^Q%1jeO>h&n zM!f~R%}^s0od2y)J;*xvJaf@NpOYM!ld@z{!Eb}^Sjx((lFdAr`3lSbLw=lRX_n;M zk(qXyay7rR>c${pnDG;QBy4clKR$Im*snl zAG9>Fw5Iy;YQ}}g)mvfQ83mE{uf74ou)LPmSTP4_;SF7;Y6~Gl;*0 zFjAA|9&saWD2#GQqRmXd#(8O~YQam*BaG8b(s4SScz83jsF}hYXI;37&Fhu~P!A@n z$u>IUT;;c4LQThIltFZ1u|eFm;l4N0uqUh)6`11@&5LN7+qi1jvZ=a-$2V{7e>hZT zY%pRVbP)Q+&n>G}AQf8fB}5d@bhzH@)(*048LP2qDQ-8mIh5q*5z~}v4@a{<;6cB7 zD;|-lU~@{o1*2yOtMw97n8MR9UfwPo$B9fO@I|7fB^}?@xntPr#8fLsD(DQxoTrqw zo=)Q%xFU7m-s`@IgMV3!6>|!CUME3KDK`5W!73DY-e$1L3ASq49#icLkLSzz8E3k3 zhX`M1e04MhZ>A`bSbkr8InsiwG38nQMP*KevE}rVt?ZY(2FdNb>Je|SKXN@fs=Luj zM#ad9YOq!!7Ms( zSf;>b5*cy}Oszw2_!L{a9g#72Kfj#0D|A0aA=J~e;NoSyOfDh$4rYRN^L^kYYL_nG zhW*-qh>`OBj4H^Q*F?sJ>>-z31i0a$<7Ov?h*Ed_i;?9Gx8*C9)Q_D7Sv{aZ*7vs% zBO}#AHH6N;yUvS=QI!AT`-{rl!tsF0C%G3~Z8NqQPv$;n`*TDF{m1A2j%b%XwC}oV zJl`kSY-u~cxQhKnudK;=jTB{Nkm+p#@r4dGm8vpo@q)$NN14ue{k@J~q855~(%}Yf zP}3E7eyb!x+*9R6rC93e4KJU)DlVT&ppe_Ej(zo$y!;ZH`41Vmqtn8Yd=kyBJaPKU zK1KhyVBv#K>wjlc2{Nr|o%n&9`i5x(k<3k98&!5tzgEwM3LJ>tr{eEH>5^MbK_=2d z>X6U-*}cP?Qngx+h-Tt^4nsn>lky%AZ^PUD5;C%650-Nulp*Wd zbzOKhmE{xe#>_2XlR`x8xW{JHVfhv zt@A;PpYrg1ch6*u*E!&4tZko~JmzP-IYkWBI#2-m0*L)$Md#$y3L~0WhA*iqu+mjX z0=T^9$|!i{Zs>vzBMr4$8+)?}P`{2&I>!-l##i0Cu8XeT=_asKWCVfFr7MOjR73Uf z5V87=cF#cvLptnzpex(7yxc;cDoS8H9aUAX&uK^YLJH0^k_V|58IRY-PV7L-4V?h_ z!9exUj!7ln9pt{5s$qr%lAoNlEX0YVWlmIqdk5E(L^Kp{MX_qJV~xZqhfp0(s;5i7 zf7eKPif?!1SQI+Yv1t`+=kP-`;fN?oapPsLhRtx~w|1BKbu=2&$qcUTF^3ak@&?lQ zTSQN2u*ykzL~C_vOu5T%h_`0m(WpMH95u?kYL#xpzL_ImzhC}KZR~XMy{{jE@IUAO zT|l6v|ra0iPHmx&^A-?Mo&r655_&<+l*D-mC^ zzu04GD8_3du|Y^Q)O59>6?J!4jZ`_HJleFB*>g(ufB*|*glW2zetVg3$1{GRJ-#;* z@D0qbWGjP|c-<>b(OrQR)G5*U8OhHm{pgTDy*oyLZc>z^j{}k%2WHtih%mfPxUJ)6F0KUIknRiXgKd> zyb=(JkrzKvQi3_0g;KF@AzNR1uu2=Z++Xk1nChuGVWthp?($36m58k~M~XLs=|IPW zY=*c-7M9zPSR5&BKzQ#?f*B<69q*}nF@$T%V+i#ir?%y**TF~uxRSa{!T;D_LOdiy zvt7!29mkcgk8=G+cL-K7i@f#9+pWAu`d(-xMjNtrsQNna(7dhF6dT1VnFY6r)kCro zhJh74!My~KIt&cj&YzA(WXs>hc6qhkHnG~lDGk6xkKfNhe~E9VEEF`m+`h(3mGdF^CS`DeXv-~yA&I8)pnct)d})__Q!@iZ zUwW*&idzLXv-weX8Mmuxv(`t_tHl>SV)iUAuLwCwBsytdjxAL37cAsXik+RY)JxmH zsE%xQxacKV*j6vDgY?Sc;$ZpZobX3(N{EK&7mi4DdZrJ#RV%|4Bj3TPvwi#adMfB3 z(6{7dmB(tsT=M}-Oo4rv$&wjWh`ZRG<5DDJ`x0_H{xH>k!Oaj>Ty5)7%HocLocv0^ zYhGY;Vns=?!S9YUPTLxVQx((wYAribF;dp#RL%~0W8$r1?!kzWiB3SRKb&hdAoF}p zRNw{M8ydn+(m?|*9>po0P<%#GZ0N3Z&*_Ebj=4WkI)cLQ-&x|jig-cuJ?@nWs?qI0 z;0GkJ6GFeE1-K+J-7`Fe1ICu)cyRG#{YW~{4A#D``6{ayqT_yDRV?3Q|HBCLnkM6p z@`G2vOusA(D03s(hSp8x_ud&L$!}%L#)Rtn2?8&-{rcb`+aD+6(=e?zpzr7J2HJG$ z{es0P2`mh<&RdtQGicj7-^BYs4Xdq62;~)h)0kQ~@LDvAH|7S@Do3_#>LD=jsV%pv zw;ws>{rPt>y!Id8NoL|M9{G8|5WFA!mIWe8I;br= zO8Y&CZsRjjCzl#i6Xm7B#oH?D4y+=>*k$))sxAfA+oK2ctDn<#0l2yiWjINibANOD zt=uYD&?lL*?r0Nf0zxN2cRZoK87(fXwc{NLm%!0x=PxJdJzq)uxD^RlryRL|y-X4ZY*y2=$`>DxVBm3e^8h&aJm z`I}H@aYg5=JNQU@W$B)M#2?K+%J44_9&t;^-V4rN7Ibq8ZW$veJjdK}nY#<9{qU=_ zTRgC}MZXj#OSQ3GZ{@<{VkF<*I{+PgD{D0o{fM7cZ&8K&E|p@6w5NTeM$W-UMGI8? zR{_6j9$Ysbti6B8N3iUK2kUQMGl+c$F|NW=|FLadw!t3ZJ!w^w2B@Y(;@&SD~A?Z@MRTj;B_&-doln2Eu{F9 z*@=h!5<7eUIR1t7pZ-&bKs_Ym7U$ocE~ov&iw$BS?##yszj4W3%P{w-^pm&ciK%}K z7_`9yyZyM6m*A}}$LBVwIR4ZfhOj7}!w)@<>csu7}ZyOum3c6~6y}jzAe^|Y}|DIY2T|mtKj~f3`z(+1h`K9>*Mb3r2)~d2i*HkoR-@|LBk^d|j>@lCsZ_|1r4_F)pG;{kl&EZue29 z*kO;g<{nVKj#Nn+`L@_O3ic`|#AE zXnP*=ZPwwV$3vRyV!SufY4?+e8bcVlOW_ZUz5VX(i3^oy+yZDoSIu^`4$iwq z`3#$QG!BW{ZuqO`PaV7`uDa;~N*%;z5Ft0UyV#Faigz(>;%Q%hvzGQj%OU~XG`gFzA`I)6 zvF@_sSN%k}7#+ktD=yJ8e1p9ox^G_=ATX>_B}dsEFgPSSld>`)(n+)hyR!!N1HX=r zXpIn)x9$)2)NP+WVhH>1hqY;t&K0sp1N=_`YKZnB4kY0~AJRin>a-7zdB{`Hho^6- dQ&=)}{g~%;#+bsj)^*^Y#&PXq+3FUz{}-=k6|4XN literal 112293 zcmeFa2{_d4|36wOZI-9(+j!bgNcO>?vbK?gD8yvTI+pAtPn2zvWE+IC??l!iF$rVe z)x==z+t?X%J~Q-$zJ1T{od5q^=eo|R>na(a&%L~t*ZXzf_xpW&ep5w>`UvZhJ$v?0 zUs0C7wP(*EEb#a5LlnR#dV5|d0$=vp-BP-|Clk#+3VgHQ zdHuEm@D=zYa0@PTN#Wwfi&sui-nngg$KVze3N_KVZ()4f+6!uPRS0(FyraicFFnbp zPoMh6J3PCu5NIF;w^eur(|PT08ttGH<9jnHDJj`mIi)!$HNPyq#yhjbF(=0&*UvgX z#6ADr{d^RzBsRP<+pX%w?Ydk~^boQo72f_nzO(8>cXxMBQSi6%C5+9Pf%52~*}mb1 z+_7Afv5!fU?t;@T<@n`k;&23!NPNrB83GJ%4Cy6vPlLFmn$sCjRBxZ`Z?^>bFOuM2oupWda-wYzmXFa1u@>vt`h zV?<9r4i4&L9}cn~n>kuq$fWZ3_nE!1(133g75COXS)c)eHO&7H{bLqJEF`sFPsm1* zzjEaK=If|5nuuYBW>%NgFo)1Mu)DHDuOII-4-g!^i6Xsoy7JEtwu$6yFLr&*(|$dg zA9}s|Lnkw|B`!$40HxNu!ihAjV^$ZO60HfhPsY*@!ZtFkZ-ofldMGs|Rnxb3i4}TX zvJG}*4(uKhArj*OUkefxuh^6=!dzN~7_i3Rp0uJ`u%UiengCkjfLc9v4HV?!7z2m7 zAdpM$SO#}}7)EfoW2;xY6cme2?a@SclRl%@t^tCf1A5SaE54E{&L9*fBcSNa)<|CA z(;tHGur*DSUZ(spWV95DfU(g_l?DOW~hD)&XZ3w?3VK1qfcT z$r7x2E60HXp_oJV|6ln*k|7))iyR}~o7W;rtgzjLUJt(AYre4&n;)qkNNm3dz1~cg z*Sxjn^$o2GDR!WSm<{L5u?pH~~)F8pcJ7nQ~U@VYFnmD^*;<-NHnbpNK z79)pNXT!!^ZXm7u?!5krVpiuF1GhUP`qSD66RG9CIsrfJacOAMtieS4Y+$F=YhDFO zsyKjr6O0?*b&c0RujkzEFsGEN;+lx3EYd|npc66Dxn~ggES&FuM{=PeB=rM07Q5ck)FKS@dL zw0Rcm!KThT1_piB=s$(s0Z|O0k>WxzLsNl?V{rpDw*j?9gHZaJ0VzSLF4Q0s zEYQFJ&|f`vxh_=j{VlDmQOD~+f;G4QkyJ4P`3irSWme~KSYQpBT{;Urr$7>>uv^hw z)j8`vGTZ91w3oNlRRJ372%u)*oTevsM0{s)=Onn`E(+c~fju z!JrQ>a&Tv$R!)0z>g`!?&zb<>CibCg19qDAa#{{jF!4ArwulRrpyp0t%EX>Vd*-8t7j|h>t>>1KwX;d-f#G@$urZ19CS6Z#q4F^I`(F2>5Mflhj4t=TG7i*?CI)-oh1x zJN5xMsD*FhOq+k^6V2l!j6v`O5lbBM zkI?H`wV>izYJFd-A%Ix|SVVee6NeByH0Lt4^ABxJ;|4v~CbTWYr@bNL%V};u?>Td( zjD1hTqULi<73bm}&~tBsBIy}-lI%&(_?a{E@7od^-P0>%2)j}?at@FS0AIDw2i~mr zD5PENAL4T7iwt(-VX3~d_6&eI@-t+JBRw+^so?)(_VNZTS4p-FAoGz;Y_yFB^B^BJ zpS$T)bcNI3_%!ELMOX_NMM=*9vIFoFcqaLSar4P7X^Z_QvCw?U()}YAa#Y%GEq}!F z$6Ee4(I0I2-w3$_BIMxk`v3wg3H}T2`vFuPh)}#g>81|MeZ{0@gJsiJcOFSx;^gI-%yKG+c5=ZJBBa9+hRSto%$=|SNbKU3|k!J zC2h^Ur*i?@`b727zhl|ao424=93){|lok|MFjHK4@2|PVj!v!Iuh-yd{4WM%b<7GY5!W!7u8`wHEDW7|I{S}g{YC#$I!NM6-sF0e zbk_Hgi#|08A_+Sv>jj2mU(FRM*4@w1{(RvG|7n}190>9*VU4%sc>FJ~T5v6_QB;Jj9QTGb5y`;{X-1P z*VIvMG0@~N?iEkNPDXvb$St|k;!eW2T8tX3AztCl%#lkcy0PKZZHkK2&`FQ_L4S?L z_*r`?!q;<5KY`WzER#dfl53z3Khj7SzGJ4i(~SZQ2a)2*8}dOX1Co#z#UzJ&z2YX_ z)>yye+B*iPHH(^lGWxMI#SZyB<{jy3$6uM;zL#fujHmSSP31)}x7zdP-b*}S$rso} zMTk46K?0OTQpUQgb~rtw2m4^#(jxhF5>z4;!_Hxv)@fgodoO3_aMSe|TfiHIkgNPhckaQkn ze8MoJu@y}7I(iI!aX!UpK9-IoGjT{V z>>htExX=7GLmQ_s2(Z$c+}yOAGVSK}%(C2y&WhEd{)}E9dG|kk0LQ=0dLL@NkFcR8 zG5YyR^Mbo?AZRi|bJ$ijg|A$)L9P>b6rbB=4i913wn4xXv=sT&c1ey~v|Vd_{=wIn z0+$t0&_->uD!^(Z!!_^7ty`(koi^=rq6hV;c~hsvyk>G>PsfkEw)3K;G5s(3kW`Q1kG*Re8VwM(l`dbjGL zd#W0Z@F{u;9P0?yolbL6>%?7Ap>e6yFEHm(tTRKVE24<*T!_L%UQ<{n*96ROJ8nG7 zJV&z_S!A1~74zskMCERoae4I4ut+l>nh#5}>u?h*{vU4AN@y^MDKluB9i)VwY=fx|S(c ziA@FS2JL|5q$GiejN=iD(M7h_^L*5-oryG9T<&PrGeBqM_*FkZ7H4uryXg2Hm!%ZjwgJZWmqlIc=VHY_!{1fAi?GUj>b|T@^d`J`%d$GsGVBYPEh?(roa4AzCdN-_*IL;#qC+tUBlyW^wgIYNtJ0LPsv2pjsKq8QD2l zwiD}vMy?s_DO2RBYJ{%$#i=q4y-)tQlKn(&B!M^5xBP=xTr^!)VOQ{OLMM0j(>&|p z^}aoPQO_2Gq{yl(U|g`>>23qc7z1cr-?+ZWYCM}(+`oF0b5FuZOy&0oe+%Bd)*&?< z>3o1c(l7k$+F{d!vSAHLE)8yXbau9UnITvE1dy;a<@~fBbX4I@m(?$(7q#+7rrtJ1kAfo*|d#tyg#FTR?e? zCz24HuW!sGgggGlUjd%?P)O8Q2-D{RaQ&CKbn9j`pujYcNwYkoA4V^$8RUvc{}&-I zi{@#ZEPL*JJ3>rJv~c8tVZYnMajArxOmSHY9Mq8oQ$sPo>g|#SEE~Yd_9TC<+^$}| ztXj9~9VW~k7uh`~wK}7%cJ6}5RMVp2gyFQ?ol5)QAhw#5^FvEKk@);NdsjdwzX0XC zHGgQ3#c=a75d;w730%zm9(l9r%Plif4ZmFGozGoD6xXCHa z)M2kLCT(|yeaEmxudC!vf^iK9UupMoaVOF!?xOwFM9WWm>a@05QEJ3N!n)>12Sb<}!ZXIgF z4XNej!bcqV?IjzKNJ^hroV23UiUh?k!+$m+3dEgM7pbb6$CNi7W|irMtnCWW@d6xT zT=SMjw`C+>#a6FB4jNivtoovmGp!4IET9Et)KAcKOqAOlp^l(j8wL^;^&MK1MC!8C zsTTp#l6mdcPMUjJP_&?^3(bhcNE}EHQ zdl*aaoAQ%k2Q%nR4wxS6xJ-;l!eYuZ^tynct+-nxROcd3l^JVal6+8_#wjYTMG7tx zFrkDWi>vv0MWYPu`bQ5jgL-Eho@eZ7|E#H6?T)h;`Fg<>(YI}brrPF1C*LERwmjxh z=~feQApTA4iho|;!q?YUMEgyO(dl*RebE)IO{P9t#I+2sP=oh2V)IsA_LD8Um#I7l zB|oL<<=LuJs0vRE$_qPKlN_;aNJ3evF z;udWXRoXuxalKgj?T!6~a%qUP%?h~JHav!w1hcp-?jqZe@bXejUek!fPz?ND+ucW% zvhd=u=S_sKsfV`3x0m2Hb4^}T16xM8Y0tPQD*(-G{~lX?!cGae>CVH;z4#neB^zgq z(a-$W(A`ZX28-bCZL7jB0~dj%ia=<|vS(gDwTe#+ahK<)`!GE$ch@zO^lG2h}A@CozD}}5<@`T<2M5F_Hj$>rF&cvFSo6> zA7Up6;Z}Ee%X|_joOdA?ox*$CfJXd!s{M@BOe^-o^q|tu)n;;F2q-Bf0^+NXUdgI~W1NWDlWem`3wpYD(BkehC zw?vR6<5Hx>&ZU)MlVe&86vXZFc!ha4fPQ(l2%ZvM*`=oo4^N@=AdfJ$zPqWbkSUv& zx1&*?`P?oA^{+HOR9xb~$oxuog<_Uq=hnp8bapalsFmNY)+@u)b`B&wYPXAD7(TZX zf`=1#iy@%s$PTFm740Uo2cemlcew7Kp#aC~f20EJoc^EN3f*$-u+O@uo= zDfmCGVIt*69KP8&tf`E-xeBBNyjk8#38+6j^1_(&3hONlu&panPTJO0dF&!qczM;Q zrO;nnI zx6(_WHgSK(y@3LxT@kuBF%20n%H!sNBchA5cBK3fWtnigrV|Y^FGb##1L+i|Zse?x zJqs3APv@!MSp)eKezBYR6P1X^G-ivzZ%-@$+p8C`M@ieO`;$%Vc;8y{xC5s#H`mD- zAunl%_uDJy!B11CSOb|Atoo#c6z+@CQFl+c%Z>Ibq&*(MZKhKE5#b*Z{;|S8j{FA; zgWdjM;s58bFy&ZnmzmAJB?YbLTM)$%o-dJP04MeZy&lvAFj}o=)xo-ZQo1rjee{B~LwvYPL;XWqaGh0;Q_>fTiT_uGw z9~!fNUX2qay(kU0H{xA+*bUl96ZhIPesq8Ne7oh?MIj$cAaxwJLs&(ANzfH0SG}9+ zS#cz(eJlV{Ht%@##{0{Y$j8?{Xt(*EDO>4t7Bpgf3sAP9@#0!dj~8}0SDb} zZRTVlW%C1Boj;`?=FA?wBr@2>DCDs<4#2R=r5{<5&%i zrw^!lfz;H2{Zsy#$EzC~G;&5tlTN@3mPf<%SEWSt%hRQfyYnQ*66X}K7N!(1b!y*VlB`aHjsW7EqsJAq6MWnt^F}Mq4jgnP6aKP41 zgHLkW>~n&K$Et$nV5MI}^D+Og%keP+=KSx1^yGX+F8BOHl3C8aLItMh>j1AzZN%2I ztDp*Nbt7mdhfJ282W<8=Hl~=A-=ED(p%|qvICEr6O?rQeYgNbNV|*Yw&|w=%Mh~L~ zEMHBA6U!tHXG@Z`R*Lf)Y(rGGLiAZc7U=oJ zz(*<;aJL3*1BcZZRaBcDrd`vDH|k07ugVZWwgVZ+kVw1@H{9m}^Xt*CVGGi)JGb}*`cZ)@KGm4}i2DU+d4tVEfhp*OIXg}U;+ z&gOepXBRFlHVw%(N*@Wlx9KKZnp(+F1Z3X4-_|PP4PhhLKurvfmn0M!gOXkYW}N;C z#~FEDAKf=7abH8`?TwM!JB&jCl+;-EuDX8%&Ex+bDw`%Qjb2}Sy>da--)_cy!n7jt z>`Rq6ZNR0;FgLresOTJHbQtC#J*zV4M%VSi>KiPUKzF^Mq$i}#=tZ4#h=EI&1dbXi zm`=bRZRu%j7Tl_3%2h9`mY6v-LdPLQ6&KTv4ElQaLeeN>5$=0u7gM6Lk&$7oyud7H0N~J_AvsdDevC>#=nf z`-?N$+1;CBEiWv!;dKOr1g~!#SgY`B=w>)Th?`6e37+V}eo9E&HR*WAGvhRG8*RjB zoY@1zldKA}d;kgay%;4%aEsvIiA{KPhVD-vt6dyNv~by<1E7Z-a^`^LUIrbf*0k4uw)VSW!^aZLePH^$$f;d+^%1_c;WXGKlHBOha$q6-Es~unFdcy9Tm0z zJaA_{)_I>lPVFn2n&Z1qLn^A-B#pN47klCdnM{kJ`>+%rdmCQsLI%M7MnW8&t&A3{i3FW3$){8a}k_9iKkH19wLU%K#>h{@?5r z$Tv3W_xKRQbF?s^&JWdH#@Wg#Yt7pZVCw^Ii1mP5)H>4H*vA-OGGzyrN*sZD#GJI; z1xx2C!0lZ)xkK34TxJiE43 zS^WCi|fX-H$9BEeLQCM{FG(H4FVYRnRd1${3SBe>l@ z-7{MuZ0>I0Ws2H_gJt3vp}*BY2cwYJ?*Z9i@o{pmKi!9MVVp>>woey_Fga@?MaFM0 zf@eogk|S}b6T8via2bpH^5-vs?1UohEb?5eTjs-W!D{#;3lr622DUY}Ib27)nO5Uz zwLA|<>74v6kh(Oz>nYgV>yk8g(S;*>WIV-}uE1dt3g>I@P+h6XhrgXq*_(AW&QEe%8@<{$FyQckc zFZ@l{k#zUY>H)Xv<2jCgLE4@V{@!gMV>4iP#Z1@n>ZCLYNtZ)XYsA+FZ#T4HSwX>J zO4ddlkwr4h{uORgO;S--Lks;6$Mtg*DSkg9uWz>2EHAo~zSf+ab~tpMh#aC=Ala+L ze4yLpc%}?<_v`o5#gcE?`eG9?5^}4Rjl#b;E(Z_@K08>KE!&GK3C>XPF2NpIF@(Dp zhu+mM`9=tk85J{$Z<-uaNLVu?Xf?TK&$jFQ9Pae#CBwD zDU!Zk!EmU=%2C-GKHndyK4x-<_z7gfq)lai6f=!w3eRA8GH0t=1buy=2__VU!?GBcLo@S8%#Exm$t5 z)qQl;133)?*#d^zn3sCy@823K>j;Mw+tK-cY-_Yq@`)@?qRU4}67Lu=eZ!mMdec*Q zCf1H1HxWX2&Z*OXrNwq(CldVS$po+;_A2-;$mDgetHilgEGlW-Putx@PO$;x&aJOs zT!aFGh5Lt|)rHy`t^b0X7sDP#Nl4b=zS`4J5wnN*9LRm&3df|e2)B9x_`zORL+>8@ z&FNR0JU0ivyV8RroZ@|76r3O(j=%}(3SLV|bCTC%{rb_;oj2N*6cP53MzNGH|9j=X z;p;1|ZCP7Uit3WeKNwQQc$>5|c=x8J?8JUyc;1?BVJDSXVmf5NyAYrHP_>)}1b7{0pu`-z%699Sllt>&Qyue-36-;ADNxwl)5Z4eJu7?Dz zH@)VWH+~*?Skhk_ebzp7i+OL+N1!jZTE-A`Qy8kP$&^W!iH0NhuLm~@$}2LR**)~$ z&}x;vL>t2GC^s!T#RJ}oBeZ5uv#(y1;{uszDK^kN?7GX#GzrsG&6m3Vdto*W^=Qg8 zQu&LmIQxGqQiZ78AYtC2ymD!yVb~(gq-y>c>=7sI%5Ks+2JkpBv{ev|X?+W}bor{W z=7{TZrnLmmkySFnWw@^W7d$!NDaQV}K8JG-ENNVgCG%pV?6qV+RbPRUk|n51^L?ma zxsbK=z)P_>^&jg!GU_`xA);Mh;d{Yf<}=uz%2aa$)T{JNf^k&nbjqn!)ov$Ph#qHV z!G@Nan~^?c$#foJWIEtX})oOuJVha~?I3NH?qAS7AL-(7q3Ebwd#orm5p=JRj~ z>|PLL>nyHhAj8v^s}n4(0+D+8SGaqRrG?)U8eIaz1Wm~AyS3o9x9*BPzurV(4vdJ& zOeE0`@93;zuhFEQo?N+A8vb z!QVppqz^Hg*b^0&pv%rj10%Ssfjc5-v?=lB(h9LM0oOjoLEnm`#o8^GeN*dTwWgdFP`&I}0JQUpJ9zX?pV7Z+#VH-(65I&xZ!LGL5bq z&3dwQp2)M)Xa{gYp-Fs-pF*gl6Ju%NjM$L3xZdsl$q1eYY>|-HNzYOJif9qDP9P@& ztR&Y$L*y)o**K4WKyP@j_S9T_cu_cuyT1ukfxc#>shw_;CJ)uEr1hNXGkHg0T{EHS z;@rqyaofb22bQ>=pewZ6M12Y1_6eZl3wDCStL11#&xvvlL#QA6W8<}7qU0G6uKzm7 zY;8H#T*bZdq|$rrc-4^GkbDUAg_UR)dfZAqt!_pDv=TIL=XrkJVHxa&!C#o?Atc zSW%e8VZnFYHphHMgjLLkY%vpwz3>HF;#q}m2O(bOG+|G5I^k~^t zRG7YGS2%avqJXr@8@72P8?b*{yNbtokmQ476E292DwNiJ2NyDuS#4dbDd5Zh?Q&*1iA3LT#Lnw(bay4)RbPd6ho21Wwo&`l!QrUG5;ArYjfEDV zWUAqkwH~MJ>jb@&LZdc|TpVmQs8R900)5O#S9=Fisvd+pu)Aw@kBIJ2{nux|P3rZ% zji7NYZ^6IKB%#X_X}B;D-sW_du6TmP5=TVVOP}N)j`rKJ>r{)~U+ys;veMhh;7!-{ zxj8mR2}mfS88>PJ;r0{CA~mdqkb!x`scafO62Rr5oV_xS@7x=B(jOWrfJ|pbG^`2A zQcq92X$ynBiZ@MeO&|?zh3+iE*-t0*w@sR_sQ^f=)oY>uk zblSg0&6i!s7Q93Pw)kDZM(;25h&S4C#HYQLECtCQw_6)#cV>5zu)tY?MGW267`g+5D8x^YO zf>0?*q=o;R@hNjcG}E!Jv2bg+1o2CbH2VA|k!YcoMymu_y}5h9g9AseQ!+HRz-SV` z7Xab}t-D0t9ih&Z_eC^me{FNuMvYHbC*}jEx;EekuP+gEXW}cD7)>U09wEmUO$-1K z`qXIt3k175BeW!nE7R^CF!r^Y9Ih)|g?Bkhi76O3FIP-uKej*802t8bR__kn<9V64 z1U)wn1k=kSJ+Ai+ZGAk|AC%fHm1G9#BWv>rSKzyCuQ&|l9GbvpZ;AV4Y0?^J%;~f- zTZ^5xN-9t46{dR{J3sxIa`l+Sb()s!Fc5BYgZ;-g25A>k8Kf{bCYr-vY{0MptVd4F z!y`7z7J*CNz>&a$WED3n(?T?ze(m+faa)e+ z#)=Zi(d9d)UEj*wN87fJ8@9ws+LwI+@jKmvrCO4r0H(-lBnZ)M2wy1+3w0l-NRo_$ z7Jy(5%28V<2PD$jqAQKoOO!FV*y%XtEUyRR)iYYL?&4em(>dk~S@_wH{YNRrUuQTt z{UzXKS-hTH0-tZ_nqVGr?fWW$nWN~zC~Vo8!K~FR``?Y~;!qR|sB=p8HplEdxI7R~ zBiqpTrAKx)m9S2gkW~QXI^HzdrE%;x(;0N;#Jzz!zg7mQrlR&=vW@r9g+u(V9D>3! zN>+RLm+E(F%C!t`cYLh7v{JS78Gfj4KDyuQy;czI%v>ne^7MUj;=;`4w&A9N&Kpw$ zJ1GGhoshKY)1{@HdFVQ=pu%9&&8&wlPyn(XPOP%BO~X`Z#$P-pr&CmH?Fo|YsyJM9 z2P2(>EyCxmhC`U zWJs0dZ{9S|V&{xwdo%^y?T4V@-}iIK$=6W+hEMYS{5cPP6(-)?5uQY7xw#v!7&Zn+YzR%|wHu`;A8xiI32= zo2ypqZcR}7AaHSB*5skZPbYs##*xhC_42mvw6Xs_I1cWHpy9~z<(Ld0 zfpvRM%jZaDX9SQ62bQ#%b3j;taIwg#<)DoEZRtM}be@FPX-@&|b;309Q|8)iDZkxJ zEuGRqf4ey~4KX<0+am(G7Ti$3y=8d-Ts!3FiOslC7$XsvmO#qwd9|($!gUfK2n%dW z20~Zr6W_kZ4=#BQg?N>pZCFwXk3D6d&DQ%afs{@33b^Pgn4Hoh(paa5*Loj`$vZRh zLUkiStDPIU(qO&e-Pof5Bm^Y^n;M*(=|HWd$5`^vReA*-ccia@Rk319K*Et{IH%|G zhHJ*`5{6ij30&BAmvq_7OWHuYH=WQ7FPuS<^?Z_5^d%*PKatt z#K9XS>_8F}@ybSDZxpxZFa>bWl@dAA2=~dN&*NA^mez!Ud7vd+*x_0rDWgbMiKsXm z@VqVu)qRqjnPnOQEgR;CJ%Kju-HVTS^4F_xiuB!&rW9}W3;CHn&nYhta)xjzS zN-_#LMQWuQPB@i%CP6j?7GKe%jV45U?9he({O={1_B9`Ml+J`)ESg3VV@K|2EvI`SolA> z5d`E%5&udPhq=Uf@>;?3;F~Ub*0~_M+uSoh28Z@odyHa-{S;S5f;XUoyUU zhSO2bk?aLga)9}HK1r&MC^dw1Ay8H4fPK(SAW%V7d8WUQo*Hi-89u6%`@W_a#qvm1 zNAnuVv}tGZ!AF-`kk2jPc)!j0tDSUdFoWZrZX~tK{vAd#!tVyK*TgW&B34&~H|c7l zJqw7S@-U0{(P!Debg;64OqhY^kAZ04b<|(O0T=q|Z8^);*g&9`)ftY*5UgAg@Ft>u z@;-CosZ>u&iU)AJzcw8u4AhbszO)?pUU?}RaNM}YOWo*TU#k^q{mq@1naVf;}5UChZySq ztA?Jpsx^QSQ^Tt~ToJU7Vcof4=ugXIsG^&bD+F#tM4tpK7ek4iD;XljJoNh4&_d)F z02$Gkuw?hc8FXu7Rh8`)VQxSt**p{(`bjS4K2>n5jf0^R89Lnd+R-6KmT1obX4%WLMU&kO?w4D@bLM2r2 z)UH0GL70yL1ysp=)2HfZ=V)LoBZ~{SRJs&LYw5t!CvA6jQG;-^ z0%HNrF@|f1s*7Tn%iAS4!d7cAUD#lL*9Yj7YaURnklyjcSOP zbd{?*+~sz3TLNg(i4IpA@LltC7eulwYuOnRT-=!9L4iQh4L4A=p&q-wp-Q{T;pM}R2ADZ#((&$4suGrG=T|oH!1Hvs$ zmIu(0O&8XJt*6y5ZEv-1gs+VxoO1dQOwOpI#|ZFfkhIEiIGt(N5DA8s?(@_31XW`BKw{LK*+npv zPl60S`3uP8pTyG=eve&L`eC44W(%v=`4x1a65Xxz#Q*%))ahr^=^+gvpf9+Nf5IsHN>L8P$N=DVV zn8kOP7|?3BlExx%w`(m#r9@zBgeR~N^q0W!q9koQxmfqxMsVTF>Mz+9E=ni$19!A8 z3V9Ofh#$?A61Yel28l|7pa&Qm43*ws7E(4FV{$@_VuJ9pX=A2sgPXJFz$}8@<{+v_ z=^~Rn=~en|WKKoyIJ|Y%Mj~)yZxMNf07NHwYCGR~Z|wSQI{?2p4NAiH+p-cUY)Jtb zl#T_u#+NsIsY>-|Oaf_i|FlZxRdjO+FFTqP?QpYM(Cd+!Ql#*?wEPNH*%C0-Jahk{ z{e&+k@J<(&eM%H3jmE}GTFqBO$u09?fn#UfO;ytMzN4f$of0`ytG2(*j`iib6iS*l zr0UV#&E~REEYZHw2_<5LAUH|nX5H9(i`IM2b6$}K%-Zq<2~fQ?)?cAmzP{~Oq`B)w zE4y7W7v`fA#I?YP3{f#)1g`f&#L3~*l?r51U67tV3G;Cb=pGB7Hkd(?<_C;5ljsOR z&s>QTC&yIRY(HMlv+rwyZj8!m>qI8Gjupx-ZZ{`+j4}=K3~+j#kuwQ1#1)g0<2R&z z)&u|@tyB^cQX9Y4XjuLJ+F?ID7Dnhn=tWd_04FyUvgVSjdr=8iqNfn@+k3!IuCA?}Nlf@1} zX!q)#?aKc&v!r5CKCsX%1@eUlp&dv`^n|EvKa^FLyfeapNlCZ>vwUbf?0#B^TmnRp z?bnd_g5;ZCc(9Y}nJ-wI8Jkl{nAI*eU||K_($`5!3~c6vgi;VU&f5@DVebV5Lvv`=7AjWR!`d**m?sQ zM1>&fIIw|W7W0c_O;5fa7Ao*uW&bFSDq7Mw}V1RxE}sBpjyB? zN)L}ajWE^~0G)+@};kj!LH2Fc?(~7jF@ML40 z`y7Z^C=n&?iBL??p!8<|FG_;J=~~Ud&4H_kuk9uo8z;%?d}1vDq<$?}-9Yv5qP$SV z#{MVUAwtrWi!CszWNmIjnBWBf)O}cFX&@d5T#$6h`xkv&WsXXHI_R%HU*f$mN?dCu zy_(^Qc&3L343sa37>k$|>IykU`CvxQUvB08R0t^M8D|$^hooLqy01?y9W|NLa$npF zZ;ee(sO<&EE`Z@|5_h?9zNpEFN^JcNv<;g{zX9{iDWcJ|?>3T2UfN8?aQc+fb6{IzTQOpAbiYA61KF>Zz(8fLHXN@%L7nf+hRXw)IE5Kk4ca$G zEzgd^fNjwY&J)Yu{kF^Rs$w9{5lK|HR^2EbyVjNSu_=dnVeJ?pCZ^rBjrwoW61C#K zn#U4h;6xjI)nXLJ#btsQL*qfgcMt^WZA{QuqR%|01T?R+EF$_L0uwN@G%vCun|6fk z-p-H=oucNJ5>_!qkja3Sm^C)wAp3f22KFZby$U&tt;zd{Po$FS`2H-kLnL0q9}mzy zr&ks8nsqY)X4TjLss`w?pDzo1dBzg>B@nKNsxo5~u*ql=<7m-g+CJdcg@ssE?N2P! z*;scn`(R?B9phs>9SD*+7F!kw(4@_{`aH>~a5f-DD#wI6sAmBjD3g4I6kJp<2uUE< zHhjOOu7jBo6_KdJ2OsKtCM7|K#L$EF=CMfcZCv02Kt%xq>Z{8{nay9)xJX`I2G_1E z#1gw*gFR^|x!-Vjjao*08(PQ1x_ZXNu)=xc>f0wP8p3@Q$|Z0x*E+BhK(j~#a=?UN zC^*SWC2k7r|MrS{O(Q+mKR84gKk)Cu3vLrNY1iT#9*i`@J3vVxPxfP%AD=Vi0dTtA zy6(30)%5#n0*?$;y7n8#rhiMdUOCxrMPL z>6MfFm0lg+0()q0e<>>0!f5$YY5#Y++Exk09BH0&dyb&5~e zWr!~xRu8TZ%l3$Y_mcR)i5LM;Bgk#+V83g|H>wOhcA~3l!&C}0QMX$j5g7ZgU z0d;D;C66Gk&VE6wkq2;y!mu#ra5Ux2!{6>m%AFGz+Qm2p*ERJDaBTaoh+(l z2tr&yg39#kIihAW5E)Tmjw)EJ$2K;%S%)TD2bZPFI_62Nf=i*_L6^e_#)_sixV7a? z7VNlH+(%Ga!C|vf%GahEv+f=CEeG2;9jY0|{W83ACl`PG3dx@r!P7tL^IH0co5&;l z0mPeyE-n4;2y*brK{+4X5=5AaHB5&1Q;}PBe_?1N5}r)JzIknx-aWPJ21bZD7Ws0J zXWv%2p}u5Ub4|Soy zM_ZKRPi5tw;?zI&y?;ui|5Ta(sWSglsQ>@(yABd1-x?%UQueXx@@&;u+E$aUW0(<4 zsyx`oqRUMx7>Kvcju4L~mRAlmF`P`t8Gm?)x5n9h`uMDubv;Lyh zc|V0DY1)(rz^OiP&=fWzNzPIsovn7?J}BgHoZPV?rLCPBpX8*o@);mgprs32D&I*i zR3zsjBubvXMeIE+@)w(pxqVzXP&i2Mui^^2RhxdvSHuZH`i(}P$TK6S-s`}t1SEjM zxD1-j3RK(y40s(w+~@`+>7BksE8DE|+yZY?Jb>QJ%(s)`6nb z3#>Nv^r8C+xmUR`P}&*#ry9qCFPyjqBpb_oM{mhvX$Guc+fb3S20jI+qXh2!a4}u< zg6cnvjWKn2{9k%_0R8^mGQw2-_VM{TR%acAXCCfA!d~-4<&Xa;1BGPEkEIeeHTbm( zYw-@>nML5%XOc+!`mr(f_}tM38Jh_i^k-~3^$X<_cYpzjeeW2#EJ#7Ng4Y;xcGfHB zIG$QiU8kE16A%*C_lUrxI}ew}YP|br0|b6#O$v&|rOi!oeSE}lM3A&{kWMok2$#P~9S)TqN zwX|4T)xtpJbIclEsM`ITpG>tuc>UQSnTFlAfzD@<@_zh%P2MTZo=Fsn-O(#KYXgQF2|yX zjiYqz!CQV(J%+<(N#;C|kZgP^`(;=HlNBZ7$_BHdbd+kVteIg#LT}%)nE%am7k2lL zjdZ7P9U^6FZnyZMC01X>msi<%78HjcRKJ5y_g9?s7uR*^pO4O^oR5CghFyZF5DAX$ zwHCA?yG5wsf}j}fn>@S@w!dsK@ zpIiCxLK7=ul5ZTR@U9`W=JKTOAIS=FAAcMe#^9pqa4|OPzYZWFfaA=N-?iAu5t=&> z#uuYMe;k!EIxx=<+`eaJH)PfZZ1{sCro7vI?<|6|wlog>W;hFbKK$HxSO0zy! zdt2Vh1w!D4T1lAU4Bi_BO$}-<5oPP(`TO^>S4t7T`sE#J#cWvDrAnp_%V2){;KNs< ze@_b?-|HMx9UU25rM(1hVRS{PZ#loH3!=yfQ(NaHQ`r3&M^bfodl<_w#ux_HXY?6) zE<+O2+5MylE)#21zsG z!rq;5$rhvfy0c#+t&5=7Y6|~QAmbyW{;Q?2AAIgzTs98-Ms0{=jOrgl5j|a ziXM&W=Xxw8*-F*UNcoh@oAX~DYs>%lZ}r=MX{V;t5s(p7tHg!jI2-+NVAV7htp7*nO; z2SY&w0l4Rihes=})=!l6_wbt`r22&dWMbtnNkhd;zkoUtj!D*c_3Y1q8ax9j-z8D-LMWt?Y>7<5*s~iMJ7pQ!neTm#Nn_Oe{r>)bpWoy0{e2((lY=e62=iP;iMz_=VP_NSU1sLA;*VEwTs8vf^omZ0d z&VLy+@?qBgoY)KmNxU`ZmYzf(rZZL~*#?t7Id>aeaM#I}R9mt@`XIoRl-$fNJ#oUq zip&cbdcrN%%~*qP*-W+~_lwV7@@Y7!d;7P-AB1~ft~8s>DT?ubQpG`f0)qXfn&ed_ zNmU#{s)U{1%IZMTXAO!7GgYoM1A$*ERAx@e;$@FWj*N8sr5KU$+@Me zlUH@9D3SUzN)A#`;?N5MSIIWcX1MVSz@{<3yiwDo9NG06r)MXYRluiq7Yfba@D@(B z+AgqeU1P)&Bf4n$)I+y zc+GDAt9oUs-<)3tR2V^K3-dTmA+Ive4hN#e`fmQqplGcZjo;=>%L7dkzqj5K#)2U= z$U(u7&HACC?ge1eo+YycHrfzubjwPHT{zQ@n}LKLV4eZF;~42Vuo9sKmG$NLpO@kX z*}Z26kK*OOM?}a&~jlcG+??1k(NMx6=?)JwFAc3e2>%4#eOd7KV+2H2-C?d2t z^a&iGQ-v_y0F;bmURht8HdloJKL#@`p2KD zBm6)l-A{v}PPS2DV+RuujF6eypAPnVA6X`FDm}^x`&?$M%2S(*5^l8l;-1A;emCh!b4JtSydUtgx>*^2h;QM! z`RSFFSFh#fMY{vDHweYcc)Zv`i7kuAf!ZMoBF7X=9Us^ar_142kG8nh3;=CeZZ#!a z32y1eS9(x)T|b>hU};)p{G&yyo#n)mb-^5fwu+s5;hEm2Q?pBx`J_f0GJ}zMpd6|gwmq?W<$p+958Yhrl4rhk>U|Nz$nh zRDNxosoRM4T`H3wu8rH`)LN#z=Y=1Zn+FO1MeDQPiu@$PeFs{uRS{Dwhj)p16sgJi zjSW$xFF6Igj&Z-)nYi*TE+Cv2Mh~#n0AM*=*3ITb-HQd&02n?7x~`YchCho!W-5r;zw@?IxbrY)DPXEc&&}!H!7i_@BiBc0?+f{Z)sI;zDOW zJcXi-uhg`44~l?LJ?)S6T&xf1cSAvFY)J16sa?X@tsfbd4UY%bqrB2B#su1hjUd(^ z8Evceb-lOsHk5^CPUpksEa+|<-A&8UjOIWc4gc58aiFJ{z5*> z(h|uPqK~ls6wsSj__8vsA7wk#v3?K=b6Nt#65?pD?8pJ{>>9rm3~%mblxb#C&KQF2 zu_+ZP%n(w9|GWtagKK{FX!&k6Dm&hG-Spl}pN8?*3LaG@16>?*ZqtRF)73HV0)*QP z_FhX3r!Pod;CD=)C9(@7T1rhjZVs}HfE=IlN(8cU*U=U4%U9+xjaq*wDy;0;;)Io~ zpi?7Zu{GgYqfc?`Z1tu=ET)Y@`gR>QFzZtx-1puO99uPPBf!9w!+gBSj~PH25Q~4{GQd>v+v~j>FmOZHlCB_C z5n#iLKfNg>7=Up3Yjupyf?qtZJRhUX>EAg&OZ`#e76!CH* zG27uE?2Ne4*D5v`a-Tb!6;BFt(mF6?0uJ*o6Tr&wN4V8ZjECoQ`N9?Jw(Nq^9IgEB zLsiW&IQGsMYlp~?^gH^Qvj}crXD~5%d21mHhk>k%Lc+3m%lcJ+hO>`HPNbCslNEbG zNYI&DSJxLCrH%7P4a^&Q5Z_V!RjDBI>Nj{QZTM6Yg&vst)yF9GBs5ulS}r zDL->`iAVblrQg*l-IAJi@Ns0B2{zkEA>+jQKkqC>bhEagZw40Jzr~`riNv;vF%Q6ZT5{Lve22IFN1B^i}nt#>5vrg4Lkej z!oex^fN=Fi!N}|_dc*w)UntjtGClLOzoFz!8lE9-wuun9^t*o?xL0Gg=m7R@Fm&A0 z*!{Xi|LNFSKcP{?MH_`lzpl9h>#EI$7JQp`Ux--GSC08RdS%m;z%1{V=$k$`9o zman&Eh>veS>(@_0gyevTFA^iwc{IhuW)DaO*NFAY1uh38!u;)hBIIy%%s4QE)nq}-m zkA3(ix)K3LUZ1>v%UydaALQI{j!LNydY=z{GAxL+hAv*yCfo1cq%b?8D$)(|%{tuM zmqzYXB^Qg3nm9e2uqtX_+wHa)u$~=$W#8HC(@0-7;H034ldt?%h9q0@$B&TO=lweS zGv)$Qyqykep6x(tYe&-rPu+@Af^yWJ!@OIspb&jF|6IX2u3(?2cfHCNHS44{*f?%7 z&n00g=Hn}Rd&X|OVIKu9DEE8$N?(_t^Ig^Vigzo_A-TF>lBIF)hc z(@b-^{SWW4XNE%RuMg0prW2J6O(lrcZiTe5_^dm>#0sHtBUV;qSw~NFI~3jCOEVW6 z9Lc*j#9)OcQS2L!5aGG))`AIib$Mx=N9zXa%L*uBPjsuAlZQV`#}8F#uO4X{Ssgt*?4=FxBR= z`YTM7(_vM1?);r^)&v)5J#J8LvHplsPl*_ll6yk1z?@!36U1Uz!J|J0B-j`w7=r6H zz_FvlI%glXp^C=sK;RtnnGxWlYIxBEiDXu$U14$|h9*p1#*t^t8jY^Vrk^(BR)|-w z6J@k{>V%(Tvg`bO)@$26dZ-A4@Mr*)7ziqz?}cy*no$>i@OEuT(t)A2-J^#|%5;EY zo?8u)rTKHkgM*lKg@F@WD|-ZMc1)rpayOOl`jXnYYugeyLiIuewH>36aou8JS0&$O z-f?T?xRLnf@2FtR+s{Yo^+B!RmzpfAU9q|8BQ55Bo~0W0m+C!6uLk-0O^yY)ehLF$ zP6METI@;nKpmbgA0TLm$b(^g0N36HwCx!wlFY)Us8XoJ`iXV6OnRj#LBA@cIQcZ} z$~zP2F8-p`@AXI@$|U>xg!VV?9jmtM(=L z{I}N6y5D5bavI#5p~KTT$(*^DNVX|QT^@Ri_4$yAT`H(xDUf)kHn-`q-ytubqprLA zf{1ex_!*t@#@ttOjtAt z&qw+;FHcOTcZ?te^rgPs&16pO3IY+ZAc+Vy%lt<3%)zba)eRdR^xZQ0Fj3r(BWAF$ zkK%p7DOpH|_fu?zErw5*FlWABg)hK@qYCq^g}1yyJPBJYRK*07A9u>)qBn2{cXmGa zne%RJw`|G1XkojT(X3`)t?DE?D7OJWoiyg!w!ZxbJ$LROvIE=!${y2l_34qKO|zd- zR`*#Q9Q7621y?WDw>xWIN`EvxT@jCw9~sFCVlmi$E89WQk?;|^`=CLPWm6q_*~{uq z56taf)>|k>$v574GlBN?71Vz1_88=AB0iA3hwgkk?fkJU+qF=eadG{f_ifAGgnbw| z{Ua#iJbS;vb1@JHwnhpPG#&^#Eacnkrc8M9PI|lbbR#I`=(|Nb(VSe^q9bk0X)U}d zg>zgpF_=H0>HNoj5S;*WuTU!lT)Msth-0kR`#k#&gXj9pS=-A%G1nGU6RJ{{P3ZCL z7~)6tuPE$?ht*P~^q#uBwo>1;l1uD<{aHUJ?{snak8EoG7i#FW-w!SceV2^Jmk1Fn z83XSR9CoS~t4+t7EhDE3?k{ml7)2qK;Q9hEB=)Xz=Z%u~Goy=bz;>T?b~%dNuu()f zYq!V2cN`uE`-1NWMzL8+YBD$Vm7CC4724xLf#Q#1WsreO?Ea(>ZW@NHZXb<0GrYKG z-M5eSx`?1B+*<~9npgz}xgN}MfDg6(kxgYbdA-5(<&R>vo;eSqjfw?pmARpWv3oky z{c^3ducD?f6PefP{K+Z&7q+N|GLx&7Mu&z86|Pow*!zgTGKm>GP_l+`E|!a1?VKVH0u-n$Zd^A5<# zly${8r#FINEMoU)Lcj03r{_A%#|K;*mAsl^Ixu?8sC5!OuNmDzc1HGs;j@0zycy1F z^aRi5R%ZkvB^#t|3GJ3Fs_ut(08ngI(5$zLoEqgd*q8!ER4+0WjEN~A|Alc-;uaSu zo3s&P=JRmw$KJu=8ag4?f0F^(JkJxJry`OF?^d7(Z;s}tRi@R-O?PEBa7%_?yG6g? zPZ-93=z{w06OV%AxV=N2CEMEPV?*}obkf}<#9~R_iZMG`cX|pFNqA(e6KoLu%BdTs ztdFTy#wjUhWDfW%n&U7bR>*`^{HR^{$A+_SX{3OBXgc+HhPmUgz`-g8ys&*gs9Er%4YvHJ`E|Dps6+op|zzM9L+pXZEQ(B+4qjx&e(A;scp=NSHHn3gULmWKXGS*z0 z{RXikBjR+-gwoYh%Soj7pI_rA+t>>G=^dJfABG`IVfV=kTAsI$q;TfFLCo?5(W#Nc z`;t(?94nbkW+KcwvuaDaiMMJ~jEj3x+Y=DO=yy!*Jsm0nZZ;7{p4ZUdCk zZPf_>bP6Zg`F;Cn_t)%P+ccMO0oDziSm|~GAs7FZ z7@4TQcn@+>)JBrOB5RujYgleQD8eq*s{uZ*^q%sGy5IiWeAy4@ zJ1^X@qlnJt<>+qHn)uw`h(-bk969)=il^KL2$LJV4N63{s8Anw8_Yqmbr>;oVL3fu z8o_50XDBnNrp=hE+Qr3oX?fq|GBKgy3^7I$;-Yvh!N+mQrc6R3xT%=+^>VHeagbFH zQ^}mvP0cj7P>P7VjnzT1@di*e$~0#SL8l6Za8^!O2|C=@ke0ujLyfQ;mx6V}HZ<$g z>w^TJ^v*BL(-#0{BDK zKZ`gXF*$m+cQ?fLFnrP|r>*cTdHvxU(qexiI?^C^P)80Yt1)_W>rsdD3H$Ra=o$F= zMtAt9ZlL)_gx_&j+f)#J<~$U~YHrfYAkWlT&#$FoPZe?F$+os}aOLF8sI(uHe{Z2) zq@er#^_;@*db(^^Nq6We!MfWnvQwKa+CqaO42fG?92l(`%B}7)IPoZZc_&cvv(Wa% z3l6n%y4(%odmbRs@@VNkU4$$XrP-ps{uYn?zrX(G#>B~dkh-AdAHP!(uP9gw@emDPWd2n(0pNWVbJ0t|^c}b}(--9K8TgCC| zx15M~0U1Jnl0(kZ05gALxpnX2V?p`bjSSEE7@0fxR!3i_R3Q89yduXoc>t;U8%Z>yxY%6{X8<^^Euy#9yN!kF{` zOmL|R`5_<54oeaDq6))D?DpJfRE8MQdWU6_wQu~_ zm~_kJ?+$&<3LM66IrZ!ykh?MC%HEqXL#}cGAYDu#;B$G^dQ&ILg!wRUf6ngd_s4{DwN=f@M^t^8IEt26wpvmWzD zqZPL7*EZ?Ux8E3<{}HB)x><4ks`~zCYUaos$_w9w{xq$>*2VR&1M}B`VK4r(mZIN{ z{LfeyO8)ELYrU;BwnAy-&kOvokNk5>^nZpH(X zZ>a?<_{d{6w&XkC;ZseME#v=Nzt9`yvRO;7FwkYt-Jde~#kYMxp%M&tE;%<(b!K)$ z2~un7JxqXu{->Kzzb8#?rXm9?pfI$2R0gCvr~lmkXv^<^C0rWr_sBNVHAGHBc!`Po z1C6)1r{Z23+wRCD7-F_~(|_Q!(*Pgb=hM0_GL6;gQy&w%8<1U1UE9G(b6Ru5A$c>W z@?sU{hDFGi3`A)@^ZD99H-RYZ0quso2yn!l9`VX7n{1l7Ye1HG z#3P^0v2bewr299mv7gxC#qH+YdeT)^U{I3E){wLzky{EHR$VjhE%40^oHTo6GyK@k zxf^_}%3C$}-YeDq_)gz4n%nI*mm{wtM;gIpU6`-w8#5N@Q>92d_bfbdwR3a2sk@`r zTiPy7G{zUYEaV;%g2(ZDMX0)lzwe|$veZ7zn{-=|KG`vZ71UpfA(5r5G#jM&Cd~RD z*?*L#jLRd^0ubs_QC?kjd0iyf*mG1)F)fW+t^-ZQ@6eN?;!nTj8nHlkt5RafWur60 zm{=!iWjT!%fw8~tguN=+vCwJAfn0CWd3~AhUvGkLtuq&Q>zSgnqqUPE&t_&ngn`Yl zuFm1QGczu=?{Mq(CwN^&f%d;XZ8moLf@{0t)6h44)%Wo;QyB&6&+?dGczuJ7t!Aq! zurIIWr#*Vu%icOJbLk=r_Z5D~@(SIMeHNB|SvVZtk}hjLba?sL1Fh+<9VmVAWuw(9tWjuX;61zW5o9C|SW)A)*%!otq$MINMH{k<2O+}xm1Rx|N? zlwJJ-DlkY?d~{QMK_l4D=X2KB&HO0rbk2C0LX4VaV3ytm+!wdyj~>>+o+@1X>M_-l z?%*Krw{Tbcq>8{XP*~_}(kViIitL1I$A$XWJ;qFiN#GJZ7^>}*{`rT@7fXvtT)cv@5tQT|s2DWxQP~q1gJ^eB~ z1>d$yjQ4I}c0pQiiSIVE_RQN5@mj2Clu$~Ec`$enYFLc>iMaA8)1d$1xFd6W{jj(c z*|z!JOGtUWb!|Q3pvqFh_qd$RAyQaRrQL^uCv&v47zSk4V9a30VH0JUbmbejY^y)HpPUd``KVXAl8GMP9`%DF?}>bt=* zi|NMLQINub2`a{Q^Ro=kBY*ddvs3OeUtmX`H-AD8e0|WpiNes7XoKcDH`&}y)Q#>N zY2dG2Ei$B?6)Sie9Q zq`j<@GNHnA(6TwhY)Yl}2Mjqu7R`1%_qr1`)iNi!EafP0BA}jQj*M|g>qI!&;&6D(+W9h}rMnw51t}qy0IZJqs&K_J3rE ztyYWFL7nZsmQm zFd=lK`P1YND+jC2>rCfY&cKV=XbY|c9um0MFH_PaD#UL(^XfkL^ac*-#-hOoqMOu@ zEW#`b*9odJ84lD!RmBES(vUgp2)oCw@Vp&2=e`DVheC!X$mVlXb#C=2DG<^Rhx?e2 z#1<~r0}qBtTICEuFOv)2{Mztzst{+HdY^k>^G4vtxG`hmwQpSw0kzWSM18U+xN+-f z1$_8%#5Zsc6L)ck#OkcugJ#nnMCd+Do~Nsa)0>MLU%rvM2lYK^ZMg>h2;bed`%dwfOqi+RMzgtgSFRmSI|8;>ER3Kz` z5kM1&$&-)1DuF=kz1N_dQh7dZYF3@enOJp9`uOH@+@k(3I5kn5Yi4OC2He1Q=LM{^ z*zbi29>^&q?WN<3b16}+9G-38oFW z41iL<<_r zTO4G%y+hw&LyH@JAVTsU(mEPIX?e0_cA_FRlfrU+151g*7x1MWsSfVm2A^sD7qK3h zQ{<>@B3ST!rkE{?y&_0+a@0+-wJ|xFvuPGDGjGWM0IH<$4Mfm zT#Q6W8F{|?#LO==))dH$==N;z;A|RB4qs8b!d1tkO5l>^d430L9a#;KJ-~u|^8$^< z36zBNKnan_O>f&!y5N&J$D%hTjso$7gBfDwP6{EpfwXRhvFzNY9dE{aF>(VaemC8Z zx%`kLud=EbL*s~s6e-t8H>iP>vvDdl{6j1 z6g1?nq7|Z1j||C6t$!5v0?qloUQHAJ&ez7)(+P4Kw+v?o75Mpqikae4mIk+JoSAtB z6%n+3uMvg0jb1}HTQv(vt)&xY+Ih%M|C&qIFPsN;7qsum@?NN}VUzG|j0_ApvhC_+ zRG90z-C&Hfb&bvA$L?s zTEAjk_(Z6LP5~Q>@i_w)0&~Iu(mDRB>lkJ)ZECm@|H5apOv@Tg6VGTjaS4Nb&Jc8-@`D`Ih>(Xk;MR zQJ)DMN=|(#7$K4U8wc#%4{mWN%u$meN&cm{H<#uV=7lp4tvkGq{o2kHM96AT^>Xcn z(w?gyzREOBbn~8W-VBs+)W+c1Nk6D)N~^RfiIIj!I_M<8BL+6OW6F)UX!u-aL%cTF zr$VF&NUWS4OT>MB4kCK^s0ESkFsvNx*fEq~J}PeB?)WyodZ1bmIFeB;PS~^58l3_8H!TlFa_6}5G2&K-mX1*pn~1UE8tUwUMqBCzq25rp&+R!N=F5#aoaYOpIp*QoXS0_ znPx0AjvclgyTcu&tf7t{`e8Yma9^%~{jA@Wbrj-QXCFY-EZJg#`0RN~@S|b5hgixV zD}j=c@aDIAQja0G&_((&$g}A$r@=w3sHFvScyLzuIQgTuFOm=%hV8t_F(!*KpPnZY zcip1v!F;hN8}=}N=PMzSj_QP+Ar5BsB8gi4wLFY1((03ahrQeq(t47*Qv>U z+Ti@5D`9Zv`*gQ|!BBiGyEJ<^*FflvSX0R7>eX!xYZybga|7?05qQtyrIY%xa|m55 zXqb1MX~2y18YjFMQ&!aM-UwdZ3!44me@$v_Uxq7Ijb;XSsxv zYY)ar_A<8=hrLf;BJ&$$V?Dj7SKe4Jq_4&xMWLukNntF9xlC=RM7aI zpWGCzjUi#YcDx&#pHg|IzPrR4rShjbRz&gH#x%+(&37gX3cEntpo?EC@|iC>{i>L< zqWnFkoXw)hhwuzN8bK!ECLPlJQSA7Ft}SCC3U8rU#W|AfKq)u5Dl5d_BD9fpjEp*( z7vKGvtf#F=V~-+E=d8Jk-5!!(k~(>3mU)g>3asrpqAW~&b$InOcK)Zc_N%fAJ;>>a zHy8GGV9T|k1W%e_%XECVGIwyWTrJmIouyxaeYT}`8MpXEDpau1E%KgbsOal`yo2c< zKCZCI`M+(89>Tso!58mJA9e4jQGIpNF}foa@NQn?1tlzU9|i0x5PV z_2H!FMndv?nA|RD|8_PaL@T%LJ{m*&287np_yPa*i1hC%^Z)Bp=D#fb%ff~0XO`FK z!%3mf&PzWu{H^))x8~Erb;G~64@~*E7p&`|#3%E0wCQLw{GSQ0 ztNWFLpE6)#jYAG=pFXv1+w;49-`S5jC4afso%TW6UX#0@Ocve3#;TINWt|vY@`>%Fhc*3LIfHD`n3I`mXsT&|G35&HUd+ ze_23_0$*|-W8?O~4Nll<9uM&@pEh7Fr7f*}ia{yl-Uo^tHcHolNxc+kqy}DSc#T#* zg5L(#vNx?*lphWuY~;#3!q$d!8jVl@=0`;#7kKg#dcybf$x86Vn$pIX+GY!QLV+dU z&nL^EeheWJeW-0VLj6Eb_$W|j+E${+N01qb*Kn${`GAJ1^u@ni41#xK|F~Y9}?gWwP}E~hZyHnnddsL@)e(XOA33PB@HN~2rUMix+`Wl*Ed)J6kPPvexy zDN-l50Z^F@lu_@4Wz@-KK~!F(z^HtFat&U9N-N6b+@QYI2T`S}bCRnXw;P2W>O+>A zrQsU9L6f+Be6B@UP@$~_@W&|ZaO3(xw1Yex_Alj#n! zU680(j749X)auRhfUYj!!>t2M_oIN&2@F{Zd^iq3DQ6+Xsxcoa-bX-sM?qgwo)GPQ z>^4dVzzTQ<2DVuX@w^h=<#XxU04e}1fi4QC!jNDIG}jZK7y$_{hvvrz%`X$M<0hc6 z7m~}~NFWy*Ba97%%K(T`n`P$->fQ*3e?lS1VV)qoC>UOaLeO_ALGEDqKng*PRDzVD z6}S!}D2+-G3m9IF5t7O|DnXnOc2+?IJ;Bctgoc*j7$8IXi7j<78PIh)m~%Bx47H=y z58!7x5Y@fdd8$b;K;r;~qAn^$l3;vOZfGS)=Jq_R?>sYy|%20^aD9pYmVmFz)? zM=TT`QAwIy1_6ct6>gG5ZXC9f7L(ILKtJbyi<>MUR}H5~GeRAUtow#aMUeZW?2H}x zu0yn|U&pwAoHUq=j(rQJK)R2BbrarNz~7msntRpsGEm;qALeG^hafMil|J9)o0hv}_(`lMoYyDH__03bSsAL(3tUsZe1i z1}Q{rwIkMTI(|;1nmp6}HIJiyg_bxpP!}k>BjVOW7N6bV#y*>aUIykAx(Qs>7zAl| zGKl?v(?#SvBNGO-nbMmnzu*tReI?-7XRUCOvUr0c@+f|YTbTt~fT!DUaL&5llD<7x zI`e}>Rw?|94LRya;m6Stg!R7p7dc!UoBH+rK>IBkJt*eC7_zb*Fl9?vH5wcLaWdX5E5-ok(6c&3`7&h?Y$Z`v z7|%U7k|G&Nwjt>%e1>@OsTU-GyLO|gYpx#~gck97RSNM=Dh8M76xQ8&tp8vw zfr&lqI~UOBY7y^mmBeQPv9oMa)~{$T7_Fnih!KKOv84XrDfm=e;fJh0iIN7ZFXT{NG>N%qs#7@Qt`M3MG+=XAn5oJ#O&~zF+@?} z8XFri3~7dJ^&*B%sem6Rj)4H~Oa-_HFkH`V_F;&5T$20}kHVAC*q~=C!MGgVTCn(g z&`0I2(o5I&ccJDsekVw|UJf*B?D7Fw)1L48CI(pxd@rhf)}qJ>^rH?bU%rd;t3uwz*O%CG*7uny&A4=*M4%I z0tPTs4Ih0QLC`)OKrLCVf-ztpMZQl!)wL+i9AKMYeSSu1RSnace`N{lZdQ=~4hZtK z22!niHER-dw1k4da9kx*_w38h(62IO{qmCE@s!Qaq6>v5n*^!*nLV^YW2Zy3)d`>c zjVU~eCn3h&dUd_xfwS=H6C{mS6Wv5|%_qV`)wG`|0l!eSnd#SajRQjD=rD$LyYeFK z1-c3EQqo2z+K;FHY>4y4Hju;mL^6hf8adi&2~*Ddlo`SGmXit3%%#uS8${ufJjRB6 z3}05kbU_1o{oQG4Cg2X3`2ir|GPEV?XFC3zg=nO-)bcm1C>1-CFaMTBeEa>Q2 z9S^beBWOz=B(Pq@N1dNEuse}NA^)@^wtE8xhwa&~0h}AN`vI_ca{f>lTmEupH2O>u@boSW8_seGujnC*9kW=6xaM%q-AWhY+KM+wJQFFcJ zIhB*7s2wAcTv~z<8F8t&Nj~xv+pK6U_uTcvZY#l5cr^M^jmHjCI>pu#FDwUOVS&uE zH04n}vF0OyHN_UIQDGef7RGamW2Z%hBe2(Myb$K@J)AfEr-A;j1}h}~2*=NE!1@%w z1+9*w)NYmo5bdLMbCTN4s>5NN(p84y_e3{fx5pn@5yb9T0sVLS6WC{LJSr|_`=sE? zW+NCv6znMW!bhW_|Kc71Z$fnK73-ekUX^@Bvez#s=i@n*0y`8(9@75au0FKjN9Ku~gm6R0C#k zz+_GS!>cN{A!4bsrM@#eDkk+Qe!d-*i=QZ61gz}UGTo@w5X`qdLB%KZuxmXrxd2an zcYNm`hZ6qdP|pfQ|1{LIKM$q0{f|TK`QuQ%NB=mK*&m0pzPXd1Ve%)NC^BWApT6}@ zmCsDK_cjHrT6vI)OS^jNJHL$u9Xq3_G9iAMw&A%(=IsAyT(-c4kPR?tOhHHStyO#BYvZT_QR7hTf4b(gFkXj0{fZY$Jv zkMO5J0l+VIDg5T&b?o_}&NA|I#$a87wJ<-&4n^~a?5lo~PFaTiKi_f2y`#si5@n77 zoX35@!uSbJ>N=vnBi2a#2^VIk{sh61H9>zQQ0tEbW*@ouM-FcOBL}Tc)&5Dud`JH% zVy7B$nrZEc+Maxa709@v!g8mH$%CsZhmWXluX%f0@wm1ui)!R5ARzJ4Ei+bCVduai zi;3Z9>YI4ImwUZXO>>&D0m>!-sZ)I14t)zuYk|i-F82y$xHOmS@$GT@NI6 z<%-0U!@GYD)9$VaLP|v_|0)0;02JQw3_FlOtc!CNUZCsvofA8$FFrEddH@PxQ~q_m zo5-&W#K?Tm@FXrpeNtCdu!k?6XXSW_y_X7y#F#izkXAnM; zmfB8+aktN}EItOZ)+%F-d02#P#F3j2mbcG2Z(RE<5_igel_yoXO|DWvY+r5#^?})EpJP^ zUj2;waQ}qYr;SwoC-q?20tObEL8KJ}t^Z-3{0?}{fd#Q1p+c z=5=%Ntx@@yR{p;mHzIF(_Kt9Zl;=a-)f3!lOQ$QGKh za<4l45?Gg??AqG7upCs>?;d%ct5?6uZl>`e#$#I$i$9OXPet~l_@^RUX!d$y=f(hF z0PoC?SB%?pZ9!p}ALcawQTBnKij)M~yG*G0RUEMLp60^hTxd3rn=d?6yCJV^wy@rS z3{NImu}U1`%9H3cGCcP5i1FQdH3GDQ(}H$bXtqy&?Hyxa-VEo*8#%-n{!?WJgl+UX z=aQbfj=Dqyxpp1F0?-39+M!q#_)|SCG@~vUyS3EuG_}4gEa(Ny%tL!Iv?Bi~fpSL56v_rA_zlzY>pYY$7Vfeo;Lu1~o|Au#of5m&T^0og4EQ@~! zmVfDg16Iwy14{r66g+yn`htG^ofsZ1h}*zR^^`rw+;xd6zrLP z>R5W^ncV@jRJWn{g8iT|GkEYj&f~~GQ2};Sp~Y9M`Jx#r`F^M10A^typjQ>{)u#MS}KkRol~<@261Qi3L9akh%A!I$o?NZ?TCgUCt+J zx?`&W#WYiG?&{QHy*q2-7x^O$Hp}+O(RAC*Sl=Oh$o=#pBHX2Jt}3!TqCEd} z-dx(Ov$ST7&VRPZmB{B*oVxrbCU*YmyyuV!J`34gxxSba2N)h?Kf2m;W&Y{BE#H4z z9nZvprJu`_{iZvl!zfRw07<$O2=`bzmwVpya}lfWQ2PyujY~*mk&rU_4T-Ooka$NO zzxFpI3e%G)WEcql4ZGJZAyKQogXuRUzFR^ftAv#1Z%9mDLZYWSe&ugS6r?9H$uQ8y z>o;7yPtQf``i|etc`tp3{f2?nN0uUo?;|~Oz~SP}r33F>7cR zuouI+@7H(ORXSvD4;rNHYLBl;2R3vM+Lj*ei(tG*Sqo?SWLrJ$fzPf$J3!i=I zz@@0YQ+s|hE!F$HS=L6N8nE$_-@hoqsrwCl_KnCe2~e+8j#;`?wX`@Jf_50##dY`B zwU#I3O<{a)O@DXD@fD+8-PncihFG`*GyF>7qOc&PsnG9T$j#TO@ zvLX#WnK%VPvljQaIiUHvjcJ=1s~nz${CL^aS||CGD;6d*+euF_~&RY_J`_Z1ZI$Bzq3 z_dK$Q%T0I(ns+p&$!HV1p^{xR+tTX8r}FV)9L{bt-yI4!`w$tmsBy3#FuM%hU%n>F+ zuy@yFS@jeAm$~I$=CJOB;zNoI98%+moI;k>!CQzjeb6uI7sj2A5S(PT+K}j*T&dFdHqf5kJ^_gE;4KB??dv{!~AxK!-bA)XTC7O zz^UVD(gn^`Wf>Z!t4rssQBQJnhWu8A7_Q%p<)+uOyTnikE_l|<9@Lr)bH}c2MP_pH zK3n-ja@;Eq;YQzvlzE86vC8_vLOd23T_nEnt8M8wM&yqPe4CDXd2`40bC?oTVaKRo zqPt%&YEYjw_tCi_gyRKP`Vrej;ev8ovRL-XbvZen`Ik>B3qta_hW{*G@il~yAzSv#`V9>Sf0PqV4* zKhWL%Zj1I$Wc~EI*W#)ZhLHDeuy1eLrqP@B7SlXsqlDMABJ=UiekvK=&wN#24zvd|hPFBw#o|X=^!KzYmo39f1+qkdiYGLsl zG}ye-ELTQiD{u&h?+=+|Zy{$wC|1HZ$N~f*1sk}^jcx2-hP`bhE(?#I_cz#W#>LAG zm(;#S`t1DSoTK*9{_wH^KjbcHnMw9%a;xaqcK+MxQ2&FK z#cZn!E!IHz4_v=4u8K%JE;n*pi{;EFR4vhO?*1u;4G5{4s!V=1_r{kHPS+n@0>v~XVOKLf994ZUk^o$!e%{K93{+jNG|OLw#rp5)Wo z!SwBOGjTM9-LIqY2`ifhmtwnrkp5n~3Q;+wgGhtJ!-=7|O5%sbmWQcVWV>nIikxEh zZ4CoQ$zflQYp9uD`+7#SN=?JD>|LU8O7Y)hwk9F{d}soConu_30&h_rj~$@MGusKJ=^GkaE2hm1d&hmV(z3BZoo{dv+ zCzOr&HOm;Uqz%2ZA&L=A9B0;S_Dfc?+YG^|mm~+rz-v3`90=K-!K~8n_dbk1Gh^Sc zZ*JRN^jeBb2RqA;Obk0+hLtD4NeHI%Z+9E4pqJr_%8+7fy_2FlU|#X@9+C>h>~gLv zdzCaCTfo9@A1)=9@@2%mty<(U$@Yja1&gdoh&r^~PPt&Jz1NH#uW7A>=})ce7(E*% z-J>fTiN-b@>+;$!uz`MOaAfDZ$GSq^_i&-C6$64R5K<&WdQ)=maS3a&ES_pEmO{HR z=W>uZ1fzO*k(wn%C;1;_5F18RM`i2_;SoT>;&s^XNAM&0pKd9;5ZsuF3x$QyyG&jP z%a)>0N9=IrVUOi09FEwAZ&B{Y4_b>I6uR55C~;Pn1<4>1Zf;HINPVaLic?=EA*JEq zYFhzi7*aZ!aas+3=0s)+yrOg)NNkZ`Vm{YV4~uuFIrwErG}f1uTMt7zRvwTPNl!E#{KuTE>i5&F5+)w30KZHVSGoAxt&8V&J52B3PT9$X=-%?C8}>RLC9wE&>?~+AYtzS4wQ7-3X5R)Z z9cI@3MtK`XpU_FVjpRO9=nr$aJ~P#L*>Sr2z-AvGHIa>*>TW&4TX{K*BI8BUanIKM zG#Hy|O3i(T24Wvy7jd8BP;f%pI{<*}N&x^7rvPMf!!l`81@X2!avJJ1F7K&F+QoN^ z(_8YRZ+1yqwvED&(f3aWT^K{8umAL}{lp@?SHrXRZx=-ezjW4VNp5xA1u|6tQE^`B zI0Fxn!>ip=;Qx*KU~~yep5;W&2-`_KFUZ7w9Z1E;8KAh7to{8pwt6Mrwd_OTUcR%o z-t%IM`hKQGI~NU20~L#dVrY9UPa&9B`Vm$5^VUSmaO|@y8V7CkETp8evBvdbVMzK7 zpx2&(VT5!KCe99#B`Tc6$eqF(C|DQ4;st;1ed4_y>yZ$F z0UvUh7%gW8A{w3++3MPTQ3tSyS6KgGD`qgGeDIOY{7)9X@$5OtZ=qdjAS=sgF{Kq5 z5gO9a0Iq)A62zaeE+&?y92fEyS}dsz$I|V&DhL7ShwNVNkBJR>E@gO65~81<0Ryl2 z6l%I3&AG<+g{FeQ?-25^7*zN!R^FtZvM>1awcd6J?g*{>&T1x`ryt`Ew=EmYH8_@sjs=ub}dE7 zNdX}kQtNEB_Z<^V_1Q?4OZM#tHs7}#6?^9Hmw963{?})&rMk&W=2?EYLH1E&P_ZgV z59;B{6FZqNcJ#v0DifjH!0bAdn(9R}AKswDk>4nON?$ljT|Ip5Reox2{CKnD zh!}l&7?Bg0>YJy>A5v5iC+3~X^sFrb8L97D?YqOUvj5QQZS+dc$f#qQcJl289{hzs zdiXcEyGJn}6Fh}hwCbq5CvWSX@@F@@`g#c19iiA)N!M$p46HjjG?Vf^kpMIX^DzX(cMeA@3ad!BLL=D@SCSVk9EH{Y{O87>>#reyR~@Hr;a2ivSj;v9YJK@ zj2twhIUM-yY*r}nKC~+%D=NMooi}gUUTAo!#D8Z{V1+(O_cQ;5 zhQ~Pza*yfYt8hJ&Z@;L=Zo3D6QT0h(yoky*&GG0ywfo}k5B4Qx%T8(SniNC1qI*`Qf-o-+PGXB|51=6tPUccW{vo1UimY4bW zoAQ|5PsJnx(PQ2H@M((2%Q``qn4fQVtwp+dQNvW2TEKtUxJcYC`lbd6E z=k;>$EAH`Ux`m5MT|LsohaGO)w{fH4ef-bWG*TmFWx}+wihc`i;zydHULdhxs}0^~ zVAfUR%Y!OeMdo*!v(iBo(t03FgE@6Yr&EJLtrq>My4E@lGa6lYY`PAEH6?(DiEo+)c2c-6cYQ4S(oi9$_(Hq59Z0S3zhJ?dulYK zQpIN<%vQOQEQ^st8unBZ!6)=zA4^SZ+?{b9~Y+(xASm;EA{B=+vRQ zVU20TX9L{7GLXtk2UCB}^ypSgc8=af0PqcIrCRBU=WYCjLD2SXVO zYRKe>LkQLTuLzPYFT#GDl#oUYxeqEynN^ZRwsF?%YOFdLb9iEL{6eJcjG))Bwj~v3 zK~S7CPD~SICj5RVS!`Pc$!^9$e0nks3ymh@vYPaq=qClIn+;g&{aZ=y zL4w+QoBrRCk-1cdfl_rsG1wnI)-ka?XGfv}{IV&V+tRBS$_Sdfdo@ zKJl*AcAE)r@@j4L;1S|A^7zq3lJlBtweq(W$&AcHL0K~O-6HDXZ$*r59BPgLfM)KzQ$9KrzeC$V7g zNheo9?%u5Q5E3d{(>0Nkd;c^p^Y|`eWkt&gZ34_&=s&d+JJCVde>lkpn#!-HAKybV z<1Q|8V6Ju_hc}R{k%RLE`DVtI&)y{ka5)7SxgxX&TBEp0Fi%cVam$57$j7B7!c5T`OPg-ADsgvjd&tV<%y$l{H3bflx%$Ly6C~~Pq?{|s0 zd;7X?F#Tt~0u@5~K~Uv+_fQ26nSjhMCVlGZYheMHP}bXWr!9eFg73G6drPDdu7ZV} zDy?jXjniOT_vL)-tc-`hSh+ zt}2-6Py6e;p(J8=KTL1VJcC+{r@Z-suv;jJ=FKQPE@%MC8e%M5tdNKmj^_gYEMmpr z&!}~pRC+_{KS3tFaQEi4oeSc%s<*G>bJzdw`^v23`x6rPrJp}UV$-z-jX=gFMhME_ zKYGGO&oLAv0awyfq1lzpKU4@5um0>w!%pOwD3^u`y05nO9GvgS$`(j+6jEG#b3WOk zV24HM35G%0fQH+C zeq|#O*svoA5tf`@6Ui*(z6-HYdv2@$9o)PE3R-wEl61a}Lg*79%qVVEQe~r$s8Oy2 zxV`zTwY?4^3Ow%KOG3_kKJzwTtNR6=Uc|F5iPzGQO$`cEJ$q`XBNyl=k;Cr}c9-oF z2`%eit%S4N0*5pVs?K_bmwu;*Kl`6}JJBYJM*S{ef^vr9Don<&NG2m?od>MD-Y&gr z57%>y!Acl3UkHvmN%kUeds!DP)Kk>$$Q=I8pzj&`{BT%Lp5gq(Y=P`)NwjrQwemOP z&34r68?}8~n35LzWWS;Lq>qW~ya~3ttY|smw6yC3&#Mc&Gxiq+W>a1b{kztCxI=p{ znIaMqlDjC5r=`F;E6W->_f#!RR?S5Ex?%r3S>@^l+*^kFZm&Rv zg}G?oq?wYqBCl_b0fT#xh*W*yO)ImA;{iyl3cZE+OR+TdBkR&O52P)&3e~w%{mo~% ze|oN}v<}Y0o5xr^L9Nw}GCu4NBrMH*efXM$UE#3UPp!=ySkI{AUu$gNVLAzSkL+w2 zNoDSMk(~9};#Y@c{tL3VfTC#s^s{Edd3QzTB@K9T?k%QOh~FML!H>RZ3rtH`)MXNu z&A#L*Ih9<$bEVVKJw91pUXbrIyR3dn{GDI$TPytw=?p_yR83k#)->zeg$%W;p+B|P zCxGbK5D5#~Z-amEoY8dzyFg=|Sf#55KTi&JZ){~f8;)gZvN@x#Q`d60=y9>v>zX^W z6|cFY3hIv@o=@E8mUl8~Xgq})>0D5qhm7p*Nb`AuwmmfSR;zh7_7t*V!gZ7P)>=%~ zSbew2L{@>wer!+=D=PJ2dAn1VyWq{r1NE<(K7b-qJT85wYT~)VSDisC2KXK5gV8Xp zzo;3n=ho+W7E!i)iO=2rjWdh#{EE!|^u;fz;p&}aFY|+G#J}_Rab2t)rQ+aoX1xsj z_L&*F5L%h3=3>FK|Gu*=dGJ?>LZPXH^2o?~79O@v&eTLlR-M6-g>;XP?j!N9ryToq z865Kx%I8ksxfFf=(L;iPm;_Z#(g@_pjY`}18gX1&Ik7JB)zY68)Z0)6$P<0oaGWAq z=6-j^RJ5XRamINciDLp6qOZRb%#v#YWvZggKX^OeZyVW@Lv!DWRY^@GM<&NI;k#XJ zhh(D1ht-NlKc{!g#=Ubtoxd8ogKV=-Fpa76&pS-xC#8R==E%|I20xxwbOJQ#@4@#)WP_qMB0HnUuZ+j7KdB{;iT8O1xkl{VhBOPI{|Psx05BI$G_X ziNC|1YJJH6XR&*UTH>X~by5*;-1+@ID9xclSyhb!(+mG(jXQt}0101SgB6PTt+UIV z+S2T0q5hM;ElJ{kv>Ff3%!up_k6xhb$nmJA$oKNSvXa_=uX;r$?JW4D0pA?kziSX( zh)vCBKF64vC83qER6o^pCYQm1$;D}b+5MjtP#*>F3YY!#_vER zCvR(jYWxT3wgTn$#$tDih*y5}j3)Z;v>X>{ZTosEb8(6D*VvU2$RGbI-t2ttxtN=v zWO0=>)dO3-11{}@yr>uVc$H^aU6*}zpz6k5rP07cHM7fUYA4ihtuT@CUk_IMD_oTp z#D|)Tdqxc8R8e73f-Y?*V3(doRys+>(DOFRzH|LwOn8gc(TPIV$5uLZ+g?SC*%oz3 zIwUh4TV6?`*&^GDqD-7>WQ^VpkT0n$S{sjz z0qV71`uOhPDiEUP+7?#LxD5#Iwdv zM<3@l;pu6JGV~n|N)-q#!?qN=zKgf~K)cDVX^!PuoN}BAuJvDCF(~?iqV*UYDeUX1 zInmZATZ+E$^kL;lG27=fxz<7;gz*2h{~snl^S1Q0D|6W1&fD~d|l1jaL&_r|&DS_fekO1e5Qd^L(c1(qDpkGe`5 zi$j!YLl1+*Tmd%+T}uI2_VUV>!1L-Sc2RuaeI4AG7o56lTV7hQcp>!Wi-uv1_`o|9 z#xs@l@axhilyGcuBMJLQp5J$GaDFFwaa^HN;OwwLz_xA$B!Uks&-+b!lvrXBkc zjUAI>+k~Ay$wbg^5!i>qS!3B6+}n$z@6J(adL(!_N=OxEk8l^eyzSI?3}n}Q@vru* zYs5n#+w@dL9e+0>*u>+lV6%^rz<2ZFw5uT_`d)E$e4U69{f3MKn*6(3&Mys<+tIHm zHMB$ZLVNj=P~jfu_kzu5)Fa&9Ci}ZcEcND<l$F`#A^AOjnOn|B4oQ z_~a0I=K(mw%=1R)>vYY1ey5Vc1@60~ImvyrkslmG%{=F2Ons@TFxm(?c*6ZK{M6LR`q|kisy_oFrwhIv_Y6OKY)@+0`vWIVpfvt zhMay`nb@h+I6zE1c**~qkL&^7vmfQ~bAxH9G(U?LL?7*$|SD^%=%tngs z8^#Uc@-8W*A{Y0n)uN&zbik;5p2(_S~?b(@bESG7*dLvJ%Uk<2J zwD>fMJonyS&@^Kc8_^GMQFDrao7nNRW8TQv)}A}UWTHbbdrNe#rsHDks1UU}&BV>$ zi}%MKMgBV}e7UcS@WZg@zOv05EwaedDP#|{Rl(nNNcxB8-EpoBtqS=F^{x^xP{DgS z^e`GtIp(3DB_TI4wcR(fd8(z4m1e&vB-HgKbP z9vWz?3) zb2TlhOMVQ|Urm?D+{PPUP-@gwDISa~uYH4&?U*UkZF9D%(|pIjfv6=7!$%MF{~~6p z>PKL-K_W^OimwxC7{!?5@gCiy?P`_~J^?_3VHOCLjtZsGZH_xRXmfQ7t#us7A76&% zB}4i1s!(c{7bzPv!w`DBXB{ugu|~4~EV<>-7g;Xw&g$RI-6SSOk3@h_$n|B>+?XyMz7>0UYR#yfYF#2UzRhC zJv%;BH%z6Acx zW__KuUz18FL!-x=9cDG*-KV^h-lUlXL0NMLmTVoNj;!q=<_+I} zQ8&5|!i#EF1yBXX!i*xXApulz{6syhi2>(>?3Ha4|Gt=QUEi3@el=7k(`h`Y1H$L5(^}Hf+~mf^7SMj_D2Nn+cm+g&Y{wY6le`tiBmE72!IgUWr_wzn zGBEKaa((TWpW?wSaSr z-QlVUr5)&LvNOKjd44iezLDIh_#-doEU$DVMz2khGS4JhCmJku7ZIxQWZLq?x2f1l zzzc6Bp$Gqpq8LR3)vkq!RvI=nyQgS7gk2&FrlYH`S~xt^`TDl74s6@AsMSi}T5Emv z-~GQ#n7Tk?&4X)*Y7R~rI?_dM6F*8s4@=39-J~a5DNBgd-x&T^p2M0&s2xLTewmgaGBE#BPBnsw!PQ{8nqGo}xd$1j-!e0I5 zfmPfa<}=72s2%@KiL`Yf!HM9DC zV0#kFCpe-ZGvpAgz<#1^M16Roq`JRgF_`CH9q6NBj1G*gudt!0&{Y9e_Cxzrg}V&q zX_AsqJxZ%|y7xcu{QLkL!mf9& zj`zh64K?0jhSk(9+k>6u-ZRXhar2* zn*n%GH-d9w0v=R9(Ph8Hfb(VQ^3&PDROo7Ko0szTf0C}>US6u`L3w?vnuMRn@OPBv zUFGv-DzZgo#jG9mF05<;I{s$%Z@0fzxqE#5l$7VOcjw4eb~7~l07ci^*DlXYJqt^- zjZYPyvk*N)Y2eEKmVD{XG-1l1c{ zA5zM`;SZH-fXeCS0zp9kNb9arQ7J#=-LpsVM&>GnJVU+mN6%0a8Zi*WxV!A=HU4j!>Q`A|8g&|lOv`i(NYaNtKkQ5t5pdjD=fS?> zU^sFU-*({}RTI>c>y`cOpm=SSz>;R|It@fm_53e+6{jm(o>Gx zwyG5sOIBa;y8cx;lXDD^T2Q7;=|NPCthSGvOXB|MV{o6W)E# zv>lbzmE!x$H#-aix6ebtXZ2O0Ns3*k;#g_=M|?_=iu<^{ zXZ$@~#`UsTEhefucAE7$nGk3L+zsD*8zvPk@BFo_-XM63Pdp^}fVd_~+!x&R z8bFtoK!8(^GsMNR5|V^MKr6!wpi!E(^oOtGwCRf{l6UwpYRV*;-WQvFVIB_U#NY(w zSEtKpEvISaYhObLV$h08YadmeI}QGxdc_wz@3FenBP8sBTaq7ZqH~F%Co~hgAA$Sn zIBb(mfC9n zEK9Vkb}DTWcI20Ant6Labjd4^Z0h~;ZJ-ThsQ_|uo8L|+1rzRs;}dabe|rU2y+i7~ zB#4doU4L|HF&vA@k(Zs~L`MZ}Ps5_v*c9@4AbQ}A^&1RQvw}|R_$^UUX&z6*U-9CO ztrp^*Sk(5`y&8vKJ_;Jo9cTM_v#&?o{di4(xO;;ivU25;NQ{}vhpSKqB#x88`WFU< zyWGic#sWH#rFnVt#wy}3zHxi~CE#Dn8vkymq*Q)+UOOuIhdoAqr>m9)#R*ZD!}!%O ziSyNd0VDC-y7zXPm9vIGl(OgLPTio*!}_isCVMPQ!9R*!&9d8 z%dg?7H1}03cAyz0AvGu20lJxKu<^ls&k?fB5P}~ham!PV5gxdqq3|mOgP=3|ZhQx_ zvRS=l4;wk-n=0mcC(?(+ei)|Z#&@$in?Ok(@xA77C3&Huju>RP&f2W>d@xE-!U|=~ zYEFNUM9C-Rb;K;G{Na98wmsdD!RlGAw+W($gH&tW=v`tE({ClwIMr=$pv*%^jJ)N< zPQpgG34%oFcr_-{WyHhJ5`lgMI^`ua^o{a$eO1Z-;iO<;Z;;o_f#-iuDz5lKm+m6R z#T8ePQ*)9soWKWS)^!ZZCM0ahe?h6H9YHr>nL%GbLesZa(+Qk#x9XQ7fy|z#%&|F0 z?DqK%NmYiS3WTO6$gA7~pt0VNl#bYQMwyBwrDOV{M)f%lN?eSG53O2@a)%dJ>koW)-T-P6nWvrD}4 zAa?)FG^yPn(PH(+WDcAMcPITU_<+LDs)zM#8Lf+tJNqA~*fP^lY8T^1kZJKkYp2zR z3lv6(#A1Gf#dwG35B`>t+UT@c|AI{&tV=QsmPk^PU+KuHorQ9himO&yC8ax!hv9h~ zhvg5t)ivXMu)YKNvTE;8ciy%BsRNh+dp9NIM&ciF3Hbg4^c(M?^~Cj*xm8r+YSA=W z0s8I-{?<5`oo%;~q%~>7Y8n@Eimi;qj$MaxvsdzOpK$2%XL5{LnuwS2{Jkji`t0FE z!}!nWvh^Mv-;Mg5;$;cj;-Ak-xBp!E1=Z=>#iYxfoAP(?dO^l#ZWaTrdr2!M1MswV zp_v9qReJg-b?iUal%WEweqpHj0&DrYzO_3Zr~gAccB(qcHbZ=_$y7shklPznADm&8 zGH#n{qKK-5t$5sd&|7i6&x_6JPemz@^ta5N|6-V?k}u+hum85@%6>o9k(iHkTK1P# zh||ifbK-bamIE435E42DE?(7h?EdwUATgCI8R<9PpeEt$9(U#X&25bzFj)0>QjSi8 zz833=AvCS1urigf2Z@*L3`|qkq$v8fz`UE2JuW2;R~N|Pez5b0Q)i4`v4nm6DPQY9 zO*ftx^Y&y3h)wj8g!+ny)$+=eCyO*~^ar^ix2#5T0z3C@PtFT8=3TkD{I;{*5m4AD0!vEfBf$JKD>*q5L8SMvRaM>c@HTR5D-T~ zuXyPFm&Q)}Wjkw&IO5Uk4XgUD7A!}lAC7KX{;f&7NGHMkNs!kNQ4@Nu}$+obo2vV5@kU)}`T`CUgA$(&F?pzY5>V?#5Uc-MyVkHGIs z#rO)2uVi?xT+05UA(hVqY3}^FMXA~!C~E6v7zjRJnQFbg%-R*oQsX zL69$Y6?r7^9r_;lR@VO>U11LF$!R;Wkt2qwWyg+-d2}-0bAq693f_bYxchx&8KYE- z@lp4f6EVF<$Dr6J#g=H5=bK0S#yFdhg`cC*Eqk#H^NzW%Cogu9Lj!cGVg+G}%O zwZ_52a&GB3q*E?Hr+xNw#Vr$a=2GMDz0pdz2QiRs zX8(!IkqwG-s%_*zOtxntP7nKUDMHkhShU=_EYPxMCrs#<^VuHrpbxYz@2A5~eZLei0E(a!sKxi(gIu&Th6-^|;K>vQjksIYDJ* zLY$G*I4m}A_QqZIBa{{iSmGZ)Bk36}nirgy z$ihK1ofE_QbmMRe^srJ;kX0tF9K{BmPhxeDl+a$4Db93Pwb5w$xZuN z#FITtt<2*l)8tp%{;>Jb_mcoD^$7Yt{}~j#)Ebq6?+H|&tQi!r?|!raCzZN|B_%MS z1zeXxKec?s8yF;pW2%Fv93)*BnSyG@w!5GS3@2aO`0c$^niLH9498X3$$100DY*UM zZ}C!};1J2HT^f zUX-~u<&31fTSIT>Q*a3p=d5WlsXFrZ7=&Wh2{$^;aD|-f%GEe7a-}~`&|3} zhsWN$bVlowBHRqP3Nez29jBe|dA#vhE`c;vqaW_RXdN#m$(D}0(W*72qK>N`9InD| znvN+LJo4IwRaRt9a7Ajoap(`M@rWABUHUx6P?d)qiI0$Lj2|D@ z!VQQ1f%Iq$lW89owv8}9V=m%`ge`O(^c54iYAj*5Hgx;Er2NW!z-o%ZueS+eU1xtN zTew3&U=AjR!FdujqQ*C{Fpx7uS}oAM~fNw6tu z2Yag$%O$(;#K7>*+s(gR+fcBg*H=H+H{>(3QNwD#Wo33(FwwMOQ?D%n3rTS5KE9pq z_Yu`vaeJ`zvED(xn%~2HFgHIz*KzF}$!w~1hy8qZ@?nR4?a!hrCK+iD)oH^ydO5`! zubq@1Wnv3@hDtj@i}+v@uT=M?v~lVS&#P(B86AJpH73kF)ZF~lcbP9)Z(5Qej+4+p zx-r0NoQ7$YeOfjx68HWs+cy!NPTqqNF;ni#x#3>%QxroKyjB5OLC)_+K1rWwrqwNuY^QNtpGgn_OOAL!DJF-;d1>qzeEr0S!jV`;megD8o zBe$;Zqy3S`Cl6E88`h$jGs2@%vDiM}k}m@?rOq=IA^Qsf1eH=j0k5X7rJ-~UYP0kE zz}G?^F0mb?sw12?6~?N|O?ha?uA&_WN>u@SKiwOoa7uZyM175CD2+2DYT(M47+sve z$~2_kc)4K(a&u0kxyP(cREoCp?etpUBEkd2P$oSBr;+k2ukSf6a6?N|$h=e2D?H3E zMs-yL*L+h`K1qQWvU7x0X(LNRcR{N<#^9!kJavf=c7kwFtmuCP(<*m+Qj*iFpd{hU zaY^LD)3|}9ayI7@#{|tktQbsxYMNe*5L)Q#c@XUIZha*OL#bxe=;x&d`G>GsxY=nD zdB3^~5iM_$r6;pG94m#|w$tn5BZ$}Op_iW?2&W0(IAV{G1c34Ox*n>0qkr=!wVA2s?+Z=})=-VTx}B<~Wx z#>P3h(gxW^@MZ!kx0|OdQfaW1LXG*grPr3razp(!?gRtUkWSkXYUR&rrvrFwV9C5M z4u9${iMamMEd6sE=6op1V$CrkOy#eBn0TrvSNY{^BvVPq_q7$DX?#MoVsD-vTHH9^ zW|u{%K`i|7feVJ$A5WoNNDp6*gz;37>`L@C@ve+*yNLz-6YotoH!s4yu$bqz>FO!Y<vw_<2jw0Iyv_p6?wfBA+WAu&*`AI|`xOOFsvs#HgxacgARUK#*iTw) z2Ec9ssK>m~o85p*cR*|Udsm>Z6(lsQF#xpoCt?tIZF>G%Pkn;ch=Gp%1oeu@ zI0NJbdTm!TA%IH|?Kfa;8#MrW?dTgq2mCb#Kx-qw9YM#S*E-<8{jg3HXl>q%P{)6v zE8c);&};KDpieRU5%2#<@*he5lal`w=RYj@|2243dnY&ne|ECMGQnQz@%P`~r{#1) z!KJn(yRSJSu|U|AF1hNocFYx@`Lw7^^%UKRImz$bM&cLqSQQ5xO&sIXDN1iBK(pIOy+tx^E8Rbs+W&AXL z;%$yY?mL*K_Om9ZXUerc@@~;^b1`mJ9eneZQ}3B1$bbB^;N0!-#~Ok&+5w z=~8&I3WKUQDMYrGBgNslE=@s>(=P61rCQrbS5+F$zlC!DL!-zW3~p>mBjD432fXiq z<6_9P-j~{pHb(Mo4q+rY_Qw@H{E0f?RkxK7cD5I=>rUt~hLmPx6vg2%l@o{dd0xK} z5@iB2AO?*V3(}8RK(=cVo)NF1j7z*Lx1v3 zr(z`I_p=Y`RokPPQkJaxj_p|&@YixJqCnQJrf90N+1vXXanzoeG_NZB#v<+dBNpAP zt#lvb6}QCu;fRwqazjXq z4@nmW6Ty5E6lb#e#8}98Jv1vhMWs3AifJ?cQmVy9N=#}gsc*WrI*9O*sT&$0F@{A^ z-@lE;+2C$lT`CO)?9RM!>itnTs4@BrC!lE$Kdt;B7|ZKM*X>lA_aNZFYwYa1l#+8M z4P0)vqc4n{VP+RC^iMo0FR(iugZV>EZs@`hha#qMmp zku!b3cO9YDROaNP{J8Tqb0+l(O$F?x-M2I~hj*kj?u)ywy}H9YPhs=<&+_i6#S6ak^M?o`Bj@AmVF0kt5~F zKJHtGPJ&zibPYBcpU9lHMmlz0hWss-kr&BCy9HGs0CUr7HVWNBrRhdSy8iw{MwPc^ z^-X^V36;5ug@Kjz{oXR&pYX!+<9@Qq>QVMi3tRet=ymEkj*^Mq@I3{n1-(@NGUiAY z{r3XO{GuFQFjv!b^%JHeBq-VdzABpPYUZK5Et-wPLAXm zt1=vvZE)VI$$uR8{0tcgda(~_m6pLy015erO7kDmZSFVjK6Ule_Sv zq&)eO)+p~jvx6Ns)|0C_!~{;5+-VTKURcs>vMh~oUyi-(J*R2|y?Os3rPV_>gHPQ@ zQp}Edhtq#|rTMgKqPZ8AdsGaTZAy-xatQRXKEt?=E#`9nT(j;=qawwne;j1PqV$4h zxJu+rr991mD&I8F{0z$Qz89xm;P&6oyNe>76>qPZK-FVB68mgguG;CcXecbN>)%Y^Bu}MT$itzgk-p;tp2ss>V?xbiIGZ^npBXeg{=>r z%;)#dYYjk$f%b_^Dr36}94}%eeDy5!O9`GPv<`0A3T#di`*!;40}?w^bBc?i;fzx8 z(jpmzTTyZb3e{f`=GeulV%q#|_e+}8_NO)sJE&dDzg90^tI zPP{P4)`nRpxA-QD+d%Hdldxt|a%kugtR}!c%el^vJj!JVcDfJIP)X%O;kSmWj;})e;D9Zr2K9k2w-B%89N@|>fR3tPA ztT1upWPw!v(094H|HY5nP$pkKm8 zQODKAH$g9OEnkYxyBAe=t1k^gef;@z%{_N6k%4e#*L!le>f;!^LFSEAfOjebi5`yZ zxWU8znNM1>nstyZtsZ4U=5AXd8V3fp?C6_&V10=3YpE6$3Hp9e|0Hm&##ibyD$AG5 z(P?5I8eWNL&7rI|8xij;)!MfHFmxFHR5@pof#t(izal#9&N#=Qj%IzrQ)wFj#EX2e z=08Fu8zgMb!td`4y3F)jA+l0-hk(zGfCKd317;IDuO2uM(sb$wD!-f7_FNZmaF|cJ z8^kFcjIm1MeNM%9Rr=7qLYlAnx(_o4#5hy zCF%U1z2$oc&lsyqVtCx+i~Uk1%X4|IdxG2gzxR%O=u3{5Em}(HD8cpm#>+*8b4Aqr zBGL>^K3CK7FBkQ0`cCt;WP0-+ zAf?V@HLnyS9u_M0p{iGkG7Q|_Xy@xOt8YHiNavOL8CQ3IeU!vkfPG9%X(<;kvzLHY zC5K2ZV80qo&(1#ev%C=O+?g$El_GXeK+$a$>qmnC+klX-z!`6mB4gvdy@atdnYH&WrNRrqqUvA zg=4?3Yey&}wkZ&?lxO@voKwwJ#{}rUf8+h_%mJVo z4VBoPK0gYQ>uOF0-SAun47~*3n;i%dtQ`^?L8CDWhdZa$gM+YS&~(*;tcoD-(!1$F zg)>B(_Zer1^N#vBt>{U*!Y2dY^XlU{v>DGNAgeIxV!_M|5#Ke17N6SN7%7VeL|E;# zb}v%tgAxe-O82%os=6^SowhIObh}3_(jG5zv7Orknq8?0TvNTIHF0LU-@(k<{GUN7 zF6Hl=hT+Cgn#P}d?Am|QEyJe^Aa%J%sxHC9J4}8r-Xip+(x|AkVB}I1efpd&{A_{o zV$@{QTr^?}$R+rDZSDjrU$gGCfKV!_mK<87dNDBORjGBZV$S=VfLLhj_|ZvM%#`(8 z>H6W{yQw1!e4O~icpt8(6R>mdtP9$7i;wkLpL;(@cYWSTgJ?uV6!_Qy9RVK-17C(L zdP?oXcZ1HV7<-UdtSNVuW+ws_X(ok}?T_AH-S^0^$>tUEgy7AYs60ll!e^ts6+yQb zIqCHJYFhJn)E*K60qn&$Pz)OsW%9r~m4{S+B{3!+FP))FW8ydoIwl-5#;eAZ;ah1= zE9J(*TXRa0$_NEwy_f`L*$^+A$aqPaZt>cS=Tak^rNLv&HlEV6@GJRyITId!*!#;= z)ZaN5RYOUar zTBW?UtnCj-Ma)N^wZ;A>pIDYGjX-=&g^Db{hJYCKy+w&5i5`uO;RWvKi^Sr}b=Fb8 zl}{jNx`{NYVlTya3mrQ2?76>FjI65C9yHZTrijMHp>7C2`9B# ziiU-stT5qRwAePs5uXN3wl3eOw@f&}25mJ8<+;q}+AT z3u+j?$b18|uxdp8!c3i}Wb5ktwKQRn`-ySPT8^D$T^VgWrP+`ry+FP$9sR;buZOx3@k z?&kDT)C~_fpVOME6Z)cWsr%JoKi z;%KCwCpf(pT~W2TL6<^oxt)Qjy#(AAF4DBKo!d;rGepe4O>ezbg2IU9-FQ^34Jf~p zGDF}xDBsmDHtMTE5-)3Kx)oxY55fj+Qa%a}J6l{gSLHS1O%m<-lqDRI4t%P88p);y zY977f|50dsEnq7#41To&UJsaR6C3pC_fn4XJGbB;0e9}W>m@xjNDa8>eWf`hN?(~G zgD#MLe)>sAetbfzO9b+g9nX5++)%9sVaB7Ri<86*wAHsp)1)MqV=r!*NjQRWty@+* zy*QuZ88m5wDM|{rQ?kCdI(V4Gvf(|xKg0Q88Q#;7$ZcCeW$CTqXCq8OLR1lEOH;qnC!shxX3CC_@|WXGbYrd zwmYJqM`?lLIYKTXo&L_cdLXOMNbSFk_~*S+z3@CkxYeioC9F>a60M8&6H$aW*i)=y zPxkaPQnP9!{szuzD;TBQ>Loy|{C=#E;_o0;d@~dqf^P6k|FB7YiG%@^X}lhGGDd_} zlz`=FlllQR;(51ZY&g%reRKCpF{?}P)r$405_y&y%#@HTxP^o&WtEc7dI!c#$7CcD z`NW1m2@fHGv_VoA@MAYAKQt&9xhP>8VE&pG{)j}0#L~`r_dP@ase-y$bH9ua=1uC| z#ZdDrf?y$i-99+K;(;F^JEg>1TQlNLX)CcXh-$k_+z0rY%1kQyp~0Y-=`8c$8Lz5@ zIODunnH!mgNDTV~QbrEq4e+A5zFGt8~=A zGS1gvrsoSPEUy2Wpr--d01gG{Pd*?5Iqu-=fL_j|^dhA9{bO?ZjQKL>uC7FDr)ti4f{cfR9L3f+Ec3!7r-SD$k_MCsZwG>_y1cy>M5 zD*c$pZ%=UghTQJ`oO5NjL;gAX!t-`W+uk&yL~!56)6>57+*>Z3qO9kB$9ZYP(Ncr! ztNTIq{r~K+->bf#g-eI%Y;GgHbJc_W!9%bOFFP;_{Qrh<3-_7vh7gcCrn2>vB$yq{ zOGcYyc97>5y8VI8Dsl@LNJgO>S3&0%F_nLIDieMHQV^kY*~lTsH0Ax&P25`!u@Zie zEua4+xTl3yc$n~G6IvHE9o|J@5%SO8Ai@topAEqY-hH>ipMxYiK!ws^!jDY|p+6eD zwp79oGOnqrf+QoJUH|l*!~cNTLli@iWZNzt*KcGkY5WF@3DB7Mq-q2rJs*O4y#|)s8 znRz$#*O_5skW%^SbMBWfU*i9oo!$Vw9M7{$luE zZGbpO3jhVTZy$yJ4(namU@2HnUk&l{@i_zijoY!&N@xZGLNC$;r_G1_WuWveUIE!SIJ!T|6H`cb)B1I zAMpX?|B?s43LVG1fL()pa5C2$I>w!n{Da;b?dNee;4>2)%7{GOB}37_C%Fwa^lUQN z{KCdV*#bL(_RQz9^^;#Q&KljuBm7cG6R``!3|9@M0?o>nDb8k8Ga5f`RaG8#Ss!oVB zRzE0qw8lYx_}e(~<4L%r_^x9ao_SZ-4I<2cINK+1?{Xz|C1E95ME~BzM zR^sZ0m!@!ww6XqM{|eI&ahCHUpI?m9o)k-iE(S6?pDA5Jw|eMW=$`@2am3zD_d^$n z11JuU3Hf-+9-K~HCssM@{I=cBoPV=0Uz$^#Pn5s2fE^l=?MGfgt-K`cG@SN^%Ky{e zxralY_6>Z?mJZZg%Apv$Z3gQwjpMR2@iZZ(rAVllB%`!c&PHaoB1@RcVVD?|(=$ti z4y4SYW@4O;?Injr2H9j9g<)pi-#x>cp7;Ih{p-1&i+|?2%yoU|zQ6bPbpO8h{rRCY z>A&XV6(DHM5eQlX`b3l@dj0q;vG{xAzH!}L^m0*yVWZOU(^y7z59%TG&f751jtoN1 zAk5*fUDByYKsJT$dU*kb!IeWtuhAykt1OfdscU3L;tg{!R@w3bI_&|6lwqiZRdF$A z!tJz2AHq$qK&+16yayW;pwkZQdMg1L-*O-_BrtxV5n^C2p*tS3TN@wSD9+6lVA84P zpoJ9aUX{@kWzj|DtOFR@*jR0Is8@p6EY$AgKPM`zi$XgRM`VB+JtIWZN4vhY5)_g? zfGL#kd3N2{nc4>{G@x$*lYo%8fnXBKusZ&1&Ah1ScP(;oN&!q`=Iv1kGrF`nzUwh* z#d~x2n`+z{Td+3z)0#~`?VoJ?IO`&>F*BAGQ{XL?w|=8j7?8IKHgF{Ah1_jp%TC5v zlt!q6Ncr4Cv|v2_ zQtr6HMs2Sm)8=;zEij?K!7cYlH2n zTs6Pd9AUzR@r6%d71Y|gI88%M?nKY4Uy4-dW&GALXenglS9j&~BSjF{^Y2am(ZkgD zP$%g?(&^#a&2p>S>6sB{e@#9HXhgo&@R3J$<2XNh6#yQG9_sLjFan)!qdV7&>g$5V zzhUDtp%W=fn3NqOMAy9f00E^x4^sa>_4C%8$ z2oeO0wSsK)>(w@%wVGF%Hkdp`D5gyI_IGVxrvj*Zw7+pGa>|8i{M*TDAWAQYlyBgA}M zdJ5DAw;xC#=W%tv+kC&Bmo%@d(ccyyeJjjVGMtA`AP}R5@8A7Se`reJ$PxQ@VcaDI zqxc;TKUl`1|6<}j>gp&SO3tsY-T2ytY)ok2Z~qDO{*Br`yx&A0!{MciW%^($6*Of> z?hN$4Zfs}x!%>27|2%PH?*`cs$>-xg60$W;p!*P=A#lc8jHfj_&HhAF|&Z1c2WjPX=}}6LTyVqMgjeMS`1BR7}p7N0j}cj|3xG6 zGet!oY6j9_&kxvb7IbEQ(0ns&GI=r8_s8=i@4AA$JCv@TwKY%LDN^|!^fIB5_wPjf z>>%E?Bqyk4F*?Sw#Ov_K2?EN&Si7r!glTUXdo~-d&_C?Yb+g%c%;?$K;y9s_q9jO8 zRo>(e5gba}+q2i^+XC{Kq9Chc>MiJw`wr4k1JR7Zpy>@7@zqux-e$4_4^y@5o9kTL zg+umncU+#hOS1Fz8)1Bd{_{v^|~rBM4k_TG_GMdXnHrK3^1AT&<2S z{cd)`Gu%sTF?L(#W=6n0RFs?lB!6rjY#?o-w&Q^<@Q}!{CzXDEBh#(N{U;@3Obu10 z6@##FP{FV?u(&d7zG5>iw|pWQos4#56+(_??BRBJz*JPt*a;kC^VKM(`5!f99i1JS zJMTM4S5oKwB4n*fwZEaNY%FNHH>($SBm9fu9Em#apZ@kO5#3c09FGM$GvbkXpE%|0 z_LSgbQb#d8G~^Lc4jiQ%d6T9^$@Sy@99<#O{X$*8MLYO2`Mo6J>oSvnssZaZgR&FW z(9WqDdjHnffX!wd4@FSGdt$%ZPvDxzh7#jdNTH%tU&y)le%qB#Dp*(et*)GyYv)xd zx2WTvI1AiFn)|)QG$EJ2q-{M!o?RiOdp4?=44vEhJ5$9QUNy3B<`?i<));O8E7maU zX2GA(&GstLl4b_EjZW)9X28RtWhidz-fl#4X_1wXhaY8p)6NKEa8RX%`lh~l_@N*; z+|JMK%mL|SAU42ikh8OS=0`2NJ9BnyXtEL^BO<-N$awp=mhqDV#XUmjV3qQnHNM3O z?B>~>MZD%rQC2>86VS?{Ah%y?2hJwHJUz%s8eQY@2cofiIa-@l4RD%wndUOiw^hMG z%%4;1NiQQzLjwy3No1`uZ7Z;rT?%=A5s_UvnK%p@bu+m_RwoUfiUl(S$~dlix9QUh zJDiLwsXf(ora+pOYCpSOcdXTY(fagJTA-GSjc_14hD>X7>-Z6&Et+!W%LJ~Zd+Kk( zA9XT9F#fh~zTf4a6%-&LJ?qu;`1Hae{WONN|;``t0F zP})3+3-n!}W-a$D&ZN$xhduW_5Os($Z*ov24<@k>qtG^xO*`=RSjc@Eb6T7s#FAeF zW;jxLTV11nj7*6cHFWv>Lng={MLMjo_5TnzuA#TvbWdg0(&wKKi{jS;J-w_fVwD_c zCP^curOvQEN(~$@8Ogxy`NYE3{z}wuDAc`Ohbu z#?yiu+LW&9+71O%DvqAtkpfjeLA{8=kceEX$I|q=EX4B(EAy~~eP_bZ~<&rwa7 zn>}Ih;5qJ0jOn;Amak$0uy1;C=oUR-Mq8C>e}UDya;7sp+Rc}oq5^&yn{(P+`B8z( zdi155pkmV*z4BTOpX{pE%Bh5O5WGfiu+((ef4zVRx%U8_)zNh!ASs!C* z5D(eI1-vU;rztj;5f07n=eR0ZOa7%UAvIv+*83q)#|7<<%o6EFW$lxQ(MMHDl`CF_ zAwAoT;@@u8jFVaHjOru3s@PgG!8T5gJ~tURs`sHjr!GhZp%FILbl@HpoP$ooJi&)W zW-+DqXsVCOl!!bqck9G1Xnq^P{FMwDzJ4?^+D_t$ecY^GX~-QFQ;l=*2=; zcwa+gfG^o6|FXgTNC#UIY8Dp#JHO}bT;n(~Oy4>H+>zPaI)gEdd~o_W*N;G54B3nO zlW1B?Q`5`0#DGV`L+^MUbNZSwGAhinnfs+SX+ZR1=(>FAv1e3&!D|wfP9}#>9>N=r z@)$5pQ9`AakF>UMEt@(Gwmi`^uTwRe!t6Rsxa}=YPUb5%P7~2gkPLE*l@((EW_065 zA!mVM<%D3Y6lx?s? znJfwFlM+WtBz1)QEU-4CTN#fDQ^FaN!Cg!9O03C8c6q$QWhhdg%cCZ zEoZDAY=GGOe=A-J@-!1&OxdCP)6ID!`U(c}UzM}+Ebf2V%Zf@?RI)x}uU5l}KUO%XeA-eOb&%t>trOUHl)I+pJ|9@ID8>U4&Nqlq4^n#`^#M@8&5a zH~2<3_nO)6bumX?4y(F+W%Q=E?HUK}tk29Sx;pgV!>T?BC+SFLs@%&m7LvKG*4Zaf zS3bdq41HY5XR5kv+Qx#hR9(KtCLs&db)X^@S+FjBhtENaL+VlLJ_onxn=&(k?)>oi z2WG}DcmG7>h&A-GqeP_51CFXskfSW(f66|MNeN~rixj;05apag<}(u7YUlIsj| q3iO%qe|;wKafwK{xp;)JK%x*Ra<&FfE`0_4+1ohoFWGnU%Krcz%#BI_ diff --git a/docs/source/manual/arch_lang/figures/ecb_allowed_direct_connection_inner_tile_example.png b/docs/source/manual/arch_lang/figures/ecb_allowed_direct_connection_inner_tile_example.png index e084cfdff50e31bf72e24aa8a23471a9eeb66b9c..30db9d640aaed9365518a799a7a81b236adaa5bf 100644 GIT binary patch literal 111180 zcmeFac{r5c|36+S647GpOs^vw&+>S~PpKKFBZJeTu4&vWiu8fr@0w=r+quwlb? z<>SXrZ`iO^d&35bt6RyyH$ny55a7R!&Zm`*Zb+|T9RxpYwvtno+pr-sglfrj3-~!* zLq$sg{J+5gP5k?Y`1uXB^~)U=4;f68SnQtc(Ywl_AGP20DYy4){?j1>DkcI~Gej?< zL{aa=LuzE@6pnyD!P!TzJUZ!h=hS(7J=4=Bw`0xC%`L9pv9Z*$d0b_G&D{Q3i^C0X zS8rdh$Y;pZG+$p||3{ZY9|qkEIG=!SNUR!6N=izp4}SWx@MT#)x;&xyxo6E+bW>AP z`_SxHZ0m@Z;N;vGp)Zm^AkF@eP?o#UY~3G7JJVk?{!*_6M=vb zeOqF->n+u-LZ&?%M)i!__Y)UR~9hta0_)@{O+I z+;k=;#4#$^g12p9j35r@fT4FJ9t-2N4gbFZ z{(monXcTf0A&GC-RSw(i(Cj@vJLQ9%)DGj!ke71MI&f8BpBe^Huka#>Q+ZF)2!)qa zd1@f%EuZfoVvRudoVE}@+N%}H*%h4tS80(`gDLN#8F_OmenZI}aRIMd#+lG)?l8`1 z=P94|Mc2Nu9OzodIvQ5kwmmAhay}p!u+;fCINlPl>bS~a;-zg1asC&JIR?m;PQ%5)+caCF8M!%?y?U&xhW=L3KD>Y z>vx-cn@+sQ`HnZl_V5oef4NJ%DEI>{#C6*b3iBaB4cDAnfOPUg>L*1@odMR^>E(+s+>|isP(c z2E<-ZMA=X%pQimT^)GiLQp}11Ea1vv+CUs{RiK-DxBPt24HZ}p--J5BrP%+aWa~f5 zVHDsh@FjE=Y~@wB?5@v4WlyO_4l0LjR2roCM^gdK+aeeCQSLjUUG|whb;!ssE68Z% zp(;@hYZX6%xEaCyf{nA{h>M=bw(!%u8IOzG|**k*?=;jY_-agzPcqqO5s-rpe-*(I+_I|KK0 z4({DK9_i~*;o5F7m8@+|KElGC!X)n`;MOfuYh7AbLq<@nWvZ%;j=FsDYAdp zdlk)>RH+ZKC33CA`A0JOPR$rj1GyOML>E)o53A@kcknYYnhRiF5ah5ac~~W)05HzX z&-#{)I3>BTL-3RG3)sg<%L@!TazsPBDRHu<`tofDfvu0C_>E%u4hXB(^QB#XM@8XC z%<>;GA5*y;X0zpTVkBfnM6)%k3DbO0aY*ntTHhQ1cqkLhkpQ%~Zx!my$C;pN&wPM+Zw`^_xd)ccc|B^EE$(|6zsEiu* zK_=e6yd|Mzgc2D654a1z`IpR4JkCdSsgEbTFnjTroX}t#?KP^#E&lfU;G<$1b3Kwb z#~va-chMZ_bAN~prCCM@J4tx-VbD?O^&({Kx(WZ~+MKyx)zT*p{&2?|57fyw=})8Rgt`4R~K)9i@lzS z=qkstxR#sxw8hq*k8$pYuny1XRHJ;htmfsG`WmtAs(4*1BkT0&cA1Yay)|W3;!3ZX z6}yIa&OmLkgM7Pv^O1)_$OC5jwynNH^EY?+9Tq!S#DecIfLHtUpE%>;w0pHOJ=aE{Uvu<7D|WEgw5H_T|zw z@zYZTSgzq@ZNzvSo5Z0&7Gf!TRcJ8|?Z~@dL`e7FLk73|0t-CId(_cKD4(%g?4*yv zZs#rk8l4`ata1)MC{q6Cj{ev!zy58jtt}B)+x6AfrYxbZh{{IH=0ffV!$J>7`~_{> z+(l2n#OIZ52fpXhONqa@1LY>J*{h0@f*eOfw_)+WI!Jx>U^)e0``srz{(@}_-VjR^ z>p@EpDKJ646o+J8(oO!=eW!I}ZNW`_&`r0q`~F>472DX`6U#%*HUhQ-&!7K`M@Vxh zp-upv1>+<0uK#O>JP|=5tPo5W5)TNX&T_`|;}C=Yo+l_Bs->Iv?9+rEQ@Lc=6&1JX zUtwYq315;E+oyzr0TG*BBmW!bH__T@_8!mN2ADrIf&Ck$+>1VFFbta6wBgM;2xE*g~3Xr~2rrR^yQ zlI=YoX!lFmt`WC%QbvId#}kmcSPLiec9-DF$208Mu!+S0%HOlpU3zYc;bjLGzEvz! zs52Rbb{?dVe!!#i(uW|CQ|UWPO;3v9O~^U?BHlT8j37|>s)D6S5e1R1qhcpN)jA#O z>%~`K4s7dZ!d((VNJ5}Tb7kY3?yF_{oCZKYb)-SClzbO7UY zncnGopJ?tSp^R}C7Bkma?>mkyt<^AG;&%bD_)V5%cpXhGW9ux|!umnie;3h%el-3r zB6pM#zu($eyou%nFmDB>i)E6r2>xT&R-1NYU74 zLq0oVGP#BcyXYnsm`qN#pm$6fl!Zk(j8M3SZ;a`+3wUie0}z>` zxm9!wFW3qoJid>tfrYogcv`M$VjNl;r!sK~I;6^Ok!Iv3cjZ~Q|DBipQ@5C=1F6s+ zlG=vM%4o;^l8iq!aWS&Vc>jnMimHjm=mxMIm`WK6&C-@WuzT_)Ta^lop@>gdv`C*+ zU}DuK$>XjF{9IX!(q7|QohkmTpgTvww2jx{jGH8Vl^QH3v!v0W_-BeaBD7ffm@tpl z=L8S(0}s-c&O4i#%~qujGd9P(6I)~C_wu>xqS0C;~Ey9A_uWV&M-7uaO)~^3X$` z(@NWtO=@B;PtLd8G}iUGDRJ>`Q{;6k5qOS|#bMyil6&H~oH64rm}Zyf0@{Z5mu)=n zuHm7oQA5ynhe((y%X|wOH$<3dD_AqNFU$@M%tk@=SZZUt%_Om)jSu*rkOGpDl{w;%fCm5UT?UY~lkPC@Gb z7P<7SIwSK@?Ma-LBkuU67K$~3bIEIes&}3iIroV$CZwU4hcUDaC~$gR%9c$;H;tu_4Qo4jcOdeegeb%U>QAR-W=`8<-zw8OFVj9-(Pt+jeqh%NaN? zkyy)dYliZaZo!)oSu+uf<2~`Vi%%<39zo_?P=erZ{UMCXly0h$JJ5W-?a;lt3{Ur- zvYXes6-(p%A>T$-)tRcPAgfz%T64yHR1vegY(_g=Q{f;Kh=K;?quM^p@`GiSqUNn6 zvEsFMdJgv}p)v$+1>b^m0M=@6FYd5Q2bjpiA8`^!?>s8Uwtc&WmX)opVTF|dW1t&f$TRa zor8MMMYQK$_Du#v-T&nq6X#Aj)3`}$wyMJ#19s;|@z@B2>nC`OJKHVx%L72%k8Dk! ztN!M)eD5G)$K{`M(wq~KaHVqq?2=h|S@1X}bZ0#|HOGXtUmlWPu?^3_=Dg`-HSx+mZ+Jf?$ot zhG@dgY37D*O(yV!n z{w!Xf=)mK)n!2aCeW9UGM9nTuKZxd*jVQYAJ?;OB19Q%Ej-N1Xj|=fD8iUt;%iMh# zS+bb%8K0M?=-qV(IL{Unu7SBWCyvM%?sR#~=NxXQr{a4xJ6s6?Uj~XwHhHzRUU_3E zH4tGp&wTi^R|2gMz`0ytKMMWr{%nblivU8~(BKxom=8&sXt;Y2lCW`>Wq(ISip zjYsE-#)F(Z($_xd*(wH!f{d|8vkaFl?&^A8j zMwz3^ZHDe2Xe7y>+MRJ#?`?p}Ds+`OJnU64+@fm@q3v?An?T^(Hzc`vj`HkaUx#dA zmIKp)+?2XTgW4&Y{!Y8T%bKqJ-Gi#PYvZ80$jhBMwMQiB?K~yrmKI!%r&#!G5Ly61 z;(hj7hT}M{=c#EIDU5SX+omS0LBh5-5Gm?o-1-iMsg?6=F>>f~sdS!<-Fh$R(tF>^q}qop5!X(gfN^R#vu3>?bYeZ(C+eMv_GT_3TqP2QN$GBkLTEW&`x(k*<0^tsW9f*IlSwF)OI_wf zizmQv;!TVBE)qp9cc*kk@h?~nO`h};`!YAn9VRlfm}?atvl8$XQ73# zSTDBJnMYKe(Cf2;h245Zx{lVIqgiY}eecYmN;MMe(WZ5(iG~@sWM1kbFy8M2$c6gzcE&#@t~hs8(gfvcDd1v(1f<3lSC=0>0f(vaASI4d}B z(gRWuFZi$WCaslO>DcRM_e$QY?{8ha>r%%FSw&xc(w>G|i8krld4gxqFY^<;XN7ta z+I@XmwD4WT;O^3>Snnn@77dp^hm9wSQq)pJw{X{vae zr*4^SFDXDQd32DOr}g!lchFU3Wou>w|;f65&>}|rV zlN7i?jh3)59o5H>&9)|X-P4VO7#}pX25z#)`2KP7DgAp zd}UM1rvr1}q^#^Yyw7gm+c)eJDLz)|^tl)W6$PpDl;D9jZ{}PugshJ=mWim~mXR9O z3?b5Kgp1yTgRy~h3=3yG>J&%q{H~}@q++bH?&0rX%BrDq@)fGmMVQ?;y$EhQFN=oS z)A~PBzhP&lMWl&m<(>;q4_u_EJ_6i6FJlM{t$&_^N)yv5RN5G|#-vNC2>U)}nkBk@ zRO~y0nf~_JDqE9RCV$?PsI>OCBiGFZ4uUiwr_igA@)r;@1C{3E8`G|Xb?)~rbz1>4 zzTBwL6YQX&PeNs6npLJ!>%`BVmHxR5W%jhi1b+>MUa&91-6;CV{xWpY$PpyT=6qZG z`cbvakmH!*v-5hpodJw6B*pbZgz}LhSMyUTQvpxO=P7t0o@^NrhS;bkm*>*jb2W*& zOle2Q^4(U{SjCEmL&nhyEwA>E@z~l~Nxe&En`Y@M-d;P;bx78lsIHc|ZyY)Qn1QB* z>`>mgv|nMg*1|K%=~BQ4?k}&{JY^xaP+)%1QT57)LK8F1ZJw^ZxtM#ZC}3s5o~)`p zxs#t@|54FSOeW6LhnDCDr)1N;dR+)z#adl5wIF=Fh6j3yW=G62&e&1FX#mbe%|&#U z+Ek?6KqBZ`lk$l5n96jSu$1PUKS38i>V_U$5OvCzbZ=Jd>qCXiBR`}72`L&b8Z<&T z@T+;|ZTY2+TY;*g*!!9o{77d4R5Nf1gs0D0HF^siQxR<)=xCnUeTpPwS!jq{%*3^Q z!t;zBpIJ<|=V;u2xoci5B8JCvtQT0)V35jwUx?@KxAzU+{4^nWdAX@D?Rj`Io2LLI zo!i(_7{R!)J%@iP!zyXp*x5d=V}>32nn8Z==0fdHhfbuY72s zAzOqN=x$`1wL!mx=E!)9L^1B7(T!7>tcm9Mj%hiTy!>~0Lwhe~AQPQ26oEwh;@GF@ zYyVXXn}Rd>NJejX4#t|u<_USfFAvZ;gq<(twY=84{ zLd{v>iP!y$Lmtki!k*nD(6UTnXvNrN=?Lxx*X&`C=?qtR(dsRkU>!y}cSD-`3???( zniwTRi{=EwtEiqEIbNr@Q`ObW2E zr9U$#UK4$Oy_J@}=5;Vml z=s-f(g0vPFi@EVDFu;!$YdC&%89xQ(Be9V#4g{9OoXqQoRDdf|tx>ht2I-5=JH!Jk z#PQrKo)x{wCH&BXQZ_Cxi!17y^+Ul8Y_URll}_E65E!v;=dk(tYCf!6$0Q!GdCy)B${c)#isn{(@0P50{=mJuqwqn=tH$j! z1!EkILs7+S>N$oms~NYN_oax9AOfKJhrGW&37>jqQO7tc=`&fHK`~`;MYK?)BE^hr z)OM=%7=3A1b8$c9E!`|zijAZ2vFJssY$@$SGd_!{A?HbBPObS;bl->FA;w}dR)+1y z7IWR76-O7#r#_VO<`kyu9-(45GMV=JlM^{`({#1Zf~p)Ene1Hm^?h+mE>AIIIN^`# z$@U3>id@*L9G}I^ZvKVLl3DsMF5uVQfq}^Rp5l<+i!8Yg_LGRYV15eQUfqt4nF7C# z2k%}9&c7(-bsg!KfIBVYptHHgv4e?S4c6klv@+$o;X(0?xiX}MMs_n4Nv~d%GP6q? zf2QwUh}8(y#85IJ?v0Q4V;_breWOJVv%H08$nsxt8G;~#hbP3n ztCf`keW(YGb?I=GExaGb(D2Re%r{uZ_>ZM~(9eWodGBimLoTHGdNJ93`Ta4bj!$!u zADlUUR!)XFa_z}d3!PhC#&-fFm{HL;7Zb{aZddAcvF!FP#Hj#A<{4>Wc1C@t;CZin z@Y9{)jT>`#Lg$BW4}D(jdvU!!FWQkvx*|2a?nYCMK!cq(Eu+IT=TRK8?p@@Z*jI1(B|4B5tAJZ?m3lBp2gb+@2*xJM*AW)V+)H z*<=WjpI{`e?bUt5Gd?ZBppNjpCh4_FGBK%KjKYqhA1dy)2sVJn&{lSAZlWZOHa?6p znwjY_OW2uP1GnWoa$kiKaAjCb)k87E%bxC~BMoA)jtfNdS+V{Hf79mg@0%{+ASEsX zyssO-67!nWtTz5aa;|_aXAZ)xpgAMUK&a`AALl7L`Ohp|5KH3e(j!B$#W60Y==`Dhs`JO&1w?1~lsnwqn4@wT?Y5U2t* z)<25a!nCAoKITU<0mSc6l=n42TooqjWaaav+coMxrgS-c zcO28|C#%)8^~2+wN+;wY7faKM-1?$rO&JnN?pobj%6F0= zGOlHMr{{!*ATv+RM{bb3tXMC1u@j-})o>Jm$ffdUTtlvUXO=&zUeEDS%WD!rA1+nA zEsv>(h?QkE1&Q10m3hR*)XlOE$>Jk=B)uBK&aRicvU>#}o8tm1=*XRZwnpb~#;rz` zXkb<{3ai^GVwkpXnt$Ba1wGYh7_kN{{r#iczRE{cwDH#%m7(D1#nCT0?j#(WO-zQE zLxq^OFJ5cNRc4?!xoqT67&fSRp4k<)Lecf4(j?i>?XCTHTOW&qx9 zxE!a4ok6!%lg#~|X>LP;rmNQ9^$e2|Lyd$Grwu`n-25xcQf9hz zy+FJ8!l90|FIKU0-D5O@daXG0z?k&j)obM^hpVXlQMDucO0!rU$GMb2a9(L-r$vtW z8=UdG9C48bF@x;0wD)$tT5Oh)xoP$4xule^Ph3v_yzu9*hh{f|y+I_B<#JCoJC0^f zxaW5JTK9XrvoFPm$s*&;#WpJ^=b2w>0%7&o`a+9LmO1<>uvD?4;jc-eo|etdI%4SN z<$QhYwC?2ILHs@6ajwH?J&D3$uTrxlw4ZO&DoZYoiE!c5ptrd)#UesN&ptrUO&&*E z+5q))&-x`LE+Ngc7`#r*Mm<&Je=)h6-qjq_;=Ob8Y9@!{7>D9ZdEu1>av|+_{P1zhdmCqzmB0ntlAfd(z!)rT^3LwQ2_?BJ0;kn6DZ_FD7_Ik} z+KD#T4qFLT>NzX}a&R{Kd559n?BWx9CLWURk!fot!-D*;rI9mK9pZ;bME=kG#`2)+ z@z?Wr`xW}048?UbcuxxESHns(yLr`Z%vPZ~;#-5+KEv}*?y5-owa`+LIF0f*40hHG zja`EFaa>mpWG&LNkq?XL7T_41Ds-b+93WrO z%QelcG{m-ZiRzOy?1c*n)NNdvko7ZHP=h61{aID4DXZS~tmCQPLe>r?#)EtySap{m zYO7)SA+RXK8PbKKxI32o8suEDnwW#X3K)})i~7xTT7m`Lj1Cd0e896$v09|(dYCy6 zjn$I+_B$>H70+B*@%y*0R#T0?U2fgSvz3%5qisYjrc9wZAz5gtX|xE!8Q8nc$Q;eyL2ua$SZ zBO8yWyCI27lO&(TwSCx66^Aqwe_stXAW%m{^592Da(Mj8o{uD~iH8z-s<7=iqd^8Q zG6{U7=IUU0snz>|C{7PEq2U-21p^w(?b!+jQn#^Ns3ujJ^9MJcuBi}YABo=CB($$y z6sVL-M}UwlXeOy!;F0Fm@*6^|3-4a)kJH3R{L)oJsHQ@hEv!%c9g!84h#HtZp00Ov zgXmH_DTXDii|!iI?@2;A|B5w9Ju|n>O3|Ap+>*u1mxpM=xnQF37f2WIz0{iLFsCP8 zzTwAr+b><9RB*XbTDQ_;BqhY8Toy8`4r)E&uv+=ZJnYNNL4oE$R@3Z&D4mfX6s0rvlb&JT~%D!NZQb#@k=UU{Az5STU zlEv7j)&*hE1+uaOGZEt4#c%IcElBpn4;D(|)T6{s6kZnpR}Ds!+-q<1h4qgfba0aFwZdaWaIv8nlXbV z`17=j>ewv&_ehikBrbV(CJOwwbp6?hK#|#gScf_(a$u~2BoYD}{8*y_U;$KFLi_+Z zn3dC=VCu3Iq5uKJ2F3v_AT+3EKJa>n+KwP2t)|d!r?_BsU|H^_#1Etn7kIRfL~C*H zI&=v_=Cbptl{NS6NavWlruyH4;}Uo6H(v6UFdgs$z;vGzKR~XU#Fc%6 zm|g9E?l>TH3I;=5Y3)OE50d{4p@EO(zi)t$5@KD8$P076h&B)WyJsyEV%|By=t~By zD<>C%pMXDKODQMYk441aS@$WBcVosq{E$kkdY-@i)>nkleD9tbE_a9~I$Fp%5iJKO zF1g1p?I!xNK&A1*2;YtW#()<7nPziL<@~MmzAO97Ri@*+j*L)4P87gmnD_yBQ)U0} z`wU!u=W#v?TQZovrzoV~dU0Z}cDV*JoBz*v;Rd`=%aYw%@LrvV;Tw<$S=OZgN%(pc zoDXJ8Av$w~lGlsitI~>48xDW}|HuNhggm_`z+lwF|5^0A;DA2z`*D&m%)--k`NP>>4S&rw^9j~L{H`xItTO&1!z1E?~Ls@zk8-ma8T}{~HZe7R(CYeiOB7+WWuJ4gVxyx!Z0Pz^`Zs zHUhD~{C%bXs&-)4aYPot?Qb%Lf*KD5*{%FleY*b}0q%S=F1$m`_V}0TRTC9j?!E;O zxYtS7-z{&_`)%+%kJ{iDcg+TwwRd>6S^saiJ|?h4{Z^HwTkM%3jscW7k> zOiI}&VpV}S1OJV)Jr%S(13%DyC2MalziH-*Q1=~yX^Nqf-R@eCh|_8)`C8#!p?Us~ z(uU@ZF7^3f=hYGXyHnKG&?Zt9R#!uxgQY~eqpu zYkOKUKFi=Y?l|=nXA{+nhs62SFNX=JtT4L0MRjKxkn3C!pB9q;HoC)w92V(QJX5*Pm=1y5LF7zV1L9VB6*sdCu{l-J3rOi0CQgNl4Du5lMfDe1gxl5 z{Yj;dm|BlGRJs(ntnqW}fuICiw&Yt4Pjee-(jdY0dRW-nF9KgRYlrM`J^hF$&2L>< zYx@)RCGJ=;;rHV#XAhv)#AYs{{#JOJLqw#~VTUJo9-j}|`Iofc><2A;JYtF~Ct!cV zOKgnnri1tdQg^W)U@|x2BhcVPCv3@DiX?U-aD(r~a-_SgTnPtt{aXt$(-a$=v5JcyecbYcFzef!6Quo+@m2{44&nOS2<; z&HYoMCSsd6om_v<1w}OG89fiQ34T8ItkZF225pnm$4(vcse&zFucRbqP3{2>-6*s41)Rcch(S)OzT9aP z6+*pqyh)<@IFh?4S3qXU`>tnw>Al6tC_DGvBtwHeb9~2Mk(#;*6eOyIJxK5 zT8~B1ix*4x*uUL8J7_9v{^~6c`q#BPO=0Bzk`hxzwXJbgFSCYS-;oTyr-^1yGTT(t z(!#S}W2-xoMyoRO#9M}p#u`l};{WlEHje8!i zvi~M*xl3Is7Tr!GJOB9!;wyhamt*z&)qB<;UzOmPFEpRGIGt=@#VB#j)|!-4(OX`if&$@poS+jeUpK-zyfLbZd}e9Eo|DY;%NtGx?(@T?PD>o!Rg-(uDw(~E0k9=U_?`0KPKSx z>5@T?DO~;Thn$_pmjY=Dkpy=ZQ!%u+Fo_xLx?`7326S+!$|5HRqCRNce`$-D4XWeY z(PE!9ioW({)8szH=&s$)D#H!+w)rg=%ajZ6-~+uJO+5#CW__QPYK|I>T_RQVjwTv% zV9E5Bb{Z}0P)NIh=;hBf)qLvxl{BT0-JxgF-rIA^^Mj(|*sO}ET1ha~44n1bT%FZo z-_)I1Y4g?TAludx5-oD-8d3wZlS5)q2V9Wb5Iik;@$PO?HzaOyXG?fUOZgX8uQdK5 zlb*Mliz?rq1crEd4iq4)IdGEHE-hJ(r&lXj+(L>`NOtDE%Ny^>@a8@Ur5x>2+FCNJ z+8Bv5JPOw58rUAul9TAsHx}V~GY?+wM9YfeC}|gp=jz;%Zgv9JNgiuZN_H2Zl=iC`*oV01{E~|Pvev>f;V$n%i>@7s z?J~Y#qXxE{_O0ZR-F7GjbXRLCROgtJWbm|PGG}JF8eaMd$@>2WA^C%*?j1 z!~{xBvFwmV?F4%wmXF^hN`Rd8c`ugB)U=Z_qPKbNvy$3DgPV4_TJs?}8`>8A<))ZA>@f0dI7#v?yDdRc z(5yFPQTL>+h1n~|SqZ-I{8v5larPW#lB78EwV{v)kuE)Mo`?aLDDKaQ^py*JLYR@;nvDd*%VTfk5oXG1hfZ-@ zRu=!7++1lR#i1)p__Hda0%b>8OovQz&T>r)?Y9z|_pkZ)aZP)_fxAX!P6tz3O%2rLH-3MEQ`%b{P>GDLyZG#+N}A@mZwz!{lydsD7)Mq9mD^j|x5R!6ao8uPvit@u1TZ5PY^$eyEUAcA$_u@awA)NrHt z!Bz8qmmNP$&%(4F>RlEEwR48n=YlZw=9SGEM7-Sax3#$R^|~>6-vCZHI3^bb*&mK_ z@sYXHK)6g_x~hvEDZsZj(f9#%it+|sh$3opoD1^UK^-I4Sz>?t0E-42@>KMLJuCh=n?KwAicg=b z;#)*p2Mq{Lc5rk%I3kDGF7l%odBcW+4CFsD#5W5zgB3WtjniP%4+8I zYvjdFzxv8~(d!wbheVnj^cI9?6w0dNXBQ3UW=2|SMME7~ETfFaHmoIiiJZdZ$&b0dHvgzF^E-t? z(a|pm`)(Gh7}+MzBPEOymUK_9>=Gj;j8|#>KIeU)ZTImLV9EnK!r))2B5trT(BSKt zN~cl1?;k6SdXWa-ZCaPI$+ljgz_EK5l0n|k4~2kZ!CtXeh}YzXpJf zOk`k1i0(>{desNl(EM~kYmNr0sCJWCng@O{ei(T|3i8lUf1H(-e_?IJ>e-$Wn6?N7 z_~Ucgo^K0MfnAJqa$`bwY!Q=Qxlx^%*ixUZHqIU5Kr|_gin_3jRtpx0oh84tAEP&jL`OI8X=*%weipq2;cK`fte3dy$g;VfZlq!QQI5yJfH zo?T_31a+aEh>d03Od?8#lvaO0>)yNmHIl6%I`+hkfX|1tGAC%)tZbBZHH+|Vf7mlK z(N5{iF?+egw6)yTL;V}ShWkK%9BIZXpY5~d0&UFQ@gW&#Gk|EpBKlCF#u6_n4#*rY zvdX{HoR5}BXAmW`EOm+gXna-lwD$nmgum(6%o3zseJM5++go2(r93KKK57zL4&ofI zlxeVv>~AiA8IndNMRC`^XpuBNAGeT-crsDvN~j`co?z*m%I-^S_isWTDo!!TV${?! zdvQ8w$j4A7wNy>+YrLx|*k4BjWirGgBiX=t)sXZr&Nt=o;pV-Ix3_369iSl1bVQa$ zl1Cd8EP3CQ%gom7spFM*i36-PT)(T@_4%}`3~6i&vZ2^5&a2l%Bi&Fh~pcmj<_-@(Xrlyh=Y-q~bY^bfFm!*ghwM zZEp;l=Y7D+Z|#>VS}etw&OHA^Yb; z_?Ew|7VoT4Lz40Q>yW9-cC=p2d1o-9nID{ZL~VNFPZ)UAG?WQ8^Zp52=VumJ^!_ZX z`r&CkfP1k&RQyHo7U=Q5lt**wS624O{ArOuAm3{PC?L>v5(`nLwmlMKpZBW_O7>Br{}U*2<(>p z4+zp*%JT+=cY?GbV^Rp_v(^=x$c8t`t0*p-_u{^V5R=ge`y z*E1}?j^H}?M{|h(R{RKP`g;;gA*`K86I46%Qp~>NXPcU%>FA$m7 z_FGgjE_!beim-Nq()jgUjA^SK2sRs!&%=w~gI8@Bfe5k|0yoVX5ju{=V_wDE)z_@M ztg}pffE<1`4Yze~*aYG_IR0ThrT8Km_|x#2?8<+Zuyg98ZU!((T92ZmUvOl% zqq+V5V0$~3uC;gF6Vt>7JXxQjox{k~ZkNpx+7>Lz?Z1ciHAJ0M>x7-1CT1b?Zx`7& zeE}Au26O!bc^j780eLxpCNIPJssYTWct3dY2}gy&Z*^l-2JdIHb4Pd+ep3xx&uJD^ z?X=Tw_0AMYLQ$4D}SsbJjC3x9!M#uETDrkG|<1Ss&s? z-FtGl?fg%T^MKP?*28ax04ui(*jFhY9F{;@+gLA3=;q?SVbbLl+A^*}FS^PAY3$n6 zvEa?PjzaO6(c2zD!vhkelaci#ooqE8*jtpt{f+%|)w=A1i{{H>LoR%lOgIm;PyGu+CIo-i=S}W%Z-$fRkA8$r5faR1P^t8D{Qchm4GXo{@ zMMF?Lw#4UeW5MZBZ=5-y7V!=K2M`><#C6Je5KdeB`#Z&tuRL<)t12dUfc}zPY zDdHmtqBAMoNUb9e6*PQ!yGq`tk7wl@&sC^aBpq4FnliLOtRhX$${4(}3mW0DD>A8@ z5si0@Cq5Z9ZwXB#yDjPzTS*@bZH{?RwGF&fjVyV;YUVw28W_K{4%j&wYP(UrE#iVNDBwLj~+4MoKUz-C%cSr_5-m97Kw7^)DExnl36 zQ&NwpXN?c>+x#QsT3?cs(xfO$vr0zLMiA9L=+U%5-WYC0G45btt%7kVFRvYldp4m^ zrXn>rn10JJ!kWP_KCM9MK{Um0MsNlrgg_%O(@1F*Of26%rn}HxQl+5SVLci#LnWyy z8#C<)L_@51Fx7B7x_kiJgU$=Zg^%oU-~8$E!C2wggGEv`8rb*HWK5cDk@huod(PLA z+776fMtdm1bHe26=H_1q=yJ~n^&QP|>{C1MhIXh`_!x_D1XOb7+`QKV32*h z$2B~%`vCHk=8sWq-!iZ@xQyF=ImWj`uqa>IInpqbGs%A?*2AGqI^pwofSTnYe7tQv z?)Wmk`$-u{t=x_xQ@R4Ec=&{JJ(+TLinixO_3j3GAa()~jp;Gi(p6_6yRSuVVg~?; z$~@K|Zpno*mr0^zZ}dfRfN9BE86}wziWM-ZfWWV!-HjC#tBiOV>7FNm$o~N%kLa!) zJV=p$%5k>kpxr@GM2PtD{-r~uMo_!*%dN3TPcpvT-dx-hK<&b0jIOdhDGTtr!cvz} zs42+;-j_%p4^TvhR8tpk)ewx}z7QPr+ac?b!II}$0N-1gUIoHjPlRh8U2Be>pup2B zNF+fM(*sh;cpE@0xMz2p2&=>%v6#jTxYA;d_@RYAA)psE_>TI-qw6{WB;$e`c1 z3d5AZA@9W5S!~_xW@AG=$I#-hm2(T{Ffku`#WnVRI{)lmXTf2juOo`d9SJDdAAjsy zJqJUgCgvY2lrB6~d_oJ$PnhHXR#mbrAfwo8IMDr5%P+K08Hwc^n7i!cb|Cb^OP!Mr z?aaTFSb*SYkqg(@J3SCe)sxcwP#6<{*1a;E((R;1$d%S##`m-PR)HQR4FQ2g0V;gP zmSX#re0QZNUVOE=|Jkxvgk)X4C@kQb?eqB|k+9JuRA~)ehOCa`RLY~C`-HiWZ*9dt zD613my`Ge{a8)_M)Hyq};m9qmgjZ*-Pk#R8fckKhO*G9ncBLqV7&5BBDA*4g;WzY_ zYhuuZ54-aPgntqSOs1HDO}%;JS3QX%l;rL+ik&qo8}6r;YiQQYb9Yt(tF^uy;S zz{OYGH_gBewW^FQF0r8J7CN^1Lmr2GN;i~^hkv8(JVW1WseNX7U_asK3zRhm%VDGH zDDd91T%cS@sbo#THA0c$y}{{q%A*8=_>DppBOAPi7qI zmU$)NJs*M|m=SVU*kfNPoyeapXBAOco?D$KAk3B!ZfhY$9^=`DsdyMvwFMHv&dnDf zfMS=(q3DqXc7yie1e<8oN=%!Jl^3re%=tfhZEzY+F_@^mGk}ZJ2$_c-h7RyNon*(5a%ln0TH<)RkD4; z9U@#DYP<#JHawnS+MxzSw)_gV%UHrdUiE}no6-J^t-a6gmrZRiVPI>k!~_J!6)jZc z3Z+csIjMdd(76)f-xTnU=kYn0X@<$8xAgeH&Or!(?NWb=e5VnHpWypl5#VhT^5g777EAz90;Yg@D#OmEabdnkL$%ogVQMWVgxGVYK#IOB1}d zH-uPnLj4(-W8C@qyzGdUS;+q7bvu^m9*;hPxfZbvIx8 zTq%DbOKxNO>+*q_$cr4_F$FbG0~DjmYr|umeSjL-e~H_5tkMl6jN$rVuks}RwhcvD z@0*d%K-`{AvfDkXFk~MSFZSfCjylByS&l63PNDU>BVMZNIh+{+MdvN5m8fhO=0!&& zjH`Spe;Mg2%lFqf-b6!FcCDqD3CP2W|NpV~l~GY{QJ|QE#DG^2lrpZOh@=t@As}#7 z5QCI%g9hmkDK7|!NSBI$(jkq5G)O8+*U(4}UBk>f-wY+{b*;DFdh3OMbeQ?RbN1PN z_St7|+3tA04%w-G331#%dycH3~e|X3piIu=4}wMu4j$6E*1PxZ$df;n>HZ9mQO@9z`$EDm#;cB(LlB z@o*W?2VqTc>I{t>Cr=1woVIbE54*9=)RmeTXj?A-ry>opvFW5hbgaxbUq@voJ8N0`hMZatS@aE(c0D~ci4&UnXHRMn-V($-EPG^M1LpBWz0%5g!J4Wr1F;g8 zgZ&p`K|1`AxxHwDKA#~xk?E2HQTmE3C%5dASkDtJ)p*5`9@*yg#6vEUsu9Ziv|y)c zM{JAZ+gIbcurRv1AbV=0m7wj|H@ah{*9Pw5-{E%mSN#;_jQm5dE;aw?5~ zlRovxG}QTQj_ipjE7;=J5d3a}@1ZV}<)UpeW@(b_NI6@43|Kn@zcs>ITiE(N1DO!f(kJQ6b$>t) zO=W8&R(3Rw#Jx2#z|d86-1O@71%f|dHucIcLVuh)is(I&v*GO4 z`uu>eyn%_&Y#PO&Rwh^I!n$#qw)g#cK{h}!2-qxqg_r?m3`<+pXt$(XNE`&EzdElM z89!G$3Nb^%;Zfc54&s)Hh9nb?`zjHUrcaEuKFbHP(Ew#m`Z?D=;PSsMw2;MnU&*u# zH|wK79$hUDXK@9=oyi2?!;!(e;5%1}2FE`{l`$K{8zGif=KD&!J;+ zXL3?GBK5EB^)%LJIBd7m3Iz^LA=@R4nO`uvz_fb4_XEy?q%e{L`7E~xQ4zs{z4%Ix zwqt3Gw_i5xuS-(K&&GRvlG;SB3tLMfh*$DM8GxscZ<@M4lIV#$h+XwA8Fq^pVVZc8 zXU`65IxVd#_9@`d^FCkJ1kG49gP=hpo!bb+i`Sq3_5%odBI{H9sY@)Jc@BOIgDfeKUr!l(v?dB|+guk~Q~ zlWW~iT%^rZ47eUPnvUKCr4C)6?797Tx;h-WQXleuw+*f32AcYt#3v%l^DLjGAUSPw z*#WoXuTwX+bG5=_4VvIPmy7J$M8=Xl9b!p*nPSC2Y|Kw!_?XyAevv{(PBp9Xx8tkQ zHO{yZDo2Nb_5h^!VsG+YbR8$?6Trp=wK)oB`F62%mIv&IA{XAdG_MqewTTR8gsw%V%QXjkgJkQ@2H8SR!LV z)NP%odTkBU8yK-qL2}Zg$0LS;1~3Ic2(<~A@jVz5hn)2yht16l7mgF)EHwR206@Sw zCklq1G9EM3D57BCo9jVNEdAU>dI_oTX@4Frl6;r-flv~(| z@UBlwUjF%4{c=+gZy4x6;NV`;VU-&7rRn{vK>sK1-rv}M3S9pH2(r#($_ZA^b{STt zo{VCNqyXW^OQxBNDm1z1_9ALGyXAX{x%FZb=NsL{Yn1C^q?UL*nR8v=<4qXS&c37U2UHacq4NXG#j424Xou8vqKHK=CjY z;X#$*V^*Mmyxzz{@MG0NFZS*$&2UDCBY78T=2KU$BX~eW?aOUy6=8|F0kLJl6&^D~ zVUy;6Y|ECiz6g$=Z+m}mlLh<@V5A1kaJ4arHsD;_$srK*(mQg-2n2`s@20jW3ZhU- zyI)xG+FNBZ0XdX5Y4@$IvN0|LPz7r8qw3Ev-8L}1w`2I_J{o2)>dAk=H2u3r0j zlJouNk?hG&5`&R-w~N4B^Pw!DZ4%O6s&F*MyF)c(wjz>Whan5ax*Pe}5yg}O6csi^I!kZ-k$}ZH;aNMgX2T_jO3G^v{ zHyv8Zn;ZrTF~L{G3AKv-wwp}l!HHS2O?-VfiObvG>AK5p&e5X2lHF<63D828mz9>z zWg%7|ZYbmFO|VM;+Nk6}2jXi1eOHpXEenltvho!R+3X$>mE_!^$i9ST5Dp}ikLWtd z+1Uy&H|T`NuQ;E{HAUnU%9%p+AOe)>!(r`-))dz;>mfY^Xe+*yWhbaq0WH!o9 z^&pGMCOT#ux=$K0y>-m=E^B{-OiR`mblKoC<-gmD{sJuA=7^59G!d2yk73|rc?dwa zhd`$+=;O~^WnHa1Z&+H9A@3MGi|OjC1a z#%40=?}>*1DWTlS*(?xTI6Zk6mbuy^IIh7Vdr2^1Y4ELO->@b(OYJP5F zJV9w$@Z@;0*NcE|=D&m}$Ca8Ttl1KVOo=jYc{j0?A55LLdFwdjlmGBO(;@W;DK^pN z+B1c%TewPm*+L&d3zl%b9k1YRI`+>c4u-{%_##WrW~`Yd@|%lvYHO1jzV60wm>q|5 zA-QiS?0ORc;-;JdhC1&Gm6;Wokp=1J489a?C=~K%;~F2s+KR&BJku>X^5jfI0R>Dn z2cXsj$4;%#XCl3ycPfz&SSF=SH-1s3Q?l{0*aI>(Z2j_hxVjw7e9bX=6~==J3ixw0 zd|8maz#st$Uz9Ji0o+o&2Lf~k4`}e{-qY`_3axDDrrHz7<)c9BpAfau7!{lMJzY?% zBCiDjyJhb)%1yU65;zk@K(|3CGq~XK)!e%X+Rk`B8H9+w3v%~KdmkD0C5Y8H?*40K2k1K@2~4~l_`MAL9+tJsiP6deNK7M;n5ORd z%D2ZJCAC$iIIfgsdc5lZx!}jS6=?iV7dKmxGtWcW4?f^DWdTZyq%q~pHh@JdQ#0R# z`H+l4JtFq+2*|)H8CWfRWu4&CHB4Ck2b{#u9%CCyFzk=-OITX@Yc)1^m}Sd)mhJTs zEr;kW+KKl?t}X|Q(zwVaSi*m*U>vF{h?%?OBYcEao#FyGSe|BU0C8HEJjzB zE_cNG0T<;2Z_S=&e0mhVG7tG@W`T{7K909RG!FqZeL9(5Q3u_XcJ6?{ouku8|z<=^lBfs3#zGh+T_LX=zHYa1wC1 zqgsX&wH3H^46x3<0iJU9E+=}c-QDrl?Xputj5yCVtS1)gm{{SJIyGil@PT?ZlwYfM zX@w#x-u@yqUT>X(uGO%*D?V*?4Tbs}DC9AM^(j*ifm;Eud!|5MXYW~qIelZj-6;+_ z<8BR-;2J_KO>WnXmKWX~#w0jADZ%Cgx}@1F4&KG`O#lM-tKJf?f*k=|U^17*9+jpw z>FAq_{8HFB_!YX7uGZfs;f__0?Y2s$u^zQJWsckb4{k2cI0Jt{7=QWsK8Ok8ua>f> z8zO=M2^0_-*KH z29>!j*453&K(Hk$XP9DoSa3J~lr40`N%`50z8Ysi5q zSFKx$O$Z!N8Q}3%0kk>-XmxR-WE~R(THmw+_u#Xo$)N+D*xO(SA)+#AlbmqG5 zN?sNND`)@<;w&=HY49bN!SA9to0H5|U1y3{=cdqFeZH+-59$|FnN91W~@uE}zs2-DJ6 zW2f%yXWjKm-Ob$g;BL$44x>k{?eS3nu#i{5hU6T>6u_`V1R32~w!|=iCTw*gSuW5` zMRBT^;~Lfpy~ab9k3scyP%~2v17$4kHXEAVmwkquw+6-NYY7YrP3voK%;YFj0Ilf| z*0FKGOW229Pfr*-ym)i&0sSVP*??Jrdbem^0v-jW)nay@47db%t1KRL?S6agnWTe` z$;#)m<|=fq?D|U{^7)lKhC0c99oQalm{PK^`o21U)E`E}*t}YdS3Cy+c1Zztcka6n zD*@Kn2nO!zRF5A5XLtHp9p@@MdAJ;w6Al4`OjXTP$T)|^+A)1oD@`_U{X{NZEEbmTZdxw$|rNIeJ=urv#_oSP9c<_ zz}sOEPe?rhc$Du5mfrnVwo5NQ_w`((1Xmh%lE&EEv6CjEb$|(r%9dVuN($7}g$rF0 z-i3W3x5`2)EmIkwR~Z+8f7Jm8dTM7O4s_GeTGbPt>mULW!VpnA0qI#T03vj&7GB|t zrJYzrb&P{L=lw2_G5<7@U%A&x0Lp%Y1D2roK_z)sSL4_4i~>sUhUw=cB0=`xWvF$e zPxXg0k7LP;QcawlRd6?aebY!?i}Q^IU%t>`x3 z6#YE{`G-ZcUGa-kW1Fy~wN9Xb&^&{>?3l7jL^*{QFhD8rpb?(l1s(^Q*4`~YWA>AE zj)Jkl^LRKt^r|=EgqH#5sH(k4R|>7eHmhB7V9h-u6i0&B25wpLzf;#u=OIld5JtM% zg5Lez^^W7_t0}Jbhww#L@YlEqS#Z7oEY8s6HR~HgGMSN!)?e*F(|-SAUuG50mRTOh zC{xE7g2z$yf>8iw8PGsZO48ly04|~5dV`tPQi>}yxn#qU3@YWW?Xqd#eDD7S#lwDi zSEHAM42Po-!nGX6C$lccXmFDAtN<|UHG zdf_ZWy0GJ+10Z=gUVSHsjQ&S5ewhd@oZ5H^(0``;t7Zq^VtCXCk|Qho*_e z12kRyvIQWZET}kz$^Oj>(s+$=lfp;>NDKa=Rgy>kn|)eB-ZRGMtY0ESkFg1?61(EV zC%?RGu#(;`Mh*I|5$-R$_+E_c{M{;&$G{Sd<7w@8PcwDC+x_2kwk(UvL{Gfzb+{#7 z#8iCjzganwEb5E>%ocd|)c-~@wInBmaMtZkxT%eTq&+s$-|2}TDyfZCBvZ(#5U`41 zp%O^B{NK02I$P}CSP#i^E)w@o)sp;X?6L{5GDMu`SKc%K&7ElngqOLqD!eDxOX`?t zj{kOWS79x?E*yw~uit6a9{BA$C7qMcC#IJwKtv?qw?L6f(z1ZcBV@+%0*kxCe#2}o zt5ILZ*3tL@6wP$0{~bf`vJxw^GO`z5FFzGoRO>nLySJts;aswyE(2{>q9TUuH~ef2 zM6zYb>WbYuOLOHYr7a%%jUac`u3k^8pL{;QUKL2kIzwxO`!^R63qn?2YGpH@N374K zfQ{DgOhf;6wrXd0PoP)N2<`ffZdd02d_Ap1b_(-bQ2fQnsS#4t^}@d!l8(RWIyfwt zViK?lruz+(P6NvIzVg2@FNXAE*UE&zk)Hk$?%zJF3i=5mu$ODu z*U@K1=0u@$;dgUL(;L5Oxf`FeLZ-v@yJrPK^7z`b)1@c>TT^uv@ArUC$UL;yOjp;V zD4fLrbGE=B^8yys;Iq6sy#wq+wp`~nAO&gBG|;vk4(FF0poH?<(K(ZU z=ZlfcMVH-vIP;ZY;coL@g!0hQY1hTR-U;{zenEDOS5ANyOx(L;Oy1iqy)@fccmau9 zYRAOvHZJDPo~7j_Un#F+u&JJ5RXh`LC+%R5{hTh}XlZN0;RDE0nIOjoyM-aUe07vG zG%WN;0N(^|{wrWqhB(g^$usT{Rs>Km+snhpnCnfYL|==qM!_ zS>_{H4weHQZ%vdhr~UFtZs(iDC6JP1&w1!f%V4wM-oGrVLsZ<+?&{1Q9#@vssVU#! z$r6N0JfNR)PioOsWY=(8k%AR%?M{Q~QIJ#+1q*luHQh)kHNk}s7P)&y0CaY4+=d`s>gAIhwU7>>3#-f5AGAqKz{oB0+*Lges5^c#tggQyvnxRBD>$n^cz;Ko zg1URgwea^USdg=b(nbzCvoEMiy1re?V6eX+3z{&4qmUDin0y6g#{}h`lzU>GI8H#= z4Z91{0!arVN3WY&-7xJOz8buA(c<09c1<%YZYWQ8?bXCReCiH|>Moehn=OH)a#!D+ zYm`2rWo~8^O;L*- zX<99R#Txd<-B5J$>O(fxJc#Q5aQ(ErG&r8yWV`ACkn?`PQDzb~#oD9D`OXfcQNOsE z7zz%*SW>w2ZcNb#=dJRYAeXu5OIJ{uAuIZ4^3oo0oM8N56q^LH{GTIY$6r-U^d`XP zXGd+8Z|HpCBBtYg+n@TYnJQ|&UXm$v=ovSFNneanJP*!R<-nf@fQg(c+n1uhfO$nR<<@XJ-8&rii%DR!<{vOguAh`a%J(|O z3yK#TeO=(x6~Qc^1D0;`_1+zC!m>c7*Y&+UD>nYVDd*(h?bmzm*XEhp|IaV*FZ3d6 z*I<qBQUH)xA>s6P$WyF(~%24M8(r1 zi8E`o+mt%?ph9rPWs!)iKx6uoEEt@8ZSX7S{pqN?89?miBd~T@gIT&*rzslTo62Kr z&>QuvxUKm0#eTS27^(lo$~F?{oAb-MlZvfLOt4?9!?EvEl4n}}q+xXJzQd9u_l9YUjPM0WQsKRD^7;@T>Oy-y zg%?I`Ai-U9N$bn0i9^!VGQyPOW#w-FUdi$!*KE}s;G$@&R1tbhU>QYH2+Z&Yy<-E@ z;8xQ0KCgJvwCcTX8OQWnsrk{ka?{5B+8BA z;>TD}t%Yvt9mDM8BjretOyu(g4*J@|F(Z<&p#*l2;O(bL&23&by-M;$8ZV3rwxjGe z_FuH$$QL4~4%n~4+#1vSBVr^11W==eHvS>krc-5RgavASy}kZ@Nl+zPXYoSO^lwH` zwK}*;h4D&07U*i{y^cL*k2&wmS%vK5!OdDx7Z6uEU_j zmJaV)WqH?nk0IOdZHty4vrLYqv$48rU)$vg8k@X5Myf+a-cMSij{L%?sgF1r)i#y7 zVO4<^wdTFwzJo4~e=GyWU0b}+4XVLrxTtq>bKeR_NtEp&@P4%D4%`U1RW8n}Wbb`& zO?kXF-g%XAauhwP8viwg88bUa`zc3<##6wiJX5zLDT2=9bl7C$L`z?mUEqOVBjb)e zr!#M1m#%tYsC8zl=Eu&bzGH*{(0#|_Y zcWffn+Iuk5K|v$EG@VYOdTpLPsjO6oYRQi;i_VsbX2Nq0PQ2lYayv285?CQLNn_KJ zFcj-KdcLo|fZxtAeX$7K@J~S5KX8B?!8MEQ_Dqp$boeijY@n>v3D+}L4fTpzp|7ih zgOsv`HBA+;e-+IUa&S=3)4$_Q&YInkJ@qiv$>Ic)X)ACBoRMzF9NyL)Y~9mU@O5Z4 zfj?1I{c`qzsUnw)dC@uE)%hYS&c--$q)Ib-aBMoG-d<}>X+x?hPiRv$6@CsVeWfH> z+xsb5uod2`*bN`FH#~_v-PeTZYKJ?HIX&|pbZZUiW#t7qpm=YAftuvVST}BUNo4~P zia1YfZHjHom_$(N@xd!Nk<6168YhNsa$oVXTh5%#xI|MEmZ4<#RA!6dg3BSmi6vOx zlJ8MUh*n#oWe_WmSl-c0GxPOAEJbdk@_|69VQ%hr$BN0Cu@=K`%>^s>go^Av zmcHEDbd;c5JO}jG%o}yQE=u1~__#ekKR6{ieFw(6{5~ar*wGhj=E5#nkjBtqbh#te zv$dUyc^SQnU>u;jV@+o;)g^QXgF1mjGpu~-GtH>|**VpId1tEE>g=4+ytn$RZWYwb zd=-q&R-CyJg>7qKevPv&b=hi^?5fK*olb=}fvrpznyQmDz{#TDBTJ5;U}N=q>*>L< z>kCIX*L|LHwDFCcyPC0lU$^or@X$Yjv}K!h4)Kj8`8Z~pd2Lub*040iKa=WjJ)`(V zlK$EyrURV_(ydzjUW>`57AHB+sm=y0D$rk-FGo$NdEZ*3u0m$q+B3O0M@*n$lG%Xc z!<;AN!fa2d;=)eXamcZDrxJ&=lqYF@0D)_ROrMau$L|+E<4o*(R3&NHuNgI1+d9Bp z`2D2KTPFRu$o%DDT4ufin05DPPBMeQbf$DCja5Mc!xQYC!LbY~6J$s){jD-Lrx3f+ zUO@m28Oc*s{RR4qHL72QSf_QIC7Vz7@tEQ6?KWnU@g`k*y+uEN-8$2>>?ei(BsB=Z z&n!P6ul_sYW^Y;nvRZm6IeVXQm)(u^^l_q>Ip}<=?8#(I%2$yP!9@8HuleM=iTkdW zr}|_}6moFOvCiMMj4+h^TkqwK8=G7;cXx}h$MB+`s4l(aPOLP58M{7EX@y(o_Kad{ zTlJ;_EmLQeDuT`HsNzCdE?{-}ZtuoYvyMKvH-nV*n5#HgsP^cEU8aY4WKH7&I%cqa zsGP=k#iF~}!L&YlEA!0V**afJ(A^?FS+b8HpJwIMU6}8IlCTU>-@n!rq#RofCP>pf z{6Q>Vr|hR0cAQxV8x}NuHeX{Hvoanowm9U?Nl){eNy6Q@@fK2tFRP~n_Fau^)YpBx zG+#aDMSZIbk znY-j2tC|b=+gYJJj%+3h{slvlHK&4w>SFL;6dJsQEzrYudQKe`yRm_0ll#JAdL@DJ z=R@L*2d*Yq;@Ivge&btBM&=A@ZnX|u7s zPmyes!2&kt6_O0{wB;11pMZopn$-l4TV-RJmz`)zQkZ|?jtliKUo3rk*_psK8f8h< zt$IZulinG>FGi1Ohju$G^f5o7qS@5GTeij(&606uE7#}twy!RKe1l6QzZ%)Vp1^(* zJ=mO2v2xD1j!ify@YjxOWKqQn6T880UPk61dF%RTIAgMjlW-M{l|d^!(tdgB^a1fT zw;5l85ri*7@H@0}j1Kb>h+t%7u&2Ph+E_ix(Y&6q>X zbC0iiQo4$L7G7B`_A5>3uiu!)+emA>E{N-n#NN9`eIK1JLJ*D;pdySPJk2H!~e7rpg3;f8}Rcp9U)X zpX@tl+Kc*1(SrsV)>je1Osr<{iu-!;wK+Ee7&kwj!5bm~>=y~oN$a{%i%C{ycS7XD zE0YM?m?$yVsj)eME5YcSkb*Y)B%ifwUiI)r+m{4>a9fL$e4uEo7j;A@$$+RG9UmEjw>VrBskH0(g6{= z`tErttD{fot+LzS$CGG4ws!>8I~Nb6-ViV`SW6CC){g0YKjoXJNZX>bGQ%Es6qB2D zA_sULt-`#QP~sAW8SE1%1h|jN1-ZeSJ{l=Va=F%&?c%6V82KSAqgE@((u9H4e(4vZ z_wwANf7%gz&g%@1zPhSLJ&=FA*??_zIzWta!|5o1vdDBC5Q?UwW?4#TANBh6DAHgL zg;KwI(QVjvW+K*wd7ZcOwNqC-^t5BRz4FVTrC*Wwp3XMB@}53EyWuZw54_QQ#$WOo zSL%i<4#iMUSS^$>fCw3raIY}Y{*6t^mH;A^S$>nE&927x>}8==0@bD?_7BR~xC+{= z`Q)VLu{&d8l<8@HVJO%kS08#oHKbz!l$?^pM6(O!{({xSZ{CZ2% z*Nn4Pzfc(d$20O61ZL-^ld271B0r<>3I20xWL;QZw-g-FMDp1hRUOQ%v@N-M$7HE; ztAv^dBsClUueI47z>AK;g~(U9ahUK*Zylx;{^+OtZg!_2-{z(9E+nnh{w&~OT88!J z3pSnwdzY_U!roV*axVL1;I$tuIjlyfvnCm=q+QNB3c>Q= zpV}nf4$iz6!MQw2AcNoTsL(eds~=*@k@R5?#x;!M(L5EwC=iS~Lh?N#p^@NqGTh@D z77DL);&bjFe&_dZ3(*gebAOkNcm}GDyl^StOOFWVkc)xW+`&z~OX;OY1@VQxEZR*sqGGs$d*e73SosisB2oE?W5VJ;QjJQ8=&1 zy|nv+vaqd?ma_ruMk)yu$c46MgahCz$y+vt)3YOq=f6KtVO=u#W*;$rl zSXhiqJD}vNEhoDD(QgYLg#7e{Jj?5*ep5f2;|`0%Pv_9#u~RfB2dOakK%3#U<}+7? zLX#MqRG^x(gbahNr2~aR1VQ;Eo}C+6RDt&AB9g3Z6}j7Yte+%qESb4PT*6m5($vd( zZY0s*_-4**m1H#N##Hd7(vtFr)=qDo*abQBZRky_1U@|s-_rA$Ol%9dr0@!#8@Q#WufNQoWr~*Wt(;81 zpcLtJZzAY?nUIVVRWsfsHYE(~27hpZHvF%wnm-TzXFkdw^!!0j zCh4Xm;(OZtVTwOY@xPKOW~OJNk}>^(6`1}mr{%_aBYvW6m*v4g=I4=fKHuBsu>x>+ zn-8SJ-oabPHTMYIYaCpD*MXS@c@mnGCiF1di!}1{JPSyZ7kYe97W0<8ylYppc4gBa z1WB;(@O(`u;)AdC?Qr{Cqg#L@%LKx>Ck$qx35ptxYw3-Dt#RB2#JfA5q@Yj)xcl*= zJr-i=2Hgf%utUZ(L4OtOvvSNUmo7016s%J${L+@pEX@C}!Et?jrw2lVYp~b!F@VK+ zY^$*-^mq5(`EQ_^+&Q;2|A{`w$PwWdlWd;pTUPcZ(Y@BI%U&xP!J`K=G(DgU)A7yj zryl*c+IL6_VkTPQLX6wWo-RK`XIPb%N^u+N9L`Q(DIkZNEOzEMN5}H;{~HopVOc1| z_PyhQI1<$kUq+BaSA+Esi(88?;*Dw_XX7QdC$*(&j-A{&bca7{m?(yyPdkcUf3zW$Ln81Lb^kf zlTFbAGT1VTmn)YiBv)1|s9K4v-l1b&uh<8)e%wl3pK|7G7i>O-efW6K%ytihqI`~| zZ-_kzWAUKzeMsKfg$TE^&8Z1>EzX@;o#o3|Df>?%%DIS3mP;4B?q8i72rxL#jBgW- zrxd(gGJ6+trJ({KmT5a;6;(Q&hWth))Qg|^v(3}-eSYEB+LEVC_wo!`A%YH*H)N(( zB9`U5`0eAlv8UU(NzvXCG3_buc}GkaGVNe;$wf%SKR9xnQgpDbvM*I^Tgg|kDb)B2;lI^YK;u86^#r<|Yq>^# z!4HJc<*9~G)MZA7mUBT>KVy9}!{Q|&r0g-BFB0;u3_HKiKVtZo!Wgz{#k9!RF0yO8 zuPV8-x<(B#ORk5$wlFWKOdCfRS;M$!EUT+GT>}Gg&tLLX%U4osQ+&z3XG{2QU2G9R+&-vU z3CNS-+m1TZ;QY`WE~DcqPv(3lIgN;6=lF!LeKVyCQS~3vtk?!y1*#9g_6V5+s@Uz> z?hyAq)IK=IX>_~*q@>vH^68hih#DWR2Q~5Nd+pl`L@S3ME4VW3+C~Q$3(loo1lI`@ zsg{&@|N95(?!Gm) zq~uU~7N_a4t**KxMA|hv?B32KH-;l7z4k$e<`jc7Zf~(lEm==4yd0YCx%pOj=yFLV zj~N@ZC_inCy;`W<^x7ahaZKx4M7a!VP7m@1kDc zVC6T0655BL+-3?$NXyt4CL_}sW+l(_y#X0a>nYyQRvaoy&*ZkJk$BSayidi<(oLFH zo5Tt%Bo%5#_pYkGRwn^10=JJSy987r?zVV*h}8lXBBsevaOivhP+f? zQ-rl*8TsN-`z%vv+AFnaY(6{qZ0mvzFCGFT(*JTq%`c}UW@D$z`gqbi#2Kxkl16;1 zX&5Nj0|N6aOH#GBZR}kj{=-eU9H_Bu*t?w?A|RUfIbfG@m(&B?Hy@a)PInqoSVY|- zRTJeJl>bkbU&iL8UKn0t;eBsGdP~I*77z4Bd+jt+jd`}-JaBbaGM2~-r!Q>7^iO6v(hZ3cG z)&)b&FzEW?7Ow#hpt0GSdJ_6!tx$t9^-cqEQ0EuHXz7RTbX&;sIIhesCoplWx^u|- zXYk21tXUq4?UsjFFzh#gm@Ayp^ioZ$ z$l|Q!2P>vX^(+^OA5>Ja3n&fe!c;kSp*4fXx`DYxGn+@$m(Fov>1qs;n$5~DA|>@B zxYo3#3pReh2BxkLgRStx+Z)^nk+kU79eJMtssURHWqZ}kzl?OKu&vXA{4W34rl`?q zwFqldW-OW!l{{2P+Gz=`*_ zY?m*i-5gRQOlPH=W$fDZd%NcYsAlP{L2SrNOHZ0SPteLAl$)%l*V9ssN=4?#u zY$NvI!%P^UM}Y>(4lYmiNArJ)%fNFMnL;e*2?)=;dK3+(Tkn#z~`-s%LyOq zoceS}F9$JbaC~TUV*)RW%W~-&bLp$HY#`e%ai12eWI%B`n^~P_4d*^aoLkC8vWG{j z(_BB4wY|CZ;Z`^!s6A-E9ZUMrW}8DL+OU zGNwK%I$8OeD&$4KH8@_8TWq`cdsnMYB5PNnkkC)Jhz13pB`~&5LVYBohqloHOlfaw z53=Y#;rA(9-JjOsaEs-%NXP4rZ=W0XyKeGq>o7fNTuk*-IQ{)(^q1!LZPOH0m6kot z%)p(aBIsU_eZFozqn> z)TktQM!9|bov*pu9M$*E4>W`k|Bjy`MTaWeulFJEhnSo7dEh|Ggm{}O0=G(YMt1*v zkuO8o62m`tt`wV=FN-KBS``5V6>K7?s)33IR3rplV1KgN<}zwNe8yNKZz0Bue#mEN zoM-G~ljZ&66(er-+w=^;nh8{w;0MzDs`t{L2MJ|D2=`#O1V0EJkN&Sj&s=LyOTvx6 zZD5HxTaQK%bo6%TJ^!A3NZtGsnIiXje^?y;5GMQYu?qL&U$=TZ`Yzfj5`MdScF4V| zOhf&1UOfK?4Ylowt)>qTOh1wJ#eh?027EVvWK9CNn@@Zf|KQ=9zemMS#CZLLCq12y z>`#Pa+Y?(iG7;Mr_0wgqI>}vpaSZmbMb0o&;N06zc`A3b4} zzoL^RR4ksw3_M5p@CQvlW#>O=TH})cler0p8(UTNJ=5x^x3AlR{4j0L`#b;Sog!}C zL-qR6;q&Kj)`dkx<8T!xbL=@EF>k_ z@ZMw+25>El?~USUbWtwiP~MU2eheQ*`0yVbN7~ThPdyzEtZ8<$ zr0?QmVCdT8>^VkPCwr@gRH?yw;K)RL!w$_WPh31Rg${@3`@baJN!_|5+=uXiH~g($ zC{6l{sgI{Y3As=P@;X%yE1)9~4;w$BRDmu*qNM(S^go3q`a{fOH0~25(7*1-U*a*` zUpb6sBkQETP(UhH;#Ykvyc4MKf&Q6Va~hBqATHO*S$Bs(@y`}o^d@ccESV9eJvE_ zaq%ehX2p{tz**2y*W4-r;-kcUALL%{ zDt=CUv_^VRgjj?YhIbh*5o;Q8QHT;3^O?vEgQEpeVsn2RN>R#k=LAuh>*@WX!C^Hn zl#e*zD%2N1CLd*ShYq7FrK>4HJ^#NswHu`<@zIXn10jG&QC-La*4mpM!FSMr>UA(XrgPIkXW+o#^iP(RL2k3Ped$0+- zCxP^y^04WFSde8bSXW1-?unEiBX z%}-XB90)mf^tt&Ho1SP~mcSz*<{#Gq=q6#hYhTB8B3;fE%|NfsxOhZaoH(plz(nDW z$0=%LfG)B9$2ob^sX%=^B;bLb&#M5?=Ox=7@mpXKZF#0$vx}+!y(cA)+ZvqqXH+Mx zBZVynibU52utt|jO8{8sHeihzZC9!`4#c9&baXsjuh)qT7BO9$=`ni?Q1y~=&>4u| zrMKvt1*>-=NuY&5YKw1uezhxLTy|!l7ERpO<}7#)(4uw?%z+}C`Jnoe;gziQY4Bn} zI9Pe{p^X8##6_+7w;~-C1LjAYL0VEj7zPvoWlZta(-FsTbCX^jOjwh-cKxHSagbW9 zTL(U9+TL>(6V-sL4(k7=o=bdG0Ci-B$V(lw0%6I3Xk-5RxW_5W!=)vs%>>1+sYpjr z7K{0CQec=fLa~Om04|Ajim=DrNl?TwFEhSzr%dK67r4{S0sx|2Cw#&4(4^i^g)3p4 ze~Ip@2!_=vLxfjv-+ryT-z@$i})pkk;Ds44!Q&=w<30L=BykQyMxo_vD>k=OhJE}IC8 z%S+T2M-Pb+H-m@H5gyvV@emRzm)McS%35So&FxwbVWbT|$+3+|>>UymBNc7*8^s)` zM6F8!ppEir0uZPio6p!JSTDK{ef+TRXrd`tZ@L_yBU)~Q%uCbqQ`YhVk?coZK^0qy z+gYA~C`ghGY_zffW&xN4|1ZL~zGZq)QPbwcEwFwFv{pQ5EF06x8dCdKQSCz=0ApUr z@~nU+-M8_py-k85qwvwqmjf$g0MnxmY)nukzrVN|Ahr_xZ}nkK=F~pUE0^sWp)3y3 zu5o#mbPO=R%mz(#XOfO(pFC<`4$x4Brx(fW}ZHgNa+so!%y(x zgw`Z}83rKt!9%XOu~t9=zZ88pwA|0|uinifaMIYuo#P{(yby6|HbiD%RN1Y*|7<;_ z1T^PQ*n_WdASBG6a_`T61}w_>E`Dl~!c~lz>1SL@lI1y5Th-ZF*i!0Jn^=bh0)iqdLNaj;sEW;3!4OpZAdqWaPyrU28X-< zzz2hJHX=qn0@$9%W7~9N1f>q#F#Hjx*-}ITbA`ISCCk$Jbjnb^N&!W+f_D$L8k4A! zLfi^d;EibyVVy^=4N_Yb>zb3fp=kg7jd!~LzrhFXUO!4Cbr`$svl{nJtEfr2@2EY^ z1$-1zl(^fi?hMQn?g88GGk`v;5V8}#$E)SxdmVM??hRu+jGi2&{_%A&1Uu;g7_W7v6 z9*5YVK{r+aAAl!K5C6p(!WSC=mtOHmrJfB@gCeLigb1n|^hI(o{)>$z??1x;!DD+D z56>R}H%a~ngO~pBAmq8z?)@Vqf7Hyn@%W>tOGE!y5)k0fr2J!x|9CTN{^9?>&Vu36 zs5%ZaBpkUoqmG*qb}(Xpx+g;6+P{3qnpjxN^DG7p@u5*T(9YNQVHvw51-OUWu7bow(Xm^iC< zB1;=}@1g+Tp!a0(aUGGNfLq6W9-k+T%9sUVJ9cPF5PmVB&PN#+V$uszma4lax?B6* zr2LGAx!=Frf1mec&nVj36EjuMn0|Eg{iimmJD`^z*SPQ!0-^XtpA#K>%k4C?M=7#~ zY6JjuwcUiD2|Gsf?w|lsq?DgA?G_M1V9-v$)38#24~37klDRw%fM*}yojh1MEgSm} z08XvVJ%-CTqX*M8;1tR1?u){7w1+F9ISqs)Huo7^6j_hJ(%VyI_2$>2ZqP`P5rwT% zRw@NkxdMI!WbmK_;K?UIS4i~Cwd<%X0%obw7{386bwWM zck~isk{pi}N2-+Gb1E$cAI|m_qk}+ljp10xrg2)e@Kmwik73KB-Pn0Z11h>ho1O!K z#Ztmsn8AET=dnsh1SDL61n zJpthtgpduW5j|Ru7gZ;nFnxVz8y4zs26==WGfox9jL0}G2T6kej7i;LjbL*_YTrEF zs18$(IyMxSjeWqi37Pa@Q7i-*DHjML6-4?THJj5-oH=5{M8``J*KZhRoqn}rDofcw zSUfP#-qCnU!!x`uLoPhEjvGL777s}bTgY0Z7Q)KEHcZDBW}Nw-o!%L-Qo0AXT8N9v zk381ZZh#SCF>1u`616g!PtIs)4|A)g?YXMTwFMzF)4nktO%)}=T@q5Um1v=->S{G9Y4e--oW5CjqY{^>&I+)E4b;!_ z96F-48mBwrR^vkc66k<~tPiTzLWk}mrB~`dE;aRkYww~^^bwHpD?E$qewm3IZ}_yd zqMp@ys^1CA?k(qftEZ6@eaCZgJW*!S5V?09cce8}28-r5X%;vW_eRM6qgg+fcwHkb zdGN8PRmQ-qcuMBbLb<&0O_iG~?qw^5$}?5;*5||n9ib{x`P8x-Z^|!#HR}FE&2HWetulI6#6&)-JBrtgml5=6pYGpny9W3#vR~k65vx0~yo#XN)5m~rH*f9m( zlcY@A{g|-3ye?J219f^)vx!u3=XcQQ+(r!zESa0_$3)jr_0ehuMOJ%)W)QEEiSVEjNs|4 z>y31gL#L;OE;%kOPTiyI6rK^f-G+T(95*r=Qh?CBNa3_JNs@RyQ-QTr)tXOIK9(3~ z*MEQxXSPhY4zhR{Rler@n%q1-kx$xKM>xI2b76RRcSHfw+u9Yzj!7qT^_7to-dpM! zFO%81%YiqlP?|GGrcya*bpa<9gSa>E>AN5xBVgU#`0vzEAu>Z?s(fDTZ{@Nbl>&=! zNU-*Yz>EneTzKJlJ*xdB;q)};(XNWezTJGn10}rnuMBTHoQX=NGNyC$@DYt#6~@gt zu~=WNQk#mRF}6jfU62%VFF)%$p~tcjlxYpuVYG*BSceqReBLfDR#=px9k=z&V!HK2Z!gP7zlb#fzhyBi~R#wN%O)dy+?b(sOL`MW*Z$G=FT{|v5hY`@s!T)8!vCZ*_#A$?1-puD^ct=1KzW^?vyFPC~WJ~LAZ zDXSvrK6;K>cPgM|Qr2$PjU2Rs1Y2t-dJfPjqHO8&AVMQwxnI?`Mn>Gfu%;T*ZPh;Ct$w)0>WM9g4hRISA_6b zI**uX%aD>LO5d<S6eJ%=e)OW;bwZ*q?cc$A`3)SQs<`+bnL`FP_?e%=wo;Su=)%eR#t7gSs_S4Vd?%wlJ$Z51Xo*Dlc zXBp${2|NcK%M2ayW2jaWY4{^yA+ZPA72*9cd+UD$r3(Y2X3LS?g`WS1JuN zTiuLa-2obf< z4JI~ko^ezyHQK|Ole_>J<4dmoqg-0obf*ozUu zeAx!gl^4OAlzN4Hz(rFCHxta}0jlq|y{hadObzgu)FvFjrd-kbkahP;_#M5F8iX-N zP2&r4QPh)bez<-vBSSHl=eH!yK>|mf__QMr%OHw$!(0pIS61cNlgvM1=BMA#qR$r0 zo!gN)q*^HFj&5NE?lhRwtxbWUg$VtwIL+rJLx0n9fcLycNZCSR_#&xk1QJNTaO*h& zDH7A;`IS)lRx~x+e*c~9zHtKO`?)%~WN6U=&OWVY&Ix;odoafwJqlz1%U4qBkjcyd z*NFiS$;jzk)|9m?w?WDxDa6NEF&(Wosw07-mfhCgMhoz>!Hc>)FX=wsurbnG9Dr_)wrsFvckNYrd zwYAbOX2=G7+WI3W@GYLwhD_!LE1%Q^YA};#Fp04sSQ0}cID)d#YjbV4+3nXU59yA; zld@f(wyY8ISOIvX+|jOeSF?75&0(6${#E=uI_W!mwAv7OSi@)ykhg8*JkohNz^EtD z;Oa6bkD!VD))EA#1sOHkD8L7;{c)I>m!0G9Cz*0@WW}`Xw>g~+nUJ;CjfrH-1bNI` zI}6rq#FO-;#_fZeJ%d2$gKGZ(+^xO!1&OWFa}w=B>&KT2WcLR6$$@L#*AMie zXM~Wq`M5+oYI{3CkG}dSn^|Eo^k{?EK}nRl6%JWN`g8SMszY?J=q;AsE~cFQP#8ra zw3axKi%aDzb_hiehR1ci-j=Rj$bx^tfN-m;30yE64Vs(M(dZMw^+)8c&p;#xiK!zm z7xD(ynt@Ds-AZoLwjk7Gv9u7)PH>ye;0HcseR91$ZalHo{sQ>}A)y?ZtRM6;A%psN zq1g2D0hFYk0ZV22Sh7U!`D-9;3}HL9EHPkKj!G#R$YdEvLf1eN>iC|(li5M_F+q}v z>|K%={nZ|&%;@Y~n1ax_fT@{~aN5;za;T$v)6nHvn=g}f!;1eZ!F92x^5Ke8W>j}5?2taBazGD zQN%6lfhApd={z1QBm#+BVbD3TnS*ZjD1z5*Uu<^*jpX7Hn= z_QbSkDo>g~%M@$QU8Yf<2Cswe$O}#>;IS%8e|N65N@A^F5+&%f5&MkibhvH8aOr`?c#`c$z9 zUxVNHmN05O3=_$HC(h<_|K*qD3C_%OVu2HrF*Cx>0`j^t22zsHfAE}zumk+TRXU=y zH}M;>Mwu*==XP&+UQ&I|t};n`Mh>ULVxXBO3N+Kq##3yMQf{^z(dM zi*kR-Kw>rr^4rLChPm0MJOuGvBD#til^Nxq?rapbCK+GhqKf|*di zK|0eGe6n0`Ppx{FOwRC`?1S<(7F(AW2@d+roC_B83s8kY?x$)wS@(XP<>mHxjmO(z zW*WXwIfHV!@G*FH@Q1&$Bvd?%x8y>M80Fo=CTa77mk^g_P~b4PnYC1#xD;_|5Dt? z)C(_YeR$CFVdZc9fidQvSYL`0eR7?O;kwwzVY@TQ`RzabVV&gHOFWGKxk%{`^>CEW z$u@7>EiNxPb^JIc>9NVPe#R)vwJoZ_-1(O}7Zw*~`EUrR2Di3cV|`M>(!6i@lxUby z-SA85EPs^>kbzG>*%1${Wqe?v|1+G}eAM@3TZXfM=dPi{@@zS$v4z~SP5@M9yn#{H z4u!zo#f3dQM}K!8d(|Czu$wNkf)CB3RDxfaNq6Pw5Zf8k>9I5LJA zbo)W4jWJ;#uAepF^)iti@~`;06A#~k+DeyM#&$2%KnVRvy^z0ij;c>@g+=FaA=Fm5 zBw49=CeX8L*Swpb6>45(5O;qu^7X9sboC*9ZFb-I@)P*c8>nX%qTWIe!=={t3A+#I zTx`p*<(m2jgVB##DO+aY1d#Y;!Q|Fc4_fojTqHuj20vPySptj={k$^;ZaQa8E{bh7 znf4!dCkVt)`L*VZ6sB3oYYH?n2mb~Gy3cpsJg5@LqSMUy2SXpaGszbU6ER80W^f0{ zW%r=+tB{9P|OQ7Rx^1`PUh6ie=>F z8bi>)N9RZ!pY7c_xbz=Mm)68H2TCm~Y@-ik!-UT$Na%ZS9sq=f=(`Y72DWH?&8r0c z+dyIR8UM)MsBuKnDo<(-nth9IhF^0QI{FGC?jESy8~V}0YenP!$QPbaw>R`r#y=ot zfehjuIWe~jzB9(>ziuwSFoJ868a9i4|CMxk4_dq`dSP>M=>NNrSbm~6|4l5ScWF%? zolZY5DkokX2a@I%fC7wOC?s|F=~WL}0_X*?qqnCEO&2}vI+3~MsU|T1Cl~5h${ubT zZE~sd=c#OE*`i?5?DbRqDg^rrktB`KQs!rXTK#0Y26a)b%3uE*DrH$(9Kn@ETlZf{ z)uyP?I?&H)LurV0Tsv)%TE6u!)b?*5FJ&`Q*fX`V!39m{JM$~i*MZ0$ak^pn-OrLM zq>M?R(KiLLa!;f8N^14j2p9D;w%|LEhsVugH@ZZjt5fB50R9MtL`e!wyxrVr4+BO+rL z)A65Hc`p(9e0f|{xcO0Da}m&fvkm0#dWU9=aoY;V=6%cKPsyg^MaV@l``ftMk4sX? z%HI4-%Nvy7?GOD)R~LiqR8r8vX=@kEROemw8>U@tFK#50SN7mRSJjbG$eg*#1 z;v>M;FU0%dFKNBwcfhsle~0w-sU4Ew+83as5g@;NvsLj@;&pMRRpVFU<^1duTJD$NrZ8 zF0cD1ssDTOTBGlll5a7(>VHfA+cQpOH*>h&_)k12n7TQ?Q4lhUulrWJ|Knn^W-@#I zUt0dBe-tL$VH!uiQ_;J6QTPFqC4O977eFHa+<3s3c1mHCiIY+7pK}Ga_#|QGy3e?< zEQfQ8UfY7+8&MmaDCvLszPw+2otN=?y5GjLxB57M&r+VdyUzUgS>) zU^m`=5tN-Oxl*e^6lB_fctZ;;+_ONwYUKr&ORl36aUj|;4a6+a0tNDTV~0>U>u14XP(Cd8h9%e2e@#CBlD5NafCg)prNQ}FddAM*-J=_& z_0hN86TqMOC9AslO0=am?B2WwVg@6`@UxS%QTC>6l{)@ln%~Lgq-kPp>KXr=ek#9a zx7^(X6VB7(%TX4Q5HaPENh{ZGWO-ReQ@2*r_@Fv=!05F&5!Hd52|scCq#&ZsTFaw7&* zp_kZTBqgbiq}&UjqV&j&)wAW7hOskXZ|-L%30EcU$2E35%||21BPJQ~8h1vL`yK^rpx z?E+<0S|T3wzI5}>Y`c)ax5+j)<`B1d2dOCl{6HEux&cy}IR{r3=T^_GaLZRYSYL`1jeuYbON`>w$pY)w!dt3yg*K|1|w z(<%zmIP=+^o(|&oXT%O0?>T$%^)B}<^>lKEcfspKAq@MGwS^q^B#I-g?*-llrS!M+ zro^%S^n=kkcU)0wgIfojCA2;;i}Y)_E3^!~B!u^P4czh7T{dj3d$L-yGyVeDm(yapcRUboS8x*77-Sz1O#r6Ywn zSZJ+*lod$hO@p7~U+pW+1S#tvh5pfY47u^Nv*FupaMrc_gbItzdIolis_U{a=HIqQ z(!Hqz8c`zFL#fZ+Gw&_q{K&v4E0md_xGgQ5SqS*1trVo8DWdyC02p)boC8E`omQHQe!b~voBb>}T zUr*ib1ci0@#>S5ct+LK+#45C#ToyML3u))kYI79CMIA`O;~v2u3MXZnFc<@Z4CD{W zvmSbG3EWwP)MRmR9>|TY5zM&aQd~l?Dxz{4pTQjP5lQ5KE9*A8q1M6fReG!kLQ(^# zG?_2<98&K2*0GP}odT%|fLFzQg&puS{{v_9<&K<0Sza)W75fYf+5h93f=+01oP{Hc z2+B?=J+{0x>vV&XVg)C-6#isI9oIBOB3DGZwdXa@R~}cB6e@M2Zf04Ad-jD_=E*qC zO{hjWClQouceaO|VE>oFsjZGu8XmM5(FmH#uVFLhAPwlWGN`rQHlMgkc9+R~_1Ffh zqORr`-B2cL@Q4Pk>-JmGw}?>D7Ecbj*xYQ|IA`--6zu}TrTMno2fC51cabBl0HVHb zzNo7;U3WE&%nm%xai5gm!ydX zPTe6}yE?cQsIJ=!Y$Fv^)PL;Dv=HRyoDUU3d*4qad~D!sevClBH+4$kzb2>8jfWiRn3!o9ODeKMv|8W?V(2k0seS! z{TQ)d+dji#izdIRAI{)&*~=s&Vs86R05NGIQwMAS2RydehSstUzGy~-3pb)O-cVIfy}6k|T69 zVG^bGGN$D?WcMi1L-+YDmyEL$TB>6 zuUMjV=)B)xZ=tc50E7OJg!MJlxeICp8972|`aLqpNW4t@GYhz_OIChjK&t6{ zNOfJw1V;-GJkQ(1z4@Hc*Dxy0u?}~@25qZSp+Oxc_n+$@8vM>sfcD-IbR6(Yi(Wd& zz{-K;;F)hZG%{XOxH6hs&)`}hK3MySA5xY-RQFW#^4OYKk6^Y$!q8V6n7kdj;P&&9 zV#&}8ah<@wPUDbUF1Lzj(8Kw`OgQSHA6p?~63$3276YDjfuS?Q@c;kpk zYe!N&gdBMUqbHKE>8T!c_iNhO6AY^-l|@C}F=xQI6iiczbe=wcwFcYE<8p~bB}qKZ z?H{W+YMEL_H9o{pU4)~eGuahcJ!3sKM40*Yj_Di(2IlbC1;KbJ=kC~KORglJ`JSE+ zY0?Rk6G5l+oRkY843~~bSa*&eE6@%MxADs!n%d7K6;c3CGeNl7;8!DefKUq~6*)rX zGOcBF5oJL`ylK4q0cYh=>HZ?b6=P;&@HZX|RO10m932(g3a*YH;m!x!^MdF5F^Vmw z*828Y1`hWTXa#(&e)1MarJ%r7t^d-$iaZilq@{vun6Wgh<|ABQdcHzyR*u!;P!Et+ zez>6Ev}Du0&fSt22Hs->G4bIECSYLS8E`vfoiBr2n8woJw*%6oYy`@dCRlsep>7)w zd_=46s$OA#u6A%j3yawd1tk~w%4{fw?&12AaRW7W+^M6VRQ|2dKU{Yswj}?uYXA7d z7m_)1_Rw<0Oc0l64zz2*y;jY1uqrPvS;^K8Q{%X@VI?C@10@Dbos4QqwNpUrCR!Q~ zualW~?um=ZPLmGIUL&Z2OE7&_?=+T&)_%R?SP-p916MY!)HA*7=fRcxK2SJJ89(pl zMv5m=u|c9k-_xBTe@oPrL18Ok3-5Qe=OxZ(kD6&Ef6~}jH^|y)jZ)0ZEV=6MA#HgU z3r9_yhg0lp90DnX_=3X#2~Ks*rsjYmO%TlXQFuyiRfPeJNY---5>sccyQ< zCkMyyLg%^7$XNJ*0Fr=zsb?zy$E9LivSxTAV6Hc<`b7Yb?b}%GZZIlu#bV!|jv5{r zr9wO1w&E8N%Z)%KL73^ra$zP%H@6k3*rYRHpx?^Hs5%{dbnK;E;Q~VqSNtOIDZt3| zG66*S<<8h4#3v(GB0V9RpoW2*u$<0azmpDB*KPfBQCrz29--^YDBRkNli4X9(0aduf|t>r zZp(?oNXE&?!EpE4K;19nzYiR|=mw&YU&2V|{yN-E;BU(U=IHR*Ur06qI>^AX2YK|= zO$Rg)u47pm_g+2n)=z}4x}LguZgv6nf&Nf@qHpjBwM z3+cZ}o45QO%hKj8gEgRV_eaw8*Q~LBwk#Pr<)9Ky)0rFauQLLFvyW(l8`{R}i#j63J@Hu_c5P~0QbSSIy1w{T`q zM9xnxkhGliaoN7d?^c>EO8Diu+@mmAfL@i$(QEh``^0h|b`QtKwEVRkjlP800aiam ztzh|0g-fz8{U4ksxWI!3B*Y82%}ZsQhgI}5+$4esyU zne!DJq|4avFMss0I_bWk%aoLyn5usepU#o}v{50%#if2Uf`T3VS7~p45*<#80BKFN z_XElt9;{bG47;1V=~TPn7!x@?MuB~t!r?JExuJkQUSZ_Elfu)vlTnso8akbi9OMbK zhYCuS_TJ3sZlzJUh^dWyD&ylSHrjomarZ>WO3fpU?!rmZg+M^y~i8zXCte?eZUUU*zj;uT}^B;mvN#OUDi<$QN$ePO00RBRBEFZCnTA5?1MlmCf>jHD4CoE2yzHUz8Gb%4 zqkeyW^W%uAIuG3+#jK|CpP-7^(f1vDIV5^f^k}A#)-lqy#uqp?|EiEoxp8FW370Nj zl#!k&I|U`017dQe_TN7!{0%iPRZ}AMoM7cl?4R_)5U{05_(__Rv35bd4918*85fO{ z*oZ79`5p$mxJILeVJMfZo;tUxxlP!CIPTo_@4rIYV*I^?RxT+j%I4w1%JOzD&Pu`_ zS&`dpHrh=ZGruPEv-#UP1KE8O$oD}BHNlJahy*!_*O9VzfnPvZ&BgtQn9G9kO57eR zmZtT6f<^(e&A#l8_f{o+A4+mQ!n^?l^kf{t5ltJxM1^@7{c zsKrq@s^Z2G>wzOR(JY7+(2}nwqWsXqs)b-cf@x^g&hnE`TT()MY^0n1Uf5aHp&A0r z%lZo=estl-#PFczT~LMYcP4a-o4<=OO4SR2xPCW6^qvzOwHtuRIScbRvaw$? zh0c41biIS3&?|V(j7LeDLqEM}4h%B1xt<^s8r0D)6#?Lhnvx=UuxpE79I`RvdK%`Cj^7x&#a&iD3BpM7;$V+&8oydpskV zZUJ_;(uv74_VpS5wSYKL*V+eA<5(k)!NV&dD#f0Nd(xupv1-J{)J*kNSI_|jg%a>ZP+r$#NIFLxp}aj!10iUdq654(_!l}6g5WIZQjvI zcBs2f*g15xRq?O}MXn}PX=+1J`x$ZqQ9v9>E}+1!N6c5Rm_GH{1V%lv{LFIQY+#lQ zlyAspb*j4zr1gdJJ@k&Cr&RJ94s|52{8Q-r7mCz1ZKkQcU8`a24T6eP$OJSaZupE( zz?*K39NYBw*73W@!42~yq2=14fBS|aV`?ROD>#WAPRcd<&R1>jC738mtVP!6d@SVD zW%*adGE8FKS6!JO^6&|I8qyo3Q4K$9pn6y!oLSNb;=pvN60kqwhCm@DDs)}SAZ;2* z^TfJxWJGZDf39gX_PTSZUk2U$*(Uyk(-QRPI9jC#-a}vuctFVqr z^K>n$-W`t%&`+7@&;;_Yc zp6c(#GAWnck-U#Q5>V1=&30rTUMAY2#B-B_i}@aLt!Lbj%0kE*3YrP`P_$mPuQdL) zyBmbq`F_T(DJ4OEl2&oEZ5bX6={tWrQ@PVP#8;|>sB&1yzsvkd!R2aa_iOK}gwxcy zS9ixxX)Z9`=HOY|%6!O5fx0i>RexP<&kLNV)pu&w+(^S}g-Q(P-L5JC^RK=%*D@0t zpM{#AGWzrW>PeE~fQ@7H*@iB`TJI&aQdEU>eUvIL{sv4HRa{i6Ip6g@p+DRmM|IBY zdiXXWA6-y;>7B(@ABu;Zy>b&5D%a;1O9xVxS=!MrezcWYy~;3sm@3mOhHI3$M~Yaj zc+?|HNMRt}d;K1hETARjj~F01hvJ>jk}5nylPf`Ts((?c$b{0ek`Yl|6+veYP`WkR zj-~r-I@iyusTn+6A52<#Ept7`5&~iY^d>*`%-7(hDB{mZ=65w))UTAP&B?53Yi7Yc zcQ!wcw$Huq$E_2mYEToaxWvX~fT&cE9d=#!x**s)5BXD_0oY{0JtcY~g@=2~4vC!* z%sq%zYPA`l8;Ka|H*`gRU5bso3^x8Leie)XMl8xk|9YC~EB(>dBqybeedH5WiTjY` zRVT*$2OcyxRg30}(cGAp+A?rODiUPPKwA7fYEjVUz51<>Gc%IU#r+0*$T zHlI5q$HMFt{O`er5@d%i&r+*1IGL3^xF4t^^P)hKJdF3IhHs*=!in{hn=lGTtzAYh zh4^}ezL{)dd;aNZ1ct#;y;<*ufrIMIv59kK5*kPEk^ zC_mky8|__$JXn0F@m)M9cq=vg@wOclvB}z3Adyw6q+)M#s(2a)njf||Rl5-V5cnf^ z7_${DKpd7jgtccL0^`^R4moerD^vu5ByXGNu7dhFvwiG$E8m|cHHm_*Y{v9CM)aod zOKBnJCASVx`(KiCOh8=#_yD>$2LL6rhcYDI+=ANZuGMgl*QfsDHYjIwm+;Y&%R>G# z4-5YI7`#(x>*E_pFl#XryJGsyCnye;rY@s?B>G~*COBo!R;_1&bNO?hL-{T+OlX^)sS`gKA_| z%e%j#wv0}Q4yBtP#W~pBNreX|JmESWZ$lQ1bfb_u4)se*{Af&P=E@SL7}0An)E*2? zEMP2&J}RVq4IZlZfn`!=7iB!Ko-Z8c4Ebu;yu{s(2h(fGzmdZhS<)Ia+*kD z$1Tl?ix18`kc%4N|I-QWA1$a1DloTq2pA&e-3;L>5*KdaKTQ-Pl&!TJ@gw>_76*@J z%JsSkf+g3^S@L;1KGY+t;|ooh@bnD4EgD75Rj{8F+^JPd<2o)GQd4Nl2aHPzpK>c$ z-z>m}Z+oeCz35OU=^wx_fs(3ZxlRG-{@xug)o4*dbvu6_lmx5>#E=PR9_w|6_RBtJ zzdPGMZp^%j(Ij8wg6R?>ib{qA+r6p0oHV!gbQvrsF|WF`>4AYpFzR7tHE}Q~eSJuR z$;Q+110O&QK6sK9tR^^TwRQrShJWT2og5UD4*Y%fk@Z3eE!*fWMa3+58C6E{b*!(P zlMr??(n&uD^HGMDy*8(nA*TC3AaB7RvZJu+`_&E=PL!*+-&nZ*b3#G4j#1G8*u9{1 zcvvwQhudQC#VK4a5!3UQ3k#m-1kP`SXuf-~Qka&P@tXgwqk4<0;PUpt2eP0_} z0)`2PMugN?fP!Q>v~MClLcig5ytl!jfhmY#vjyD&c&y8N`!=K>#cCX}I-Uw!mCH0M z9boSI^k>%2*?EY)Bl-JAWGi06dKdhQTAa8V?$RDj1gv4hP9KDmt)hSbk8Q7&$KbmP zV#U=YwA!)*-d7u@>`Jr|jSVulVHcWJOpzU=^HB}uo;dUPA0txPcRLnFMj{Aqdygg# z{v(u-%5Nv)NYip7CqzEadtGrv$aaJ40kIO!(OB76vd;~88X>92*d+F4kwzvrM2R}MS0VC`;r4B0ZFUN$Z zNvpD2ZqY@9d+1bMPp%f|7`Z-0o}1I-7a{W8k3^`9G&^hwGzhF~;6#ohI}f0JKP!R= zl}D*rJ)VS3!4}7gKqKt!_mMyNJx?NcUI8YG(R>|0a37Q_o=+!jb>fYiZB;Um+Myn@ z&x5z#)BVjSd+>g4%hLg~I6#AoesDX=2Ept>tTc;q`q+Ow&BLnNN z>w!Dlt0m{#_wIAz{n01}faJ?q!b=~|ujqsZ+bp`_h{ zT~8%}+cJGz8F1WNISgr-?OSpz^al^h=Je?3vI~X_dnm>cD@48RRs;w=x7S%!;S0-= z@h|T#+Ehu-YquY~9e16?pDD22smcWTjtHA%ofYWdQcu@WB}f&2grgyAB$K-DSPw?E z^)rJ>*5{mIU&$S(^E)21SZR4BneTza{&n*CtlPk9%_Ubn+1tQFY!3gT7h=gZX#uf) z4Z=aN)Glo52@}w=ZXmTS3e!ZGUd>Nj6A^&Bbw~8eC|5%N^|esjno1EE*WBzRw>>?G z`)}wmf?hC+*cHs&%}{EzKz%pxnR?>@>eo6vp{y!^7IwFZ4;`|L`%E_OE(Rvv_YI?u zEqF&rLeVH=Fg+RXbGAip>gpIvINlb-X8e z0tt%ty6!yul$+4fPx?&SOjI_|w$Zr!V^0H6uHWdx>Gq$@&sUD2Jz8z}@*{0)Fp;?& zj1!fb*K+FeGCApa1tpCJeTS%%1$u{Ep`sRUsW5lFLh)I_INQDc+hy>g48Bnz=x(@g z346NV>%gEIk)ol~)zDz+h7jbS5~%NMx%03TXO8>v<^zKsp%Ry9yVHCpfhEa|y>^QF z7vuBCEvmJN%KNpgHTfz2_yE6K+>hi$z=9 zi;Htg8aS%ccY^W26{iMEJrf=?HXQ|Ag_Se9EI#5TZUvpYum4Z<)6M6lvfX zgF{EZF*g1BG@Nw)3dpPyRgPb%hLd%FIxzTX#Ph!<(WF+Wnw(qeK>(^2p-iR~nIc=p zUH>(jon^q0I%gqsaIVKBj(+K>H+G;NPma{#kLf3ZFCmV{Kr4Nw@>y~zlRO+!DaWos zFGKV{ZV3kunJ~`2Q|=)%mcuu9+L49&f;3CMh>U2p*`K2nqax{6QF1#4wnIH?!q!{? z14kr^)4-r+%~N4&Q)}t|9gR%h59*1?!T{Rl_S1P)ituR$b9A8AJJ%SAJY{U9Ck*YR zY^;!GsE|I;&}+xyqxQ70OT z9iUEZN&C@>wR(jkS_B4nU^^<{b^IWa@3!egM3z6_T(K_ErgxY5H6i`qG%{0nF@&OA zPo-X|z`pl8CsDBDRQp7`*?4AO(52b*G6%q#DLHGCFcp~fFurowr=`IVPn$alx8A0_ z$(HUYTMu;@`dzZjx^$M^J@t$}+f%|zLgvKJa{kev=)jz!dyzIu&dVZAIi>HAk&HhP zT#o2bgN~KdVl-wYCxU%^Mav9HaJiC^t?&}F|D$$_t28W^89E<6j_z> z&oUl&|AuI6DhM1h`?gQww&!u#Ew61p@!=kUf!x5Y#WR88*xbMSrL|{0aCN2a>Pc`R zR-t|T+59O7R4A3QT5Wd#yU!Kf0%PBAJ_tIAw>_Mrl$8f51@%ZZey~{t`YmXKVS|<{ zy;p=Y*Pq4qP#4t+W!&(2XkWpi_TV>RxuxUn&&>Uu+o(K5Z@u+sW%@rZS3q=|4&Eez zYTL-tKo*(isHT4;en|f70EMPs`9M)^4UR7@`yIl;Nb;7M`Ftz`_=+L#&Hctin?>vlY?F#mSN1e1< zek{avumLJ{{sZD#6mVhYg4N&9$o_^%=lCFP-N3V2iu7a3ksCm{GuNPpQ>r~FnT%%^ z0@BTo+;>!hsqtdQc-L&-1!VRGzy_bJkO|N7XPGMsAHkIU=MfyRk5kc+bf#Iv|6aS9RV%dKo)uh^qLEx+%918$7U^lOmqloPr*~E zAtCw%adV6s7trR;jv2!VL$}$|xyT7W|90X=;4~!jDj%ERLsJ6}2#*zBz zgy!N`gIGxz^Id)aO=!_s1r0GF%D`kn7dzJu{XpO#Mjo7g!59qrQ4$@X8ICu8wQp_l zOgbJsrueU@%?a7M-O-6$LULT#*0^tjJGX+XPqe!Il*{R2~gE%o?X!{7qZQ@ zb9WuG$!#ehirQ~>DX`cHk>E_c^#;z_kGIYuWsc`*tR}~-0*5{=L2Jx|>&lYTt78ojecEl_q!Ne+wOfDPtu3Cu^ zm*ds(Z2RaXFQnrE)TL#YnV=;rP`OVf21PsO;!^uJo=oSlFBUcO70g13LrJgMgA>yH zPuu7dNp#tfbx4S9 zt`@H$Z1x2)WJO?-Q=9_bW<9hRb~=%EVnD7#bMkS=TBvVfK%6a^0a#B#6doEjQm3dk z*Jw_UFj1&)0MN)N@=tLJMcS5Tu}%&D2My-a!$YE4H;%X6F+4J(7Sq+g*yNt6aFA`|F0-7eC5_a}`DxSwz>TWHb87kJ8M^_PV)_2cz0gJ?jD% zA_t?VV6+VM`MAub#?;J}D{0xl22E83*YZPt zEwu~+T1H*0YkTJ+Kk*T5p;sjf&M4(uC)|Dz!EzQ#`zE2aVE|77t0 zl;r=EWSNm&|Nm8zkM%wLw7fP2IMM6EnagZ*X6v&=Z0q)pe%yd*?Bx{cAM<@+ zfoS)71FFqp>%=@elX;t5M$Dmd)BS;5M&O>iviHHE;ZLj!7f=#YEaXcs@7RS3eega@ zAzwEX3GIr`Lda! zakjK;NqGF&4Wo)Xg>T0+EA%xtvmfw{3gZyUb#RfeaTZ*AppcPlvRs>C=&lQ8r2|Y0 zZ9W>PlCNughNiUZKqQ>4-nvl`e-2b{iPT1h`H798(Zk!~vmKD64_()@>hFRIOYu(5 ztmh#8R|lvxkqYH0rK#>4FBRI97^r4k*GRbnO6V5sRQD}Ngli_|jXTLYz0nU0o=j+| zjYu{p>q`ob^NgIY6m>iSQb4opFrS#*`o+&EB+K7+eUwQpD5P0H(btzj%?VmR1@{jw z3s=t}6h)>)Va-QzmK(hqj)x{2EwRm{yaFI3hhyvZFMaed@gk$FYfawRJuRa|B}U2X zr0>+p7@{l5D0i*GGY4x^{BY)8VpHE5nJ+jG&w$Fn$+kb-FqenS{q?i$T{6-<+@L3# zVJxmUmss!~Sn$fP7raR*HyfdrnCH4>e`u}Vj@Lcxw)J?ZC0Fr{CD#0dKQhaIkp0(` zyxv!<@>3DN;GDdidUXzS1@ zd|K=lFb7=pDPTg9A=A%#GbN3UNZF&>>3*>T`VwWx`iE#A?z+={qy75^X^8W89~>IG`i_J#Ig4(Fu^d@q+vP8Jfar`VD3@Vf zjKJmMdnP)QD1mS0(G( z!S&(HlZ$_^GsPE0Fv9#@+c4T{g~r346_;&MZicUJ92%?V6JnY?fQ1t(!ocI1U%`x& zZm}g~-y$@SIK6G&h$DYG@xC<^?B!of1R@_7N84}>xT|X79UJ0yuZ2&Dp>zZAMloX4@jxRyM&)XKg;#>?73WV#6MaIt zh@^U2Zkj>qUFI{c`b+7H%vEdwW(YZwQ>8sA;lvvOf+DUNhO@=!9P*{FKnb$DFm~r) zNsIdSiTA#=?4ohDw1^^5fEoY#YkPUS`aMaDk?-KW?J+#kj+(TdeXwI1AQu=RV8SOs z5}5w80iVL*qoEq^W_E^@&i6fPSHuN8s={L}qJ6!&BALeC>PBN8ZV{_$XiBf&VyJ%t zQ5?_hvpAOOhav|r#+~i$^Q_Lz5_aN!U?#}FVlj@DR3)@r_)vK*Xl;4^xemmon!(l5 znc9!CK315bLd_i`ZzAp9Ue;U+5+pLQb$iUDN$2s49tF%nGT%vlZ(5txAGM?H@1z~# zxRlcuu1z%NrrI}kz1-0ei>_fQMJF4&ERJJ#$OEuYZhQhT3j<(`*}BC)aw6FLPq#NB ze4Yq|iAqrN2x1%j1G4w&6>CG`4!J5S^>)lwY=s&On}MdgTtRZ^OtZ7u&>jw21P7jT*>OiCHGW(qXLe7 z(>v=NA;j4&lvm?=`T2X=h&PIDo|ja0mEVc$ip_;>SOV1~1W-t&0YzPt#Nl&{KRfi@ zdIM=+UiL#|GS{Nt6VY3fq<>Y!H&GC|Qc7nJHC`7)RZoo7gBL_Q*BZKI?^k{yvJ{2F znX&5J8o9`fPhVbTV#&L~gp@^TWzm`XR#5-k$P;W$a{H=A2K`mEg`nimR#BPpYK)BHoN#fj8onzuBRgM3_Qp=wixT%SPjyo`9n2w zEH%KyFSU(V6IAOwFR;O!rE&O-XkpFTH1jf2-u{ZEXz1bNp?l?FOqaw&Ji*cU5(a1P z1`16)-;Z3LDJhJ8Z>*ibvZ^mcMm?h8TGf{qI(@}jG**ley(+~<{A8``kil7UWX$d$ z$CMpq${;4(BPS87BgnOcT2fdIQod-6)mTf(9;&_UrPSSo6xsC zqbCbzZn+j&3a>*fCLTx&q(h%omb=jU0lH^HPgL`8DPe=#WFk(X`<)CLpy~U+g(aJ+ z$3Bos&;w0{)qG)DE{4kWAU7*SyW9!oLO2q~SyfEH2z`;equFaDqJ6NMtK|@oW zY~uHTC$Ep@_%;mFs>WLL52Uly?}uz9Tu8pwn{&hKiBEh>7^s0rtEeo0s%^w~p!7;g zV2tOc#m<=7WEzywmL{d}32l6-T~E6}aQ~IF7D&E{lQoG(F{}Ur?O(tWk>{4O5-{Z= za0!LRzMWi@V>{H74D7}>by2nL6j0^+yuB+fg4VgSf=W@-VzGHCvAF4~hXzTD9`I22 zhDCSYQX38k*zt%J0Vb1jm_J9R9sjIr%g2BguixK~rq^3?&@y5|)u+P|MO8jiuWJAB$ zF&^xDB0zwpe8WbTglbgQULIM6*k|T~{2)ZI(5)RFdH|X-j);L(9|`*h1Z5Jh9w)WD zEAM(x?EQFgH*J6*29+8`McxBBj@66N`3tty!|fA9fYi}lR;7fXJPM@DugXORj#e#x z%^aKA$5K1JIy4;cw%F}Uym6FPjo`Ds_L{bF&P;{Tn@ig7M(j(}T7M5c8DfG@ztPj#|7BHMa80+(bV@Cd@*eZ90I#6ONV&><@`;!+(wUEEg3K(Bn$ySkPaV^GGkm<9Jk1x%^QH8x`O&Wj)0o z>iWE=Y$>pdsysK?Ht<9pJ`qrK-8Rpq9b9af7rWTxM-$uIT>RsekYgWq@aMk!x^2X) z;pq|*3%q(f$Q3VYijLLo*XRpEjgqOTGTZ$!HT_Z^1k`zI(UWG+9#3act+t+@V=M)U zfZ=Nw!?E>QMRIa@aujcqXT-KtoGI@3Cibji9qxW~rjG{iRK#s6YyrJoqz&%{^}43> z>@lMQUNb*;oM*cZ4yS1w)LbznWt&JeKS*iji?OK`EtnH9ivdq1e)02l2NJXHXNj@o znf$XiGJ+nr4~O#}J|3)z-<6YZ$a{45cK*UH-nkCcBdg7Gb$n7Z^ZSXOl};X6^d{2q zaG8=S_5@o<`^VxJ;lc?&NU^2Z&ll8nC8HL8mj4Fc!)WJ60J8pfeO&#Sw>}a*1!885 zgF_#cUj=$me1E<`LLY@)clEy^YQG^1`ScCnqhpMa>U2;sRE7~jV1ouN{Ai82QQ(uZ z9{M9zRR49oM;1oqmv3D7q7G*G4^IaZ39Javp`Zg2oHN}X(D2NHpms4Wv!>8?sCHvc z+%`XGC#k6#O7zZF6Q1oJe5!E5^Man)ELSelRsLH z65_!~j+}kqk?kMPSRlenJ;NBO);THf0?qaZM&+M4SI%Zb(Z}2Ku=7U|Hj5M}72T|G zD^mNQgNb|~x@y^VpZ~x1u00&et?k!qM+Z!jki&$xPud|=ggw&<^|s^vYDdbsq@)bW zI1Hnvqr$eewR^+Vw#$x4l4zVqIgCb`*{{~}}AEBY({&C)NYWz?8?qoCeL{(L8;^lKk1}W3CU@!)IR-ZfJ9(_|FMt{=#M^fieU# z0cADVGF=ixOf-J{rVcx3Z`nk>;iw093FL=4-QQV-g3ICTB*?v5#%hp9%yx+SEr=ME zTw>Xe-`%lX>2S-T?9rs_PT-?DeZ1S^J)z0DjotVW5vDWS;b+u=(brmW_>$0s)If%% zQ(s)c_*&Gd+=Cr-sJ!F>s?G}c;TLC_TgNxM*Mk!WZ5dh_;a5!aY5;Mj=r0a z8xc=JO!BmFXi?+_JE}S!t3q2F4{|wuKE@rZ)8>?`Mx+m?0RJlZ-x58%eRY|;;AEta z?~m(MPsN?q8K11PqCVWtVVMS;L|9h0JQVb8m*`Jr@`0Jrf$WWJZfr_#{inz@8kb~Zj|jN6drse z`qVBZEndI~FDFKuA>>`sfmRnvIbfAoqTEKodb*^OKr#c|DaBr^yeSERycQeno`w#p zITMEg+lrW)*8OfClPqo5MXiu?y2QCRD~@Q3NKPtUKO&@U$hQC1(l8S851pEBB%l)Z z1szObaW^^AL3-uCAXeuLyt$b(!03r=?VH4uEAKrq?l@qKAx2oDRkJ^7)I%`i-%cA1tNbW+rxIHIL^lx+f;wp(4PH428#_iBvK)9Xtv=DI_v@g+ul8n2V%wYh(Z0X}+D zmge!o%{1`vS==5BbL&55le_L+bpfe>w2n8&5HFpq3ATsYIXpTepPmAul}x?>;a1gA z5$OL|tme~%Ay@afFmn!Ll{A#mc_dJJ=AQue(~IA*)dx=2TzPkj>(dx`99s6`xLHGtW3G(Bmo_$e~h;GPus(>mXK|^Vl^w z*aGn?JR&3yL^a8h2u4_X{o-)%W17Bp*~hXB??aV43k6M12}E$LbD6GNnItL(;XjhA zxK^e7i3Fm8x;k%yhN*IQd{yflv|MWa$Mra%&8hi;0#f8x>=YH(jf@Xxx111SBmV&u zV4Thww03IvZV9MqX3Je5!Y$``khFbYQreu-sX1)KfeGR!UB zZRUPCp2Dh~%88`1+;EZazVml56B(TI=!a~t%eWN<5JRNIim!DE;+bcT=XW4{jzr=0 z1QahuM#WH*ZY2q4O?DnRp8_$%gmh1^`008hT_jw=Sqd6(!VE7D&;McFeKs>n%6@v> zeu)Qi7jALn4AX3j7oP@`rM&dKrn;iZ)?7^_4&(N-_}eE--s4l6dl#hXqP1@!Zp1RZ zNf5Q6#9&TkbC~n-{O1dlbL=T@!|+38&Av_NQAc{NKxcpeD1;sf(-6dLS1Lup5bTTf zo_m*kiAnZzf&AB}9hwVNr*s?^u_@uF5ad$1>EEU)CcbO$ zHC68;sM-!Q&LN@1r4pznv=Ve+%9RO56~n)3H$(*>O_Fgw14Jto{y2rRXatv=S_MeKH{LG;Jk%%w~J={QX11h5=&qjIG?E`5Kv9qlla^j6-KBQ z;cA2F1x&Y6PDrq7Z|F=DKMwgVhp}7r(F@G*_11 zHfdi(@w}IXcW-b1mu;cDn46?G(n1pW{)5l>#)+N~+gs>h0V4ijx{RryPe)!q$E#=| zG9@g3KQ34e!YwioOf~I$6_29z^k<1H&Ke#*C+7hGi!zvd_{&|7)^y+P>SWWTLDy$l z-!^<`YVNCeS!*&YhA)YUd@Cw-!<{kP=p6V?M?dTEvCb#h3Ag7k617~1x}|romN>3F z6A4A0wHJ4C7?phhi2e%Zv@OyzP)SUbvs<)S-ME3r(PWL+dpzVKGqB-jGOC|8b%_oR z@kV2Gps+d#%-~VJ7>(6sXL!1`G5qs?n|kOhwrfGanLv*3Ww!|ctHRziX+Byu7PXF3 z0mG4uq0rLd7Oe*m)8q_ugO1dtxA{Iu-9z@T(gR*a%OFr8vCfaMWZc$5OsKQCN#Lw9 zE?=8F*`$}ZY%Fe_#cKt_urB_=%{@s!gOnbfU-r#fPL(U6&%o6NsGWEhvBVnev&v8m z$%~zZ%)p^d{5_u80#>B&-w~6n~3bo1+juldhhQ6YO=ClPACehWeLA=n6OxnbRM1;mMykQ{WZI5lO72o zuau}sXP5w4#tV<3y4K*^znfJ`L+1|_LYo*C;0p>oi5G}d`5z)mm?%JM6MUl#DNdyx#bkxil$@WwqYf0SWp6otq@N@$~C+fi@cuCoY`yBH%4J=la5(k_64rf8R;cqHP zbArz8*Z(=ohlG9ms~sq$R}>-Ack+~L3MH3@UrE&-wZUo}@Wgk_*L){wv#0Bj?MYL& z(B`MTS{3_Ai~574ZH|{`qOR%Nl&h}Xkr+k3`B4@DI}k#U_K7--MM}Tcly-j4mDm|$ z9m5Qg*W}6B-r2gGW|?yTKk#TV{xCQKGs-Nv-v5VwyDVzyA7IC`me0XrfGE)j#2Kl2E6SL4N`=@M zfpR&+I-Xq^C>xX-ZGH-z7D^3L+1KQOLku8&pOM|E1#N8ua)bXfQK!5g&LlJ^(wvt5 z@A?u){N#{=Q9gZ(q8;`}p{Zq0FtW$*$VT1k`>aD2bq}ts-<5i|q;P@4&@-Ffd|smm znWi(maO-Y)hE;sn`P-`>2kp)9F+EjLL5dIVSj^l>W9t}4e|(1tRo@P-%3-wOZ&1qb zI9zJbakFuBKDh2+yY1Ca^R40yboGwa2Bf^X|NiPB3_B;C{|Bl(y$f z!PR-%)$lhc<=O(5BJFd$ie!Q7DhW&TkIakjzU;8@pt+XenYCkGE3}IT){JLk;;$=p zG}8Jo|$?@6Y)D}t+v|?mwHamf`o@P<^{oLP$4aXHqD7;w;XT^UFi#y1ziFUr zW*rMY_!{EkXIDvFxa-;k$(dZ78fWmgf00O$9s>^+FdU$6|66jmAA7FsoF3--!~dUg zL3I6Np4v;{`Z45jYd%k1&=hsQm#2w?rzA&ux!;$$=uNOtv+!)gx<6*HH^BnMQZ;H~ zp10vChQ)4q_7MllTdX8A^hV2t16yq!^Z`w3*%}aay;JQVo zyq}0bjz4b8Y{{Oyof-XJ0T%U6X6OLw_e|v2Sy-;$GuxgaGCy95ni3+@|LGthlkbh3 zdg9!zv#IHRCioMNWMimq`}gl}CW4+~;R1ef4FN8z2S4@;YXP>PLGZ1XzzKiLS(J^%m! literal 107355 zcmeFa2{hDg_yF3z5nm-s7%d{DY+)Efr7YR9CmP8zG@?P68A~Pkgc)053@SyI8nPwZ zS7NLqTVof)j9rX1+x^YZ!uS85d+t5wo_o%{U+0u#=J$KvXJ6j;d7lEW=&JACb7;?| zO`G;=YFsqfv}qR=_zv=TDHF%GXtf>q z{lyh+qf5X~=AS$Nu-Rv^xfiiJWdCLJjK?aO-|5xitM`tX#fo^Op8Pvo!T=|!eM9md zQ4aAKj3XdlvFE$UdoNFgZCnr5>A_U6f6tmsBKv%3^7iH=nS%*1l=e@{s1m z^G2Sqk)_+EjPfV1f@~vOuunjGO3pcB!%8fRqA#n$Sg|PO{pgHoB zz(=P^yoQ_8%b~i5edc<;EYlt>2prh`>)pd{OFCgY;NSRX6-0icf8>PgX87ZFfdgB9 zJy>U$_>u2UthF-kCqQyQrQ@AqzHfB`v%ZjI)jG$|x3Tk*zgUo9gYawgkkwmhezYv) z(b6$GC)!Vo_{9}3tctV! ze=l3+H3+A@ua*+}^pg|xV}%2EX7c1YVX*oMdDj~CUwob4q(^+`#i+e+8HK8+120Wy z{$zPdZKD>ryU=&Zj?AeCIq9L0B=-y1yQqd9t^sGK#qWJC*a87Vo?mq1(>=i3`3d($ zJ>GQm{~^^T>zq=BsZ-$L^gM+z7j5V-yi+q9tc-5m*AWXrU&Jn%CVuQ|aDYTd!kN&TCia2UB>hcVysOpd7gj z%VlHpv4w0g9m>hUb;?uUfsZ<*8x}ZCGl$;C^xD#cqe120kUvR$*%sQCweskm~ z@)4$k$V;GgXHPpj?q$c2M>88+g z^Q`HMzx%VtWXq!!uIdzm=!$d`_#kLM@`nLdOl@DaLkF-5TLvCHo9ZNCr^=4e9fDK` zfvt!*@d5#Pg(R*^;>ov(Qsv?wZ}oc7J?EhG60Gmg9lLI`uH?sFJ1e4Gv}LQV>Xrh8 zX|f2j8<=t^!1Wa?b0il?_i8C5?Kc)rJ_0}x{s>rV0KC0_eD10g1nW8b<`e3eJpK9O{`VxQq`OS(50G)@BD+grrm4JEwDtQ2P$X^9^k^xR6E>yOf}y(a z%65P0t1%ZCRX(0&jf}A7NPOHcK$RWj1~gL&z9~(?3g_yKT%PV;PNX7F&zD+O<(rs) z6CrWE0G{iW#c{(k@TAr!up7aFY$mS7Ao|xvrJ1ghI7WR|TB`?Ax0?&V&lXrXz_T{P z*->0;R;qm4_B4g-I9Esx-XgpHi{7ES=4(tn_zR$U7csxJYOZ6Drc!KftL>J7Z=43B zn@5TxXMD(>cBje5my>EnVo6ReHAeuJZY`vJCEFV+CA9D!*pW_igp#Yc+Lkh%`?Spo z)r+l#4iQw99roB~0IJ_)Pl@UVW|l|ayCDl7Mt)^io2|?-jx`HPEaLeGkT2onQ${f* zJzoCJsC@auEolnoxU3GDXDKRfS+^SYSiCOFpA3u9(=T)BnmrUJclxv~Z=rOSl*pc| zwUuardEH)tThoDiw2R{~nQBaM(JXN65D_BZ+=#5Tb&XmEK4KaiNcp=b@JULwOFuXK zYu_A(M7y1OmD~=F_QGqPKlTTfZa9K>- zx#`zTNF&uxQ$+{-o1?SAQA;%mXB9@~l1g%^3nq3u0ioR{^5rIc1FWNkg_reQi_rV; zwjsz9`c6nB z*DPC2gH}FQjR3YQ&`hShnz&T#MI1H3I0m|oKl9s~>*3yYIV$768a{#m zTCJ*U-0>YQkIs4k1oqatIcco>^)NTTMj&lqf?jnCc-Lugn-r>+WKY#??n5*iF4B=T zAeL+nj28HoM{8%`U1z{Ybl(EPFdXGO9=9nvVB!Kp+~Ac1FW$COQg)%J&_Ux z%*PBcpZq;{vMwh~LEBu-8g#HFE{^W2>e-zxP3vH3xs6gnNoXV4mVmX10KC@-(`G%C z``G~NKfp8>Y?20fLP)k#Lx>5*)<6dkcvcV~`+JmuOO-+8E41!N{sV$6%UZfX?oCMg zk>})9B$Av`4HgVc2wg~~+VQZgme2k4?S2*Xfd*@9Z6lV|dQj&jsD>%U9|t`gvXm0p z_}g5OPj#^Ik|H=@fxh`B-+4+{=9h17_JGGam`?M8Vny!zF6G7hHdo-GSY)}N+XFm=6(+NCGsB;-BK@6mqFNH{nZcbBh#xXQOB?8W)5zF;`b9KNIztXLd^Fj>4 z+UvFN1 zX9X|c{+5wnnJIi{$Shc(1WAYb}cZ9Ien4fFT%HXoq(%4w()ivya^uQIJr?#@X+;=6-*UJ2br0 zKAiD_+7c)tWydky1A-rvW;`U8EZ0UrfCEk zyjP*HIC|1X%_JOHjfwL*R4SBzLK!*6fhn)tUWPdESz0n;5}xVOa#is@7xzZe0&vWCY1GD31;CO&iJIiN`{o( zeKwoTVqq+tnNU{AD6e_0+(AvhV7H1hlW8n6SSrJ&5y--s1%*Y%FF77#;ml$hi;Okp zuwmi+Z`Sjz;`|@$b^d?M`h)@G)k$GM9lv}zb@)`}1yvmZ;ljg4?18Vy4*)&eTeJmG z=Ce%?&wpauawMOG!U8JH{4gB&@H6n?g|g7AL*jrvG2dUP0N&>X-v28cL!ke^3Di5C zG(Y?OucQqIH!u8oBH@iH_Zo>toMA_FH@J>T&A(M2Be=s4_iVo(%Cy4h4L+eS;;(={ z${CwA;xAAC#n&6T!GaSWf?vM3JFxdZnrS?E$g}N&3y{ECt^2Byc)bGn>fz%fnUOnN>nPa^&K_$)q`*4V>pK+#7CL5W0Q0#IA#?H^rJ&ZT@zZ z$A9)>@7nP%?4ofW+Yis@u$hH^e{e-g_^AQ(;v=v}qGk>0+wDI&NPBU`ZXHlRsaMa) ze;|#`KM@(TE^gn@7JDu8$AZ>p_SlN7TZ-3k&ye;X$v||_g&56sVY@u?fnvlTg8UDS z|G2T5J{xiVU)PP+nke6{IWr6_6#7l$gv>g7{74A27@jjDF4I*??DcfkYi{mjMx5`h zx>Hj_SWaHf4O$$1AZ%1NakFy54|d_TG+xR)%-_v%OPw@A{cWM<4VV>$K?;eqZs<6cw#Siu!!_rnV*yv2kIMGdodxMU85;>Nj`GY)QQ2S4VXUiLs?DLv+E@$U~D8E9xSF$HL?~0Vkvi*LsrJ_R8fMP8o zYO__u2+xoIN@m z>D3Z~XhOC~g1Pse-xPx-TEEt(QeIw5Jh_fja8V6*IauPCG>9@%|5(CD_3ZjA_UqnV zx0B`IxkDg&;%`h!9Z+uK<|-xAL)hHHr0(gkV@ZE{F0%3%d_VB@@v3+|uWxj}EG+x6 z`m`xbxw4D^wZ_KFXWy z9US&(f_#%ydw#|n4*oKMd}sYM^z&QA4W3lh2!`IP_i1YWnQxZW&YdWo7qY3_)^Ymj zVz3G5W%|zA!HKOtTTpODMraME)xIC!UPkx{?3cgW;e)GtqrBs{4}WOmM@jc`(c7W+ zBX%$38!vD=@aVX-{(3QpN9XI-HC$*(BYAvj`MX~oQZNmc^GmZ&gcm~vZuj1tXtFgC z_^@SzSN|*QG%UjC3sYGp6XQD30trL)1$AcuL8o%xn%56_m z{DCJ?X6hV;YZ6&9go0mWTcmsY zs2T71_5M0+4>&0^=D53S(MfGq^rpvCih*M@wFIl-@>VZ6*U-@^9}H5wI&P$%`eCE! z&i4H!QDm2|PVseumkR?QmcyjSpcvlWb>cC2KL8TUWL9I^N0ojo|IEKQ{Y|9lM zYG5GDfM4nFP4tp;6RXXdCwIWr+Ecdb3Qpcshi>rqNA~ltPLWm^O zZRKjC@6c^F0*xK7@wUs3Q||3aWz6krWfHVL^aOyPJr032ItTlgXR#eH8@XMFP)2`l zis7P&|BSVKSACRl0MW7Nj?%24Ot#zPfbB^*w;mbbcLrPcM>`SqXfLzl8|B2V0Fzni zgI!LCM!IlQg9}fNp-v0#Xn}mSD=ErI4i(4R zgB0r|yx^H0{}a^15h1Xw(XA?-)NH^x&XBO{m36Czo$*m63{TTy1jO4Z=Sq*d%6CSz z(7x-PC#HHj5I!P`BZ5NDff&K@M~v7U<2ZFFcj|q>sDGfi0FwixTLxO|tY3h|TWHWp z^%vAu|H4O%i27mIQZ_bhLBc=20&tB7jzVSTS>~_ zv!WrpcJl@(+t{E_eo%_B0J~lP7jp+}5-GwM0w6NwiFU@JnfIjm6F*I1^Vuwsu;+pK zX~DH&G;WTqL1emPvCN*k`_NS$yk~R9`s48%g82qWY8%ESoVp)q1{o5Bdf+jqxHPb7_f2DuxuL|lo#Ev zYH3)1+$#VIGo`Z(3o|99QqA)K22?_@o zKU`Gu0Q1;Y6wiSyKxTQFi5a7qPyV@OhVDs-<;qOoG zL^CfJPKg-%ThX^qX8Guu!y3nz`}P_BS!2OBO^DkZZRZQRHD3vRU-N$NA+CHc{R;%q zd%`a-teAO_O1v~dEuWsBQr*B~t8-_~3++-4!=$@pgYo3%c#0%o`2oLM~^2#iSu*oaa3my zsZOuGubg6C=T~W%Mp9ic{ls#)-M`#Q^>9B9s_M(`gTny9Rp5hSPX0Ln__ZiUUD#3=J`>lt zarXe8I2$Yo-#vrpJhx8v;_mV0qeUZ*UH3LPRNZoNw|+Z9dGk76yc<}uCgmHe)D#=? zZ3r7+J`9o6f>7SH{)KYF?Kym$)p{r3>hwCPQzM?@pBTAz zYW|#;Arjf>^s=J*(|O=UX3qhwD`o-?mW~7wh;-?CaQy2l`hQ>2+<^x|^G` z`70S8?nJINK%9)cX=5HxM0>gM)e2R`V*5H*5VXF0v&b%^#+96{J1(!oJhHbwbI}k3 zyFpYq6&b;7qPYAEL7q{hPgsDPV*yrXGA@M2N1Oe%2(zm-avfP>{*H;()})*N0|5F6 z(r39ps%K=dIx1T$Jlm?9me1k-T}D z)la>uB9({#YG_3h>WfCCWH@__8$lvccaW1$cSTa;`FAgM9lSq9zZmI>(cS*>5{Z720>rMYmj$U^Wk)VCg5G{Zr2o zsU9aIb=O?94!W+>+$paj2StLpUU<#JZ1Rj`sjTLX`=M1cV01v%jc2!RmDgH}e0>%d z;p6X(R{Ao^$H`Bwr$s&Hv+GviX$ra}x?WVTJ!IK-q+;zHrw3`&;Q=)E;q(7yAUr&;%>ey%d(%J?mAb|XQZ z))Coi5S^YsEos-bqZOgMd-_Ei(1ZS4Xn|;f&KuX_7uXlnMc(x+c=?$*s5Tj%86L74^0L5?OTo259DI@Hm8#u9_8CXu|-LHX7G>Kn0ygRzJdw7 zcAaqIbln^7^ciqnKujL0gBXZoLi$*He* zhB|iywA%UCDMRHjyi5D`XQPB6b{QO#dzUHWf#63KF+i^2Q;C0?5l+p4Kgf?hRbb{W0$GBx`^NtWD7viAf+w+Glu`0B+;Q%*~T|q zS(~@c;AcG>5o3bkxyj#O7K!k47=*KLITH7Z^!zBL#>Vd0uc9lo|EhwVuRk%*%9M&gGKD@)fZcd_QV^ih9P7uw3DLww z6n=MsGa02Qshyj_`>54p<~c!mIX~EUW!?_j7&(L4|J5Zg?uo}+-=m`%|ErOX0p~HY z%OmKT?~|AM+Ynvfbcm?zi>I*fmf1HNmL{6;{0v3gY6zlti)#w`Av(AS?Kt_qmHc_k z8IrSmkaK&{@vUw0_ftk7kZ&hmRUQ@q?LRPdz4pQV)I^8^EaD6u@&kOG`%J-fOW#C1 zMZ35n!izp43jyLWQ}~)_fs-!HT%MD#C#Ph8xYjKRZP8Uq=(?SIPM4=OVj@`Oj6tz0 zBUu7a8Mb(@r&pL#S|0XY8cMVDUKpJf+&_5m2z0$h#1%K?qW3zzAaQ2k6UJ2*&2qJ7 z@bZU~F-0`HmD1{n5Jx`Zd@a%Eu5Ik#5eUnbYD3AXV&6xKPBzL`A)J)nx+p&zYJ7DY zCJ81@F7>>L_Sz+^%+;rB=>v+_F0NLJP|it$89~gZpyar>&0z)-I|jp6xp#tKfK=gjJdKlTHyW71Xc9Qb^PXM9^Q!$ z#YgSJ)P5|a%Z?1~19GO{obSzZ-I}b@}6Qwjw8H0=ie*xrUK^vvIT+-dWD_)x%amd||>0&a!Qr#>n0yJ=E`5u<4-n>wh23%>n>voKU@O%T3n42Gy zW#DruBO)<%_r-}ZMeY~*h@46X$y5*wGE@8^ToI+D4bL_e)n4?kd|7TR-ZxnE4$6RNHEho#Z7ClFGFQQ-8{%N%QMm=go)J(%>S%tR! zGx(y=nyrO)oqyDTz z2UY#`RCE$@#%a4#Y`Qe($wL8Kh&sjF>mIR|hE0KaGLJSKyU)sa)`EQJvpspJz0E}i z^G8ukvMa4%rI1jc$yQ0G(=4k&_(Xz<+grn!5l?*@eBC#3cAQMP>m@pD?RJA#+IwHY zREy2M>*=;mGqi8|2%$a0bdwr`HV*@fXVpib`yKv_8he>)q;wiCRbk!sB|{6Rvm*et z_rURl^j_KS%LK}URSi-_6E6BS>Zg!mVk;t|x~wYtF6n8tD_O-p{fCDl7tF7H~h6N;1%cKIc}G zs=n5{im7c1aF1hH1B1QH9B(cE_)t%2QzN!EP`~3Z;;f04bV(v#m&R>vF}iGo2>r1~ zS_PJwouczdW{5kA93#jbiC@Yi^8>C7B7i8iKVh!rsg=mb8Z$fgx?8FVLg>PKq7gf0 zYTjZ8k!T+a2Ul`uKTy9Q{^V&0J8>|tq4SI1d^889R+oc;Z`C4kP2n?3EGC=|uBH-Q z!^n6yu%-8O?4e>Aqv3&w35Sr!Wrz1>jrV0Of1RpfTB-_{r^DoFPsN`x-!MH}0Y2se zzFaBGO1sgfKz2GbQ0`7_b%Q>VY8(Wji9!v+)8R)YytHc?pIKn>{Q}3@dV|P#cW}TA zI55fP#`~i(XBK>1bJVt5CpBiO140*elI>}PC-|I5PcWBCj~uVj?8;U|MgS>H2CH0H zOJU@J^pNOPPi4>235vRoc9cGhRQKEq)t#(-2V=Zhd`W%4KS$We~Pz?mK=^ixfGH7qS(FQVK##SCh&jC^-lLhL$LjJ!}p#CZCQ5 zYq{trsz|)uBdWG{!9=S)U0T@nv}-B7Y^gOFunYA`Gw6$D;g zB@=UXU|A>V5<$MUgs2+YJIY8OphO}K<&mFYz~TJx^@_C^4oqk;3joH|_%1UzRTjVB zRr%=<;3vMtn7wp==WLO9y67I?KRhkrmlehmM>qik0MJuLX!9@(X zkX!riM6ORF{D797_aK=P@|`Ta*=QTW-6fjxo#SPKm)r<2Ph!$vU^V$09YFeg!JM^j z>YkEhQqB7skCvQMuZyxoXJ?+MD0^E_Kb7dj&-N-q4^THfZ#dA2qA%5omje9x0bY{^ z50k5B6}samYJw6Y*JThOpuJ~C0z=?PrvWji!8REb%g(_#)P?Vh=r6LH%AuzHWWRwA zkQM640#+PtI(`SJmUKcqdFvjc%4QctWPjXwy^pa#lepZTKH224BbK7pt7^p#WD*aO zOZUuxSMo<(bO$aAyjmCT&fbirTHKuj|K{EZNavdO1)ING0 zV@I+dYMKKM_4HnIZ6><0lk~7@px3D5?(ZSg|{?9bC@1;5I)RG zVI;3iT3C1W6UKcGs*9~lD?(cPWCLAb9OhnZt*|U+i>l`vxg?|S_%%HlxbfZ&(y8F5Z z-*?iMC$&DgDVp4xf}4GflF$QEa(79>f#aBn`4r~0h>`#84_iYDy+$)(4UVQv;9c54pQ1x@I`L}=znjvO!Sy%h4c zo)gnh9BUju$59vj?!)AB$qXN-vW$jeMzC3w>pPKv4Ql1`{3fMpe)p0jrWS;q1hT@u z2;)M#K2ge#uTrZXQyNXU6vTL0s$hy^q-NJDdJl!iLkZh(>3xF%TbPPtnsEGQU7@FN zm+33lm3#SDy3vIDMRqFQLmc1n^FcyJ6ZmSM;pkP~wPOfn-l;0XfoE&dJix$yL6Qr~ zIPsLy=#N^%A5E{qJt;-4}UXYfl?DVT1A z=gtPbuM_yRUrU(-OPM0$VV?(Yz=}$NG*0#j8&r3>Xe_Q&duP76opVN9U<5NIYt|h0 zRZM54f6NMVJJlDSMAc@x;&oct-w@T09hL&t17_Kjinm1@kPvE4E-A6bt7T?e3su}l zdih1^eCT*#YW+c8yY5!?gaXu$aNp0x-I+h0XL!wrB$q1wEblF)6=#RQ`u}2J%t#T) znuzjK_Fzoe0&z!AW!~{B=g+dNMf=R%tjBB2L=)ysk;V1PqCb64Rljk_+b^8$^@n2O zdm5bSvmO!oD`W0MmzLsN13uL)3btac9?FSG+-#v^2#sx-O6^S7;$+C;4}C(ye;^ZjD2mSy5r{!V&Q==C>Y_tiO&Atc&o zm2jawg^q#o{!xI+R0A8cd$mgPplO3P3D;0tikUw3!E%UDb-N?$<13C2VGx%dIqq2kd8}nY^DHe>Mi^(w; zgI8Z7aL}GG=NB{^i6qw5z@Pj$jJc;7HemeJw^X?YatccRoVmX=>52rfNh~jQ+a+5@ z@s~qkZl@Uu+Fp3|iPd$W+A=I#=u-KP1e7cQ7hYCi>-_~r^S7+B65UB1K_HcTAvnWV zj@=U}&5%VU*Z8IcPumhuP<_g1bfE1tB)&M7#lPFyctQl>{zig!Cj?|<0{jyw)g__| zEv=Izu8qZ<2RQI}{RM^(yihe87gvftAzV3rLZsxa z;-v^x?zPIaiaCX$s?6fi9L%*c)>!yFvjQy|0C2(1INe9tGG}1CvOZH`65lnfo8@|y(_eUA z@b9KgqTfZ|9bWYScjQmrheaX=_kWh z-w^{!*{mU@l`}PLYcB#h(wI?yE_1y{n9i+jj4ePP(v0`sjH@^q2bWLXZ;rC9WiTFz zE>fmFTrk&<5`Cd8N`ZkZg_PbFkD6%5?n+R`y$j)sSlh)S>Hyrz=KQa%;WM<8ginYw zbN&M1hnXd&35FnVPJm~;d|aA+U;L#tRnWQUgEjs1Nz}`$?gY78+~(yzmk07U;_ykous|m*T`5ng;{sXG@j_IeniQt$Ytqafnjb z1hgSR@DrvkG>^1pa_syl&&(VJ|Fkxj)9a1rZK3FL#*qfdut1goNegPLIC1g7M|I z*Eu_=N&%q_P^=4QKpt2QBt?)r9!=16NXRu1*L^c~c2o)IVe$}Gu@WzNqJ&=7gUWmZ z(YLSOAZ>J<#P0>FPLbVATy3j)Ty_xoCOVr;STMt(G|F12LSw!}AF z!^Mo=4-8gEKb5z*pE7~i{gO&KKnEt$t#QE?=(aTnpsGD?uNt<*br;!bTM$>HPxnuE(N8)?)Wu)>T6XK^9 z_vPhAy@--+a|PWiFd_i=@v52`=VEG$0k~O>i1;OcLOzh6E-$}Fl_3;aa(fEJHgL4v zm1OcGav`Pele;2X2sI)Ei|V8*1*^B$o(DQ$)xT%NwNsYWe1+*0&cxrsW5VtNU^6ca z#G)qs39l&Z9c_frpIE;jiTU!8${F7uMvhJ;n4~j4QnY5nmvD(MXSr@69hLHm zZOhz~241yY&g_Nch0VmNzPCI9;;ZVrpsUf1#5JOEGDcJpVrw_h=coAc{b;(+5z&5G z547*@LD^N;Qi~x>*b0m)3OqGJp4I$nhJ&TO;X)KA1~t9u5>5u%pl9#W%?{d6STc&28shICi82@e3?;knawsB+eeFH# ztds}oYdbxO441<&FYvJU2?$ap z`qEK4TV}y^M_xMMWQv0gdx2K}b?Z5*URaxeO6)yH~HCMu3vbd9V1n)#Vbz~g6t5d0_R+@X(hOK?rDag345`39e^7I<2p`JI78ix z_o1$gxb|neIjJb=`%{kqvJA zuWR$x2zW&jLoo@^H@-c+wa9{LiV-NS5j4}8##USoXD*P)(_QZNb+U6nc-ZQCTiAfj zRB&$BAGgM?U3`*Vk8o!mM}ox81bmLdCZK8`0Q(%u^xIo*7jIvc4;7@|I>zVD_29U% zz-ZX;PIP{*fv`6{S34`&M12N4Og}HkC^7jVNr*4uR0A$e*wv*@2n<5Tkk`hL(nWU8 zfb(W5vcP_TmLXZ-v^gX<>gfy7>}k-d<%XaM*P@Ue)N}P9x^o+4L@0fLDF=Cd>Bj4% zfeRcw1X6KUq3m?D&Gd_G3te;PKjr}KHFyOuYh)G=;QplMHXLkTWcLQya4PTg%%0Vt zt_H=1cb2+KG1UuHh9;;i4w5qx6Rp}6GDv2lYCDAS9KpBxAk@ts)cTnve>OipcV|c# zX7?WkqmlX3m%Ci`8JzQ{)U=GqGHySgXy?YP@dyV>ZnGtnhtTczw0TtyN2xg4k-sVr zg)^mrDf}K+pNCzj4H(z9^ldKz>&=G(=6)77Tl z$%$5U<_);n_X>e>JR0(XOSP%(X*_3gqSYXF+%h9d113)}zzk4hG=T%7qZ}k5STczh z18#p5??pG~`V1Xk6=)}hQ2!>iXV8V|p`b%E;F1;9EJ~;tp!-nRJbB9Q2`tXu;E^ck zX?UU8tDc>4<}6gx07>>`%{5G%BF4PyL;wZGBX52Rdd*ABg4AQiPI&W?pd9v$<3!8l zCXcoveOBgERO2^U`vLEuo8tLl2&(2hq2|XAe8YvvJ8v0qUh(Pb^u%w z1Fkb6l9*S?K$9WZ9xW1ZRrtaq3VVA5ilRRLU^Okhh)Yba{uq7|JM+4MI@J-ETrYIj zfTaXeag_<1y#^t;<>Sb#TRl1()p(F)^eCnDL=7C{JN|QRs#RV2tDoWb4M{&9^4PK* ziQ8&k=Q-ta`_Z z+B+G&k}>oe1n-g6Vkpd+7*BzcX>U@?Ly}v%H(BU<%7bp_ZD%@p5~4fIUD3yD6q1N~ zDkn3-t56^N$88rst_A*kGk7uJ+GZ4KF~E4|EI1Lx4c8m?n?3(C!>P~OZUtn#n2wqo z!@F^?w{N)8id`{DwGpR))EtA09ZxU#IOjMLc$d8{cnMLg?#BtqbHPzT@T}$TK_qZG zT4=Xino5Dmh>#H6U!@&!odeL-&}4v1JnIoz65RM<;&pazSN_-PoF_LsJzOXsxLAD1 zbA53dO3p(;$%|#Bm0yue&ywvZ8}q}Lsb3mMRE_V|={7{U4XQSSI(GV+L;D5uHJ4gX zU3>;-`veGYNBt}#bqXAVxW4BnzLwYdAjVI}Yb3-t%}Lh*IW)TAB9d2T(LjsO85@2< zufe$-N^s>QzBLakYhu3h+$t-+P>q<%2tOA~^jsPeEly0%eS24o6$T>9M59b!#$$!D zyy&@FMCA@3YkE%_{6b>`&O}CJ3jk?dHtTQ~lLropd)sSDO|~W*GC;|n-lTpFq0_eW zJ6jAlw_Tq2?BOKem($9kUPN&#x)+it(boqIG|owjECw&}HQ!Z{3 zWOl{q7MH3MPY3=;dycAjHh2a5fF>F|l9KI9i+lP>3s?*x7ef#yB5_$f%-qCgG{87k z*NurhXl5_Y931z8G#?V)@tpqn)2EYI?l3(^rTm7VoF~13;~h^M>V}vb z%f&x0l#90*%we(j5nQedTf)mqL-N=vhMykZeAng&xyAF5x;l#??YG_)(uzQE&vIBq z5YRgZxG)3}6*?%J7>5RrsO(q;4V(+S5-1?0l#XthOo}*FWMVG%)tl}<-%`vFad{{z zYKkLrEDM!t9VnJjlJceX7;g6hTo@Iqi=`Ac1EPER-h!r*jvkfe1a(QlJ#Q}8WMn0v zJexibazU{M9r`^)YKtdG)D%(9NY>X%jJkEI?8A7UH-mnY$(HsLVPf)pSEs;Q3mU){ zfoeekh37q>n90br$_acPpv56vQPnKI(17{ywXj6Q#Y;}cKr2hVp{kL&>%7c%>Z0TvGn^bFkazK)Tc2(+&Q<6`|aQ>;(`i-?HyO{u2!0D~7;M zL?tt0^fZ1|SNzW+jF#{;L%6|8B?%VH90F@1<1YRnC&%h{^heLgDz{^pAzbPgcP z3Pi81lwk3OuWseYZtv;l6)hrxzizbYR()xOv(L#MX10-sXa7|otg*_s1oKebx`4u7 zP=Xk8OC3j^1ZL5e%Mg?U2M3$Gxs_!c;j`epK*ctIl=r0k0?h_bpC3!(!q4?_GBWY) zgE4YK9Zn`sSd5wn!3!wjh48@Ds=5E&oK>?H5p`B{*3@bG5LCYxaJPhg;_1EiPr@b^ zmpOe8iBp|5iC?hWU5P%=+B+SogpeEN~NW2q}lIwLd45Z0L`6G` zpuVT5H&FI&_AQ9|ELgsKAR6sACZ%~n(2+w)o2IN(Um6@jzX8-y)@eZ7oL+F>*PX4b zeFY1-cR(a#8iPnP6*ymlZVe&mPD8Q#JM{Yj_#n?)N;q!187|QjIvuAb0rFs~(!Ste z`fT@-_xFGVr=+X~s+)uW%tJ-SJpP&vTo<~+Ve@O$;}=Q(B3fWr&0D@?Kml$pQzx)I zu!s>PPzEeja*QgjrD#b|Y`hpdTN?P9oy!xCn!f7$ZBe~1`cuk4CDueY85p}0 zs&YIIPCM8Zdx3a$TCfx2%*EU#dX!v#Z1>%a`PoXoM#0*%RH=!2X0&g)bt8zP%BuqTIc1a`xO%MRKRHwd~8;4;CRVzo6L*SnqP~5PIIWrnzp>zD<#A1)$ z?O0uAF(!DaK?_~Hpt*l&?s`cgMDtp)=lsD7&*?Z7AlO-_?c*=BE2_*dX@EsA$6~!R zTlN%)_Y8qe3?P9HIb`is2#4QlR-uVAq}KuFEdrz}c?lxG3ciTWQ&{HA1`aCH%u{<@ z6nBc82ZDRC?fELv&|#S}NU76jZ6TkuDk%0%IT!O{{$!Z5n>{H+mY82U8zfUioB1Fr z(Yo{3_@GP7%+Y#&a6FGV<2^f@;A9duclRRBacGA6x9r*O9=gdG(or@E%uE|_UWwK> z1w*Is2LV+W^|~BOTFo2`C{(58ZOK2al`3P_8(u)->DMga3s6Ab1-KPn!(D*D z)9#&ZdM>EIRlv*;O{^a?w>##Qe3X{wwknjJaQ~klW(dxLa}OB`FoTll{7#q4`XfJ$ zT{7t}ATXG2c6hA{kv`$^C%eoRCOr4;NE5r0b`nT!x{YH_F$?~Kp^p@^kNLR(rv7XF zrV->Jr8od})<`wubBBh$U`M&8ey`eoKR8hpQYCd&$1vFtnDNBo^<<&z8Iv)7(il?l z=kzT1!*sw^+>Bf(&%_X<0AI9*Uc3jI@ZgUXA+Kkt6E59gcbv>4e`A4it_Of7RWZuP zRkXVPV4+~sFcKiZg-HOz@<|s5)R6ZVCr{P!Lv<^e3C5aK^ZahDeV~?+@whbl0${tA zD3IKfb}HBOAGDm$QQ6YcH`tU-nm@1i#Q*pD$F|??i+^0{QPr^yhaVGjqi(4cZ&JTN z$;3!YpcQ`=^2=u&qb=;^jO)+2f${olO6q=Pl(X-S^7Qo>Zn`j3H%`T^=F>?I>pje^ zuQJqoqj?o%*!JJG-Rj7?_VYQQn&0HDh?Bja4>IQ()W$916uv3luYF_>>BR@tPFgJ@qz<*D2KXd?j zawX&cAnmHdnrx%Kg(wofDkWtQih+W_h=~OV5>hIPAQA(~0n(wUpwtMF8la?vBB6kk zI&o4%K%_w!FzFbb-~BLROuzU2zW4oyYuCm0JokOhxli5aoS)Y!b>)dU8jJ(Cg?`)n z11GfL&gxWb5-4F<+p=PP8gNF_YeS(CD$Se|i&Rx-NO{)_!1i3iuF2Nkl0-0#{nAq6 zidt_evF`NP$?xe#(jGH86H6<|XsJ+Nwx!Oe@6icd`)wPkg0?BNMEFb<3!~xBO^3Gs&iSyLqCLsm#mIsTt^8H zP69Pg&Uxi$4?zZlB2W)b%4vJtLv;68xfE#?~qBrocEBHYYk)$t~!iJ{pwDG zrK@`$PnIeFCSp6LWw8#6+xC%Y*^5kWO}z~+JWf7>G9)n<3dyusUd|^jao(lumK+?n zc*R|!ROc%Q!dAX~5yr7`@gDPU{0GGk2Xj-?fZXMg^nBR#k=el2Elfd=dD%s@^G}v6o0=H-@<2IL1(vC@(^u9PJ zl-h_P<0?@YxhG!nuPWXq(mD&UtSzbh^L$ny39@|B_U%$cO*|w^J^CzJyMYcGw_l;8 zg9AO8oZJVe3_u!VS*0&OITqvvUg@nd-jll~7M@OSMM-?LM5%2#CqDsuRCKpIa8+GI?)eendmaX0O6`)3Hd2*iqkBvvvYaj`>eO|rd)p%`}M z6mVGu&=0`4Nap~g&h9Xikz!BaC6p8T6*W*wRhJ^6@%-e3$`TokFt?PxT;Wn62a&e4+gN z^3lO;KTf7Yz>NN<_xyRm-O@Rwk5aa4w3B9e3j>)#(&*M@-eKTM+qW4v;(Y`>U=jcs|y^BaFqSk@pXG=5*4CQ7Y~oG3rp*YRc$DSzf75x8Od6TjE_tty2f zd!>;_c>3QS3m_eM_Qg2>M7YINg~>hd$u{aqoDv;#Up(Tt=1ngvOn|%qUg8aO&ygDV zqrofb;5K&2*0#0#xT-NWJ^jrdoCZi4#*6Jpl6Zl_?diNV#c6j6k*fycz*U9Jh1!7` zMEX7Oe+F1(f;Aw2!$+SXb*jZlgWq4V#N1s=%nfj>zQ4)`dYO)UKeH=;)667k06*w7 z!SWOaVpchfwThAe3U}3|A#oU7_VL=K&^Ys~$u7M?bH|pV^-EtOO$jH$D!X@zckQcw z`qdo|7qS1_vcY|4r+Mv2n}p%2w%qIxsvzEnuDc%^V1 zoeBGknje%`{PGXAkmBdji;A&oc+#2UXS(!aEU3M@?;iyf5O5Gg#LDy7f`d;gtK{}y zXl(wPRV?;VIr-k^U{En>bFn;|D>#t!KPxTS!lhl}K{4f-i6QR4$rO%~toVeWB`~ih91A0FHLVQ-3Y2TYVtxaCi5ReKF_HHwN&pm%o zA)m?1hZo=!N^9)|Wu+O7K9X^G?+Hml)owHPTj$QDzqACEHCfZ)B}FQClbI63IAw=S zIDOO)n{}G}16eD;R@1z>iSBCgx*d62_6FDTdyuD!CZL9~27yYoli z+bZp#?#YS`ug;GlhYRl$1|~P!On=oOpH*s9(x_I9UIO$ zHsH&{(ZxmQ7BKR4n0&_|P^4XY=ZFd6O3|F4B(W^!YXe=OtJZFw0=)kY^W}v_2r(Ti z54!;buku!$yz!CbFMh_@(K6=zZtnu#ZJ>ld#b;|=>me`cM^NA13TeP(L|(*1JlcZk zX5|KAuOiO=@+X=1QGMs0r1muw&!aAzYZl@*D4N;lq{}gd#c#GIn9PnmUFYeFx)$kah8(rMRU+*I&Bwlr5`v4RcG z9_qqKFa_rjjX0qipS6imqSsgzj(cg%b@3@b=u!QC&j<6aCaJ0^)YNNvq097atj3o| zppj~rw(SbSV&y5KVxU?w^$LrZ3LD3mWX*f_$i=p6>W;TV#hxuh?nQ(u)55hsG=)pn z8eH?`^lVbqLxr^@ir@6ca}rpARJ{6jwY6S+7o+5zW{abDYPHqE&6u0FfZ9}!K8ro; zw;ADx=2OHCE5v{XjOC4PN)Y64yC62{BwjdI{Q`8azChQh-=e?u>(tw-8jIJkg4P6m z6h_EV^8SkSeEe1=X?~?*NG z5ngRNbvZ{UUs3MhbuJl_WCK;;gx<8X`W+{ts~_~TCzX_Rbw@;l6hll9D6)9apkWK~M-8M7}Pjyf*IaBEuA>H%qM zB}fq5QtgZLh2vsMa_c)7N{=WNUz&tICc4(Or`Ve7rb}4oNT)$JZf1DtPtx5Ct`xZW@79n&SOrp&{mXqQ!OzN@fHyCKg3ryUXpw9;NJh=JN82=zXq-x9T%-;HE*1 z--?brU6AGgd z;ZTt@xX2`IvPqR;VGh$diW_rfTsf_$h*sJ~!AQhQQ?ti(nDQI66~A7J$wh$}K2`ij z;e(#xko$GC z&ND7PJ5|gU(sQZ}v7;FkPt{x*sVNUrNxpl~&6%e!{19A0;2@P}`lbC!zwAxyB6MOu zeh8}EwO)Bfy!*GJX^v;G}DdQ zUT|7ubT2&W>?(6o6ClTeBprev{h^4%uL)`(ciHrGZtYKrB;~7c5uSaR*i=4rp&P8G3>#~r-=pa#)@Z|A`ObfoS0{BVw~!v zt_TxOlYntk2x}?fCBKe_vjaE5)vnXL2{_J_SDSX|CrP*tSBbMX4v|W7S$g$soTYE zJdLy>G@KwwnUn76MSfg^1Tg5zxUgyQt<(HGa$ zVZe+6r_HYha!pD86S%xTrbxe{C-z`G+h5X0KeNW3xTTU?S=zw9k<}Gxeit!4tj{$9Ad}p=~oUXe{5Mv zqqR6p3D~EHS!q~@s;)7zcb8bXTA2&io_+} z%|VR+b~aOm{QZYYRj7)D8TqQKtudGC@YL5TDkt5noi&qzGVq^O@rV|Qg@mnUP1u65R9!XNlHD+10e4m} zaPp0yBz&gsFZ+ZVUelhs@6of7c7ux>rni+kC{lHA@Bm%A@cHiK8e&K1>_=edJt4`h zLd{-(8{V= zR!hKfHM1H;Jp{;pZcIv59W#KvK40qOI(RCpcXOs&l-jUYaLVTHZ61?n*f^Ax1DSwU z1WlKaX@o6vE2i#IlC711D#ve<}aRVO>}A^PL2tg)M8;3=TwhO>lYmJaJMh-k1w zb*_@Gz82ti+kpls|LrrSH*9(w^M4ZZ>dMW){`aR>5)BH~xAoL>5+W>I56xSMl}phk)xWhGWIb&{ke*kE&X=68(P;;{UThR|IQCUe0dbWZu zG?hgU(q1tJa`L$Q&fn(?k{RpBe7It{A02V-ngR_GJ67cB81U>=a4Ct?n;&Q@mO7T5 zAkypGo1ikMV7VBflvgSZ)+v`hPzdji4E`w@=nYRj(B#52zqYq_8XYlPR35O!!YwpU}U#WX0RVLbb(H%; z*D*GmDNAzCD~o|?Sq6f2P-!F-0sUmtp+qi(#Kghh*Gzu!jTAD{B1;dEg+E{{ABi;aq?EkAu` zK==`BE4A5}KN4gRAos-P8uhY&qPJHV2I|G=ANd0~Ya^hN(e|Y+My@?MW=ADGTpUg1 z&v-y**!0=tVF&Z^-oKGNb}uXKk7b;Rn^SU~D)RjrlCTLIyoKUig?f15`LLctWaQ+{ z{Q}(tMd*V35w6dQQ~RWzYa6?r;}u-D;?_m3_(;?Scwv>B$cJxuBfU}W5g;j|l);&p zOP8-xxHMIp6MDNf2%VoAS~8f~_>VLMhesP67ayqo(kU&_wwhSt4w>r8aY7G(q>ivB zjf<^$uuYV7dxH8cKYe>Ywg#aBzyk2oleK-bN^?JLv#r`G} zugv!Iy2AlHj!dc;-W5^dRe{jo3D>suJcQ%E%DgPgeN}#1V)rWtt57k2$Zt>v!3y8A z=$$9tv7X*{+S>dsBjo}65;LY6Z$JAieJXJ3aTZV+-%)~FBf%xA{VdaAx1i)Ghl1h6_HRS-Z+;9?2T-K0Z(%hhi$jmqV*g2p;7jb6U&itlBdZ?0)yX*j6sETLbX<4$(Jg3@?=&_TW zUp3_lFTmK7?@;B=(iy*+pIXMfLnE|0Us6t9$Re0&C4AT3ORo;v+p6}TjBc%y1YWY^ zM;y(&y6+x71ku>EHTG}0nhRBJ3W319;1(gbR*5lmevGxPeQf?srIu77EyWQUbGHK( zbc)ipu7~nDS3KI#pCi;8DqWzG5<`%#b^KU%gVorUUTC&QNV~(Gjl`1b?s!UT%G{rF z%SrLB{7u2*-NL;V;<&X(oK3e9!DbMfd&fyGp!@wl{GPDXE}T>$!A0YU_E<4XB^rgu zeoll0w4>(&WxMRolz-fl_fsalU#2nt)arh6Wuc5Q9DxWiPfJpY9**Rqsq|Dinis$x z^0KIB>WUMNh7_rnPQXC^zTkOU*?r%^^O^J#>bGo(IH=|?d-doI$i|PMJ*`b&={Z%% z);oM07&jX^r!Dl}$9fdHR3E*p3HP*4xo;+|xSydP#v_#1BUDIizJnRZgZqRK53+QA zwRHyQB&tAwhnQhb1eehiRV~)}vomXTL-j9$Dw=S_cf4sHLm>PE`-8yN^eUHkQCm`= z?EV_Z+g#P9$$$5iuwCr8OSwH1h zcLYag&7QP5?@9$15m{tHIjeFQP8g}mg<5Q#JpfgS8-g!|rxngzM_ut}CxFyC&Hl)l zSy(r_esm3|)h>noOE8E~11!id4#;-hK7Jq6iQ=E6X}6@~&1ZbuoX2a^Y#n@OBK$Jl z@Hrnj3EQFjU%KJ29p<3Ch#che*7bd}?h)E84mArkNsA4lhjlI?#k6{$tNOo=CiRl4 zA=dpsVLvE6C)gPv~@umD%i+ zMJV4VvW#=51r^hg<7l2p*!JEh$ZbDiskOuBmR#*}9ReB3C$*l}a}k~*m8v(K17gCD z6-k)cCz&6CVkB}nPoKjd=LMC_6RpzAfUT2x6G!uzR9r*`iuvFq&*g~fAiGTY2aZ{U z=D%CmhS)NgLz{SEBUA%$@R};)ZBoS!8Jg$EP&{ik%z@eJRGh4n68-I<0$>uY_@ZC-vD&z~NK0S(fupnLHcP8yOi){lY7f00U($T6+Iu=~A#DiI;j`D+}` zoa+OM;kR5$mLZkGkRy7sSf3)6!NB*lhL`zt;N(&j+B&Y6SG~N%nm%&QPrJoBc$scq zf1(?8X1-76NOCzN)CmGDZP%Zc=JWpP_8aC`d~cx3v_SE@5NHPCA(hgsKSN?e5du5Rp(2|bjtmYXHB82!4mi%P)EyOq>jM^z-Ea`K)0Mc znk4?G%?6}q!O{dOei=N!8M5JTBwRM;xIu~c4za5R%k;hcC;IM72|;QDrkaAg5{L<^ zfBe!NHC4$1|Jb^Fwl}wY<|W~u{{ixp9UD{zQ+5jJ(_W?<|DWjQS2U+Q72-C{EPPb& zM?}buY!dP0i~k_$R8!vK&w#c8xKTrAJ8lfeYST2@vF?l@!PNccOF#$!?y(K>Oa8UBUT7Cm;g5ZtRHXfGw7`@_!F?)h*cnme=xml;Cy2ZktY zd^ZUT62NN>EsN-%zr(1+L?Js`=mqEQ-3Di`xdyeIO1dTTF$0col5jbG_{k>7Q)_>NO(S4-`T zR|jM2^x3-Q@?~yb^4t?*SlyvDva(aLV}UwY8LHk|4kY*N@F##FxzNeo|2(tHWd`5rxxZGyw-Vdm08nGiq7hS*@(n~UhnIn=3n`mAh zY_t2ra;|gd`1$ht{t|BRa9~PPX{+8}93MIt*Bc00%Fiqx8l}K!w`l|a`VawQ>DSwb zLj*8FMdiwHcff$q_YVk%3Xl4Aw5ubPcGgkiX1vTF+Z*-Jm!HFTn>7`8(+TAcVHC(m zT~*cc8fg$_vz-UesngG3vF7m7QQvZ>C!OgF5F_Y)>qw(}n73Z8k&uw1)5aEXzdT7qL83f3ih?byV*Y zZKqw;`bC^|p4()V0xgvrpKreuq7ZH_NkQKI2LNzSqP$d}Fvh?Hx~q|(70RyzmBT+B zQ8hBlhxWp!lkXEx8Fue~Qse#TwpzI2&?~C5zO)bg$L;ss9osRhf9;d{1E?&NTnCTT zcMD5sgui*|MOzUeB0jMftkz)+Rus^|bgH^zNk8%j7)dInf?Lm#Z|&-cCp9~?<8>YL zcM=el@>@;5Lzi)b5;`-nES$E#awRU+&_R8(!DsT+u2DIn(8MHcQbyIrIaCEl(7N>}o8CMnsu&J&dgA z3sB@bmQ!!NW7zgROf<^14Xd;>n-c7(s=inFh?6#WXQkbh2mUfj*)=EdP8G4(>FZt* zCykNEXUX2@lj~4^!KYEoSg}44yE#%HoAzy=S<5y0l1CRjeD9orgza6q_*i4f@4Hnnxdn#$bbyQIg` zDXP+V8(Xv}InKgbY05v*gLHxUsf2EyM9;emAv;TS_ful>T{E2skK~_Et)rb-x@-5; z{W7^%Sb#$=Eo@E~eZ-A5aaBVwR15a8OrYZ4wU4>)PvRs@zX{w~_3O|uD6tb_8992} z<5#W9WZ zsM+CB&f9b%b>D+mcL3CCfj&!MFLDefNTFDE(mZ@yVp9JDe@ZSmgQ%zdKsMhZbOg-p zsu_7$cdC{oTFC)tXa@r&8$zWr!Gc2`_98v-H6Q?-o@?e03#`N3ri2L#05DN>^xh>xWrvFN4ypK;ggtc^zt+m^0=$z&N*8gK=tuR`p09z_<@oJr- z@pFzop#>oR*W#kT-T9EM*%~(317733kwW+(+)LZ!u}p2DF&K15Y{vqg$~tf3JP3(L zsT+&zisweyy7vb1QP_|vtbj%9XuE{KQ*D2T3+zuh(?0k&n6OMF?f%S8sTOvAy%SN_ zuik@5yGJ{i=<8SD!P;i>{i98}6S^C!WdhEg@Gg(DdPf|?mpHez+!=v+=?dL-DuYJv0s)l^ye$^#R{@vBewET#H|?Ms0M{Ptfye8=sM3eWzSfSQ3*;J64a z^h|v=NjQd!2WE?7MG4T-UKRVX*B`tBj5fBP*Q!nP41QQE>oM{kFF%7r2)Gj{r9=1Y z2skJlhSTaOA@-lpx&b-9NO5pERiqZ@jzxxi1g?Q@!Z(MYVtz7z`#g))vI;)Glo+&zPGR^+WilAiF;C6D*O=sFFv{)u zRu2a0cHX=%pe(S}SHQ4u60YH>vdvhZrQVB^kJTArezN~2k#PC9=YOjO(<+myE44SW zhQpT5A)w66RjjObjp4G2zZi4x%N2vKnRZ+b4j{Z{?wIS>EV*?M0-&yBEw>H`3`t0znIN5-3LQm&17LIl{-|70^Tl?k=<+}G|0Eof*7pmVE(vR%>d`kQSNm|%y zs%inu>7A98yPvSxU?PZy&vuO6zTB@GA>hI2Q7e14-LH=$1g!k8i9D{7e($uLeNe84xb&WwDuUQvTN28g^A598t>8ma;FI3+B#4iQ59ZPVT>HG~E#f6t0 zjklTTvwTj%?)Xs=q-(OkzJr3qkI(smQ+T-2x!fed>^io_=m%ul6kM;=I#r;+F>iXd zd}=gyZLxW0$on9qdGj;8%jW5)VOl~?aY&0_)10vm(1ThUo zVp@1fWwLl$*t{m1cj5iW=9S~};r%yp4`N_m$eUa%$B_%^(E~^iJt&)&YxP07oH%`0 z^C+eIpm}T(TPNwzBNTi(^X2Hyx_oSjX!&k?U&k=Nv^?<$H^#*DWx)vE(*C4+tTR^1 z9z0tiJsN&QcUCh5j0(znz45Y$3XWrQVCUpLFB1?zGvBHve zRj-CEr1oBQJQQw15|CiIol(YSZ-P7{QVYg%Z`TEDQ=MaD-AWyg-5nLdSNU3!neMkm z&nzw4Yqv|eiN_Iz#$TEMeR}zz7n&C?n?v5S_6OdbeS(^}H86J0>!!rW6ky{{w20%6 zX6qA`8g5#`E%$y~anvExK|qhvQ(VNo?rg6LYfdQt1a?cq<4CXxo#p z(^~>>MrqR`1o{XZ5G#K{IoRXyQ37*!uA@BDUz95cjrn6YB-G#ERteshqF7&@B!!ZOi>pgt8hN^`70@JYwDF41p?FjdO52WEH+N`&_vh) zhx@?2os#jyj^G%sV#B|F^6h-{@;B;$VLVE~u0KpXrl5Teo`9^vOzFtOAFe{KtKJQO z)U0OKKZMWpb#;1OQRk(2q+su7Q9;^GKk#f%^dd2Dlq2_bQ^M=1fcde2?3Ye=3uD>= z@-bt@R>)&OrQsEfMp9t+RxHBCQ`tKsX$_o30$>I94sQAQOau=GX%x%X9)&q8X&3zY zO%CO%S-v$e0Vz~9oC&Sl*}iNiNZg}bOw?Qtuz2!n{0xKtAnEOkY z2%IUVgy|c&>&;h6 zny`bGT@oktAWtqA1H5j1|Z6cg2=N;$kIie66%`iS|I|0iyPdY%fXCho-h=`13 z-herM*FBVuLJw{M<5+=$4FchV2g}>4%*Tci(4jOy)eK%muBJci#uRh5d+&Y{Nw@+C zp^3g>W>*<6(SvlRB=N2Y$ds$hV)H$o%ccxLE(kGX(NjD^;#gZ^?@De4_gKdeCzMy& z@<5+2Z?`$s?zZjU@ILr3i?qmVIQqA3Cs~>HQqs0~Yg&)p(B+=$m^+_zmsDPRfgzHS zaJ9mTZiROVbE5|SKSQROr?*u0BDYF-GTtVHwZ>?8QSSO7faL_vT};{3QHe-_oVvL# zo$n3J)4m0(DNTp|r`l3Sl~9?)2u@~24fo7vNu*nw-P8d>WZZxsQ`SSK@G&0OMG z@=mAN9?wT((67@Lq$c)~O8iUUN=zX+n=*(B0#kJxm?=aLQ&R5)-gG z#3D6=w2i9|sJdivD|Ukz>OKs?g9;UK+>g-Me70z7C}lLEB=b)Gyrb`?-g=|Z`vtrW z?V#PB)FT$?gk}dmem!qP|3=}jww2C#zEF=mx!p(m*x@dGvR5ozEFKU4Zk8NXWK*d^ zjseW)8K9pXssFGax#u#NQ3fw~e-O95!-PW6+x0IZIoPB_%#;|vqU6#BA9o2K0hL-a zh;?}8K^VOH;U>FHhbNH9Px~ZvVs4&xg(khNUyy0=>3m*XkNP{^t>fY2^qw~~zzn4o zs{A1f*pHX<1clejO_3`tyz6prwgFQIa4&m$iu}N|mK6c{Q%00t6$l^U=p!)~oYLBfxrlB#Zh5p?Wj zz{C>{tai-`5C8O4+Q2%?1p_1FZXHm_u!={E{r#@MP^eNI4MOW?a@^WKeeYliJ>f^C zjgc&9lcoNfeK%Zqk6|r*yxIGo*kXDs9+TUW&)diq>KR-9X!3Ijx5^0A9( zIQIq`BffBMkqi9mrHkIZ&6juky-kfzc#}SSq30X93oaKKRyyrR_f51I1#UR>Jkltz zerM9U+aXKwZLTZNjf2JF49fdOG%7nn@MJoJ^K&7a;;s!;S-TZ?u^k9r7xXJpe+2v4 za%SVeg`lSfUAvT$F>)^CeEvT#{IJ{=&!G3@Qm4fEdBJVq-hHs`hnZjne|UEpG#i$8 z`-b*@nV>y-uRdzJGRPiv6Ej~^IGtzvAq=aRa+}V;7EP#WzjLSWSEZzVJ_LJqCvd|~ zKhlSD`gSnTsZMr6$sa>{-tF^o>jGR%&pvx-%ItUUjr-V1A9${rSyqcCZC!||vys%6 zj#JgjTm@NXxE%rw2L^Qg6hQj$ciUTnE8`VI7tNJL(euqyu-6uvUc2;O(ue=U4j*V1 zJS4_oT=0PBF zOZ+B%_`|8thFYnFxCf$QS#u=i-0oXxNEU$0Du=F*93^ zilg8D_hX=EgZX7(J(^KeVrqHCf0|ZvP8-J-0caNa66=;e zT=0nqF^){T&Z4+X|Fr@u~mF2DGAaWN;M~|g*63T(X`S$Jd(%;N|WHwh^ z7;Ns;sXfr&u_D*`BX60UrfSm)f1DZ`Wvi%v0aqgfs>adl^Jp3w9fJP;()1^@pOJfk zq1d~Z2K>c<8IEY3`R=9b23f|7s*)4@qbtd3+%mPU~yXE>5QTt7ODC-l zzlgJBB7gS|P_@vmxHLhRaJW~+Q)7!WM{MGts(_vVsQ!Vg5BW4Clg$3E8qNgSWwg_A z;D3;7T4~Y#zKZPR!ug3=F1PPqJX6|zHb+C+cPe_4?y7X5^1zr-*vU{BUVpLA%Y)^)7+!Zs(%vZs%DS-)_TOdp=Dz z3cFwY*K6v&Xe;)1CL&5@L!*$#zkbYj?xf?1hNxH`iCrOJ`k&o$V*XE>YHYDid@VIh zL2^i|VLP5_BsU%UO#JW4esj(7#M`J?MyD*qrvI#!I=|$UCDq~cb9~4m9N-rI|BdA6 zPh?r|LAc+9!hY4muQkcs@!#d?pPUPY%Vhym=Y=1!{OFUL)VRQ3EWG1Cxjn2lVzrKF zaO(c6USx7!bSdDJod@Gh%J==}ZN@zw5%qI=tnOvPv=XQOD=#>@vsTn^K$tW@V=0pP z|C^`IAW%$rZI*}mzl+p^{KEh2a*dt^XiY|yoBpfi5iTLrTe6Zx#sA9D=(GmJsfyzH z?~;g0?-B&ywg1USDZ#Rg!}{D%o)_bD3|F!e|L)8lc2#$)Ne6>+{-Y<>sb)$USpEwQ zav0*dG7SaKXU$JRLBjv)6c$0D^QR@;WyR6`?`~4Ks&1d^blhIa9tIED^G)fL+#lS^ z=nm206#9XSFXT2ozh@gGclzN>1m}Rp92YKIxncXp>y|MhT+co`SUS zM^C?vbe{8ratZRpvFqxnKL%TBUyZ;>x<|_yhiO`QYt%#>rCv?Uxmlch21OjtJipMePkjWGxmt`F(f$7W*O*87-NNCW2M?9O z2a(#VX_5!9up!A85`h-u!`~_Mn|E{k>OLD14ajpN^c|p5pmQe&{RNaVW&T(T3@W~R zInW8r*dXB#fQXNJq#B9?BelqV-@c!mi8M_DVD##0y2pAa!&&r<42m8! z&1sNTzVum!fkDzLe&E@r_f{eT>XE7JCR{VVS^Vu0dp?Q`qL!yOTA2!A!%8p+UzdKg z-Wlr_eZ@)X>&+imhm|N&$vO0OuxKybORR%KsVtaWxRy_f^=B7Xa*9R7-5C%QqNqKw zmL-_rL;Yw-tT9M=M8S>!@QXY3`Ft6&rvz=xXfGIKGZrdlDDV`MGoDd7Ygld1lRMN1yQK^)(E8fwYFre4lc{twaeJE$X{&}Pd1`P zboY7|vhR|{HXQFfdIP<~xhIrjBd!@+dMO`Y20x`P0d(J`)y3i3BX{$ca4Kj#+B zGIMslLp0@bf;pIL9*Q46RWwEQ7>>$(Ol^II1}1nI4CE3N{OlW&xqf;3s->}ExYshS zV%=lE(?A|Qo#!^9VhG9ds^y>-e6M*I^cO>A9a@{o$grnSQ^Rkr3_=iC@haHAzPt%T z5q}@*fC1BALFj}tI@IaEw2CTZJ+SE1i9EA<5&WF;?=e^Q89#+6yL~#^*^t;#)lY*LY6m< z<0spq<^XQ#`tAlxVHt#4p}#e)JVH5Ydfgol!4fz57T=O6@PhJ^tgL;97@2EYo)=EdV}3o zGQID`?y?{8CLG|N1hDEj*1e_$b2`_eF*T2L{Z>;eFe_1Z;&v5f4aE_`!Wqkl&eSQ! zZ?F!1O2GwNSE4wz$Em!8p*9y$EuMwJp|UFpx0Whm?xQ?4}4hIJ6+X~m=eIhOEDAco8 zdiZwaNx%l(Z4Pt~JHJN54__D6Nsc#EdUS zSqgsqP}@)ta}P2>H2HOXhx%xw+uU7yr@^_AG{k3Py)Xq#VaVi=)l`N05vLOat4xwSWI8FVfed$=^KBn)lXVut8B z1XC{IOnk(Y=rMFuIb!SB*qPGst#@q1$Vj01g*WKOs9{>WwAnaJHVa(c%gjD2cYJYR z<+3O7XbPBym3H}V9Bo%3?kUX#3>3AMD9))t^#%sla?c5wXoJD`ZHp=bhqtZGTh$5~ znOsn{O98b#GiArUN4GWxGe88;ji@uy@fua6U&%Vq2o3OoyO(UW6h^7(+1Ny}d~BFA z<4ndeC8{>o*3kP>3rL%&w{NjY-#W=;;8DO+CsnJ~L1ASbJ30DXpWRb6A)yciY~t{A;;>ew?GIa`Z=Vs{H(124PUGM9AT$%|}; z;??e+7JiLJrZiUV`pc+T^nKfb`@k~Ezo|9xVD?o;%M=xd+nlFbEpKozG?TVC2MAG; z#(pJq0wzVb*jaAZX2$wHE|MuRXQ;Vc@3tVv;uOA&6QO@S9-tep;tyhi!<+{!esp%) zR}7clKoKX~b%qq?@sZ5B&1{88Rz9CP+O%+o()ovXPS4z06}laO@vK^2W*wT6TK^?6?M6_s2IQkQ_)#{rcNC(BnG}#<8{Ek;J zP1HN3?Zl+SE7dWQQTHCICBJ&_Mo>(}-D)^){(Gtlz6$^8&C}lY##Ehv%aLZI;qCD* zC?Thn^jDV)bZI_ZbUsrU_ z8Km|%IRuDA3*~27drvp0V`EDiehMd^X>gw`hs+1fn+6k)x?_&$rs=05J4oXz!5ih{ z!qH~tmVL-Ux31gedR{j+pDIvIjckdPj<`F*#OI8PJ@WNYk^lKM4bP0Q+A1_7@8=AT zNV9gHWJIq$tn5gd7qUPK3~xey3vW6=nAVtKYZJ# zo%bBtjOjbCw{>*0EqL_@V1DYcbfZ)6Zte%OFhuDb#?M}`zUu&ptUuFS>YK057|X43#+V_^j_g6$D|F1l!xDOK(6gZ zzg}dP#e8K!2zPoJv$o&X_S8;U<0-Z8Bu*&|BTx{;yi-XAI41y{y78@3Iuw_EZ zwCkO08k_U4?CZbIZk|kXgm|z;Kl^+{O6oXl28V3u1I@hGGr~X5heX10{o{=i?@fco z!e5m+N695*4bee{am#sI9jA)nWPlww#9mZLKeopBvWyakhOTB%p>6Op-i1!W+-Zj3 zHfi!|^k=DClRX4c82oc08(?)% z#5Tvo1R8B^gLn=prz_gE6FdMj>TSuOl_5fb!CcuWQ_qSj*Gaspo!wG*f*Zx?OCaFn-&CK2QZkiH{iaxJcb>So=#s>h7NWr-q9Y45?Gg(#+<@AOp zLmTZ<=fB)3z*Y(AnFKJ;4;C3$Z-3>y8*vW^a;asJdvp4rS&3uhySBxM+(B0tiT$9O`_tyGz6yhlq%5Hql>08eKQ6Crv%?{Uo%Xu%YAY>dB4G6jyyWb5-JGo}?M zruSS8vIZr{k+MIwB%S?>RiaZ@bO^FCENuBQl}D#d9uW_(9CGIkwJml*DG7SKW;jQb zb;QLRQm%dUBu?wMemYvNKQE3eEvbL8W@#PoUb=k|83cHZ z1jCw3bn0_P$>HyK$-ygTT0d)^P6;YeLsHxIsLsePyqZsa?aA3gz2m65JoJrdK%?oF zpoN46`ox%_@<@cCBml(h#aRot*}Nw2#5sVwy5QKw_C+*&z%qFTmmg4t+XxpP zG*~z}Q*geW+(!z(UDaut7ko;+bApp#`|d}&+5Jk@WJq{0uaN>o)NEVQuR~1$beYsA zPuSf2dbriJT{>iQ(Sk*rD}&U;;`BS&O3C)R0b5wGOQLm@N|WG7;008TwVOl4mssp0 z-NUu#Z-BY42f&bOPmMVOt}qTOY18mMjz4Ol_egK% zSp0eAMM&Y&9MKl)*NhTwaXMheyo~Mz2FaYE50vlD*U{0LYs( zoFMuZK%kXSE)qv$7m2d3EdA0pG2I7x;to9;UAp=FsCmeZGhOo;_NJ#NsIp>hvA`oId;`EpuP!}C6+3~^cD>x%lq z6Q}K58zCUYWTa43Kt(FRP{PYORI8931*h_6eGFmdGK$R!JsTgXW?#Y0N}Ub)#OZk4 zfOGOs(N$ND_?Non_N(^U744iF7OL4hN-$Y;P}n%0!-^7-h#G@Ag7lv%aNk~>&4@t1 z;M@)Odo78IW*rc}U$@5$`N_WGP2^}$lP?kgEkYVC7C=zN<3ghr;6;hfvuz#%?LUQ0 z>b$}sdi17C>Nr;8o3&Fbc9E^tyHi9gS=P+=GPBT(_DF@!7Zl#Hg8MJeg*Y0BrJR@2 zSl;4Jkjp*rKvb(*exf9 z783uzcwA}_!8oc=s-uJMV< z!DHD5)td6hpe;bt0YG8;4h_s7up;{U zB?-*ktTZAHa>7v_hSR=LO`K*EMwMf6sf>`b#97uR02twcke`7DxmN`70^bY6r}oY5l2gfYq9iBhHrc8EltyH4M^0c>Z) zP~7nmb}|s2tn#r33n^&;YihS$4U5Q7-Qz@U;)#IW4vN7{P@EKTLio#hN=Mnt$I4m~AJyc(F-3#!M4<4Qa<5mQXno2*yD~OHbWd zbGF^jCQCr0(cPs@^j|BS`O)z-e|Z)JtdX~e=fry&5I5{n#EnKxH{UX64%ds+(twVd zU!rJed`R(@Ja!se5#t3-bkIw=?+`>ZVbsBXHSyq()7x9nE2*uPw8RC!Vv`{O z#R+-Y)ffmhT2sNC;4`QH9p9|j`Q@v=xw0|w{)9ku&JtR?9BFO;cb$asing znE|<7^>?V8Zj z0O^9fm!KRS;mPn;pH9Z0OJYGGkzY)b-!3IW^o5?^JOQs1-@EEpL`4r4SxyZ~%sR^x5dj4zeuxeZp#-zCuc%!>B|uvk1U8JBYgT-|S3yof>C2eqj=3H53_u$V+P`!1 zwS9g0-EueCGD43ZL5?6;q@LO$SK9ircg>Pe2Q_t~BfKEZUe(I&cC>l9D}Nc|iRv0W zH$3}TC3|w#04Q9#3=j1yF1lye0BUQN%)f;6Nao?CPT&>zm@TnsqrTVP9ZP}xnZFKs zw3h!+P(Bi3mHZCcw(`+XSDoCQEA9xX(!O*U)gwA19%yzcreqqglX42ip}z`!ck5PF zr&dN;n06X}OUJ{JaBSME!MSweUib}`70Qvi1iGgBbS%29XRFR1T~iz%Y0DS)kM0l= zle%I~ewq4viGR6oicV?RT_hvDAJ8akcYD8Aujg71@FHw&gz0_%;ft)u_TvOFseYK=l`J#Kq0p~9^JsTA=&2Qy5#I1w&G(DWIb~nu@I4d9Sh;I zt=?|_;ju?^u7?OoMRC0?f&k_It_h+%@IsJv$8m&hbZ^t0f7q2=!274xxZ{Wk&qe;Z zt|IDxc(g)bidJbDmu#&bWS&;5BmU5{aV6(Mh)~{RwdoCz{avkB`h8YQsIaOcF84ip z+9kQMYTdo%ANnEs(D`MW4|Rr`zHI)7m6i)t-^3kmL>Eh=!$IfSKP@-P`p0p^Uz615 z68>Rd+QTjxW!qiL?tsRi8JA>E;FqqI7yaG_)6LVv%V!mm`8cP8Yk}?TKk(S}r`Ao} z=mDJPructYhDiF4F6YjfuWHXYaI%AHK(uqSgN-8wy|{m93fb zcVS!w|A&VYU1B14M!!b{_gbD_G&A}K-WXCJahqj1Z7Iyx(C$2QU?~z?yJsdr4w98e zP-l80ZYp(&K;Q1S=pNOFIZ@bO z=KuI@B2#4^IwQo|-43?a>GFqj*xFfKj4rG^jD!=g7Bw77ac(!D9M9kBO^0aEFy_|Q z`!(grk*k*C9a^7z{^|J8x8DuHP(>aT_aEQNcg0h0t$pPWM`E9dR(X#@TGjMONgJ1s zO~{>l|F9r2KVLH?te+AATWj%?_FIJBkL+~sFt`iL%RC* zdXv>EmETpn82W$Md-HIpyZ?W@#gc?dg^bZ+NhwK~VUP-uB`Izdk|IisAv;Bd$W)S@ ziYzIL3fZzw_Oc{dW*BDd+mPLS&ub>ao$mMN^S!U{AHU!Gy6)@hzRX#k=lOg*mvheZ zJYRi57`V&v%o=-?{Wq6}rV)mzt(AQL0H0U}=kMX!NBjOv&88g#Np*&8QV70(P&#$R z9I+mR5QfBsJcs*C)?(&^u_{F9-le=O@yYV}_-7F1L5{QoUCVz8r#;$Ss!>;v5| z@R;o0PA{a#jj;n4RnUhF{lo+BL(dQT(S8{+piCh2p;3Ad=p>-{9N*8^0w(H$^s6nH zNs|B1%zyFNjkIr$fg=|2Sc9v`;^4LCCBZ=Al5aY=O?Ka?OUH>9*A_lD@k>~t3qHup zt;2a1v|RPqJ!hDSy2gJy{Fh7rg~osN;eTm0W~qHM2G~7iffs$RvtB;<*=o{Z{gYRR zB?aPZ?JNeI^^&8h)OvPgelU+8$3u9GR#fXXq6kk+W|eiQe&w12^#_WNwtW&WW;j#} znW_K&|NjU1aJZe@M16%0*2GV|n7f7xa!ughoxl6HwQ~wr%&o)njFhXP;m^(k{2ffP zI2dsZ6t*i4UO)f;q?l=3VXnqG_gYRN5&x|w>M#LtR*c!vY3@dy!c(td@zmMs{>~yH zo?kyQ?aQw4tTZipUi0Np0JF+HaUu4N;B&?$8pie#1@^WNTjRxx3l+gho47f$so8}% zh4itma*qKmmXnSFpKy+~A$ug9-RLyG)rsP2-JyJ7b8 z3%U7;1MX1A(`07u4eH(JCC<-*jJI2D=5IwhSfp*@=i^xj4p}!2@-t6{;k7#P$eh`D zVR+lVIKKH&YC<0}k-yiX#*KK#&F+s@%Qbbp&7bcYI&9%dnZk2Tdz}i>R4I@`2h}jm zuh1NK+~@$Q@*dNp!T2ih%c@_$6j9D1m@~tyemL*4M-Cw@{KV1sK^-G?&PXXl(5$kU zE4H~2*>CdNFIhb^0wNF3-G{o^(c5;5Pf_tw}oV?>R z)6&4qmm&UuDP2q(g>|qJ&;2~(WZx^qTGSCfe(16Z(201VE#dld#!uwjtO0g5LWQ$t z17L0Qp|(@~U_v2dFU+Opj<-qi&KAlQ?wZ;|ej;jMx^*jjbke|d>$Ixb$sSf6M|C7D zu5}IFnu-r$E}QfgC)M6Z*g3J200xb7XBi}cdL;mPmQ>MWh*8l`tS#pX@M^1v_Qe3gvSNX1_OV*DzNuD*`Yd(0M+>v)cF zzV!sEXN$07{cF*08k%hL0w>kHRER63%C%eyAX>roKF&i#zPwvVvm2X`^VdPsYc}## z=Fubts_W$HFACGfvsil`laoiDg0GI`THo&Gc9&pI<$JOEgqPwZhWiL| zFZwHAm0XgId;P;;CY39EFr{#_)FO01O*n;$u5OE#qF%Yd z-(jmwX>OaIayJZil~3a0HW@zL7XAh|p%$v3UJ|V`ds5S+65n<%-O9#Am>dwk&mz`@ z(kPYox*?>VJAip38GKSh#xy48@um}+u#enLPy01goVq5@3FYP^U^@N zxX@;S$}Xqy?8IHJ$5pWEqGJYmT~t_!hUVtV&5~-5Mqjm>4_1~J2aD1M`l=#y@SVa- z3q`ZNmBY6xUG;Wzkne_eeJnm5AQtC`WE7}uaDsJ|72j)X7FM_X0E+yzpK3j&9nB)O z>e3h!R$Pb^Y7u^~-n+{uB0)o`pK3?Yly5)>P#iTkOHM_UyN#LnsWSLr!1q+`2);A@ zxKq!|wg8wjf}eo{LLwo*K@sX+B_uQH)^89%q}H{r4&QAt@~|g2Cg-A4G1ydPY)5N; zB{sDw8B2icUKk~Ft37p}wVu0&tvvu`3mMQ8)C=4}a1#vz@F!fMq0@~6vwdGlP0f9s zy~!`9Eb-s1U&M#N6+X_7*Lh@^x$}0cP<=9C+HLZ=g5(O$=65UYHT-iQQuf6GCJe1^ zB60`tLOx1F#Ykc{%{?>oUQH_w36Ncq@XXX{dIhyF9iq+&l86+4$E;Suwa${rbXje8 zK{DXDWDU{Pg%=mxejgDrif|t+I=yD{mAgt%8nQnW2AY7oYtSS!h})zRu&5G_t3Pq? zi`Wb@LTUw=0|(8~3zk)L7;=it=~IzwZKo6~oB91G_8VF{gOhF zQ`B;EWvnH%`0aqIZuE7b{lzg}g-CNOQTCH%Lm&FXbm?92D~~3Rq|-$|Brgpbcty>; z9}4#|K&XVIk}u*qC|y3{MQu#xgVYZJ zl&E^vy)#$+O4j;dKETO6%9Y)@v6EwE4!~kw9YI7;PmfOf&6wA1uiPq0o#9A5_cSl# ziC1y(O8}=HbWT#z?9{Z|(7U`0c=ZvT5Ibzmi~=m0!oYVx2^fQsls)d_1-V8O^3Q-A zQ-Ca9o#a|NrG=k`xqM2Xvv3@lS=dnaAEID zSc!ZEYL8Z8OS_A9D!v_I?pMO@gDHlS6$7HVg1?J`VZ>*>R!8)1#(zS7WQitGsZn7D zmEC1Gii2%|CikLE@l06-^}5DQV+fPC2zz0HQf+mVFhA&q4#ymIpW96upoWHd2Vz~6-D#%wtjPJojG zrpbNDuvu$WIG==er}Ru9+O2Ww3eF1s9RwKR>o<}M<^0Wk+-i)tyY3WECw`rM`(o3) z$3Yg>6H zd7yJ=KHPz|O+Kx-pGzc1=}stXZkUf$Fy3X!lZw>WpQLU4e}zD@+x!e>>j!4z+`cOZ zjCgMi-vb6aJdnSiS&`f8i$JlcB0s2@#=gcR3b$9Y>TalO-#2L+wN3+W(zZ3k{h@`y zf}P+&rhIR?@xc`nyIPY5X{gY~?;(d6+Hjxog4YY9LBS&58ZuTd?Wn#?z)T-h@s<`=!-?-$B9j1_S@!X@>+u4vXa&8DXsH72mRCfpJdulyz+mrC_k(AO$YAoFE#$p zDU$${ecF}3%PT^gCLbF^1S?%+bp81O#-hpR7wGECueEo2I~MNG-*xAI)@vNU(&eV{ zE$wyxIU925;$2<5L0P2NuR0n+B!n|L2+rcBER)vQR1Vo_QIVfuE`11T%VaTLX5R&v z@uU1odP@k-w9da2l-?2oGp%!s6_D$W|JgJ$I53UzHsekIgK03P071reI!qgtxBur0 zaw1PD`zyS3Qp?)^*N`Y!)924{piobr3b7q9+_)=26-Jv^k^kB)pIDsuRU^C4&o|2*mmFG?nUhJ#Pl+oe8q{LJrY0(r8W06Ld1a{!C- z`Lj5p=pJk$Npk4j>o$EF>2gm-b{#&VvGrn>0C-;?E=+3%Kk*o>%JT^m`EUy_a@gQX z+ZE#?Y5;%tsU`5-`_!LmZ~cvdM~vlBZ4&N*%*G=jOGPSJyPaA`)atf&8_QJaI=1^8 z1D$|p?e}?pGax(i+F$em+kss!Jw?-9NFnIzy!R>lL^7PlSNEgW1HpTfTqX7E1K1+SENZjZYog}1LXfMN){CINVi#@<@^4t^dKa}>>`vg&_9g%>iUlm?nSV%hs+AMXV*q{BD@C%`NjoYZFg?spQ-z7w;+l?vU96#q{smd{Ky6C)~x4 zO=aO!Df_l!MYMwIQN>eps+|{n=841t;owHr9tfazgL>j3AP9TbeqMd==a2>5H*sPN zDREyJUy$)+waEE@n3oYmXddh_H-1@w-!7Ev1`Wfjeqoqfht*bSfgI>eU4f+DTwrOJ zX#|)`_q@x@%)n?)v=yB9|Fb?ZJRu$bs%BEj*-JnNAg@TwFsKF9p4AFniwXfH;XVR0U1 z%{=!oJ05N2GR%_MT^Wn@ScHGdfztb|?2(eQjYB^rirk=fk5$3;VjB)CqT@FE*SUi; zgu%`3x^-*jLN;-+==B){fc2T&P<7}qPoRmIK3gC29? zVmX{Wa>qQs)eX~?LzT)*YhBzkwO*!}3P0`kTtru@muK8B`UAKgnmpcc6zi6Qw%;rH zrhMz2SIH?$doYW)5ga$qt=Ws>;Ym>9-OO>h-1cbOD0fbvYxG2b zgz`d5QK>!1oz=NJd(y*Gm;u-fMsNa1XOZ=p{hPBc@0=J^^R4nOd+Hk&0sDe6njHOv zIuna&@{Zo{Oh|Yx*2mmW&fJnr^7a(ox@e61f%u$R(IN8n{euEc3b|8cKeAt_enL-t zNTsb?BrHAm&ASID6co`&Ksx30X=IoOCKuVVB18@2e z*)KqL6k~(%Y7j|Mi^`{5^*j!Wi-5B<@DkDTwnIlP*Uu$~`+TCHN#Rx`p z5ytf?YJ=ws>V)?PUoD>8xs|<#+=Osgi=NZtPq-J_A%9)0czjc8NB6s1Y9+Q(PS%(7 zCr3P#qSEBA%Y7#KeOYK}Q`_GWT2CKW*n2jd4QB~g_wkHdKaUVw7wO&8`fc`3O=fIf zBdO{4Tt>)#Fr${)l9J&I=BFoz8Lj26kG-f+_g}flv9KDhi+shu;_mwe0KJ`C(lqUu{8vO2y0C(_QtrM2tS)Rfpn5i_Rd|5n2hZFFNfG zH1vfbYodACBM&XY;gJK^-q>*M7~@&R%hV>BIua5ZUUZv5r;FTvVzzfv(DPKrL`*Be z#f1BP=ZME5=T*s<%v7c9p`IMd$c9au+%^*qdtpDAMUQwEc#HN6DOIIBiJKZa(XsXA zDmLd^i|!p!4LNgAEo_fLT$Y5l^PaQy}e!NkPpm%32y{4rd*D#=*h2=PTqX_^H` zWerzq>F~!ebHXyDnjRy?l1Zn3pSl!y+b=eLV>J4c1#T z2U|;Tvqzqw1vTN+Ku_oJEIpmZ_aDXaTh5FkP}v7tCby}KV6MgX)_U#J5l7v)i>Uu&u@NxYIEB&5pKqF z45=US<=IG|grQ2sFnX~=nrQ2Oz$TWr!=ZD<l7CndH-E3kzlyu;TIXmpn{ECyH5hSWl;#Z21U6rD9U+pvp8pc zG$jb>aDm3qzm3njC#dO-!;i`HrcWXg6Kci~sV9S;Td7o7?ED_NSjUC#dN7}@Jcmn7 zH0lUoGZVuM7DC3fTVTe_znK`*gVxQk#?7RTU+pTe!xntWs2WUm)2vMSajB~@t~}4N z{cj|y1L&0AL1j*!&N&e0DZB-E(9`58EnG9PmmbmTI|y2goOgOV(%Nld;d--pK#Fs{^LGvO2HWdX zkFOqTe}U7_L{lz_=-1_lx=L8+Hhdpn?2MqHjw@JxIN|x2OOHJg0cmo?fC8UAHdTdw zSk`KBw>e(F>0v`JniR5X57D@)uIyyW%LumMpd35KjBn3bVLSpBDf4HPoWZ0bg1*ov zUpaqIVQy%t!d(hjB3#%En@YYbay-ZHor_3S(t(S1BUiO0_Sl`C)UDm$xev`7wL}X0 zD}3_`3PQScgS7XvReSEaXZe?hXB;;;X|mn6aCf~*s=;?_+N_P(D97S-Ol7j2r6+PNLCVjkKRpQl?sDM;ax5SaIbpwAHBE@^%j1Dg{WtD|J zd8zMPhZ*(0QYu&HYw@uob28~kM;a1~UUjtQAgjKWAn z+=riQP%kv*B90-RU5DP9<(^z0D$DZ?->=9Lou`iQ5ZU&WeXhipucVMNa9abSCURAr- zFt;hVUz0D>ar(S!(YR}1BWi;$IWKmjNtU16aihNPr$hLet8`LkvElvbeaG0%gNH99 zitEG9@qJFrX^wUIkbB#yEgt!o6J?40{Z<-W;R(xR-OP9oZt&U4O)m8EwE`kcG<$+) zCpfM+U`~BmDyNwPSD6@09@yiF-*j*+?NQbM^eKfXKhNh9y*Xce85c#J z(je;G%d2_Bz4T(5fkCzURq{yb z_!1?dnHDojDRB@6u{P(TNFGBhA~dAmoR%Beib`wOpT15Gc9Z8}kBkF8=zeb%_$q|} zNRH6Q;C38%zwWKLO+(vN!CHO9-_~5omCRTb8$N?6ZOrl5)V_Ric6F~?EF;XUU?q|GG+vla?E zmO0*%49mo+HW1IPGTy+WpqpJ>tj zuQ6+_hI~z6AtVpX*O-Qx(jiWNx57&;D;7cQ5=?#lqP7y>_=sKmUbsmu-c`o=UAY09 zmYMT8O2A^+(uVF%Okm-2EFSX?aH9-lEed1f*4_Sen@j8s3{`OmD6GZ-_YSa{{c`Nm zZbH)u4&W=s3yx<*o*`6VsJ;aaCz4|gvk*45Z&xp(SgG8m{hb(G*5Uh25H*BeoRtAJ zqbPWsbKFZ3x0pZlz6N=8Mu0Mb#V8h z<@GUY}^us(k8+SgCV;4|-ig^)R=trL%z79lR69WDJ<-y z+aDI=+iQeFV~H{1OM-Fw+3ew&ireA*zz8lUDDkOyP;G_23;X?H;qC{s(Vzy+GMpBy z#h*Lo#JfDRy{7*#J-8qE!`OVYF-*b(mdlHo5112hl)35;hsmcAc`D$Fav3D<4k!F! z{>}8^*ZyI6NkqXPhTj^Wn{j_kYI&@$N^JycVz^`Zv)1HQapOQj%RzbNs5I9`JSgX~ z3;PU4 zf6N$+G#=o8@gE!NYf|n3f5s*7dpkKLN@MYve`ImT(LZp8UumXdGhYcvo|wz8`0bhd zKtOoc@@N|XAFs)Hw$tZVPQ>poAYAY3H2(on;G9t94~}69Mz;8ah(W%i@gHs`^9`@nJOEfc{BC*dWS^DckLf0uQsJ_CQqE9xkHM`{Tk!;PuE%nF41z=U>KVd z;15-A388uJ-gi6FIBK?w1YZv^y&5eaqf9ONI>D-;} zF;cK?XJL;F@Ccn9SjoN1y??(IT66qTIBR&!&vY-Dgd%(E>EkMqgM1p)$%G@MP1}T_ zWUEIzGj9hxg3SYOfRY9Tt?*f$!~U4ezQ0@4ao^oLF!-&L`@u zPd+P5GHz8iElZR}p=bz$nv4mSa(GakdusFeU=X%Y9$^ zRP>NU8t@?SyhA0I^Y-fYS07>~>nZjmCS0j4=QwBdS~Jk@aLC9LF7voGOr;N+^Hob9 zsy>Oqj3U6Lk*&IdmZ%}xwI#2Hu~X?g$om~8%gwy~Ib0{aS*&H9cVk2 z@iafRnt3H=A4^Pa`pZaTZwTqk(R;ZQT=^r3t)*A=lAQ2jS97H4MEv5Vx?E*X9tEWc zc8bT~s4=4=q@ypmlLort*B{*eetT8jyA9Y;iqw>LD#BF0!oE7op+koEb)d#?21@#& zn^$nti=~ZVuG~+UNS*@E>RQ12A4i7Og3(BwCs(i6mYH2Q8ZR=feVu=0Zw_*uEQgq3 zhJ1+-eL={SvjAQPSRUCv1NF)s?spe3pa&0nMtI%IOHKE|OwKK|A~@eTbF~p_blO5K z<2kc*r0^rhQjVF#(X-U6a)Sa8h1q&~QmHK2$7Dgu`!6KMf%@)|B8npvDfY-S;Yf$a z(rECs?k9mf0fhH;vgT(wMyhc#riCXn>P@hmr6|)M19v_ue@D4s9Be3ZB^@GK1%^89Anh<7JzHVFZ<~WIpC&U@cUfisi36eN!0(3ZMW5aAnsf}SkS(6F zkP<^r)hm!*9_~=ARnOIU?;g?1aYU?IbIx=L1{_i)ccDMGU!luI5{x_|e=Ucx&eN>9 zb>#CLy;iY!_eMRPviJ=5w?!8|GH9ootfGY9dy?^b9lPQ4sDbgdyXA7cgr)IrOSu~g zj}vf!&uoqOYhAGQU>0sKqTdneFtTMJi!spCEV=+WK-HG?3V0-VRwr=_sV?jcBtNV5 zt?!TOm7rY*2U9LP&74d><|8JLAbfFNC1X=H>VsvjqE1FpDOzVitOJ*i`;g!N>EWw{)2x(kjlCW>AAaEqxH@J- zkhcK!Y;8WCNds5!v3_T(n=GgLp1|`-VVE(;Ns=rMy!I^xz7^6^eW&n;1joUt!MmPu zs|FaWvI-j9Si2dplOdAs-EEi`dwTsv8$!>#+n?N03#rEzm%njk(Ti(}3Dbl%l z^W3gl^7mD$Mw}bWwWG`^YP*+*lr{OiuV_-f_hUG3357rQ9;Nug_FF z+?36eby9&fTT)>YQpSlRxuMq$c%xeY4FH#M-Dq%vT7mZh(`9W&S)ko!rQ|Xu_SLh% z-yLL~%<#e7Y<{o^HoO5_$863I^^WGLC1)LNqK@TBzb<+oT454B|?5aMmS! zZ4Q2$6|{P+3fvWCYnqQ#6rbXdxlZAJZ;KhwwQ3q|brmu&I=~llz>7bqR{@y2?cp&r zPpS$%3l7WW;6q6oAD15MzfEZUJmT9nS@YwpwQCzCFZBy?q^=bhj5Rb1ppA$mBi_`9u0+4mG9$HM2 zT4VOtkeFG)LKU%y6JI+YoPW$G8K&|&L{>J(Z!5f;3?664GmoLvb}a=!ESy|KaEt^9 z$L5>5ZF7hfmUe!w24tT*879yBchMiZ<*Z#0V074}HRWX7oh9bUsT1umJS9zt#(QzHM`{hfA%wJisz@Vz*-s-U7c-qZWodO|DY(6@ zRj#l~Mo;s#;mtpz6Z-Q}Tv6A^Zkt~z`p2&G(QV1fACP4Xlp zk2_g=d6M@7+%2>R&4+Huo;lmj#4$>N2gDCNBRvhRSpBUmJ7SMd=u}XpBVyeW(`NUX zD9ZboSu7hU$F|-MVGm&Ng>435ca~UhUcH3APmbG3K~CY9WDL%S%1MT~#(s0-=1-P< zQp20TJhs2Lzc2LW60TI@iK3q5)`25nbQnKtWLHUrbVDA78^HOh#;Y^IdR7)tpm0sS z;R*AYs0nfMa&kuQ8yIG#R&PQ>Z|a%=#+p>8Cq_JzjwNl+F%G#Sl(kEx3)Fj?gQ4nr z<|^{|UxVse*QAcKM;2H=Ou2Z)qAov+9?sdCC|C&Xb!Q!UUbdsSNej2uKh)+2K6H<- zSu)3uttSjW%(O=v`-gf#-9UUeB3F69AvUO+EXPeGOstu*st7Dft!K_;$v-ZQ_wXwC z8Cz9BJEFyUu=~U{b!k5{HFBVqQ@Hti=RTWb3Sq0hrQ?Q%MtcjG9-RU*1rr_lIgtP@ zcp4vTz2!;ve2tD;6WL|(#ZwlB!u@Szfr5AJfd^CJ)1!$AvLy<-sSRG)Ed94B z)w%zWZ7$A=@(sCOclCNmi-*_H&`fVMlWpiRj(+2B{e3QfXFqwApg$vTJ9w%Cz)~)w zfrxPMF90FzVn1lgrPd^q4j$J(Z=^nx(dm29(3=8@*RUs#7#|{3?dc3>!_=Z6*a0^I z+>#-C&D|-N8~U$A zDqYs_;*;!9AINX19BLoa#VdU9vOhmnqEY~p#Qt)#dY)!Z5pFqcgoHAjhnZ6!=_rR6 z(g6+y-wP?1*133YVuE-VE-LwH$PSBXmFXn!y{uc>@dRip$}hC5WB|`@kKHo(^{RZy zew7OGpP^kSWOQhJsfGBGt;^95BBhnWB8yD4L{2Kn|MsVnjWg>S3m)gYJ~K@%D~Jzy zXuvV@Jv+Yjd6D*1qg83L8PODfVn=24iIh_oWZHB!z@ z@Z)JLre-Rz}_)f8q|OwV;{IWjDVsH3zyvvv2ZiWUI#>Z9%ZTFQQNNl zzNUS9y)p3asb!w=tsxCb!`0c+Ua#?5qAC@NKZW@oB#>$y{eZIz_9U-~S{s?bQU@vN zz{ka4(G~&Ww3cJ6X=;^SkJOmUhyDnqvH~XCi8iaq(N|0MJK(9wW&r1;vtu{s1j)Wc zkb%RRSjwRa)2N=WmXCj3qxApVVob8qa|1XCbG{ z-sr``RXDx^{Z^stH^d8x2mRK3Bm(l2Y#t@-nexsNLcbEYAqU4+zpOUxQQU#u?LtGW zGQ)lY8<;niejI~8?BU6$yHuKwgK;@;%R2m6qt$M${v|UnDbXZSI(fH@0&OD%Fak5 zyTHo#^S4Po17uQE(b$wEc~+@sC+ngSjpQoFEJNCS_b^w**N>GOOqz)PQjen7{rL zVsPlkp9zrjtG(c~eKyS9KXec1O(*fN_2Aoi692;%H?e8L;GFW@F%8%hDJq(@7Elcg zJ*XG99)_|!&k3Sz`U?*pPzy^0{(8aNniwa!%GH0J?A~tzR=fJwaeVnU=rnSCdzztZ zD$IK-sd{y9o%M!fkq?o;tTGZsbuQ(OtSl|ME5i$iu&Tn%#f?yNE_Sv z?8%1*nFqLKEt2Nm$7wplP-i>qgx5v751P&n>B(JPa8GoHJm4O2YoaKMnq*dp_j#(& z^F^u*x$kKm+9G=`xP9M&1|A-)?qG4A4jZ`oS85|PY^PmEGLZ)Yb`NB5@D_cFZvPhE zaiEG|4qcWX13vpHcn7S39y|r5HS?3|-KU3Hou|vhsGpx&!BDa^{uF#x*Hhyy7mGCX z4y~)Jg}_roaJ=;((X^0Mcj&FzQB>v({X4?2&x5%OvCTaKQ@E9WB104^n9z3bZNv zpbj8wrxfa-GcD6BZ74C-$i!U5PP@UoV49i2fr83;7k` z{jT{f&o~*7tTVL^YeSwIF3n3lLd$6IE48m~Vyp=4V!dglTygcbadI3;4H)BrNk-iO za(J7Ur^65A;Cjokf10T0(NXX2g4ZTVa?SHK-o>B~WX9!7%Cz{04!rzAY=!dLRjg}W zAhoPvV%Bm6NiAb6IT-S6GViqpjBMu|YneLsFui|Ba#9*~WwS+CT=U{*@8bdK6RxXN zD$Jm_-5S<|3^zc+2*+TAY~rzy9C#ZP`Wwb0|R0g1kjJ&yArz0>~V z>G&Q!9ske*i21Iu*|xP=91#0&&|jKl->YuN_E05EZ+n_~(VjeUZ&dnt#od0;tv2h? zWj^TDPa0UzI*4~Gn6=gbkvWH%@5!ZFP*#rRMJr4+P4bWK_?35DaQ{i6-p-HOm`#9j z*%faC{X;pR@&sFN?Bk;&C9_F>hr6I0DGxKoky16g;YXvNFV$p#NvA1$de<)v=YG)0 zM?8J->acQcXQF%Zs~UcgD&x$hQbC3oJA`n!0I?`@m%3rb`%va8%WwDeuZfgO)hHFn zqmjk)S6*Hlv=3yBYhBFVAX(GORo}${k>wjr!a#g;fT6@8_#3+5;!F2HJRJ-uEw{Dg*B>P5wjviYerW?18R^aWfeshm*gPt_C0287J z=`(^oavP){Lf^~l_t}&3D14`l(gJdY8jee35AUo8-;rq|p7IV=ppN=>eY|}$pjaC- zpS+rn9%O*>sm9W87axy$P~~YRPuSx);xM*Djc9bXmuG=+@1JGwY?Uy?hO!y@rOHD* zbq0ok1t60Jm&eHf6sCoEd9W#W_PUFs-Mg&2q5f{9**DJMa&J2@Ln_f9pv0wtR!}(! z5(11_Yds_ctY==T%fzt%8^iQ;&zD8=80t4Ua3~#ImVhJ5{JzK8N1d&l*OCp3YAr%L zOg<+XvS!5ny6H4NbRn9Ab%+0W4<$ag0JEvOkV$Ya53^Cm+~g+{rH?9PKh?b9s&@ho zaV`mgNdRF{JaZNHTT+kYrK0WLt_ywTJ=r@0G9>!ZyN_0&PR`Ei%|yrQF;#4Zfa(=Z z3V?uW4MQnHK$QdA4=0lBsaTvnr5`KLuy8H`izJuZ6;Ir8K0s&3fW6ze5ED3X^fGn9 zg2+B>+g|iMa>3jCl^IGEzFbILG^vNb&;gNd1le1-f2h`BptkcO9X~bLNgA{te97)I z2?P=Tz&ZTB`!(CPJy%8?M1SAIda#`Ir7jR|@QvSP-LFgYb)PDzVur*lPVgbd;;hKS zzm@$KRB} zb{fAm_DoreZGvX9=MjNokL0GSO;H2a5BP~qD4@iot}j1gd)P*TpMH{KD2du#>w2Vt=HPlJR2>_`9LcI0(*A4(LEz`~(alf+ZmTXN=W&d1qSN zMIR5d2>!G9)mcwi({_(x!DmNXh`V6dQ%Fu5MmFyqI9jpS{7zP=P3^h)f+#`=hgFad zYJ?VHZD*Ic{w$++$DdMy-za>tfc?$ear+h!{<{NADF&-NTK)Icr>QF&pg zQHUQ?m-pBQkok>n0vKKzZc2Kkt7lNtYGl!*0NyvaU@=o!lle27>+nHeY=8&gG;lch z-UL!U`R~V7DjFe6A%$@0hCIpG#NB|3_KZ8Fd&P4MGmb?zj)uV9qJ`&1lBfz*n4L)0 zQJZ$%1JB`jm43PXRDv9wEn;=Gkmq6W+?OEMqL&WC3eAcQLd6v1# zz`NJ*Yz1?#Q5N5=UqT}us0wAvNxBCI?DB_awdcpH65})G-<`a&9;{`8Vq(G;7*~Hm zs~#gxd9eH>>B@Lf;C)D6&?dYZWY7Z7YrlQ^IE^C z!0oF`whd9xeYWQt5(B*(jmrCJ70v=|KroB@wk}{#sugpKhZ(V`XJEai`jMp0kGNl^ z&2vJ*o!ZlvykT~_J{Jq;h+=?-$=9aHLt;d-N2)<$G;zUmMPj&i8Rbco1^CP;T>Q++ zJ*xeS9(s!7m^e(*>IiTEw4~z!&zI3EMr*V3f@U`VpnSz_^!_B1$jYcZH)>GpK916_8%ql&NO&-K#e833OizZxB zNefkgtlwN>X9Ld;3kDD!&@-fa)iWp)Q{XY)&lI<^%Jtei=Q|6=eTS^j&x~9_Gr#x^ zfC2Q(>xVjhP#EzuxB8)ka_yRVYD+?F;_{d6X%l@VamKx-4e`sI)03XdDz+_{yu-9K zVk;CT&5^d~*XOY<^(z)6@12xTTR=cU!kFZt1-X*7ej6n{psh8ntc68vQ-aL`mL%{9 zE?`M{ZI(NzT>3NVu6!Nmf}W-$)Gwzpmi_s{wR+vt{rs78NznVmiAV22K{hQNJcwss zl3PLm`26wQipuvdST9tuYA)XSZ9<|IsMs}E)a&=@vrz4<*3YE;7tE%<;WOh&qYpz(6ddx7(}_ zNi{=gIi^SkS{Q#P9#btw9koGv_kNQIk3-Rq%`5%>pSN0fVZxpLhoLZk)KiBWC2KA`um)+K^R&KbBz9`-^L$WUSB7vXW_WW90q&nky*!mVkykaI zp9$8ry29i4=%HbUEMoTgPOWi`lnNmN{D9O8Fpr^B0=}z*iVBTjZPk zc5GpR5-pehb>cBUF2i;H`nA)aw{spE8o1T4LZxCQU?;fd zs8z-8G&i&!j3L!j?4naz-T-m6Ayn<%{#Pwu4{(bJX5TGzXq88}O&@JkaDVBhFnqz` z%`54sL(XokMR*pi6c+j-uG1C)GHvbZ!R7tQXt~_M5YtV|E%5qy-_~uZ-s5i)3i(s< zSn6a(;I6EX+MH_!7_%HUmz`w|Yq<57Ksd-wll`%dETRSOGb*8^fXcO?0XIr3RJ(9T zE4aYp>lbYL^neDlt|}0lt;p6brQD3)-|4C+W9=j zG8U^gN+=y3(yN}Ho*?4tBZz^8A!aJ$Bu>$>h_u5BcueMGvx_YEdppUFct<8W12kz2 z$hX0BFjcup+@-SzLu1eT*pqwJ6Vu+UQ&v-LR8Ij_L#_x8-wnH(!R4sPk$XO}!YG2Y zGiy-Rxa|jf-_Z)U!`ta_@u$HBI}m zIemGO21rm*EKYlW89tb=Ty!8L-pUfk)N;WogET>(T zhw<5dp|~I=Fb-<=R2IA@sV6V6gUG7$@f@BpvPpgR-mMQemoT>S8&Cy^v0jc+QRqRG z=MsErJa(0S@a9z^Z=&soe3XBvQx<@%(`BcDBn8V{zIx&7Zh_mD06=060LGDh(rcGf zTdD0kOuq~tt`)6eNy0rIGLiqZCzmsa{pzgLilkVOWd;!fC2016>M;`&CkE9i={O_< zfbT+phCL|>xuE^bt;Lk$KNJm+$qA9SM-gN;_c@Ft{xpj7_9WuXFBxI~AfpSVY*2xt zET#%EqkNPs&{g5{^+q!hAL$~3Zd}ah&ZX-XD6&Boecdy_Tot{%je7rNz)-oOpzN85 z`Qu!{5Hqq~+{B7YxttC*5)=G_o0eF18DlJwfMfxQ9V*M)Qp-2JnLd6hK}tH+FY~<% zfMg!cXo8$$D_pek64Op6QUGM0z$KSAP>dVIeIE;JOR%^dX@e4jS1ILk>Sl}-Mavfd zTJB4zricRn+DNZIPi}Za2X?rd#qPy zrt&DSmp7bjotZ(}sV8@cZJaiFyeHUfKrhZQs@_ew3Fvpbd+>>+JamBsP2=I8NK6@M zIn^dWv69+BH)(zL`D}(+6W39&J4+Q0@@q+V9^sd{GmQzl|Fn(1#! zpNnym;N)FD*9*QVLUR*j^dAQLsVIg+jaC77>h&q(&~k(5%S|J;f_#WM%a{Wvzc|8N z#RB{p>e})aynf5=*F4T+y$B+uz z@T0I{%R1dN5(aQk;>Ami;nF=g3#nnI&b%eI*M5~)i~6h=NU5p%>2$lCXioRkABkl1 z02xoLK}rFgTp2X$HK!v5An9>Vg>C00`v>=Z$2(YwRShIK)&$=^hlCsp!1GI+F4jAs z2+YE;Vwm!j5JEy@F`JeIVqfQMmC458h;vcX-3P67Mj&qC{e^SYmU@5i$)QAcK9n%w zNFF6-c@%FxT6oSe`6yd*gLmi*uz!}{D0=Qd&mg7fU#JvkX-d&M0hHoT!_@>nfS+@G zS?F^w-Z&B((4Z8kZ5z-CM7cHvS`zdC>J`Yr3%{ksSQW6m$zop(E|1+k-ro9epA-VqlrU>Zf>qC(CPq*x1m^YAPxSuu z6R0c8W0o;ATx5$NltzmYqnH7+p1BFwOyJLn4apnnQDDOxXnz1b*#Sv*^Lp@yF$U8*a7&s^H8MnA-aZ*&CmL zqu^OE4nvS}{>HeJ4=K>TU?aGitXke^Zs1F z>-)#|`h9;Fe?0U2;knN__qmsIpL3treHXxY8r1fD;Y~p^YmMQi0hezEdW|a_?#;X& zwf2@`?yKOxZFe0MKQQfB@Epe3|FgIiX}B9}yho^A5msz2WY`W$TNDLkN3+1!|e z8Q}Yy(@-6}`_bAgo5paq|46lWy@JNXC1TpLV0$0^eDB`CgB}%IN^RhaLXQxtr zBL61)f|3k!PC`bL{v(GublT$_Jbe3~`DP(LSvO2Y4&O02mv#t_J9w#a2Xv1M0`n7Y zJ3EZ~=f!>R`W**$0;D|P^o@}7T5bn7{=HNNo6*32*#7epLa{9}QBL40;eoefZB2^E zvU8Gl0IIY8>6w2PZ*8~a_x3h}b4hLjL*ug3?mPbG#voAZSf`GLG z^=wMR3T;yoe-r7W#>(kC@bN&feDBePWr{%$#=~s+Uy~@#!>;ef=+;h24#5OeZyyE( zM>-ii4^5Ce=>jeflt?dTuamM`)QDpHA%bHN^fhbou2AoCT441D3atL&+Y;R)#!60y zCcE|ysZ3VJkS_%L=wD*k&?5fQq)#d>H}VN$HuT)%G+uFd1EHb9&K840w~ zHbEx`j1bxqASs9b#4_U1Q0rtU_@eRYZIk31`}0rN47?m$(*@-o(CXR9`470ZsZ#pt zKqjjoIH#vSHobJ_XBI1(bopyXzFY6fXnxTiRKK$__hko!Ta^+ULOjw0_C3+0i} zoremF70yj!a{9U{_8g~wa&sUy{Ww_6bLQOC3#i0|BJC%=OHnZ|hpE+cQDiLv)zvkeZt zD%cz@slRFeMg2?-Qkt`S)lufqjSQs30Zc;>(PhSFGcsy~mPv6$9^CQvoX;M%FYvH) zI;N!E^H3?Hn9Psv$w5oFX0oztM5MiOGPDMvmn~T`SNZ1YIh9tUJoCbF| z=?Tj?RE^HXXBmHQGBX%JZ! zanT5rlp?~H%pInx0{TB&c{b3F#dmdZiMh_#6uB#dI~H?$v2qpqMvoQlCXpNZ^y8w1 z_jhB_M%L+S2V@4N6QHyZ$QZ!&s$BE8s@dG#Sn>n78XOL;Bj)_*ezJ`85IKz`( zubwiQ*@xRm_KMrOrAB9kpR+SRkCe&V3^ol@3NL}6vc#pfr~4D5M6ccNAp+**w~sj| zW8Wk(EFZfjN=Cz*xjmoD@gNSwimw#~l?K4hK>qnDQh!XDa5OW+3}4mTj6*2SC>!ds zZ*U&I!$KS9mY5x-#LJZSB<`ISAJa8BKO;&^`O}CEtluMswHwnvY@L9)M8SAr!|CChx(5OmQP&}iU9*}4V zfMnm^110J_ym2j!-PLauA2p-0gGt@@p4(Su@V2mmO>*>fyT-nWPe9eg;OYuPA-;e^mr{y9ToKEDt! zZAQqxZjhgQgl>G&XH%L{tsl@eZHMRbKb)_Q=WoROX&NOXxT8)7Vb2~YX_f0~c!8ayXDVILI%y26e)0Tw3D`$WM)|H;l>JYJqH27-R`T+18~T+YR7LJB8WodE+lL5zVz8$SR95gF<-u%TQzSPza8P@J;p7`f2?Jo;98$Ip3 zpV)AM)Ei!K>@y?F_h{SzhKe7V66kHMNk694SKL^=meDJ0*Bi&jLgu)viOE1dRVk;u z+>BmEOK;LX$iu+w@V6JxYbl2*uM3#l|LRbSF*o`6y^J@VMaTJH%GQX3c}uk8!79|@ zj*--aquCh8g?sj06xgRn=9NTL9}si8G`SbUZ%v4!{oC7%=E6koyP)n|cl~4gCQphb4ha*u^-|*u5G0Bc3&bB zzIcn(`#$bgC~$T}f5&q?VnpJ;t!8BmP26aNC$g+aitd(1`8y$x6KNHO-7h=@N3%uOWWaxVE0mveW?zM)Cdu>Fu$J(pm1??RzWoSSY$S zMW)oNh;^JGt9OlfsdM@gCy!n=P9vo9J_WjWMq58wW7$|)PtPA}Zr8T)xtO@qK zCcHWUV`C!*YxS^sn`7Qt);1YrvM28_F&mY=vxPypP$K}lVO+d8>RT>f1rskW?(K3m zpf64{@?)W=XZWuJER_XWU;kK050{0^+`47>s?Ujx3Qv3UM!cOfG}A0LK{%{TmjEh$ zLd8aZ@^zO2Us0RcZ+>0YjoyyBhYh${jy~oSPkRNvR5k9Ix;=645SdT|Nxy4%{1a1x zYjXd6%0`A6Z~!>~z@f5J9V%Tm<6Xa@)Eo<^AJkx#r|R;# zu=&Y#m5JvVBzCL>ARK8yJ5=TvFfz zpBb^Y6ZigF7Xb`x;9J*22PS@WBF3xasg*vHGh03UzG?<@JTuD&fPt^JSGpty-6DkA zgx8R)QIK`uL!DZWph(cZZ@Y*p5YI(caA51tAytkx+P!1shFJPl}ZVkq98~86LSM z@QUdQV3F@ciyn$U$P$e%^F9)`O)o(e@I34y4a+f1oJhwL>x^O)uITSLPcnOR_?LUu zSA)w5g0Ub{+=c@8Y@g=vOrRKhCOBj2R+Wj2vicVS{vHwG>NO^QmyD!!BSPKN*GDq| zI7jUCS2T7Qod)>ibQjZvk9g({x0h6X`g&o%%168E6!g!WJy#jQr~UW-zBmf@G;0)S z%TrmNmU>P!X}t04g%B$j$KJZuFBNF!YH3?EU=|&t*nX4$*k|?@@B;)paFN z_kT2JSqo9ZfAoIXx^GT&n*a%$ZI$lq!LUE;VAxe?yR0({s$eqde*uLc9TN5Fb}~e6 ze%}FbbHAF0TfCqj0JK4gd(61>~t`pHl$)I!QoD|BI=a-u1F}F-bbm z)B5nTTY1&*^!d(fXFXR)(HYEOfTDdmXUu($bvJb6mWfZjG)TSRDto4?PuG=`J^XW(RiM#zqu+ z_88rzv%^u_f%yjQLr>5?o%t2od))ua7k%n8Q(ysFb;7r(YPBN%o!!N9p9etJC-eB! z`eb+1rc>*E?^^vJ4t_bHZ@Yi#3YBlo;SmUTIZ+)rDEI|<;jSAnqKe+SS7OIOj`$w+ zRh^)5@bZD}A&GVA@)U6E`Cxo&Z9~@R1i>#&I!QT;yEpW%5B7mn%1hU#WO=t{P%D)~ z9fMz}9fMcOK00||=3vV33zhQH-7&EE5Q9LdsU4%fP&)<#Z)*wuH-@}WShRdqcg5S$ z$=(VxR+zD}DE$9vg*;ho!(g!KTp%#`AgKFKu?F?y#3$Z1A;2L2>z9XEcvbHIn-Jyy z{m;BFtZ{q?f>g$kgJfQepsrcV46=B`8qh(@+ zefQis8Mcpqf^6-L04IzVbUZ+PA{+yNFtKV~1`J#0_dzjJ3_vkq5CjS+qsdrKamr~J z#mso1fnZ*k>iVGmsWIw%+LSEf{$-Dgen(GsQcn_)4(etAR6bT*CddSLkcXln6vV_4JZLHMelW4ha&G-YgH}PWQ!68Wc_KGJtqoFe>qurG%n%|d>dY7Og z&9Eq328S7|mgRSZ=3)g>lHW0%|9fY7#w+Vt&>48JstgG)J= zcDi6+aR@F98!r5SCevVY`q*qghr?WWu~n_f3mfHNVOwjqr96U{?~3$x01-9-aF9n~ z0LF@6VxH(-i6DR$8ePH=8^ftzeXZX!eg<9(p+WKQ{<)(|5$1k)?0hSp?~?w$dW3a$dyjM9s9HuU`yo7lEJ5*xUfj&*JpO zInU^rY~q(JaP}t|s}z}jvne%LWm>43eI?%u$gSb^MqUfWCTn7Yq^*Pc#MN2jC@0sO>PSdNI^E_@4#kNJkN~| z$Xps62MV_u(hMeK)Ja&hbuI^vomtg{&&z&9n*2;^tjRo{$||3zc4UbHR6iQ|=z)Cc z`y!52APYvcwN(TbkhQ9!9=$;$`)mOwROk@@P_^x~pJHBk<3uTlC#zK}<&_@|6gVss zP$3b9Yaswu&P&4&wovr~K#CQ3cy(s_;&CGt54N}P-}Oo;FHMyn|88mQ2nh{SwEuR+ zWPqv%XREw?Tg0)Np%bM~d-O)~5~qUhWdLMR)~q8$x5Eg0z{?-tq}_yNE0!|Eqm3ri zHbHJ<38-v?teXb4AfPWscTc|CL#cwvk6)Wx1N)OQgoq#hh~0u9a7}uAYUWYf>J0y$+fI;ObG2Ykd2|q`h5#sfRy;F?}E#b(`w@y`=q+qI;H{An)Pg1 zA#VP66#l82nWnE;3kKkh`RLZCD)4y^r|(hbe{`-s;+)$T@izaKnW< zt09x|uHI{IbdqF4{2*y7J=yT;Ti&vOvhJyrbL+bS@X17F-9EzB5)-a}Y8$pcB{onz zyhZwJa194Dgi|NlG>=JR^>W`VL-gUhZLHaAj-|0=OQd&#C^RBaZT=3dy&NA>_u9&& z`#W%J6W7UKc7NrttuXtTFEp)4vjc(X0pGx=dZEe2eHZ%XcW`pkXq{Z51(22f7HRbk zG$-a%#;FZY80C0=+b**X8rS=Mm_07*e=eD!qM1pi0gy8Jf;y zSvR~86&JZwCG_ya*sdA!NC4|)D3wK&z%LV!T2;j>>Lv4ex#2|=zmMR%C8p_)wHFFt z3Vu%{PQ8h8u3e*AIa>N0sio=Lb#Ij7^%;*pU`Ly^MWx_HPzZ}W+scmqQ5A`wA=WnC z7`WlcQ{lAw)4b%^!GkhL)5&?){A5q*e`$Ud3bzETo6}sIwgv0z)$+vR2qb@-t?G}B zY3C&f^{0?CY^pm%X+n&`v4i*N|K>r4+r2p z%g8m=cxFQ~bARF#@k(XJ!m{aST_m#(K@oz<=sbO1pYB2qJ?KZuOL>v^lxLa@!H&jG zek2)P)kMg|9;N6PM4jV+wt@lF-=1TaKusd3CmRqH=0y_z2f3s1xtsuZ(e-+~*ef#! ziapzoHJ~FtP8B4h7XZG~DX+|A#M^1v5#I0?UIhfmr>(U?7)G}4bfHYf3w-nH9sgun zd)3su`O&sN#0|hoIW@gFx(TfroE6*kdqU}tEautMTFf3~)GA<~xP85?Lt*vdyf6?i z5QfiT$L8*RtR$syInNcRh!Fr%9AI(nwzMKB@T6!kMxb@Udn?ePb->cLUm6~Or7#Y_ zKjyOwh>f3AB*jRCUn>}LVZ$y=J=~oO<%M3iX)NrpAm;O6bAJQiTjt{$yxsu4ZxbNF zRP|cH?bM?8YjSRV*e_Dx%*#VOCsSfN+0=fq_Hilb2&+ooU}A$e9ilW#tSLY@g-w!q zPUl&r_D9l$qWKA;N82h%_6DIl9!v!*4&x* zEF4?^;7f2zMm~kq$k{Lu^gO7^s>!Y77%)itH{0hbakWreR|alMA6h$Kx2fU9iNUjI z^2TAiV1=Gy?E<+-1|+T;wu46|;?sJu6!FPnRtq6xhV!WFs;m9dGJgaB7NEEyJq;?NZD^%LwhBA2mSj7Eo-DQmAtZJgxBU<-vMTfLg40R9YjZ7zT}&$-U0Wo z81#rGC}Wg?h3n?$_=1@UZx{G7SSBchDt`#>#>aO%vgVEwJY9>PGE40{}8E+GB1+gY%9(dFo@AV98wVJ?Ev zu1Li)F7FB}R#>rOHvgyA6&UvNr5yaHE{Z{z)%4j)ftbUKF+M;Kgfn!iwsG8CtgECs zw3sgRfAMc0^aC)_%$AQ?zuyHJNB{#eY?aRIoF{A-PLR*s!4w<;#hEdsH(L`irSA`~ zysz+KMFv)6U_}O2WZ*x`z@gf07MiF&r#qO^Z5FxjfcpZ!#P|Q^cTdEE)g8>MDaVCh a3(P&!@*93mP%>75KXp|tm2~B^H~tU0TblU* diff --git a/docs/source/manual/arch_lang/figures/ecb_allowed_direct_connection_inter_tile_example.png b/docs/source/manual/arch_lang/figures/ecb_allowed_direct_connection_inter_tile_example.png index 156e8cb20028e2e6f78ff78c89527dc4f77c770e..d3baa9ee37f3145f910597ad5fe1f0aaa01688dd 100644 GIT binary patch literal 100259 zcmeFa1yodP6fi2?gQCFDpnwVjL#LF12nZ%BAdERopCl0(;`xE)^b_`T=3mn(1~ z7@?|%@GV667KxcX5PMJ~9@Hoi+$5`TN*??Zl&|9Y_+spbOYvz}kr#BMv-I`#%?&S^ zCpTDm=v%$UIJo(^M!t4``O3ZMsgIA3U*hfH>=#e`jguC!Y@(b?JA`)z4>wtLc5aDI7uYb0`OYYX;f!VtiZXMf?`#Xa&P9nfFMtj}Dt z+p|Zg68abK$gS&`J$p7Alus&La=bCIVUe*=)0%QWmW~*okdi%~L}D!KRs+0EpMiDl z+3@1kuUGmex3)51PBxckW8U5(@{eq|?b^!i&v5p1&2?Ir&b7@gxPE|O&42#WaDSbx z1}-fBNiRUqk|sbDn7LPv~wsn^16}CgM~#CtSZ~omxL&g{{LQicr4+F6k|p zYMMzPO4el%@SG9jA$VGk1X@AeoTLWs!BVJq{eQNGIa^x$!P8tM{4I!{=r53G@RhVP{QfHtT%QV^Vk* zGkM|r?@d<14`q5?c%TqG7J3Xhx?5p%6p3A3$GO0vVZ<2`ifFPZMvQ+Gj5f1 zGn&oL=c}|aUnj|HT<~!m{VYmGolv1SL4JZdVp_1KbbB52Q$k%SxE(j{Nph#|8Hot0 z*4=MXyrDheHacE1fN4Mx>7#LCV~q?&C}@(bU5>p|DmX%mdm56%*bZ2LAilIU5KX6> zSTrkvw2s`zLQNn}RuggfKQ|Yeh0cUe7wbM>of1gu3l*v{N8$+dn44p~&v&DK*&*G} zJ-iW~6gVW8%xFepTQX@r!iCXTnXa0A>V4{BCg0CH z9L#89Q)hZ~H#=LlFt%{uvYv9xlgjrw4q6AoZ6XHl{Tg5~F0TEZ%8 zB3QRyVoGEGVdzHU;%Zk_geDH(!potVG>Rn~@V4E*SnnNcO$H1%`*{%#Sk|3l#Z(`ugh zGfSF>J7@zseQ*?76D4CBlhF%Ox8&Apc`KtdqEr(su<%ME}dt^P%x9Nx-Nb-ChG3+F$S_Q1Sr| zI%!cdx65@A;@h&6#;vgLGzo(~%}-<%oL#(HBa*fsz8o6Q6i|*rjIeKG^O5t&o0|dd ztDVV$0^4i6YiG^?4@TLm>A_%T!ycpdl5GhvH^52MEj8 zRO%fVO*9cdFtGf@8DNg|)Y)OHR%j-YLaGv;vkf^$lx*YMS$&rQy&rNj)Xr&d8e4Yn z*i69m>9!lkH{*nL;nX5Euk^4o%$~%}5#qjiY8v6b6vI*#(X)+{2~ZHp|FYu$stWy| z(zpMg-s~06?ZI9XLCrDXv!5n<`%fpVflWGa&zt_-8Ea3tzO1)5J*=vS6Mm7M=7t1E z71_;zmA&9kgbty4svc(?2fPUn-xC};0sgeN8yGgCgTE{`^NxGKoxzU(L#KNNa|k=` z+I1oQCQqqQo)>na8+3;)` zuirhjnlMW+Sx*GTMo?^~IU`K8w2{#z;tVY_|Bz#$Az*;5{gCW9E2jdQ;xMO#Lhh{* zu6!>q4WkQhoz@4fdRApt2CPt7^T%xNvHpGO)_Xy?CUKuj-5Bmb z)+K55g*8o{hO^XK(R2Sv6~pW1Bu4UJg~M5nbuID1(z#Ym$0xv>8P>ZQ6q}n@<+mXi z&HO^6?O$n1F6$)nFY#)USNag-suN%!ZUkH&O`-cG1J)o)6PTW)vjKe@wFKOZ%+KA$QAK? zFX4oymvQnY_&2QlSz}@mk@^N-Z-5;cAN2^Y~we z%t(Gl#Mv*hSfa^qb6<|G8jNz|8FOEsd7*4v7z{8YHP9o!6S(qO*8q>IndA}52XLOI zmh9aD!{g|H;X&4X>$yCFpW=0`u>;oR1EO!}ZJ+z*dXNQq0HsF@sfas*<4DS8rhp$S zocWY-xrasEjJvoebcr6#u|pgn6X{VR3@g&Bg$JoHWjf&@pAdD&VUX? zWWr*DPL8irg^|26mg=VSoM$|7S%q=k*S9EZF-XMmL*@s}d`rMqo3})L%FvLqGiRSrvJ2Tbl5DvM2W5c8HGhrX>Ze zr^QsQV6l6q98vG`zRRY^O`ME{u=V}N2RvV#Ei94SY?m)MHZ4xpB}KLa+V%4w!9NF> z$#ahVg>uU$Wk|D_Jxi4DeX$uv+3 z7klhRMl;KHXEMr1G=qx$A^H1~U}<4F zZ|~E3`-GDF_nBpu7x!C@XM*Sxr$`{}PzdkxrAJS}o)#$4S~I0#GiW;d zfPB_5^x((awIw^2>mx9m`*9j;$yHitItD9x4MCU4d(X9io-I9oOJsd{u%9te9B&eS zEfChdBv;IYwIyY|3tLhX_&xjfugKMy&Wr4l(~~KB(h{_gHSyU@OxUU&q;J{RMYEIz zG)7Q{AHPOtld+5?*M4iEUhi-eAI14Rvp%l(lkvbZ+l{qhvU<7g=_2fXZuC)8!?o#T_>bgv#NEkOxQ; zEHb@nx__ZA+8NStIII}mao`EsUYgZ;Va5KcD1?!dss+;Rcs%tJ$63q;yd8F42JAcp zvGcxaI^#(2-dy+l(%3~V(MV6PsqvHEVP!MULE8JBXPaJ#Wx~2NAva;9B}G?RLycJr z$L^(gs!-PaSeGi0&Y0^QIR`wUA|=l~5zVU_4og)n{JyY6wi0SntQ9tB<5n#uoYWZ@ zI{auC#}XAYS)wT*v(qr7#x+UXRiZcxTq6hZ#}iL^8`7C$#rOKz6d!->bIj!`10+{s zgMP5VZyuI?8XH^7wf(6>Mfp2k@(R}r0!&pAgy47po0PGUQo*-;>~dk%nDlscUmI2j zIGg*!*S#hFY$%^C*#oP~HxvuZcs4hus-IIIRQ_p8Uz{`mGD;6*ba{_gpE~7s;}R;EI|j+swIt>(xOVIx&w1Ky_ab}J{bM`} zUr!L$HNY=Xo=-=(F(~_;Xmp?#u<Bhfur~#bfe(cF7vT`D=YyVOVyGa z>642U-6!=l3oB_Kujz!tg1yvT$d&&q^l|!b4)K_B9MDpIPHO@mX<1|2GpF6HH6f+3 zlhIa)qUu>pqm6VIvJK$!MJ zI53}Gi#7AbnxP}fy*i&^QmD8(mBSAgK&t;`1vzUKdyLGrJZ;csB2p7( zNpluZ4|2x`W8IIr7sy${C`%JDHds{Np2&7iXJLg2Nnc{4yp>V!(h zMi%IKHc#eq=MR&SKsIBmvrBec$fNmQ)R2Xo%|*vitUR}|D6p5tDjVAI3`#AhVsp9l z(pBk&fnyt@Z{Kj6R#cw>IydladJFSR{VLrmI(?)?N!n&A%qz-k(Fl(qCfFz4 zRgSWSKcXjybeBK4eu*OAYd#(Xu8y%Gn+l()wj6H=22Atz_CVfcwzRsCy{m~NSJ2w$ z5nqF-K-Ac@xa?_u#^w!(qt>h3NROWqR9z zGKFl$muqEVi2cQj0)oZVPUJfVo)+W{43MYy_^3s8G0<#@#sRVx36vJdrkuWM?Suk< zHg{&qi45{wJD12AiR`mlke}ZVm$hd`&=<=_2)fme?}~9vf%;&54so?Z$eocT;)SY! zD9D3d%{NcbEYL=-7_;P{!e%<87ayF(Mhp=v=){(_@aVYpnd&}SB-!qG0RV>$L;TzW zbQR3uTXPp{p>$3WLEYNK(9Pvii1y@L?{K~=8~wh5NqaPp0E)b77LuA zmVuM~s*pX9VU%`UuDal7Eea9Auiug;apikLmtZx?{W4w|u1!5Xyx`#6&ZLcb>M0;# zHkT-Nl8E8$DEH?UzM_ns?%0;)SrRL*@q`G2;kB}T#W$AgHzdoy-LC^097iC z>jEU9yz$=+|LE{f9{%aY|3~bDXq6DlX@zuer!&kS6@SK>hS@#_QURXYWb+Sqil~Ze znW}#0AMzquf3dZZ=Ez7`61yf^Ci#IWb_<6v~-W_7=n72mFA3RA5NT?xQBhS1kUzjQ^#XQ5u?Zh$=dd;Bv=S8oWfdrXyfCIyu$la zqc(eqifBeSe(@nqi00}eXNtyTV2Qtg=MehvuIFP4quDLiyB_4WTn#67mxi;WkyzLV zjwR1Ogj)u zNI;vcEkSWqhK!i!H{wiqc>tH90wwoEu6l-%qdpK$N9mgs$<;k^WZC8H)&RtSWPe3m z9SiZ9qCeqYqTE4(LyPSAHd?H&AVix>INCr#$Kp0xia*f$o!dBW0oweD;hEILJP=wmceYvji|`Lq&OgRN4ABNl zKu8KN<02W3!YFUoe=Pr>!tw~>9|eRzk{rnhssn$La#gP%Tf%>oG6WT_)#N{1PW@Tx z_@iP$;6TRbKZ4!Tp z!}TAp{YNeOXSn{P(z`_2xbBZuWLJ>%&sY5mPksrd|Ai;m(CvR&L}~;7QyjkILU9?( zZYPC{Mg6O>$;V%nTjJOBr?iH9V0Y5;`*W zc5Djr!*hY1C$e2h%PudCjnbXqAViO;RXFflkV~Vn*N)+s*DgPrdke$^)Jg0kLRX1* zIbD!qLBIR~{sx0g%Aa)7{KOfN4Q;dTN)m)ej{UNy7U2o)D*n6SA02Q(?f(<#aH%QW zU2a*;Ie$e>X=|-dH+M7?6t{No4M&D#vK%16#>5~$D;`%H=6^RmTziont3f#k>G{k;v*PAAm9BDaSZ(lNiB-eGbYH7YW zcvUDAs=WV#em1D3k^IUGfPV8VU|<<(D=6YoW;3w89le(3ZE%a z+_lYL)B!UmA^M3^+UMoo{Hsdh+KG>DNmN%|vbjH7{RY+CLL{Yi)f!fUzPz)=PwPJ! zpU|T({f_YmhQ~k1O}uja{*h0!G(QxUj)?f$O)F)@eB)@QzIiBx;T*?r`}q%iib*gE z)Y9f84A|BJ(i`VPXE5{Ot`)~LMcB~G^B;9-_?u``FAkS32-_QpNHHAKbMbnF4Apia z{*`Xo+F2|eFiSjKqQ$3%w$ibYyN2)$^K}%l9tigyZF~RZ+j z(Qn4Jo(7}fHQ7&x6F1E^PF0x%1MZnqnqwk@dX^LupPHT84HTI8CP!KbiV3(SBc{z9 zCQ6BzD1VjNYe5|_&>vTD7b{n#54e%slR@_@!x_I2iAQ!SmdrB-CJU7zB3Am*Vbz|z z56_jL4R(k+>^B)-jk2b|G1JVL=8nmHefeYA0HP-Tjbp5b3fz<(xnH{sG>}}3Q7h|- zjj1;u^)XWYRUV$OsB>UoHNV~QV<+l{LgXNdTo8@?bI+J>bq>O@ZV|u(SRPWqf8M5qvu_9bC3gb9R^;IZ4N>n}z$g{ipjpFM_ zgu;eHJ^~dKnLC#fRW5ct6%+k_XyKE#f}A`2H>NdYvVg;0-2_e*DtYG(XmId+CKCcUPr>o~m$=e{Ou#K-5PgEHYhLd6Kyyk6n>?~TJa)1+*}~qy1IckT}J;mq+Z28u^`ct zE+oO}u(%aXambAcyRIvU-FWk$g&jxY|#mMl-$7!X;IW%Z{ASqOLxpUSd%cVdc;jk;^_I1EKd|7OuHFPSR3wZwPB0^x1 zN|g0}oG?xIaN1i;+eWF_SQX;4sf!Br)v<0wi|3f#McJ`0b&j$KYerbQ)BJ``Kqd<% z;1MB?N6zA87I+yZs4wK^Uq_!91ZLWekZYQ720kw>dJK=Qf54mRU=6RHAgABi$$ql$ zaW+<_x52OiVB9XBD>eT@KKQ!GS4zTkjC@_-wAdrQ=++NJ#F%=c?w+u2_~QBBC^A=t zLcIBj3|K{@W!|t*zlzp3q`&>zZ1W=B5P5r1=$?&k^r*1rSoCEkKFX4@Q(V7TJ6Vmo zd;-CklF4|DZK8B@HWc^n)7Ol6;ml1ub`ZuT2vODU^Xd9T-iGYA=TBr5F8of{Y#b=` zKbNq7f8(2Nfewxz-ZQ@QnY4}a)LTo#$U%!JT z&5^Oob#ntbrD;@xaZQ}r6XfA0ocEtM+Z+{?s9rHRUq3PD52TcLr#G{nn5PrPZcgHW zb6x9;YG_lu`SG0Y&bDSg^JZ-%N=woY zFc#N!Ji{bTmXq%AW~d#d&%P81mpY5`L8Vqdx8+|gGFW-sDJi4x`?@FRILmL+p`FPR zU~FV6T}ZGk?UppfLb|;DvuTcRvL2kmt_jY?tNr$NJyxDA<}FxbsK9ta`s4p^p>%&HV6dyw4{q1`gKQbVI42{7o>5?I=*D#bW|1O%g$b<;z<(X@r z^Sy|J;8WQwLu5Fgn4*S0+25{m*FbYj%EY2_TS$XKh08R?LJ)O|B}R=Va(n)RRztoQ z3{&NbHIalFxytbbQxtApdz-)ap_|TEb_SUbP?B6cHAlc^{*Xcjg*tj&swUlfS5c%$ zUK9h9GACKW$!cj6cfx*o-I)??WY=}G=Br{wXa-nnvB>R}$_jTY$90*yzK{R$W)v#J z$IMCcy=-x0sjvxcq0JYov1j}4(A4!Bxgk)D?{%8z=sVi3NLbqYy0KA`Via6R^s=wo zbcq|($G+>>VKyXgSc%=X&zHr>V#b^U+5HlVrCkGFy5A{k&E$M7GtQk6_~h;LW^-if z*317W54}0baS#dOf)=+yZsd!N!#r*lq7D3bPqdL$w?>?8efBfnAW(R^nL(5BdOyY2K4#<9Jp=OU#JD0)U(=)%Cea|#k}pU6ye?L(|1L1u z39of9RR{CEJh!Q97b;IBaMmf?F8_qm_wNF{41G4G+J|Z**ZTS4V&~BRiJFKpRXn?& zZlSd~w47$^9(p+7_@27pkH?G3HRA6RFh9NmD;*?XU7`66dSKTxeRrVm;XH$(((Te+ zbiImxbAV0&Z-_vvg0s8F`$Mx&mc5j;gv2q}Z!C4mWO=o7=|>trqa{v8pamB!I+6cj zuRuU_9n!{VpEYVfTQ?qXP?|fv`%m`edl{IMaAAKTb(`i!&Z)?-2#bP-!)`jm=H4gi z666E@A2Q^Aw_MSgEXQt2A7Q{*t|)ObH7<3Rfu$9HvB@c?6YF!~-V`XGs^=!n6vV8O z&3z=l$D0(GD*Sw}kZroVhPKSCg`XqRb<@oxyu2P#T;Q(kxbh&0GDL^NsZ#m3)WX1T zQt!cJ{4E^ML7aHQdV!|@P9U@I^Xew02U5iYU1{mR8JHBLv}0fp$dj~TKa#KN@I9Z+ zh7cQJl}#l+QpJ)3Dpmqrw7Xhv&Q_yl7+EZ&-{E1g1Zj+tPC~!Y!{OgDuq%u}KcPOd z?E`|LE|K4!afp zpFI4Nhkx?$ukrOq2g$$2*ME(#{~BNa?>4^9#Hituu$)#gZHUr1hbveJN9$Bcjv=nA z==XZthF}~2BDTl0yjRBN&q&`KUJ3A&nF;h1E`k<@sxk;71xZ{y++d9&8jY_u<_mP% z|6UmzwOr<90V0n$r83?cuLe1g#V$m9ab04N%uu)d9j`PV9y*qPmkd+6$JH zCo-aT)eCoZmw1@IT2?XOVZ3uS% zEMj1Syh#D-EBkPrRRO9x!7&P;o`R_6aFkR-Pj|J?uF*p^r}6Jq)6Csp*8G>e{`hFy zFOM36lEv#!B#KId`f|q0uGX$I(*hI)xB?X0<4|16LusUG4GV#~9Y5KUD}oz1jxqQ)?#Vy5;MeYx81*69q8=)pLD*y`9oIwgwhHj{(Eg7+Sj~-OUoGNY7d!9lkR>P< z#3d|PaQ6@7Dz8E5i6!hJ*GuJyv&`Ww&Gq)de1~C`h>BubPN)a<^{`oL7a-QY z$Dz3PIZD6&YocV*H!eNRo+4lE>?ZpYkTd{0-yQ9fdC8qna_w2*6O`Q=@=GFbDXZao zfc$@?upA6;%-;>;3+4D>ws%go)o&sniS!>p`A7p@!)B~l4c(S@T`;N;vs**Jc|vVH zKVH?qWP@77MeaWc-5eJXI;velcSTL{{@c!x}W^q@bN3+R08#n2XIZ6?0{@|FL&=ijon~I}VWdgw<$o z2{gVLA`-QQE2kWkupq;l&NJkowfXuYn2h+<8>}dT!va=iXG*hoMZT%f+ihxvDt2oK zvmVGPJL`XTSU&LCjS#)~UFasCI)hz;-3p^{TjS7+a8CGuB?p68zu6>Q{g@RH-NxML~?Mpnh#Tpc%wSf+J{oH2_I32>XZt>?&`>_UgrhfP$a6^nX!V=;7zK`pj$r2r4`R`Y zneM0F9u&vQZA5PSI3ZV0rQ~o-X9_p=oI=(o25#fNi=8I>0I8mA5aoL*vQGny@PA_T zxG}!TnruU6u%M4MMT}3xm#^1R#Bcd^;~0BFRDOMxf0u4Wa?)Q3)McB+GUPYOE)4OJ z*>e=kHKZr%GVZkZAtuj6e%X`Z8+r1~SS3-uc7j&OsmToLzb++~@1>f>a*G&;p376h z7lubhQFdA7vG3?|xw?*Hs#R%*9N9;2Vih9 z+w3!=A^x80Cif!kW%VQ82?j%&4rk@11#jBP0=8o%qN0g+ls!Fm>n|M#@Qj@WfK2x% z*~Nd7Nkg4L@}j}2Q(*c{rS!_oR@QksPJ8xI0}P8JdhUgVcH4QJ0RM-Hu1w^0sD~{1 zUftWssf8j+0`qEVi?2tQc)E$4e7d$;qk)CN3p?voM@C|w;LOuc&x7qkpqS z%K>^9&ty%qAHv~i)Xu5;?fzLUOHt=8{Ti)S z%%2i|HDMnOyfROwU&C6NIgGhFLJEe*=q?Tt-AQJ^iR#_|114{0R7(q z`XAnwG0=YT?Y_!=HvQWnE;y?o!q9i%WGPu9`ErmCqaKhhcMu(;T&^Gm7 zLDX-YQo23dIpbU%?sr&~Mem!~6UoYND#aYkrH&q%q5=OqSVHQ<_LE}I(mbM#UXQfX zo>rv@MDiA7HQ=2YW3P|Om(F>3I4a!!uhQzNn9Xtv*c!QQ0c+#5e+sJ14YavtZzg(D zQjjAokg2&*f=*l%_xr2<5MUHifl7P*aA@ev*i;PPMg=diF5QcOjnz&<`Bakoy}3HF z+NyGKuXO&diO@<9O)v^qu+EyWdDy0!Q2S>3YM)ygiN#r~IvTo$x23fcA(q9*J*nyG z4ck@!#=O|OlkC)>i3bfS=w6w2Zsfe%2PqQ2NKvW%pH8ck6q%M_$t?L-GLr$6Dp3zP zwyl%}jhI&BZ80nTNc%Hm@=d(q_AJ5_PT8)?N`LcGgytkpdeZOq;yi=6In6>28CI0% zy8@``^C~18(jSuk2oG@n{if3IC~>cL5+jQ$$okY-9%vB4k#K^(!=4CsLp!e#iSjuKaUM#v z&frY*8nCJ!lk7@3fk+b}^K1P&ZM5e0fd?Yp834rO$csr^>s9}=T!rY2zJm2<3wq&_hb>-GZND$*A==ewSoS59!@?h|pt=hQJ zFAkvyPmtgl^r>sf0!P5hkrdWZl@Z7mzryCeey!wAH|Mb`1&9=WLY^@W6u0d!hLxAW zl^i}_Vd9HQudMQ?CylDkw)TmWJ~0*v2jda;fsB%G&CQ(Tnnp0oI!~G0ip?t*P^eh_RLCt3)0d72 z$zw79PP%dG2Y8}Am#grrDSTMc5hb>cbCSBtE&gZ2i_!5)EmorIQjTWhD>r_?!icGg zj-J%(IJCZQHcH|Z{!}uxY8#};upqY8mwpA>18-gHBP9@~`^ts)+I1(!avPvKoy zWh+Zt9@}qPX5Z56$8qmUfuT7Gg*nVD-VsXBj|4I&P4BCzXqp+@&nn%+$?U;?eds}_ zkdMdHK0BY_PJF&C`6d+so{hzl{(g5eefyS|^kMw=K1RW~@nccm`ha;_g82jq>Kyvg zW6s`@EhV2BdN@l700+MdiTKEE#i;mHY!y`uyc6UjOP@(2>GGxS#8+e^br}y#LVd>ud95efUz#5;m}>>*0GRxeABp&nC9rPM<;eq_8FojP?@YWW+KLG^UN|zxxb} z{Y+UewDrCAdIm!`r*~@<`rZEP#Y^G6_C8fx1BX7toNrvZZsXJ1^Tr3Me}ok}r+Jo# z1k5nI7~nc<;n5$YoGLO zk~9q9KhVXZ)yytnM>IUQ(lkf>>ngRP)$4Jl5d+)TGA43jn>Z4 z1Q;TN_Dpl~LqR3z!7W=K-cpxA3)g~&qfzwwCE*$Xzy#*2Gll`-&6~Bs}AcAHm-Fuw6Nu}AM)<9j>BJ%|#at84H z?}=@f(_0K|!;ezxD9Fh&1cWyqJF8oLkY5Z~R@~6Tub=Ku>iK}B63i?tFGOO8hG{Lh z29xwz6HN*Lm+v ziQ%(q>@+V&!lKdl2^yNlAInw{HoFk5JJY*1wR~KAz((EnB-_p7Bl_`TeIOIXO@VxE z8P);&^zI{!6~E_uo(=@lJq6RArP$fE^vrsfo05~KQkrN%TFcqaWjjhGSLC_bJ~J5X zWp*zuOGftd$X%s97Ue`~eA|OVdw8-~UOjxx@=C?Q<2`mXR8QjcC9_BA)?NN*KNl*t zg;igiV(Fn3ws6Q;3N&3ReaDm@ zFCMnI;jTD@Cde`4KsF6M_-Nwf+Id8=?fp_ zEn%;}j|ZBs!g1z}^wx_yru3G{-jyvfu1;DMb-W|MEIGt}7|cN%c)CVVSy!PEkE9%vnvZ~71wAt2@DQJH(NR_sFNMp`GM~PnJZv0_ zpj*`6TIfRF32d3{al(9|-C>;B8x%rGNqoqdL@COf2O<#Z_3tsJ$0x{53Iz4XGYIpi z>11151CLHHXA*!06USgLHS~v*sYv^NPV=$JQ{rTu?R*83diR2wKEPmNWVfL?FQhad z?|h(T-HM7Cu{#0!S_Y3lejlUgT8M5q0Y;bE4IflcnzV}#-)Zl?d~dS<1T+!Mxxv0v z*#MGg&)`CIU*2>`--9MX=)Kg#La&vt7EZUgwotgu66+UOr4f{QL(Rmv5pIfLgc~$k zy?0O}R0A5$cduVWbGbb(&R&|4N6hDCl($c>`&WFG&3(?!t!j!>9WYr!FgvKUHi0{V z4${u56WLuZZ{0Mn>ZQ$hgULzYl?L;Z+zN3EHpP>VFt37T38D57?4;6bK0hA!<6K3B zTB~3eAki66*GjU4CdQQdwK06;TnHzp5Qmo>KVLxK!#Nc61IIHp=he_<5UmN>?0tM6 zxI)8udQTL&fI(bk)xETa(ur0A#metkFjam~@5s_UQyNPXFpuou@+)4Ktu)hVK`>UP z*7-;nSf^6Qelq^G@AsCcssWm8G-K@(L$zT8Mk10gj`XiV-8Iu3uVZb-ht#wt;SxClK) zep1O{tvHSV%hXuQMhg<7697@GgN;-BO|d|Ft8Wm@@tOR1Y|h$8M||^>jzV0(<-W zvz2V@nsoaSXqzykZ6z|X;u9;I=@6;CXEeS(X>sng^QqmhZgGVOdfvZnIhEI*O;v6j zmA|31)ZQV|`zIhy(e&MJ)xcWn1g-TL@r?;`C8)#5L9(CR&PHxZ$#~v_U*%j;*%&v6 zd-3H0mS}J`;Bsl;T%poSEa-4nad`MGnd)@w1CZW@`^E3qM!G$djSyp0r*Eb1V%ass z6?g}z^Zs&a_oNQ4_5-dp_ zLv1R`%?WY52_ie0>>~evV zxxA%~Nwd0NC#Bh1YAR_@lk1k*!eLh{L?lUf1UCjCg$Ix#oLLUq+N|yTyqw`8W<~P? zauesD;a{;BXx^ZzD$wQ_w;An$&bIA|O-D>lVP}WkFD?T)(!{ay#t zZJ~K?-iQerI>&ATkibF9IZAaP%I_?%eIN=dMjz6s{?x$))Q4Hn{5Dxx_b_?A(zgh* zjf5&JQ#>a7T|mRxLElFHfuloM*w|zo;?_f3dVFKT=nNnDX zwU3{jIdhxF%cId>$i0k$PMmfiic3fAb*`Ojd`riIfE~b|y})^mvh4wGXn1Leh~C1m zE>>r5wbR9>W^%3g^@{5xv_<(-fxftXwWVrfTLBlqP_eqhzO31(o|ZWY%fW~GyXIX0igZ~?9i0A0x%Zwkt7!e zeAw*bUVzyn$(WYGa0eGOF@?BIS7%S(A{qV%Qr}MoRZNYb5z!=}lx70d!KxuTEK3b%VNc953 zpPgzB%)TBu2sCSTb2IF!#{cWClCKK?W7|IXkFQIn<-f=?e~Xm zEmgR;v)U2-cF3a%w4Gev)gVt{LX$k>E6|mrHhoZ;kJuc~iJtc}9&#u4@ zV&T^D%@L4LVmVDw4nAOc*L3(u+gYORXG@hM92M)s-%@zgRln&w4ZhVlllce~YKDUT z`&N|>n5y3|PZuh~WsWfmWf282zH~$OPkcclBkJgRhTXyByx%~!Gbb6r3p?nOR&~ZC z1z$pET!`6I7sI*mnF<^oAIl)F$*)A}x7t1S9UtwCIjM~tFHfr5$8$;wv@ZO%k}z0_ z?6;K;i;`jMuD9dn!0F*r(CJ|&H&l>F@O~LK&9727P4_U1C|77s}1f27U#iwuara7h%j zL_Bzo*Q8lYX{2i%bYT^gNa$P$@j=57$?xD~b0@TK-ZYZiAgmMUsNDmLBplqzJl=4N zFf8!}DAn)c+vB!4Q19;tAJjCYgf4nL+7UtUor<1Q7J-p&-b3H#$paz^(J)~_28EPo zrl7Inz(s=S410#A@_y!&1yGRSTi%25h?EBx+w_vL%4ZK6KQchC7O$T{II{uuGGUZU7=t$nM$bf~ivfj?OdXj$+#g z(`bG1K8dfESI)tZX}XuB9`R4|Nw;_Nnb)_yzxbC0d%<}-ydr4pPM*~5d}zx@U$InG zZI4D;0*`d5G)=OIx=)CC?qrrlorLfF*%EjE#`4(oBe{ZaQ5wX_Ea}j9R|hteX?XIVlf01bPNQxY2uBeIw zXIJILpsm2Yp1Pm|g(#1^^FD7b6H}Rq!-5Rl`FoD?XhCg7=Dfjp+=7+H7p>e;T2OB@ z8Grs4vb%#rX?JU2LnIp&uLU`opdB01stgmF;Q78RH zr`ADr)r#)Ax9@%5dFXo{fJ-4jpBA3pIvjTaR4D6`E$Jx@TU8ricWO80oKM7kwGopv zuj`B>c*2*oach>s<8S;2r%|KFtq!?VQRfPVImqIeXN2K2)*lwTqWj_|T|n7tC+E!G z+glwrE6URkCSg|$TWObFZ2rzPP`!?rI(;s5epGyA-ZkZX$S#oA+FBvb4Uki>(ob;v zK>a`FbH=12FvR$BXIflbKEduXz7pS=JRag}WlE{PMm09T!Ls@yVe~_s{(# zhsV=vc0TF&akM@Y^xssDU4FG_NhR$6&LAy&@{O!*XJ-(x6FS^djV`bG@TwEmu>8sD zP#+<~C_H&sAK4T(lu(J8l-cHBy7JX;-%2u`8=cB3x~fY}$AfA{<)=wU({T_3yb|OMM%0{&$y{EOONw5$-$Hnri-88Fm zUrDi`y7LsWSfm+5?($inBOqC2xUFrbN{>S*8!I(3CEN+$q}GZ%B^DIKZ@KI(S;*&FUO*X=9WB33^w^)pR;cRo=t=Q$?tAt?nU@pn8UP zKWHsH@FCt(4Cab{*s1`3`x0rWXp~WJD7A6#c3bn8rO|IeE`^{+MUR{MS34U?v}MrC zp6g;JU3gq)j{bHx_)f191GI@PPa3NgiYT*967H57E&g*Y9IQ>8Z?f9L;G9V6TZD92>KR-}ndDIFgt zM_o#E_KiF`WOFJfRP@kj{pRe|y%qr-jHiEfEbPm7{95*QaDpBW?^mxEuIPls#SL%s z4)i_0;+MQ6myxB>K$*jv+&XN-Wfm$r*kQ2cNZ3|Gs*N;&|H`uFe!1sNR|gJ(Pe}Bi zeK+`Cb2(Z09rRrtLd6-Cr`|*i4)!VHuhLL(CexoRc56!kY)2?12;(|G+0AiXVGsr0kCD(6VH~Z+i`p)FaPwym?xBjYXRIX7d zogt{bxiV-)PDA3k!eSR~8+))>NQ^ct^E!q&F>qfYx(o4(!_yP%J+)lT=C*Q5sT0Pd z*G;U-U?#)6O=S>UOlAAHC#@sNas-}tru$JKCb9%y8Z3?*{ixQCt4Cz|>}!8uvK09B@FW+lo?85Uv zhC%h5ZN9$XMy{&|`d{N3z#A;1KncCP6?E;GO3wROZUrU^%j4cB=-VONN&Jf#GuNv# z`ZmLf5k$Bkz^?;lt1lT&y}S_F?tQ{<=Du4j6rSylzy!=_80*ws65Ml_2{j|8rphy7 zj~y8Og2+)TV1(@Z+EeeQf;>S_^(((25%Bgf6~)qCsR&P8h^P<5y!E-`>%6Zadlm$(HKd8JsO&;B z>7fAj=Byan)E`(2xll1Z#c*qjThQ(-2n_Pn(7atd*DXXpoA|wU?TMs4({(*tIz=w< zNuwy{tvnrp1#Ekb0OWue`m|q5Cet09=6y9n z^|{Jgjf`xIr~PqUE0yoxFHSa%2IlSkgRu`*H33 z%O4BOUl5WyGhUNGo*UsN+uCgRi)kgeS{OJJDtq1M=n-rf8v9_f-^*N#%%yDUtflz2 z@08i%M&^Cg9`4V4ZxWi%{k`eH>SIgh7ru&2hi-uW*WEH&-iWsFwgQ3qOXV@nUS6kC z(UJM>kfDduytn4M(VEzkYpH5o4-BOfmNW_A@4E7?jq-QF6(YW?Nd2Nl4v||H-We5n zED??1bbw*YY1Ge!axdrhnx?nGr1iMNgwJn2oJ#6N?A?4iX*=`f1(w)MfMG77ok_lO zGZ{i-?YDo(!}C{kpTs5+7zLho3NO4KrH!-OOmtjSQ>?vHEt$9`W+n+%&FKaG(F9&x z{Tf)a_t{^)o3oT^lvk&`_Lb7|^ZmuLH~h9kfAti;I50S5++2jrMX1Hy5C`RGH>bVs zxy)wTVzh6J*v;66>mDTUU*uo!YP^gY?!Rr<+D!3F7*Jk4aoc;*fpI5T-k-(d@|fSI z3*MG@!z&;^YTMu4I1`%LYYkIo)w}ap#^U=sQ$I3`g3sCs(%MXimTwJ)1nt)9IV!`9 zLo?D;Ak*JYn(l+L)Y0!0?z$t~KIN9nQ(~f5;9A&)A?>S=l?b`&m>6w4Ql56<1!QHkKaj8c#PuI!|~HJ zXN3AeO}O+-+quQpI#I}j{`}>VuYL(Q=Me3z1G8!ScCc6blxrKqvp73#P316xTM2Az z&dU?e40rZ!rP3i2ZGly$?s9KtlhSOdc}K836voSZ8kcO!ydS#ly(w^P3Z&%N^Glvl zubjGz#xJk0ix-X*e`=;q-uec)dP?Za@2r$lqlqRKs?OdiMh`c#4xM(`-|`0TuTu;y6q&MTE$Yselr@u4A=_BWzGcsveV^}nkG1FN zb3eb|KfSz~^FHS~*Lu!%u7!PCQ=OcX2l;%)ZQ%xI_ov0=p-OLb_XS>H9o(A+1u~G! zKfP94l_I1nH#H*)?;O>YazukINP}0EHODwq;arVHO0CTUglvTi9=DB0XK$9;67y>kDOOd{&c#)cg)#%ioo0 zdH?;LfZL@Z*iH90aZFVT~RoU$hRX@2Q@~L)n@UEUC38Y4ruWb8d0-z0BBSr&) zo8_SQ*UcsY6gUd{gOam(yE1s4*}N~6s}uHoMs|-{)Nxsk2bmP}fUr)BvC2a(L@1nL zv!O#V0`$f54rahnS32N?cD+?`Te?IgdCe1o&Hu!I!Ip@JH@W9zy84$uPjO0M!)R0z zCd23>gw)HxCG#Ih9a*2$v$>TEJooiMPk&o$n+Aix*Zb;(*7C6<#o#sX&!Q?w&oxwa z$S7gXS-pc+_y)yMY@w?B2nxmpsFV}Y`6b>?ZZiva*BGu!8`f`cx1gLX9MpzaTy}T{{^w&`LP4wh4Rm$ zH8-aoh>`}&QfoDe zgkeLQJMG0e|2o@~ReAZ6j;?5k;VO0hjw-P;4gbauXZifv{|%4M0T?R>OfYzJehP{x zZkGY+b*_9?TS7J3T9V$C@o%uEIgI#Y1Eh-$<@fW)uDv<`H+Sk8O>4*|PyY+yubr6( zTYlV?!TC2V50Gjb+qDh7+kZe+e*nAd^$+fa(PsFK|0}x7UMV*%gfns!?kl4HH=aIr zf$@d3&HB^!-{|&vLP`GCzv1exQE=vX+`S`{$L3}BB!2m?0?i{s(b$X@NcmC%{jir; zD+JnZVAs;$P&=t?=uT@3UiH8G0)Y`n{}nV2?*Y+l5G-(IFAy8^!sfs5LT_-%UQ>ipS+;+k3*Y$LZ zI}NjW4FAwu)6*=ZoY}(oMlB`ZIxLGzHHafM9R{6t10b-=0M!@cbQ!FRaYyg}NWXsk zyN0oe!o>7dSRKTmDWq2`Y3ULa^?!#EhIf+D){FYR=Ms2)d* zg*~}1sQPo@e#MQ1=QEmBl}fU*hvUA4@(9pXP@!N7zydTLbmU41MS@~p{R=n|EQrME8b^zcp$jGotg-8dc-fyjUX5sYJ65=IM&cMuA&*N zsSF$x%vm?7_wvMn#+{p|imM_pKgnMTNE&6S#XJ+Mwo1ly+kDA_dZiMAjd^mWNPFMM zymbP`^2)~R-@CpqmPRhyWx+@?LMTpvn&0VcBox)Mu3-!ma706t*TTmH?egoCBXu?G z5|gTusY`F=;-uy7g^RYUIZR*-b15w6MC`{F-IGbc=kl90*gF0uqsR_K-o0W3>?eIc z|B0p?fY-7)+fU%JPcZ(+FXXI1!&JCduP0v_~;AunbgG|oumnnT|N4i%b=hS=llQCXl+jRI^q1^MwTf~=j zZ_LNC1&8tn(d*Q8QxElv%;Qh9uC&$0G}?cYaI}?Dz5J`d4 zS4f~BT-$7YwG%)f3HA41|eL^$dR7gv@Yz#RahRBSi8 z$1yZVeX>|)B?crDKs=toHDXjU8coq!d_?m4nT3;3c;9;IHoPY;^Q3Qp9qH^IO9NTK z`ooo<56yF^J^yLqq*bR>^0-;Z__a#z2*LoEZb;%Jp2mcsJh7nu-3LFupa>)u7staV zxlP>0I}k_jzVsNM7O|)NFf%3myabfiGgC+1I zY8`kTW5wHy-BBl zEQKgTZcPm+1FH5q6{hM?Jnf}vzh8a|yq0mKI8xeXXGaS*RE3cLLnkrgQ$X_inZ-b% zOD~WX*HwD-ewYB44Yeb)u()|?`2Z9%xqe!m{}@fdkeLXch%#TtY2W)S^z!qC;Luc^mM_5US;^LzR-W}uy z(gWIgZb}a*y}0LQS_{(+qk^F^Dm5u8c7q>Ir<3mP75Y-ud{VcS?sOhAsLlwK57W{Q zR0ro)nfFtv%=Ryu`i1oVg^UtHP7fY=})txEn;uK9%d{)mq zKVb7-S` z+ghiL>9*$(RU_-WC@#%?wUMxnHv6nDuXFUnMc23Z)h6M!`Jhs`W?$$Go_i^6CqXXs z5_jw{=HahKJdYX8)b$J}x8v=pR3umPq&TKQH6sBMQ_1akG`#4;iKAksOskpQUm+nQ zM6pBSK#s{~^VRM^SSGdVI{RPM8jNxU3M${q3ZVan_FNR-4Nv$I^UCQEaK(itz;Gpu z0spCUYw>Kk#kROUP~I1NkrHxu)@Y!j$DFmga1~KwZ{LdARGWWiFo;lL1gD2PO}gKo z+jDgnK_Gn2XP+q7J^hSnn>j++!8MDc8h|@;sgGa#I?8`6sUpe_DLs!z8|+GrmWM$% zG^(Q?t~p;&QEhmHT@r&e;78AX@&a)Uh^1#0#i+nDpr`d0I+gNgX8RC zU^=nfN`1jAIZpPXD;-o?g{dY-@-{yc_@8%*h5^t#E_IKv5`eMgY8J}}puo>{&Eob_ zU7lw)<%f3kn61`X+Y_XFQ$qr`vTL)%qHVRVqi`|ItL2K&-M&=?1^)%TmPp6+d^_B~ z4IysN)#X!gMfwKdse$2|vql7jR@6K`Lw{Ep!;gNQuTVSdBcENqX2^bUD(=LBhT}KR zQhe~!cFFXZ6yJ7;0Y!BaaM|P{zoWMcL>pJpf@%r%-H>2J=M%idMmi#rK@ja(8ebV;+JBS0>?c6|^=V6NnXhq3F`unuAD~M(Gpd;2S z2rrkilCPZKlmer%(oJ+M2CcJ8M+k49tjZgI~ssn0FHI?Q%kr@sApte9?? z`BV!-SQSDN91pW~mz0_<_lzDG>i{oh29-|~K3qJ_vt3IA=jrnmHF)S!rjCKV|HX@~ zw>awnLQb}oWz0MrQRU(_m>u^Q&jVO1LB7EO2?%{zF&_O<&))Lg&wWU_& zi4)u=2%!8pcsFAFQdiwf7fA>oeH^6;aj^X_nxy8F^PMr2r^^qZVA78oE*3no?*V7T z9fH{%M}%b-g0>$R9B~taB)pXK?`SEblYR@_cc@ln%xreDIX^q$6w0yO8TrU(tm}UN zNXlT($Ye(#} zG5Y+XJx;X!qqkb^&a)FhVc0zey&$U0F*y5X6-4~_XQ~3i0~CC$4Pc(}aPv-OeP>Ao z)G2&}lRQzdU{`0Q%EjK5bfL@a>Gt51@q(J<+Ap=VXm~EJ#Or$Upyb=)bo-x=u)z3z z@Z+9#FCFQ9w_P9itIH>aJrGnog7}bKFyLWmEW!wxMMqsf(vp`L?jS~3;`^>>ESmfA zE%7NO0xP>+SpLM2IY}zC2hKKJ-S3U0HBva(*ErP{Ie#oEe$gx7wQs?#UJ% z=W{)A#G8`W@8Mi=nha|NrbR_yf@eHVwbNA$EWLkTxzl;T8P1xk;+80tectD8yy=P; zv#(|Mg```Oy!~H|x9J7YPbFHUo!SD|sA2=sWQz@--3yp!h1tRX`QTS*cdrmDfjhZ* zDK4&HBu9vDOI`HPxod3Ps#SLT>G=E^69IA z(Q=6K`k#>cP*vNuFJZ8;|&8|>X?sk?$i$3%`N8ue)~(UAtX$2@QSqp@_{35qR&lxq^TSDX1+%TDmBmX*go3C=!* zt+wRl=|V&;F?Ce<4)&$~r&3+uaPp}c1#d%~KST=}-RLzH-|gU~es!8}t&|+YcE;B${(hazektuf*_ z8{3x=zC|oR53wwSUnbgL42JfW!Vb>V;WiS_*)=Ufw>}jziy!|A8c-=+#6u_`2lk6> z?%tQrZ&gEJRrS}a{buos-vX)DHau(&Ii&uW1u(-wXkTO}+M`W`-~9GToxo#3W%D-wmpE?2Ta2PDrN+f90wXEpPW0;u*FDfoP*%H`0G_r?IYkM z{0erq)}n}9(#}6#u#D<;l5iV>`842DscrLOTQJm@c{(SY?-)0(Yp*Qw+`Zf9zS4mR z+JH)C^J{r+tI|shj$+SHFp0Ua4MJX<*fl@&E9X#>tK>P_Q?~_2t_Ch1#(qw2 z^5qylStRcXz_&=mvJnGfw@88?@A(cPE!Yckt!{{ga#aR3L%d!uW$@8PQG}_k=Vse{ zDeeU=$PTZ~6A*df=oU59i<}f6^Qx(e-x4+0J863__;w%{4YP0~+vnPZPRRO?mAPROJ=;YsQU==FoS&)r0Fh zf}X~%gzUR1vGzxyQF7h+O`)^LfiOK+Ds_3sUnj%YbHcv^+NqMe>j~c(T5J3~2@uV- z9npO0n&XCAbylcsi|X4d>lyB8Xa2GsE1oAF8_ynH$b>>X+Au0W$7N==?DKPvVwa(miqnAo0I>&sq-(4*J{T@oMVp*L6PcoP!lo! zeWB~=>Dvj!zD6lPBazFe)~pE`*^P*XnR{?54b~>}JiyFQe(T@S&7gi|-+Ik?AZ=fA zeT(>5Uj$1K#ZgXzHd!~;;oMJ)FyTBy+9(!D{{xc{~8h;)3)-G!|IMC?D$E zlP!tSSe(&cHfEW)ZDYJ&5B*wJfYqna+QF;+|3W60#8*t)`M~ti#k_=q|BKhGcph#i z4zu>F$qc?nq!#17o7m3&E4H8W{__804-b8)FI%)toUz&zJ4+y`YyHCye{v!hu_VjX zhF8WFa9#pf@HahorGcIk&R+O0+;C;%zygZpLj*Fd9C;6Nt9VNO=ggmw39+(Iby?!rD(T@c&%i#4~ z^E91p8exm!pmxp*pqX%j>5C~55wR2G*2$Q~j7s30L_6oxc3Ot7O%Rg!gn%OwQ$hc7 zqNdjVwXV`c&gUr!Z*@S^^n`?kdK3(oQCTqg=wgnX=aIF9`t!9wVl6GNLI1wu+q2ea zZZM>}p4gNMy2KNS%R~6n_#d&+N$+eUTol(B^|A<%Czhx~(7(56hyC1?R%hRbNQMM z$y9SpKiAR6#Y+!pUF46UJiOKy-gHMjKr?7XACaHH*oI(3Q5FzN!5c@gzOLc@i|@M~ zE%g59=!`T{yfmu+VG6DOfuR8jPN)o+QA=3`|5X3O&dOUCCvmBu`dor{!eJZtmmJbmne@%{!ak@v4W?&+g|!#>+hQW z0?>u(`)A-d_jiJL2-HcOY`NFu^!{tsTc9qGgI4B=8+VGtS;tm}X(h@7YKjtcF7wCi z{ukDvyfP^nqAhJZ`0%`JBkX?-3}0bXR(Wqv_b(jIq**?G_7@wgOxxaPSN0B^{l9s@ zey8)YM@g4e$-TILp8l_)-$h|9Q+yZx@pnA>e{*Z@E^k*c%To5*!(v{GRe_1Ox*7h3 zQPR&E#?sEM%mfVoe_#NbTl4H?|6^dj6r1uTh>V#ZYNjpnjy%WQB7Xbfz6 z2kEq*@|3dzKe)j9kK}XCN1Riw8piHEHs zu$qD<|G3ij&Krf(R(>FpxpMc~H+6{VPHBHk4qeA^eXOU$sC+YB(5YSIuL?w95)Z-% z1r*N}{ao7iKG!RugbV_+$q}eBfq?@KX=Hz}U|K+qr&e>`52r|lx{!WGy7lfUrM2IK zkwz2W>n}Tn+ehb|W6Ya<23=GlsG;l??dfFiFYTSYN+>wXn1g`7EK27E`mTTu*Vfm8 zNTU`m25;fHRiG4g$sj*ln>P?CXCd^W?OonX25Mi6_~^pP54%l*pppiKKk3v7%S!SJ zc_~Q;Ept0nVJnyQ9iu^k;h+QLW!vIau8$m`k%^PU=e;^;oonuHL;aN*3YK&G0NcSOVTj$_w@0Z)c^{5~S-D+c;8&{WS4*z)m~Zfb zYHtf|%O$v9>6|sgytL;E<($f3Doc94A}E-=#wpMU%8u5tjJ!s^3{~D$v${j+814i| zN|T@ifUuV*cTa@Vv&QF6~0|{ zl>xWFKdgS;%{qGOYlO7};I|?b-s(Jj?dQh%#a4N|iK<=OkA-Uk4e`=nnH^7o6>2f{ zVLuRsg9m7ebop}~T5o0=w3q~)V(LM5A6l7dZ*0+`=>(ILY$Z~%>kkt#&l$bor@z@M zKRT831u*(ITlnXk&h%`WKA%H}@W{Zyr(|XqPOyeg-+HIhMTJK<;zdb#QXDD8=N8}W z_@R!;M%Jt|d?TNHZU$MAIpy5f_IUO$rxy46`^i4n95Xyjgo!Y;4px?RWk9#_uhV1_ z9qX|pKK@CZW{t{dD5kW2fU$j>)GKT7_Uo%>1vJ}dt8Ul)#T1&{NwlN2<7V(w((y{5 zN;-H8gk}Vl?|@iH^&$S&=(x$0myz3vga&FloL2sB_d<)i>`nARr)VMO3~zg-GAM9~ znjWwEasN2}61pDITRV4?dUm&o+HNx8zjU_5ioueo>HK4H=A8h1oN}bmw%^~4H`PV7 z(;Swu=bfHC|2xOke9(!EncF)cfe!tNwzZz6Z8_!9rP=?|4g8+m`}+cjU|8pJ)eC5N zSdmWvJ=;U6H&U@()S>0D?bAoz=wUY>8n&>%Xl4)?Uc`G^*!`9N7W|E6g{%*~RzJ?q z)Q{WnzEveQu#Ww{?0XTE-19w!+zXXR$>3Y&&g(0kd+7>u^>n<%QyP*1*jJVp0z%R})6j&*x4#W#FF!8DLg9GQ_Y_)MMORpkhogh_GZ`9}o3cV*MIi2Q z(VU#C?Qg6Z?E6BvICc#!F#E>oclj2mM%3IG4y&KEs*W7aFbwZMs)KlK^hCe0*r9-} zJ+m_`dyBZm8Na3EoiiY$b=+upAabLSVjCE8B=0LXa1)b8u6g>t$!(eq>>=EfR&JTQ zm+qcQ-U$es>E|n`YuH)(qckyofN$mXp-x1v;~N^uOb%uf76|R0A%3W*v)(VPP^sQ4 z^b+x5xAUU1-EWc2;WQ%e~E_{5~j(JoRHP=-ZcC5&GNj&`axQTzzMT)K66olME zF*w)$BaSLuo{e;ZaeIw2F73B`Se@8C`HQeMm%w5MF*FMM!lJ#;8J6P?*sT_@+ctsI zpYDw3*3Z86jn>m#+LBL~=FFw4?1aH^c&JAnZW}uZJS?Rpo4#nEh9l02@)^qbT?%myj~5qXSiUTOZBAi>S$JQl46@w> zkNL4Rz>RSu`&K@!is5v^8VeX`;of`^l^3HDFVbT#k@cc3JOJ zoQ-1LbZCKoXSz)ken;GJ>(gwRd(z*MD9!hDvP|xsHSg?8Y+=5}21>rU0k=d1ZSCkn z3}0C}>xFq4k6|VGl2p~Qcj~-j092WJ@t9p3m^LfSZCd$O?^+TbDQicwGIt47N=pWF zzh-baonZLX*ziKxo0<(WcjY6EdUHN`34Ysqw__^EEa*I`AQ9cTERXiKh z+QDb*FdSFw5c}|V6@B!5kv}wlL1X+}ZfsK^v%>S=Dp!|yUnpCak<8_F=qQ4sk)Iku?=mOM` zVu-G^+lSuo!j)jw!QI<{eEN>}MDI)m@FfME(#Z6S7K33H(w83nGrlUkDEc~wci<&O zRhFV>$FX5Cxr4WOr(RO17s*McQ*Q8sJdh>~%dB9JVcwBdoew#@eeMc_kFa4e1y}+= z)ab=c(EUYVp?M$TTTjatO$8s2)28?L;-JOXy&txnv^}^Od8irDE9SjHF}p@YC4Yhu z_pM|8QfOwcgi`M(f=)2^Y?wd(DRDqFIC<{|00pA3z}WqLG+9#tptwPIW1fqDCJ|}+ zdpIOYRpEgkx9M!h&(SEX*2<@dXg_w~gmv>ExMCJ86i6Bs{!;f({&e>k=zH`az*x}!7Sy;VRi}v%D<&%*Loal$C@vp(9 z;LC=Jw||3_JM}dj#*z;|^8jvefw-)DaelQrQ=ND5C3XZEysqVo)1oNYMf0A$`gkCm zZo%+z> zmoC`B1&TPPc5EO+QWUnA`fjY+tzq^oOoz}smF&14&pWY%UNB`|feN!0mV?<5o#_Y0 zO-ZxUusdKbJ?;oG!wFd$S1^PAUiY}MWJ5EiSyXdn_Wukz5@Fh?YPo?Le%=T z(>U^;5VM-NZvf_5?oaFoXC`gGjcq-O!up$>n=8IBh?rcJXYp~iZnQFOKn{}HHCt3+ z3(pR2@G0sR+*~p?wN>%>Zta?hy(*`z7e3`Ui`mNO335;KRhsp0>^@iJJlBBW3yqHR zchp+2%SE^5>S~swctjmH^cVD^uw!}QI}B8tZk9$f`h$YbiW>{&>f`JeJ1&usF098ykFsWiqf=p$#a9xQ3xY_uQ* zELge9UBWt-QT}_CdV}P1*6?bKmAk#8p{Ib^gg&m^wbvus{>QYi7sYgxjQWBa%LW^> zio!TNwfNpex8@aw2=%6Pzfg)fUBV{#&9Dws0Bl?Rv~JNM&O8=GCqb>_sX!HeCsNwR zEpw5sM?B{sLqS3eM1C91P=FGxOg}JXi&pbgK&`8TfnmrSTY|Q%zecuUs8^A_;gg=2 zP1B==i(d*HFqANzE;O1}jA@_i@rd5PLN7UOnA=zQ6a?zU_0w&D38aYTEpty?LNIb_ z;X<9r(NgQdnH0LW!R!~j_~fQ+iI4YfLV8i1FjZ$XYtc-q3kr7fFH*^!KV~oV#6~co zu@zhPLFoYNq(^_&-Tu~i_QM;;Ur0%eTF+N}V$@Z(bhSF|TkJgi(y%INyweNMhHAlP zmeTb}qsu1yw@`cw&OlMLq*~$1a@dB(z3l?C_d@@CW_S5lt$XpMbStFzr%29%dul}5^sbo~>hkQb-=vskg4@k~lXC&qMYykqIsP}KP&OSG-BL6L z<4_EZ2-9qj4N>W>N1U6i!4DqlA1{)u*e~GY?67=Qk5Cd2%j8Wc#_^2-EA>H65?pcC z&bxk|Vla-b7HuYK(p*562R5uqtovqMd@-9Ub^o`k^;>EA3JpJm zz{I_$Ooyq}Pwg7GvV$}%s#jTQyh}jYYTk_vg83dx z6?NlKL8XTOC`$QYiUsAo<#m8+eCG^Z9}hrR8V9PJZ?djK9{3{(eP4!QOGl)h&Rmv{ zos-^B6=0#569)QhB1l@-p#!=GvoJw4FBs!%-a@|ma6wm0)ckT9c4>AGf5sAa6u{wf zJKqlvM#Qu0Z15p@)N~rwaIBpxyKwAa(W2G+OM~@VXK#trQ;nAG+EN#VB^GFIwIbQ^ zCNR(|_^r`Gxq=FS+Og^u`_}d+==?I?O{MvGu_i2cdbi!wh|8SrmE#cg8vI=YLK~S) zgrSql1Y=ymGojoI0g`ij`0<7FlBziaF@ ztt+?sMf@|NC68qVZ)hOuFtz3NbjvXE0ZRws6t{3kG=WvFymJRW-)P_z0EYKR`a>6J1EC8_)Hgbo%wFo0 zUN}LQJw7H5ehrhH}1sMEH^hxfs?49J`^%{9^;o*mB6m13>y?-T3J&%Z_HW6T{F&N!LPSN!nL)Wb5Y3Yzgh2=2T} z_NK4{agg6rf_58i=?_olw_H#Nq)PANp;g((`r%yEwQjACTgw@T^yCz}bxl+V3by)k z+8wxj=_ku-4OWVN{#-^p>8hWL>E=ZMl;mVyP3xKwjF`!xyEcIfa9U(QjkY{#WcbX{ zVzJ+%&yW-h_@82n|0zz*AJ@%$mN^@^clgHqtatIgSd`Q(+c*PD?%Vwz;Sa&7AaWa# zj~Xnqn0nmnir%AqX%}k%pN$0NsPuVH3(izM&C2aF8%I(2Ua4*rUSS;dm>iub(f3+O z3y2cE;_0~PV&kHb;(hf>+()@q{=!CqY5qP|ys#&g;N=3Gz{67<=iXZ!;{>_liSyUe zJ0~B_-j&4CpS}(oJv=pv>O=^Z(->k_)Jt+YlLfBJe#v;xa{!c=j~LWvFsZayf7lZ$ zdNP_bZOHPPpU^nAzr&1(u;~@fygE3)*nFzcKn`+G3W1k$(DIP;aj|Q4$zr`OHd)U8 z-u|<3)8U52-D&c{QB*UuJ*||X#DpQKM|QObZ|U}R`L1F4@=$n_ zZ1>rKQR?J9uTGbL*o;^?m*qabBZ^9{G|K7KX)BY!RmoNGHo23=iZOnec^3^Y6mne z>Z~@SHujj!e=_ow#Lj`Zut)q<>V&%kv?sFL7f;KzTV!t z5#f#T4BN(&WDig7=v2H9!ivPi#QWS!_T~p93^8YoA8s)>dZ~T1WOla}MMGq-_GVCv zaU}j}7b7R#FSV8?rE>I{xoZRo()+vV3B#j18ROS@cGJmmB?}))8Poi{{xVg?~IQvnl5qa7>q z=W-^fV`bTV|FV=^D(M}q4?pKVfJ-<3tWHgB9PwbS09!%ICx-XtC*vXX_f!CgLZ&++Z^^Gqd}Q3$$)N)ICiO$lDg5*&5`DT^$z+o?T+%KwwQE;YPQE(k*AblDgSb(*J5FxrCgD%TdxdVziA!pDsf?_mkm#o)93Kcg6 z&kZ+o#As62w_r_F8+(@uIUA7)T9Y}Qh>k1sCE1t$2Ae17Z!-o@goK;?#W*q{aJ521 z*6MKqvnUNk?SDgNyzKI`kk&qYKM2vb9XZ-MK)dpJ(iVPnRMFoe38c=Gl^vPaB-+0~ z9cE6{ zWSEt541NrPjvd^R6J8;AhD!Ly6bK%r0shuM{fJ@em{NLOFFLIjbXHvH-?jpof(xwDePusW~J zR3LtEeRc=(?Dv`*xpGy>f;t;AJQWZcjSX9&=UDxPX{(H(`f{Ai`k+lFi1$qjisiDA zQHpSaeW)sdI8s2^yF=3hx1)eVWfO&1Hh8P#`< z*f4l06vymXpO}q2u^(cED|ifUyg5)tUB05og5F1=_?*Jq3uN*B0-48d=A?|3M#Dv% zK(Qc_4TR^4%|02J3h)$#@h`DZc4`Ve(M%16IHz0)3fVk!&Nx_T96l1J49)D_yHMEe z17=QFD)#n;`K=?)myEarN`m}jjvs$_s@jL!gXGngCgs=~*0E+p_K3T3cW}D*!CmVh zFGF>Mv0P=NH^)1b7aIrp1E}=Ogdxjwkh_30X@GXl7Ov|eLNj(yZ3n{}GV9mvh2jwD zggjj0y(R6#Cj_9;xRY_HwgMH)M6ac)Mv3C9Z9EaK3D>!|ltxJPE9LX~-q4H8OepMo4@qmwPF&n5Vu%#yCk0lwJH54Hj1yXIENJ1>% z&Rb5~EQKa|v1D^muF1;j<*x6vl%y339O#a)ZQXMLjps_eY|HsqOkF^-PWIdAeQ_vD z3EGw?yT}G=Ud3lZa1Mq9trNCc537CNW0@)ZQ7_piMgR8E1D}bX#eUIdI8a}F+hPbM z!|@i^JAJDE;9HIvLc3fVLUZ>{7RuFI5g#+Gg+|uQ_-T9l(w*)<^d~k$o)k;keef9g z{)hkyl4rk-E1n8av*WfD+k$n-Cv(NumqRkb8$=dwDY)}SgSKdi<}mfu-x;)|Tg0~@ z%c}0H&!lHZe6xt9GR<0SW!!`xlee#GXCa7b-iBP7EFofgk4?U54F{_iCIONOc-a_m z$!?JbQ${bgL_xat!X23YZQG>?NM`(<_VJzie!D}DqvTz3;3AP!qv!9EV-lAgeKMPQ z=HL1?|FIsyCm?{a$1uZ(&*pCORbm$nL{AFvOmpPVuakT-7mg&gQoI9)iET@+l;!IF ztUU2i{nb1?5P*8QY}DNkxee47`VWf~ofn1P{DGmSs)=E#$s*Ddv4qQ0FTjcnw*hkU zwjpQEuS)Nh-N8yAh}&4)g{$Hg>_eJ1CdS_bM=>Ul9$O{CMfXV=*1Q(NLhZ7AoXsGXz)7M~}_4 zU4(R&$kTrU*#7+dCHZrZD8dEK&5%i1y8?{#EVII-)!z__s|UDO=nb_VcwPoTvjFW+ zRNIoXH!C-LVxw9q>i>k9WAarP{nC}Cfk@Z3o399DxE~dQg^{Ip)@uT8(M1jL3$jkO zFKRrf(=ub#va~m&{*Fb2WcWMp{=uA+4VjI6m?`!)N+~UkRBa`(KpyS+aj}DL#F8Jo~&Sb^) zEXa-A>$z(r7oe2?0j(Rh^z}rP z6dK`lvS2{}Beb*7@&We}jO7t<_u^>5t!XQ_$39(R#g%{X*#t}TrNG(V&y%BAPY*H% zaWzvQWJUSaSW%=q$a36fzu_OtH0?-14}?rj$Cyi zkfvkmaC~5~*mENs-L3dA3htBKQAD~LZB*HQ+7C~E7`l+K-5iKBU)39+mc~Rc5@eH= zy+{f@M;0eU0W79{eb~#bSPs4fdr(pin(|1>(zYq@E~kL^wSiQm(a>Bu+SywXC~j(I zDfD%cOYGjFZuFw&N%p$TMy5sczA_W~HG8vb`DUN1$G6nkbdSXGlh+_S5c7q4xl4LO zo@^Yal?XMmt4;bG%kxu(OlLsG`N@3^rHDpw29T?vLjg_hID7! zpf;wU#Q_%rnXs78JlkjE8n>#AWnXTap_Y~%-tAzF15a#igHHgr+p=}fya_zfNYRPO zsFBP@KafrbjEfCz!Bz!Q$$-}pI%u{M>{Uk0lWvETx`M7+WhV{ z7A`I3G0&*dk(bGoB7VREkz4KTFXWZIZgX(b-Or&$Q6Z;9T^`cy4pbbIesgOI*cF%g z6mp!3#_ELI2QwXnE*8uyipzCV0e1RGI^sl5crtOK(8tYvDE=@o8SpiG z>c3EYJ35|T(7aKx#Hn=z%2%t7*NdoM*~SB$C4g$SRAKQ<=ZXnw6waj68WC^3+DZ-?7)V&|0{WiZF^$vB!AA%~o5Fcd%%~IW zV+hF!atO1|+|^jvV&SHvGfMJkSd#MNV43crU2&H1e$+ubA{kSs#0>SH{$m)JFKK6f zq>KmoV-7O@Yl?7}$QCiW8ea=MN4$@yiSDf;n6f%9(<8tsdr;^d`NcF&3o5^f! zUYkPzJqmWZr?QuBgcYKL+y?{wkubA+$pt;;$rEmLud76<9zC2ztbJ?ng2Qya(r8?< z#I$|{w1hf&FXudTnS4|hgrfJR;^8#SYMHRNQW3 zSgjq!%VMCCT8MqLxwjj3!kCnYrjhxM zsPuX_y(WE$S41j{5(~`4?P!8`*uizP&ErfH)N{x5V^)}GhwnwIlTncGEZ$DP*0Kw_ z8cAeD21pyGFZOpP&D4m(P{U*(dHn`x>-AvmStCRz*S1SqgNCtAxcyb~(e_uX#_^U- zQq`%DrQ{)|RSfw|AUD!nt{tAQIudtD&MTveU#1Ds_C^)5)=4&n`Az&4OUdzRq8&@h zM?oFOEF$*}t!Wq&Z2`TA$=|>_emjD3wE1T@EJ^$6HBkQm?}B+hQ_3;7Pu*hDSiV+& z7o;J~SH3G=dnp$^>~t?r<+|Td-FLyb@+yk&gxJ7#ueILkpV7A#plnvu`cwDOF7@GQj-%rUR7lb zf&g&k3F_zbEC(NZ-6su<#rf(khR0WeN+^JB=@*KlHyRC2J5W1vuqT0Kv0EtNI`0! ziPxj}=PfPe>iLk(JX5{+Ca^~J-Z-YI(2aPi#UoypC^q#F>`7Ez? z6U0Icl$}WI7J$fg*z4;y?xfirTpO#N@6qJiJwbFv!(?58IVC;xh>xGFI(HS3F4ahr zmvZx)(PrYn*nR9qvwk45pSnacNfm1Z(V+)cMLqi`it&*lPPG$fTyE}?{>V;YAkqfl z%R1v9Jim=-az%BS1FSQ(eZeyYU*~=01bJs9n{9ON|D!+DNSiZA77N-RhWcJ@oLFbQ zK^cxnLg$g#O!xAgi-ssmWtKG=aQ*GK7ma+yJUcVl{&vNmFc@WYbY>y8go$THvku*35eu@%9ks6sJAAse{I7g-LOX;143{?&t*9?Cf5;^w>B2$U+-+O^Mi`KHh5ZUue$7DYe0F(O)i4$U*| zvfsVdelJ8rHks~i1dJ@!{%6+anBsWDuXq*a{IYkdL>K(P(82K=sGxkT>@5qB!&>)M z5)y_^QtfboSu^8wcZ_}w#|#EUs*0?$O)oW5yW05zOJXsRl>kkl(5qO&4xQz2^LMt% zomnq0ZGjrO@#vfhrgOK{>|pL(I_tMJt;kMQ!`hGcpPwJKd~3RQ(X#r7Uj?-vDR1`0 zn#%P#Asg`?7B>j5TaK5^H52Kog;%1vu{T88c0iX zP@Jkde-;zdPu*Tji|cvsYTt8oIxzt>k}Llyb#d0ubtCaGv+UFLYzx+_pQ|7H9Gi(P zrEald)|VXY>4_EcIHz|r95ROJx4(ZGSIn#QE*B@d2u}S%r{t>4i^Sn0?Ne1Ej;!t{ zed5VhRxWa{)s%vaIWlFjxL{M8oHMV>tkJkaZ>3J~^>^afcU9JgciLpGm{Un#-d#`- zTxY&|@>0@PbT%B+i^%nzO`rHF*BedR^CBASB-6qD3huIa_i^mfT^VNVgO8TH>=3@f z4{pcTDWPZHoiC2x%LW+ObvB%;)1)iy-*I4SF=%Aj8yLO$L z%cS|zuG+p2Ckhv|BSA~*o3qw*Jg%_g*yoqO*E-5xAKYau$U*}du>qBMo-#B}3@ZvFk!Dx%&CXoIb)S!YbE&dy%jkP>%TwxMomyE&B16*P!>r__ zm#p4PABsph!V|s69&o_mAi*%Qa%R~Pwm%PT?3hvIPf;x{-ffsK|8r0z%2skyk&fYUj>n)>R?c7Sk%lV9GizSSDT5XL3(fBAg!rD3c*5 z=O%o8CQh!YA2HZrWcxYKBMG|qg<(^zK@x1c(pk+vUS48>4#KgfxAEO$Q+_$=@$6hy z%E^3#&kMpqe_o5gcY_3KIfgJwvHva~UL_E9y8ZTpP zWFy+GS=}O4H4mMO#Jb0H1qK8_J8(VFK_9#ROoZh0(=Ged(dYJHK@r*QGP=M1pwn$) z11+f8+2R)VQ5DvhE~F!@CV5-E`*xOx_=o5?o~JBn-xoDi`{Yiy=#dlftdc5dAbzuGa<5pd22gw)4AKdW|a1E zZv{nROnZg*JN5~UKILz>hfYB$%~M(-_Bz51{byUM#VDo!$u32(E$LqngVnsXhh^wo zI8k7EzH|%)t7W3C0#0qlajp*>QnYKw7IgxPbMW1EWcSZeLu0tP#&N4ooEnF!TYHaQ zHw6FG;4<|XM!!_d-vZN}s7}D0$4&_RT{~1SigXvBibt-OgPMm_~mHXS?Yk`n~eG;){*g(1yz31Cb{B7drbw)HT<$WHoW9(^nYwM$y2stv z_kukyIiBiv3ZK+c!BZRfF}L@sy!n>r@Ac~{dsvu@8aUO0HmYQTlKK?#g&r0`O`*H?^l4DIXS|O2vceAQMVp zj5^u#Axn)(GKwwxjSJ+>@mcHi)d5#n0NS$|Fo~}uFhoY?8TUAZY zkwqE}Wph*MJo(&-tiS+9NQT@x>gi+Glgg1s-UN>axI0vVxS7lXd-+ZuNDM8mO2iL`3)ylnLV%qYBb_KJ$5)cT*Art;X}UN#4AJNrc4L;kzAyer zVEWz}MIeY4js+;N)(Ai@y*>5HOlC`@`c%PQ=h$XZHV-QfS3x#pAQMRNSzA$X$ngn;ovoT0A=NLH|4!7WH1``yb|SIz zQF<33-NB4hg^}*vjy6ko9Bu2e({NklIcf{v6-f$FNTs?$%WC}GK;%UURlfkIqe{HA zAm9`50uDB14j0DG_zi3#(thFz_DNs{N5q^zW{BMu#15Y8)W$imdo?Fj9T?TgP&<`k2Jp{e&2qe0#O4BTU)wfUshIPC1pWGEs6-c)ox zC$;t8AEvI^l>f7%#_`Mf#y{b!s~NJP_N3f1*qtu>)YL)q_Fwa*Gnsm-I-|MHu@ULS zmNnTurE{UF(CPZnjU`8^#qYO3%lK1{u(uc8>*&S|MPBgFFSzuY%~!z-mlG32A^wQX znclXoc$ZW0?A&TC@&W&kv@Z{Yy8Hf5XbfdCWXUqgQp&C@Sq3fkR4OXlsI1wuGm1e) zD9TpWq9PP!CqzP#6xsKE&2EhO-uDdB^Lduv^Zfp0-uK?Kz0SGko_lWU{V=uMyns0G z*zJYG2C{=Yx*V@cXtt2bNS>5R>*5elc+pg zGYa?Ut*-~mjuy?8gPbk}p~j5ay-ol;p^cYF7w0A&8PH6B`6lI-#U(ul{Obs{D%8u% zZ)d%Ku!D!!9XTzG1t6z{o=p+Briy(C4n%Lbg-Z z#=2LCWrsD1s@^x7scI`egfP@uvA?wMk+{L$!YJIwL=%&}b2XP*3KIyUQuJcOp>rZ6 z3t$5F_GZ*ib5&|wfD8|XSy)lxOZ67E_vwND**qRoea~|A4`oBS+UsjUnpPQy)18~; zbRkwTcKF*vR0vRbVC?=p?%#aUC@I*CVf2Ek{5x1y9hoGi3+eR-1U6d2tes z@X`a#j$cBZcZk?%iv-?Y?gWU-1Q7SjTJ?t{+_6z$TXyeGZ+(>Z@{*bSt?aw>UY=c6 zk%D~Y+C`Anvf3J`yRHVXUqkjl(*A&Ski@us5#`$R6@5uFl5;*8gj5jsZPqO&a=Onk zP)J;+e`Hb$8h|70Db=_erzHHGIR2^nwD|r!^UxGl`bPRJ=nUx>l|g24m##59o>H6} z)C6j057ds{+i|jjKjEaQuo7N#95|xmIqSZy)^$0rVw=U#m*c?nXpWhor+(sh)Dfep zz(qY#0z&{+c;{{?md;;~kua4n&&^&&?smU^52)Ezx89_{Wm`z4lu>kzL`MZvC~f!a z*Z$G(6;-?vACpX*rtfZu@JI<;eXs)=?+V6XSph6>>Kb?aMnX7!+$E04M{`buzJ&wh zkcU0?^X*gI4WlsQu&KStA$*JeM?vhgi44u*>AZ@Yt!K(yTS|z_y_reXtwJEMXZYCq z5NSjFGEF4IUg1})sFz@=6vstqNBnD*>LGPP^qO(%^(9_WxEvuqsueq5t+JYW70Q;Y zme6V2ubU+9Mbh!u23s}rgrw)Q+7|JXz3qN{PV=e| zu=Q(cz;lR|{0YjQ%3i5GLwPCk7^*+x0KR2tt$Zp5 z2j^Uk#;sh2zll`Itu>w~$f+NUEC}HA?{~|J|(aUUD2tlNw6PFn0mR-Jnv+b^1)1P@$Icr#Ag8E#+^&QPGzB zvcTm#J97wMBR&bDewx;>Fg(7VvQBR&nT!s6=`loc=P8NbfKbYYKOrvehGs2FW2lL@ z&{aU`9t;2@*`2g6Pqs^ zh>`lOrS5H@Mm)aG;vItTEOavTOF8bwYL)f%y-7L&^t<=?emGAHWQU4avY`@yO+-(ymq*@sF_{f1 zMUCsXGs^WOl6^0UOoavhVc2qAMEUbp-a<z6Y=d+E(T zD3KAe9dQjA{TpU?`{$S4aK5hEZnYm$8fC-R;XCKr!2VMcjk@IQnp|YK!q5F zRT>YGiLsZm)m&kca3K(vZQ@w3?xMY-tile3?}^2rYT+`EVLpB7hvu&20Z=xxvKC|m5A$Z7Tk-x9*O2f+hI zZNc*RgA!ApW@Nx7w&72QI)8EFI7VK9$kqJtsXo7w$YYJMNoz?w)R?kT%ke?`oqt$) z8J6jZ!F4X!=}-v_S3{*0+(?}U4;hAZE}auhby?$nUx#=$-^|E_>S2Bm&t3 z$^VwGn|cp4EFdZL{rb{2`7IVehjX{!lbO9>5oTp_Pwwh67KHCy06M13QLBR#SsR>p zeeg|UDFBpa)0uHUW+_!9@!h)cQT4@ATT>o1AkqHRY}+2GVrH zg@7`$8usIa`SyRlp`|P@s;vh7`Ckz1z8Cl`GvKqxJERHhzhs#E)(GU*xc|m5DLaqQ zt{+cv@BSm*oI`bniTR{=5Rztx$LoS%=2+hD6N3}B0?$}9aMofdUjq&o`xZYg9%WBx<~ zYi~eROV&tdps^M#pOue5`V9c9w`i!wtIuQnfC|O7;17{Jpj#p1<2}o@mi~WYKcbWn z?&YZcQHMhokgvnzw@|iB9|AuR`vOm_OEZm3A(;-O>m;RybX@{!BWD+bGgvdsbS-I?70AF3-b@OuX;D$0OA4O9D%6Gh^ z+!U-hCnUt(xNeB^|9&%jS^*?q(3ZLkC6-e+=H;l#30Zt84>bCF(&(E3Yh$&l?32B~ z>|)fU`@|mpi|J0p>Z{-K;w|OpW`)*8paS@GD4Tu*oDhfL#5`md`@A1vb^Xs-qP#G(I!HXu-H=P*#O zj|3a3mVfWvNZ*E1jJvMw>lS=f{*_zKal9tLz49OBgaq|gS6n102# znRMj90pJ=rL~E#I3r82Q68{_NkASWE&~pL05&v4#PbOR!!1MrJUCVp7BmSMPo&-7K zOf!ov>i^@ zKV}8y#4zH$kM|z^Z(8#?vF}HPuH1d&u${1f4b&;8r^XV4cLT{Y{J%*abOI#p7d{{D z#Pcjn?k((rL1ze?!Vvl-D8$}yG#e2_;QCHX&KX^>c>j;+^oP$T>v=g&Y})NuKdS05 zV{xig>}vnVjm^duY3-w`cg5)0%(b$~1z!WOyBU%L4YdET%#h6+IO#C2I^p60G8FyI zE~?gIN=%hKC2?}~-Z(qGRM<|p9Fn_oW2F{LDgCfHkias%f3ywdn{9ufAl9G}PYI4E z%H%+&aPSlr>jt|fYj`8yLS_GsKc>fe0w*M!d0E4LOD2nsLq`yUuX}$~G_7oT0y>rb zmZU4qhRR+a)%KdP%nc|G0d7%UM*g-xPv(R&BG;~LIq~`+b=VvKD%6c^HBoRaV$(h^ z(iu81%5uvNpLzjFDoE{5{{7W$YOF_Nb!#8ZS~PRh;)mQK^Ww!kh1Rz%ykXu>! zXa80JJLS0@0TGb(itH` z%xChi7GpR6IEfZ@#~Z{Okmv*txUMG$>|)4l zI1OW$;xKdt+EE($Tb|U%0xC*)h8x}y(8_a1q_7pdIIsC9B5hQj_xF7Z1F~>q_+NP@ zF*|_aA?5q?;r(kxG;+u9tU3i!lJj>Huw?jesWnZI4{JCfUxf&&Vl=nfvufiDR0~|a z`yWCOsc6_zL%|LpP~P80SRQDNV<|ST^C})1LK9b#C5?J7{f%^b0Qf z*WYj15)hzvOzEue06Ddmqc#+MNqbSpgG-bxGb0cOrA!ZVYlw=sY%St&ErpbLM!XHt z;=6X@nPBx~^5$cftrZ5m;BHdOv)fBM0-8D?1rj;hz-5OvCtrL5fnoZ+Vpy@IcF$C%%jL*Ch ze_qqcl@H`SFN`U_RLBLbGw|a`DXw+Wf}UIv(ng3!+;4azc7NA=?cVKa?KkkHQ5*A9 z?TvfJMi`x=WN@Mkpn7*JkD*Vmjo(uVlFwp#w~>X-Y*gj_l0>rd$F8OWriq@Qs4@%+fuHVKZhP-8b71C&}kpg{ZKp{^iSFoj;9+3*j>hQr;YyT4AD5BjU+bpQ*rKKo(BzuXE!*!QAU?nj$^q%dY^RXQi06wk}dVapMcC(f6hRMD*C zAHTqRrD#-Z69T%JAdB0mwzI`$o*v1%8HIvrcBZ-;$UG|VW75^B%f4}aHEYIHulQ9l zDC=!`7Sa#2vkhn`jbi7FuDC+CF77;>;N13twP(it_VXiRzKW242mt@A3qH zzP8*u0ozB;NOjy)%N2-0jTXGQl^6cwX;Y8*&yEnv*WS}b7X>svWhBR1(T<+?8FbL8 z5-aGQN`xS!&i>MgMai#oub=!#X)@79@5wi8`eb?6?gyfQBCzA(=S%EeSUX`dWX0Jc zh2^`QTc>*%2mFdUl6KvyIcY7Nj(k}Cg7u____uG3AI87sr8&pCl5~*|7>XeXY@WOR zJlinm_H^!e`Aw|oL^LAx!69$!AVEK^61`Xr^@o!02wnPQg+zDo>TfUAtZ7;5N)5Tp zueIm7Wx{UdVW)mk!d1rl(R*)JRhnhAwwE)%oCfO9aNDx-SdOB^iqC;HJvGaC0j4BJb&+4QNykQ z^NL5=g+s#qS%ZCtPyPN@-4|c_kqmds|nrft`FJ@OZuven|_rD!8tii>gt=L$ni2h(6dvb&2M z^fcwYD@P4qwPE*>MrR9~`Zahq>IrR~x1WesSt<5iW|(j)-GxtHZLu^l3%bhMZjrH= z`An%zVb|(MevCmqBQrmy_`#A5Rd6(IAaQS}v*l&C6WJ9X8)vNToT^iVpFzb^epM{B zw@SLnN2sd_gd1l%_P0M(GuFvaah|87|2mqlwolF?7?Sr_N8fW1z&o*%4;;0@^L{fA zuSoD?E{+ry+^@2(gwr-kgJowOv24J@X&*e^qL4v(W;%c>`!AP;IQS=T(9p^ zrfkEwH)5}MI=g#2`MntdmS#qVq194;%p5`TvJLX#)7ag2B-7LUjxrUca||fRRP;Bx zd4KCVf7`gZa-_Bf3bdE2VsKOJ^lK3F12J&NDASx77_uxdWH-#{oZ@Ow)7vUS*R?fa zHS<0Z?|jkeR--3L-Ql%zH|kIlfal%Ok|&t8FhbsXd?qquFCldBs$$x{as=-OcbBCl zlU+9kyWDMq^+k)qW$~pBdKGpjzCIVm6-_w3>yRz)p!;?7wg`)%8ga|Qy3HKGUwu9^ zp+4>wmif(P#N_Y-M1oT_WXBdHJN_niK{Ybt02TV-njr2G_P~wLb?q(kC`y!WMzA`6 zzv{%MNeIrAdGMhA;OLD_(IdE1Bsm)4lCc+~k)hITc-ZfOU48BO&gX&sZF$$DHND;+ic{DH?pLbL~mRKr4J)$RVI2H$^alB)AUHS-@d$ms*rJ}cb zq})+d1CF>RPb4K4ebxEoCF=6G_m>?YJe4$cVIOFHCB?BO#@DDcb+^iuc3;8`3~Z;!F6!Z`U?iZeWeM3ka+gAq)?f?MBq zuO0k!2^MKPJ^|~Uck7Jg<9%aw`3m3I1IqmE(N95HswY`Spo3wd+pdodCkBf}9d}y{ zR%5=^pV#Re*)n6Wy>%oz0~EDLj+iVpoAmIluinKB$@~DDiONjc5f*wA?IHILdWVwUZ8ZQ=7w?2GYd>@_Z$ZYo} z>Ed~_>{$0Becvh1nCWL%+SlDC``&wQ*BA`D*YMv%0^_=& z&)(|d$dBZA@lks&O2EUO4?!zQ=|Zvt+%A z>4*+rHgU8?>y1Bqc)hZP^&^cer-bNRUk$Wgq~L-tE&1n@UwC;xby~8^Xllq0UlzWV zZOc!y3L|-AN1YO9KlYl3A2N?8xsv=DBV$B~0gsKP29AG{+a;E_o5B^V!0GmKoh{^9~AMW^tUq~Z^Z?WUr*m9JW5 z`M9}r()U(gG=AllC>j*}kedS99S)zJ(IJXk(M4gUCKP5!+}b_7wG6AaxDdxJ$fqEbwtEXX+@fk&$^vGA7K(~ZBI>_VmN~P!41z-QQorrOmU7l)gUYCg47@d&Wwe0A3S5vkM z_0>;3C@+03am`I&{v-s-T>RjrA?EiA>#i$`pqRKiGy29S1N~k?ioQ4YC-$A#-Ds*` z178WV8>UUtX8lrmrdkH=In33YSu=W-(m2IXs!~ErDMK4d8I34)u2Npwwx@BO0p{pj z8kvvFFGl+p+Dfr@%U9gJ@$n6)nkLv zW%&ow`Z}i`Q0~)JaPrR+5d5M`yfuG?#&caCF5^qB#ipy569~S9J+f&#+7K7X<*+MR zT4nXj%#z*CM}Jo5dKio#z5bYkP`IRO2V?<9&)^F6jl5ks$dy2?#FLAa~b$&TXjzckwsIM+mo9A?cT-$C=YM-sQnAn@Y>yXW zOrIrFO&Z)>Xn98A^#jax^tx+L=mt%!KYk#t&)#5R?%Z5_sEd3u)gI_471otJWdqsp z)@l~y-e0q^T8w?5@YsZsU@qg`B;Dw`r51^PX!m~2Dhp7N{F^W?M{O;&?O4Sls9$#T zmq0XsuMj3Dr)Ol$k+Du|_W0-7%*v%9E9@~3XK_43fXRkN82?^u6_oF=UDw;-ZO=JIjeYHA3 zgFYCnTh*VhPaLX>h*hR9AjgY#3zT0$XTwd^Oyu0Zeyo8itMoF@+NS3(n3p$H04jE? zWro&Jza8$e&}^5MZrUQ2F_@dVPDFmjv}qx}_6j?F$$NRLeL6JV*d|3K{SWpUJPG5Czpi`n|Ww;VHu;!x33eSa^Cta_;>)%T3> z+sJ=V&i4mFd`J5a7D4|tVEdbc{sduiT+>E)cj&i@RPyPDbO4JG@AmyKa(n-NZ91+$ zl*@jG$hX`7y?Ma_f1z-#QSLa1d2-Hw&yv=tNL-T}j`QyY z;VLzGL6z0@A5_F8X>#mdggdU3ua+2G{?nUhtp@f_Ev%zfHuhqnL(|`rYkR_|nMcG> zl{N1lxV-T5I{@N5jm6U*f2&fi2W>qpr>o!oy#{pLDNa@C=w%ovzS1>cv*F?Y6v$kD zPQ>iUw1ew9k`uNQ*gr+$LPjs`kU}efD&_w`a$h*0r0}-|@|~Mqzr#ef3|G|G$q^}l zDOY~e((R|Ouf5)@wf?*1J6vuVDtdWT*s9Hp@jrEB{aPj2lNH{b0d~vmU4>cUhHZwt z@P>^mPGmP=@MwhLyKuKI`GgqvKmQ)8VapyZs`Y-^V1(t{tOERhIHB!$|B(*P69{80 zW)Fj^{`|?V;*8qHB^t8JUJx}HM}Oo=(=RhB0p7lnpMt!futt&&A1gf~)90+3;K2DC z6=y;J$E=?`t&!$OYa{utnh#V3hGNLRjrWhM78;Uu>(dO$T;&chdQxa!m5C?0O4{M| zt0v^**lGHa+#jKOE1~6x`-Y-dn#s83wNXjG#&ya!ZP!!AYxauWW_pJhC0fgw*+a-`YFtn^E%O{=ZT@sFp`)5@lG z+boUbLvh6Az+@LbQ?Z*}e}SZay? z6Y*L)g$$XIiht$5uQqyRVOp5Z2g2)GseJw-p3N{BU^uXRE>KrhxxoOy!C%d~_kcGv zD^PC9zoa3sURUVsFaxs|cQfD4zi_s$J9ZI|4A23u!oH;RwP+fr^_Np3U#v};X{fo_ zo%z>4q%{S5j+h;J$(gH|s`5ZMl?z#6{#dJFs7OEv1%vJ8WSP5Icl=)t$WT|yb9Py= zYzs&xrmwukig$~!W`|3(@_TvM9|h8B zhLj!>T|Rc#4Oy+$ax3|E0J91JAenu=NcHt0Pm`}0%R&pmC?@YiJvKB8PBU@;Uo;kb!LC?qz?}RHz3;p z&tjNG0DC2BB>s0jbed(}GFvF;ZgX{iXIyQJg=uaZ8{M1C4b2;xr}Aw1CXV9%9?Zbf zcUGT%-9s|71gA_4AS{-a#vBS1*1{;*yij;_ICr`QIZK?&^z(p(y%!t>x+_wcK$>wJ=}#1+BM~ z>Rex?J=Vcz7YbGW&tViQ3Gdqc9PX2nUy8&X{>p@Gx-OJ5hAX>`lO` z%CEKF0cRw*x{Y<3-@?(FO^h@OVsN*ZcS_Jrn#O>o$fvpOh}!L&G&5SWEO;>d;Wa7h zBFQ)^XeAn{T-|oi_hEQ?D(x?G-;J<(=yz4?L+o6jbVU6&fbzwIjg?ioZbU11j z5xFBgl!s`g{Ip2}_3C;NZ~M!Y>1bi_Y?b#=*d@O%jj+tua1LImUfwGbVUHGFNZ6!L zpLsQ--vuXUj`8rw`bSAZ`j=wf%p=#zG|_@9S?SN{^omF%CUf4-(=eu8xK(Wo-&r z4y*!CZ&dIEzI4OIC)*K+adJ%+8w>ffG4@Q~jz)E8Xv$-rd!thtSsSY`Pr?a;HiuW5 zqg8HM(ZW1^u5HyJUG`E~P{~Ej!oeK3pYTYJKdEu0F^o}*JaiML#cg1o)=$igh|G^a zLC^Da%ygI`yMSBT8a{L3j+e3nTvLf;nr*0VZ2dkk1@HafuIzjLbbDNn^Hbc_ZUj$L zj*}oG4&wymkVxCP#0oWoPsd zJ^5FB&~RZ7d%0cZ`7xc2>Jg@)RWJ7rc-+3-JI^*YS~MKS`7wR@49|;*y{!A6j$K}o zRu1Iqrq)WR-M2Co2+YzxY~k}Kc{bJT$4a;X^@ zw~{oj;;?4*_L98WWGTjIJr*{VT!F%!NJPJul85Q3^-+zdRZy4aXfmv5OXOFnX<3Td zNn{VC*AXZtVAkx74Imq+`wE^&@FpPCbspxl*|3gQ5aJaok7bbNeNzs6jY!3BC10Je|%`vgP+@r_rkC(BI(3g z5pV@jJxW|5Hg{@E>Q&qc+rvR5ijEFVqJ;QX2C(%asdZP|Y7ZDjcZ$Mo$6zS>6rm;H zdM!1T5A3%N3W{N`*w?r#o3B!SAs}jR)pYv7BXhXIS+~E$t!0#d#VL z8(M@=8KUBa8FF*qdSM{>4$QQqz)FvseH|{*q)fs5xeh9^V=n;$BGgQ z=5afQLu~t6sShW*3;5hTQ|*_^le>5$=(zcUJylmWU#*_=3e%Nk9_`;I;I0V6-JhAe zqiD$ClMIC$3^`t3FS$^m6!<}q=G@1Wgo^CwGe(+B4 z?$bp~rHTT_+pw1!LQ06z9XfjQLG|-5{TWVPDhs+2a6ET~TXo%ROArtGu&3B9-k9F6 z9~*SIbdICyVm4?V#0BhE7}&26KliRU$rJO3{LbDPO^$Ek--0~y#qBfi6NK$fd0W$` zaSP0fcb^#oF@)cb)bkyG^-A>*a@npN44r^Ey)_O2 z&UL%*iA5=>>lA>|Ko2fzD(BOH(xu!emuM+*Eli!L&K7~#XEI-%`WcG7)ND<^*2(^G zW@u(&j_o48^iIhx4ibel`Si-$!KVEdY?vDYLpu9GtkE{vgFUscrjB}C1o=q8Kfxl6 zqv9^;b)x|B8>n-jnjW_3l#yGC+hsXZLtI|;VeQO@B?pf^Ud~B9ZW8$C_Cbas@oO5* zR`rry(A*o04#32>`7(oW&h8VDJ_>%|zH1#p7?4ypD89tuaByp{@cm*3cd3qgg!@eh zc(`59@pmgt3#lEJwxaOxkJ@_v2ug~@z@_bhgF`+99iTKppO-iSc|VUIr4lOGw*|c@)S18@U{q)V)?XpdKp`ffPP6|dQc4hd=Zoi94NL;Et#(54pgsc zOmp*p`q`1cd%1MV^!#!jhGiXXxZH8tuV}t#apwe0=}riOmQ1tf%V~7#Q%-?kDX+7f z5P$M~TupA?*_Aop?yj^EqYlIoA2QGQ_%Tj9j@W#@W7{0-<^0Zd0YWmnG zmjYpu7SyB*lV>`%F9fBs7$my`(u2DDpq6rUL16ilLTv=Ywhb9^L29?6Kkw!*66z#-Y6(P*&co(bh;aQL>Y_m1ZKrH6Yy2HtKo21F55Im*C%$+T8 z7g{VA-jyW`Hgmf?0+YxEEu(0H)nIUI%asECLzd)IW+HsWFC zwJmEy=jQ!zl9BfCva@9+kL;_?)o!`?XpMwdh9?#=*))z}nT6j(%aqoj%>zIiTrHM2 z{Jm`&%@e7a7oFG-{0U6tnI1&qUYVb-NNKXOrj>Z;7|^ z2=KDdB5~QNZ=n-iaR31GfJBqRKJ`2$#7lvw0c;AJFvv607MFQ-JjbG6xnCc~eu{Q@c*Oe}@^wRrY!C@^-gP>b zh+BlAyfyummMtOs_w<>2=|BB;Yw0d8eRI7|OV;i^Yii92)cVR?UQo;>qxpj=F1>yP z#l6EcWU_We;VfPW<*1mJ?DTY%)wwd(WW~58DwcN{xVBH%mfg%o$si{djE`$rXr-8< z6KbLU$%o-G>t|@VPf-eLJ{(gqxOK8z?9k7``Y(2mI7YvAV3IOy0&N&fxLx^j*qKim zS$*+diheO$XPXy16%W{V@Ucja*_7$LZ{Da7NzuIVtqVk5uX6dLR;D*S)gl8fCqXJlrTd~GuRO2V z|D@aw?Mh`D%6fPcT+l2XwUU8NbKtEuW13Yrex(epEkJ*Y4)uOYo1HXTLyp|u1u;~j zVJc*30eXOXFX?+A)5NbL4u|$|QYB+D(y7Z4^S%>n#+9PR8fc!i_*O=`_L>;~^%nyL z1`$$O!-J1Mkyl1V342gj#UIpYz6**-X*5DaJ;+0TuzWdX=%X9lQyGX?GFywQ8+cC{ z#hi2u!>8&cM?UyG8BIn18EfehaQu)TOAGE^e%e;RWiI1x24=)QB*+Z)DSiNEIbGJn z85ZAUwT4To>mc)hzkAi8kslTiC3Gj9YKVP@xu z$=E67Ye;dsddzJ1WWchIb&1ST6~q|mORIQdpJnpG$Q*4)ZE~E;TPUm~Qz7aCCx#eJ z60W9Xm)W%mKN@5L!ps8MmS#{K1k|H^LzW;+l2{oXjQgtP7e{?a3NeYLByWY%9*)*5L_A}OYFf4+n2 zU76OfN%}w=TB(@Kue|zPN0&p0)15%*i>O0yZ{)C(MmL#Ym{=L+U!V-5fC`5n(v`#e z&Xo}8Pw_yT(QwsR% z0IL)5lky7$4dalj6)>mEv$S_IV{&#wlN`jXdN9v$VZf8tR7$hc(FkrK!IPS$06O|# zm)~_+@Kt_D=&J5ZKiYx`I|Y8h=2C|y-m@I4)+RQ}_QbK?ipzdr#&$WA-FAUp<1yOM zuvVQokx6k!1?qQjraI^f<9rlu>}okf>Xc*>5WFj&dF<^SA>eKb(%)*E!J(DQU+8w5 zI4#(>wXM7!1WvfK6QSNb1mls?$!t^D1y%@NmXd^*u1M^vf0U;cN|C$pIPR|aJZlk= z8DPF=f2cKEq2pkOXax_{97N!1sW~I|+h{@CFueRt`J`WnWO2oQU`B=%StY~S{S2V@ z!;;ERnAF3)HhqbhH|M2oY@%=vl5*`&pqoDtu<^dvkim*Oy($gDW@9leTb(0u=d^Qw z7JmkznL*gQ(jTL~1?AiJbCLB<150p

YdJ8+JR-y36hOMd_OV$S zN%*U4Bd+<`i)YcUaaxMq8euz$Z9P%^7*GXxEtW1th};Q6al#GvF#-?bC2L{KbI0?{ z%)0bO#kBORI_z1#T=FT`O>}bSac|lz=p@2Kr#^Ja&39m3+kF7Ab&p@oPyzR6u38z` z8z=UFH%|&$lrHLdPPHY@W?-kZ*d_K&!wEM42dnqoQs~8kGgI%CFe^XrlQyajwW>-w zi^D!|yS1z-9;5XPIb5#R7udO+bHMf7gPKx=JK)gab!ja`)+3cIyg_5wQ{BfEKma>6 zpU9>(zrdppe2~(17dhp&>Yjp@(vgYY+`Prt+JPYlO-G10Y^GN;9Fj8BEQ6+ZfPuMA z;DxTf%Y-d$*71))?XChEUS{bWlF?;j`~BP9P7W6)+vV9c@5GnbAP#)e+&bn&D4c(R=YRo$p^N$L+~(Sw~ztGsXw!mxAvlnX*0*VO;F5!Dp47=3fqDYQqINUv32NGg`>ob<}0&{jw=d8R(aJ6^%_RMMsu`h-z=y8T>G z!cv_Vc1nC<*7th6mNEmlzt<+9TQMM4HZ`wZC*?OfQE=3V+TYl|KhyU?lb+Hv*$D^? zI&_PA1iSg>sV(vlEEnRui?_F5X6nIqK~i>3V0%XiP+@09yX};{g;+eQa4r$^v3%D} zIn)J!Em2a%Eiuf~SvaI907X zu3921_eG|+3G+L%`gsTD%|rZ_*JMF*xq*fh`-SP??7B0~<#dD{y{`gT**m^}47|258@ zISHVWF5o%nl#ILiaS^>b-Z^VfSV5dB8Ll^jX66KvHt&=Ke0Gi2KsP5f?ps!BX}_$sW2k6dhiF_!JF>avre@R>%(iH{|D3QdfNEB)FHn+zCh1L$U?CmFX6$bkI(gnr= zt|s{Yi~#d7Mr3w4_J6+gbc3Q2K6Y|^>5-St5@sfrKy5Q+^F^$?L5Cz zwZ#7U!53L_7K~t-lVZoEKm#+M(39v~RA20+wsMtO-ygpwHtAn@xzOHLdMnnXc>Idvu~s#FNr%BHX&VwP++Y2u#`Ecb zYmYtdH&se7Zk)U6S5Y?vJ0hKca>#GLUFbEJ;jCZJ-r`QbZh;K?6Ow7i9`)Z&jz1Xg zMbzG}FT+bN3Y^GLKO+}#?~M8n$t9U&&7q@fQ;jeTf3zB4sUJO-0h z@M9LX+!+K+6u9*BlY|)jtH#|_@P@+#ZFj8p;&iAMq<<=!Sk#O#WN6AiW^mfGzF&oR z>oKT~9fE^N8cKPxC|DvgFRIsn-!&KJRl^(tePc&s6SlJyG~*7wm!Z7O)tp!LED6T7 zJ>C^$bHg1Pd25}#ew65|M;h&In}Nfwk!XpZCDHPnc79|Q9v12xXZJ-^3@#gI*!YEV z9NZV3de`GRZXm=b7_q&fUZWo)xuQF>AKAwlxViN2K$27 zuLu#C>s@=tP~Igo>`Zs8p+Y>ac%sRbPG#U>sr-(}+aR5TJQ;FgU>+#V`fdn2N=M6e zwI#33QQm^C#MW=KwWK|cHe0#}HRp5O|3KEsT@0Q&S6ai~(w2Lo>)E(b{3&xraC+qu z_tOtR1kICq(i80s_cWZ&!N}(WUbB$+K@1c98=Ds<=E{vk& zdn0(yg8qHhasdt(K-cCbw&A-m9a*D+7M-0${D5`9-WaGX7QcVF>N9DA>InrdNqDh2 zRE0Ts>OhTV+43dqxBV0IzN}ywq$W~ZU8B?%hf%r>!8e2D%YN{4UnWFo?J?WOpBfkw zvX7}5sx)lB`Z{#V*I6Di^}1>1Fwl`7G@%@b?sh0qib3F&sK^ygl5h(Bz89ZV#%yWX zoOj==gKme%9{u6j!aMZ%(xYto0`RBt8ceOD1LBBVil{}y=L%mDo?lKqRm*j{16ne#wb}u_EL@Y+ScjVV$wG?Ij-e9b>{za_ zRB?8iW+U;>gX4lK7-WxEm#r9J-m@CsD#o@W6~)-8?Hhy^Hu0_yQfxA~K!t3tOnCMF zFws20Q!(}56+szwi0s(XoI3bzL4b0wc^y5?1a^vJn9@6NZQ}bCj{74{^J9=^W@u^h zU8h_v(@mdFj+?jMB>H$Uc2c!uI2 zl|Vqqc_UD*S>RhQNUyQ8IfEvjPz`bH(KGE9LxGw;^u^hs*@wq>J32^qPnbZ~_%^D| zx<1wbltpyOG^nhago*Y9`cr)Dk*Qu*I^vw7wtoy(0p=&UG^(kGow<>@`Bc^mps}>I z+=u>~<$(01bk5X3nHW1WF{s3X&Z)OO0pvvWDSeU z$zrxxFeK-K?>>PMF!Uoe)k(p$$}58t;N}&%rZb3Mc z-x~tntE?Y;^kl`sHl|?c;y|6l61Fp`S%dNdXTE*E-QivC3GK3a!u%`G2qe9z_yToX zQ4aN7ctg413*LdgUy&6)OUaox&**-ct;ZGJOtG#QG!f~URs!95$#zQ_*+0O0x!Is2 z-Z~%TWEZbwVj+iACK>2yu8LL~Jqyin5_ z!3MN5gnShY%mEw)rtVF-4tR~F8|=>yHBnavy$BPkuYAv2OI6&?#82svdVj&K3xcCs&-_&uIG(H$R3?RlwXLCqToZzeeE$Oo07q+_CA89OIu{4L zuQu9qH{cY*yW@?Nj*di;qNGnzm9{(jTF#2=ZgYoKi*O7`Vx~zo&yvzBZJ0=PVoo=DG9@Y3~_~+ebN*8sP4@XONpq+y$N$hXc1kI=1bWJhcc>#k4#n6@FwyPb5wP z9^r3iJ2eR1FytN^gIUZtdzgGj{G_9HckDWa&LubC20+Brw5t-x7#*S2Wv-J;wRqyug?!TlQrsXe(*Fw|Plw5j`6$ z84?4W40M-gX93vo+>>{;dfWxxie7uk4|Iyti3sg}F(u32y?0(VVEgO{gW(sZRXj>+ z>15Pxf+dpwqITcp;h>=#pVFs8qckGrNO#qC95Ppwbv_E*B2Dt!RTQ!DczFdkkZ@mc zv)30p7GP7iKsO830)LhqSlIh{@tgG09f-H<3QyCQu90g%-3Aop4M_GqHk8~BT^Q#W zeQSq*BLH{5`F(q`HO7h!)927O{jDNOBe&fS`Zl&TLv23+t{Q_=H0|s?Xk#?y@_r)F zzW^eSBLrXS`mSzx+4yawUELPBPK4yfbXT)PG~-M?Kl7%=1&%@OhG_ajMkQ*|#I%$L zIbRYG^o7?E)?Ao@|7-8N!>R7$zY}#LIkF;jlF_iqR)>tt1{HU9R%DaCXQ3#2Q$|{Z z>@Ac%DtjeluQ=A>`FzhPx$par=emB^b3M;<-TrVL&iDKNtoQnSKJWMIP4O0!9vuZP zSIFjyd_NuAw1pUvJ2@5cQI!;CdO6Y4JrrMdgemfq%{SlmW1Eb%$WoiOT(Sg6!@Ymr z_$1@jv5c$S+LoBq{Sf6#tY+MtS1P&zua)1u>jUe z7f8`UwxYK^W3_+k+h<|cE(Ax$7INW78_;)Qgcv*c?57iJD(_lV^j42qEw z)MNwkZLRo=k;!S0Lpugw>H|HrJ<>-q8bf5`$Y1292KGAjV8FB>$53`GHF05U>f3jJ zAAAQb4fExBjz}i3-u!&!22vfL>W0linUXz5)>k2`C$v$F5Z0wo@LR`@XSUV z)%^_MJgGucW~y@a!CzXXXwRA}Vad;7fe=(MG$f|LhKDmSMZ7u2gc!><`@ZFhjP?C= z>Cz%I@HW$#Uhqp_7s|rGS2aP!UjTT~AS(HbjN2$Y8%k|r5VeBl3xF;Y=%_F zD`_0i*SO6Hd0z1g%Pj&ykXsd{mlJx;9YT!)g$4Z2yksjxaNs!_8%!)v6H!xE!K~$5 zz69%r^jmBn!XZbV2SEm&pJL13`#joebMc`2!KYvb?pajGO+Em70ce0JPafmV9hNW} z+&!>CCkjmgQvdX=E=3~H$(TW(@8JD&JrFb%$`3&chtuJ}L5RK@AS%Vo_$NDT=q(08 zd@VHL1op#Fa@^k^0gzd1i_r2nM>_#?mrIU|W^I$J$2+mup!&ycsO`$NYmf(=-Xvb_ zrIU}jumQYv64I5Lz6A0jQiCm#R#>7N701^x1~(%{gGsFVD2%=y1P z!3KbCS_S+*&EGj8vIat9p-T;r@z)`@Cw(9>y5DlmdVp#?gjpOqPFIrphlk#RIu2V3 zfZv|1zww_C_r}PX62+eI|8{DCItL<**d(hrvvyVrYTx)H5|?mGDxdmiIK8Y6ki~6L z@T>+Wv-o1uDSQ|_)!w0SM=BD_J2V>lW`JXNNWhi$<{h-t1*H)g6llr{Z;OS$#S>=pL2@)^jz1_0hI(M39X<&$tW~2ylj~t&cus4a}2iac)YZmu2 zM%L?(dj4Ay!vL?Af;y!YxG?^=C(yi3_ST=mDi}u&;?o5f_oNGK=x@w?i%&N6CHS{I z2)mE|iib30Y4Mp05BFp)$cAir7#=qIz{yOCpPRhjm3goV59!`|A)LG@m`4JiXL5ND zqYSPIZ1&%IEd!*R6Cm3l_H?uORLO&dsERGvBsUqpqtbg4Htat5%g`<=cuxXTerhlC z9C6^NmiVC$!1B{s!Omjux*+-;4l%#`mE4dTz9(Vi!b0~c(hpnh2PJU>=up@*fRG8) zRx3XM`}RO5{ru+b5%YACVoJ$oPd^q%%(zZ%t)YjuSIe|@7P1TlF95T~vuCsyV`lqE zk=s{PLB&M;w40>=$>DYNIZkl|LCNV0ajTldIr#8?)-QV$$eNOS#q#S@G$XxK?rnpI zdAab33exR=YQa&;B2*`7#Z>e?)ioJQ&4<}|l7B;Yy!hCKOfu+@1cmIEvg&`$X;?cm zH((g8;cKF*UgSH057z&kyN?Oh2Uff_|p!1B#AY0_npN=DRm8B z8Px~ce@g{f5LBv;LUOx)Nrbs}j(BXZ%eXYbAY7=V$^lm2*HW~QA!=b2H>5nI?S?!P zS+H4k8FDFbb3f=W53;h$O{gOYIb+C@>8e5BtwtBcS2_7Zt_4Z|`QC<{INkolpgt{QwN_B5C5cFs)kik+ zQUKm?^wmJF`vT`_7nDyV)ava?f)=%65Fcb5=PRQ~``6+4GH1^6CLm8nBTvxI=BAf1 z#EcI5ER;&o4!fo`(o2F&hX|ApI2w7>7)`J`{XUcNOM_%;#0ltIt3&Q@<)i!A<8#P` zFE=Yo7!6N#oZ@f#9Ar9nl0xatjJjm^1o=T>ok^Q&?pH%GJSwJO#hj4C8xmQyOHcK5 z$0+*Yv6n!bCTTG66d!|kk|~A7>P}ymS6s)${#H#9t8o9xm6z;oR9}N4(yzP--?86! zP=QBaX^qbJNdlRk=W+y>vB5D)62O$~^RStYY2U|Nf@CGZ4Lauw5qbL~PC?<;zok=Jczv}^`K<%{ee}D}NEl~=PbT#_nVYKMsx7*=+d4q+ z`*v91VQ36h{>o<-h>4J&SY~En>lf6}I&;#d%itVJ_1am?#r!R2s6RDKYY*8*I`$bA ze`)DCc5(BkDDO-RnWEas#t3NuJ^?!Q>?MfBq)?KXaUvoJWPrFz>+Q!rUvwj2s}e-= z>N<55ltOTOV-BV)nfAgIL^fjB%MJv>wek~B#$DhZTR*mKT5sjYi>QkpOOvul%A~<} zTA|yL+?~@7!&pV*1)Oo&t*6OL!Qqe~u}x7U_58DZ9+N#OKQ*p-BHN15L4Nyv|qI4&Gs8E!mny%?1IYGXub4PFQ{%RgD3{wD`}Mo zhTE6O0sqHLL~$l9tXWD^fPPlygOGYb;yGBe#NM&<5zBApcFPZ8oV>I z&7*o0Hy9q{>)+tpOZtrN?7&}X8zxem5yc}PP4xD4i zxwI7I6)=mH`eh!%PbF&E;QH> zI~3qnzQ-B843e(ef3A*{VYCh1Zg_BS45s z%=&!IlOz^iZdQ?nRX#9mq(v1W@(BMo3vNOA!avbRU;m3WjkN%Q{Bz=lGEn$wh>QVN9 zS&$asT_Y}|u=%m&dv4pF`lg8wcU~pqnOBJuztKk(}>7!iarBm)a zLk;g}L#>dG0vA?&j^i}KV|lr>lt-+GALSOcBTrIKES>Tyx3!FRqUa#o)X>ZUF`xM> zYvbnid3uGU&bZ`a%}a91)~sd--vRN*SGPz6 z-sK{))4J5S#!o&8`tH6!l(6DchvNf(d5P?}K&{fa`>~xbwW6jET{alvh07r-17-L` z&h=4odAt;2N6+fvg?E$K_Ta*(%N7A7M!aHf)s^sy{=^&VRruB}T}RRi-CC((sz#rT zzPKS-f|Iuzmei~@&RVX)wCbN54ORb|HK>|I5rd)PbJix%y*9fpE5;pOgmN?CW>=4$Q=&YAtT*S4Wlq{YLm=#v`A z#;kWrXHY)RU5$`yC$Z+L%akJT9XLv6*L+6;vC09>^XQe>jx8Sqy_Un$Cxf{C^TtSL zNS%BDej*w*q`#lyIdvhq1#x<*?Yi#%hflfSHWLnlLn8rC+WEGY8Xbn*Q0TL0&o`IZ zm{gr-zTQ)n2tw;hU<`LPNa`>KtYZMN4qU0)ua8h5Nc6e685w_^=J867q+XHf=9$iE z_O6K9Co?MqFlnI!ZV$^s!e%7BrRAgQ*WZ{)>NV?JorLw|lq`((!XrBzkirj$25uo~ zLov4NC9qv|Y+g@$RGf>?71G>TZP05NJ9jD0yA0(Y!(p1E_=W9FYwV0^z31)ff}b%4 zX{}-)b{CT7#VcV8`omdj7fHa7l196|y&v!sfBw+o9*X9QwOYS@n<{Cuinn(LMu^dq zDt16mHnW)ZY}|_5H1?gVpHbJ0wQgD~N`KzjB68lZmIq_x9N)-SGJGB(BLV}ETAPhx zE;uo0K;gK2ThU=^;?jofZK`*hRZJfZT=2`G0+!=_j4#WgMo+)U&r8SokPoz+%~zj( zh*w4}B`9?$CJcF|zQ?Acl&Jh2Y1DQ+{E|W`~7f+Yb=wyWmEgI!V7(0JD z0L_l{3;DHo+O}3+V5zGBC+S24)Vn&!B0q)?1pfRY$j*oIVLsmnKZtSNScgF(LX5n+ zrZ7ttve2fRj~JpJGv~}IaL=4HSfepyLG-CUh?iw7)5cg~$3d3hmSp>TRz86I2x&^i z&Rofb0>t1Qib%9>2+;V&kj3`<-2h13arc*3r|I@htDNV!tR?@*;8qGqLty=GEtM10 z8XP~dxiLoAUKi|AgK-F8%9k`+FalA-B;1a;kV$S#K>`5T-rux87O8)*AO2Y4ga)2{ z9-t18mvV)LmQH`LwRxd!`R;hCo&||vs4%fGS>VOtHbxxu&Z5~r z*<5Kf>36jotBiIMUj6aDhgiO^q4(L@{@LQv81(10??V39A%h80@GG`gvZ6hSDon5E zSrUK6HfAZkWA3X{xCX=f2SF-_amAhi8zdf<&4pa? zHE9p-g9B67)gwvd%+tgn*E2L#3F?=3u>-Dp;LuN%2zg>4&jS?ywdf(PYievo5AU0U zJ;Hf(q+ur+xo^Hov zac;O;ANEmeRoz9Xjj?Vi9v6oEedZU5i#rwKA-zX~|@!h`3E^+5g(;N(TGGq?6QiC_VR%p|T z-o{52P1V-UM`qM_By(hlbGnpMNS6xJx_{2CjDG9vEk3V^NE0+_qsqt5H|?1!XnzEG z1-Cb=Ub=UnTFqP27&#ic!BiAU4-OC9`vw3}eX%_kVPkse;#+auee%$&XPUFc_FgU? zz2Y~swd344HfQ5&OE4K8C5Mxizw$sL1#JOv`yB0iNOiYkTJ`N@Z_&Dcxz2pPmkUSv z-1_D6MBDk%HG0#bnj5CSUS#8#SELZvh%gEZ?}6-+49dC8TPSy6%z`@w=QZFrV((Xd zZuX1?aHh?>TkZQz@Tq+CPMY<*b1VwhHdTfc{-PQ`Hdj-ts1>Y>#J)^KD481t#B8Qs zm7-^NwAE3S6TCvtKL?`iabDl9NiB5w3whYV+U50#KZXr%fwSezC7h=`!uG zR$1bL4ElRtfmlsHRl~{W8p=$>=w6S>VvQ)HPajP54_+4pQQJ7jAGb?#OhfBFcQ4mW z)T7<-vTwFV3h$%4kG#7N=Oe}XUJjPYn>=4Y7hoPy(BqkE&MF3I8u#>173Y07OD+K| ztzx~l(hhekRLKvrQ63PsEEl^eNr?3fb|k_)B{>maMf5uabL-*?E(Xo5I3KVy(pU%i z340gV1X-wI-v{i7o)VyN7)v3mhlQckrm!N=a4A^6&y*zSi_GJJnc^V*)4*HG+Pj#D zyI?;{LKg;-4ROBLDVtu~(I7>J0Ie!b~EPd(c|d@i=z1?yvg96{Fn8hGYv7;ZJQc9?^lUrz(tO zm);2r4Lk~h!ShHDZXS%LXP?x$cI&+WpM{0J7Fi3#$D+8s&M!XRArBh{zT_P24Q?Wi zgk{ePWl+I+gu=zm2d-U>X0z)bG$om|GH)7A5L2_myO6leY-x+Tgke?7eF6|70hOb` z_K`Y{C}LE?T6MusO606<Q^gRZdzDo#qW>0!z57@RLR_jL zep7M<#}w-^U=R0MWkQRoAk0m}E%r*HzjKvq=Q8Crdbs0N_=QqI_tu3eO@; zmo3%hfe@cZS5kU*cS40twAShG{>_+^Exc+2`4=PZ*O2(}H^pZSJ^fI@dE$I=Wr?%a zJ|MpPvDCm2-WDQmkl{O{T6bp71w|o58^HL3)%FFO33N;i?PLHnfd!~E0T7qKR?QV; zF6@*1SryYduCD617T>`I-J<5#{7%bHjmn$YPc#>)_6vTta;@R}-3EHgc`E{dn z>cmB>@QLB<1JHpX4z6hqX2ksq+Z>EL(D93{LJ4$di91&YsZ1_Fcj52RiBvzFnafR7 zwNa(T-fI2rd!i}-1P7`jBhQSaKc9M%4+CiSICv?xs_@FP4kT&SHLZQ~78BLB-0lx`uhJGqp>~$iT&?{g<@psC& z8z|hh)jz}Y{GOM8tYCvXO8*xy9^3)#zhHa)UY38t1^TbyU%ZXI1O9KS|GYN-kGb~T zB>$h6|>gCMnk%RX~0T9+?5ab8XNE_j*$*UP6&NM~-;e_)xh zo6MSc#dbd1@`^y>2ev=oRjI9PalbZmZV;4us>jtB2$5e~TDhmPSYWw6HK80`h9uSR zFUWe;NJ!>y`j#R8c*K=&tzST4ojhz!dBtwm0=F+8#4x73Ya$z$cEFDvIm2F0)D)=WXggK&4ATg#hOnnz>i z8*0#=+&#OZhDOhTbwLT>0o`T&i@(yn%w6mg3ZV7(Yv#T9B5!b;c5|ip z&Mv!$EJM7$pRoQ?yc?b~_{|(Zv%oMBi;ke;P>#2tA(K_!^R9>eg*VlNQ4LH*2y;>c zqcD>P)05+INzRgrB^a}X4GOP zNI&jyAxM!gi|g$ZlNkVObhJ6D%;lN+TSnzf^eglOGw0F zJgR~AR}4ejYhA;Ot5rnr(Um_rpYds@#SGlh#CO>>h}xQKf$BcsLa_G-7O`*vl%t56 zUyTXI)faNP$`8&t7=INNB6yQkQ5zPIi~LCH!u*@!2pdzDmsg(0sp$G~k@ZJ1s>4Y2)%b!Z z>8X#r7<2Wc-=2-Pr#P^ilm+r5Jvt~feFF)KjpSPhSyrQyam6f={Vd6z@pr@G+1R|y z!7UAG{Uxy(@!1Bi$n)z%BCj@c=|a?v4C=E*cS+|?H#oA>h7Cqfc@&kg^}$cL1gU*< zZ^MOJCz(^^$6cbR|DMoHm}TJeZaI$~W_14g^5bb*GHcbl*g|0oA+xa0?zc|L_lG&u zH4~zT7qiu>g8>J5gTNecz5xpLENwXHS2*4a;8IalXULWE&tgs(`tD&Y=v+o1MN>aR zdkeNpask|X$FV!N#uTj)Gq5vD=fUQn4T5_E38Dydil@QLIwP5SclFg&Kjzb}POkLH zD`V1%eQ0V!o5n)oF0tb{3zQLRS>BLSImbs_T@4JHAkI&z{_Sf+6AA~TFwwqq%oZf(*ofe5Q1Rzxh2SlYK}I1k z`PLH&dffgZMTnnRiveg6nWC#AYQ_d&?E9yPr`1CY)>JxPv+aoGwoj90o__7p?V~3E zB4y}t9t_l*Q7@;#DPmJjXS97sULG&lMi;zzlV~+3 zAHIvi;4Aq;dru$q@OHKu*n^(N&Uw;3il>M2-gygU%?}E+_MPx@$TwWOGk(!{c+O1F zrP@bZ1&U+G+uEdV_|w3G@~W`NxAP|eqxThaIEjFQhd9+Gz`?5tZm-<*KoY+~r3 zC{Qc%SGQ3mUA%o4M*16*_;%0rI|PSWr8f)QoM8@z@-I8_Ye+j*VcBC3R2vIP&@Cwr z&X)phdLsyz0c6#q9y1h?kl$Bq%pp`$g!R^7=_mbifl+NF?NIlWa8`g*5yB2<-!j;| zgtF3iS^_1eIO%UonQFLAd`YzsSRaacq|IH}NjZ1q71=JWKBsiadAS#q*dg>!fOuRK zSH19RSSZnbbHcuHkxY|U1q+GV6$y4^5W+<6MBL;4h6D4H_h)x0Ur_JnY14b_LWCLI z1vUpuz|PHJhP>SE3I(@L@gdZq2j-(5ju$egP4o@!38Gx8?YT3_cXeb?>5|GvWg<}U zYTlw0<@~!RieaG!Hp^S!AZ^80xt4q!$UU3T`cT1J{m+#7<4$v9SDAJ#R#}X1s;xOG zP)HgzgFc&*894p%RvueX<||L#oA&@!?gz2_)eJ2Wo+3k4^;R~4`dO1=yl1m^8q^!| ztMdK%ReB;wc`+N&?}7TlBZBhT#x4O=GbW+mH&o|n=xF(K=k0)8rAx7c7^UsTY&g=w zv0QV`@3)dHNB70I?#wm5gcESBbCU(=W`_jxr>7S)Ojb z3L!zflu1jp%Cfcg)K-I1$#5IOwacr7Bp1{s<(Vl{fCZS)_9;R9#8y&V%ZT&6U#2(< z7e{Tppk@HfBPDtPl$kMFE&|caWamaTymKA5s47Wy|fM02Qpj?fG{;x+e$ur=_OZnO9Z0 zhtjRet_=;qMSm$u@8W7r$|6wBWr}!cPKSnk3ewtzW+b^i1~YBPh5T@ZS9hA+Jo z|KzqAA_g?aO148a>25OBwc8#gUjYgw&s+zYde))4!(FV)Y*p7$n|0H2fk|8QO|n^( z47~AZin0XYwm@Yo@NNsJb+++zYng2{i^6%lF|QPi8Dlqbry5CS6kJ*(&q&QV(n)dCA$FF z7X0AMDg0kvz92-?N*x?r(xtN_Vx-^R2|5)2^}B~otC96LHKUBF^tKZ?wb%!LdzJNJ z-?D;GcUBijj|dhssu=`fJ$S|egFz+_W9DvJ$i)IfB(%bMBa8Evu)1qlTpkN{0+j z(5w_6*rmw_;Cb&5$O1>OEBggQJrL*neB6oQR!CyqCx+53;0IzI4{(@iK9iAiZ^2p+ z*bQv7`CyrRrTFQ*iK7KaS2RWLULq8uzxn9&B2=jr#{}T5wnSLAf9Q+pqFUe0DqJx* zi?EaCq@V%|n{rAlJM67K#pd`8@no_;x+dXr;+ps{D3fM~P2h7xu}OB&cAKP}c76$n zeJB?J;aB)wan;8bcMxYkRRr-dfO&;Z)3R9P=u0Y=ES+CozEbez(VdLWj;UvH)%XhA zxK~(j+BO3hloHE61K7aoUv~4K@vrgwpZ^ZVaCK&IzRv&dQQ){cgADJyXRspPS(*2+E>Ay-<^|A1vM$af5xO)k9JrsQLYjazIV7Pp}1kfGI$x~b3N;ki#R zANEeG;y6V5oaZD4Yh=%ApY)5Wl9LfZ7%^i$WYQa*!{97qQhWE?Mm0gCZ|v*D;Lz+@ z7zq+?pC@2#nq)B__VNo?3`9C}UpfYS=m10-@2vpkOa-mNm}~SS%!j>8tHlSA21|>9 zO8U3erN=Duzb^1&JAeiA-va-)z%n>51t_Ke=OkFXjU_MGK-<6WmY{ z@Cv!W(pTNNziTTa2`nbq$(X2=cQ=_5j-{leGqIFf-FcRA<_M7Sly?G5&~MB?0d~ng zpp}kmPy>~8-1ImoW_+5>Ik1BV&^@j}3H`nY{SyKi`yVFA%a&p&=ZROvSV{fx^T>PM zFrbm}oitBr;C~mY5l2J;THmcpc-^Ep3lV#Crqa;0!-B*t5`U_WI~)GDt@f(O=<*aA zGm3v|f7pGGMueK`W(nQ*r{;e!NpD((-lG7arn2Qv68x#?$vcHUd+*hEwV0tw>}UB? zucuqSIDq z{|=57e*D|Xz_RP(=f6EE9IpZ=7VCiC*{G`x<+Gv%ehcKN;^nUXdN@g&FWIw`>6V(L zX9)wYhcCCgK7MxQf8urD5U;CCJS0%d3ujZoM_j8sb(+bHg$P>xgLh$q#C6e!^9WId z`c3<0E7_`OZM{GNTisx~WX5h5T79lIvVM3lFJT@bNO^8L(CfA7#cSkg57KLcizLLX zkUVvyZDeK?!Mw-rf^)LEXo6lZkoP>kf3^}`Ny{%G266@eziCX8kGPIjKbZG0G~PPe puv#!%;7^eCz57o(5GuNZH2KEFqIqO$YajTLm$@SS_L8pm{{oB{f}{Wd literal 112800 zcmeFa2UL?;_cyAdpwd*7UMvU}6cD5a5ET@qjDrPK2u(s$M0yJ<0@4*k!H}SUfPw;2 z6e&?U0tvl|M2dvaA+!L2?>wOiI`jYTy7#Vi@3&^wns;8GoM%7#?DE_D?6c3&_b<&e z%v-s)u3NW`S?%oUzt^qXOalK3Y^DQGa#%7Z!GG4<{(a`;x_3BU@XNZ52xSfBb?Z`t z8D|YQfj<}grG8Nb{1^I%S8Sb(!Mcl&*4_S0cPp3P>eCjB4~%AMOy=n7YuPV4S>T zw4$=g3Gh!)rZ%Qd$MA1mmnZPZRAXafE7OZsu@%<$jIG~(b3}T%We_|Plf1mVe4_22 z`kTdIYvU?N@$vDmtApO8=cbnsv86FzQrvJqvCYlRZGDqJM_LEm#mQ4c^W72i^Yb4X zu7rXqthf7HQ+wTs?j}?4hb_0y>e{YbckDCt@A?BKSHG=WH&>>1TKS@rem~U`)n6L+ z%J+4)cd#I?BJ}SM2W&q1?A5IkOAP6_5u&YOdRQJcKYwM5j*|kfqoXHX%1zTg>Ye=d zTc4%;b1#cr-;0|s<1`KsFaCe)f3lv#xgq*>zM(-E!(D7@pvUUD zun^olp40eU3DP(qi&ZuV4DVL$mo5&UdDJOG;xxWh_}>q|h~%`E9Cpr8VZQjVv3h%x zb%6Nfo)TY-oe6AyurcRY@y;M>F{iD-oyfn`hy**;NS6z^8-l6e_| zGmv2Gj&0lR##c$fiJytvhem)H?Yw)V8mF}e*s ztv6nIDi+G2jz;uLlpiS$zn@CrG#)$^#3oLf;w=xiSo$Mru)edvW&J9O!ZmDV1=j9! zF0+Z-9QciU^A{A1t5-wN$6pwdSL-DPioaZ>&25iVLwUKKiOImso7vC%!z!PhAdPOzu;fy;ee25}T;B zHNL+nxA?+X^6lruhUDy?JjYw=R*QzdGu*DJWbA?8OCEWt;*DPx1fqFQ%}1;99dBB_ zux{~#;>Cx~Y6xQvs|mEN@yR8cIk9P@d%k?P)8{fiX|$l9c<`+C*ynfdvd!V8i&H*$ zlyv)0#+`a6GPAKd=hBy-*S=EISr= zdXbkW&+M9tdKKp?Khy^L1}@SW4Z$_4HFRJjDW{~{8jRJ=mLv>x+c4tucycVW*1F@B zxRBuDch0HH^`D-dW>vtN#-dA>Cv#Aoq@INE22ZM%6nBi&ufr-JR$REmQG^Xn zlpz-z@1n&@3!}e?vtx_ajlP0w^&6AP^O?gK(g|brC8k@^cDOFW+-K1fv(t?Ze8yjw zv|R>}S(|r}sYts3ap^@JmVh5@_x<1ap@Q8apdf|KIPRl-#i51&+nt~kY4=ah9jdl*A)GusWmA2eN{hG1CWz>GayZ3lO$W&uX`xVA!KhS{} z4{}s)kWf2G*X%2<-O6{(>_*BXLCHtxC;q1QyaZoDACv866-M)=A6p>#RVD4SdPvm? z2cwFejwS3@xkLoFFq`~=5hhdUbD?*tMZ`iOikuQf-&4IV(=$RJQ_C`A*EzeuV$9s_ znr7w0o)OUJVy{awR?sLGtJ^qQy$t(u68hL5*f$V@=KUZ0|4IM<*Tz#CYG+q^61bFs z88a|xvAV+b?JB!ZDae`Z0iG?EP7nN%)1u?GFHiicr_n5|e9(mpMqP-1<)84*!=uVCECex6O zr!3b&!-ZHji*DfRt=_}9o{{!87*2be?owAQc`NWs?}l~Ia1GvV`Wuhc#hhZ_z)pJ` z8V4CqOw4WMyp?)N!CeGUAS`SzZ$ABetm!b$uu6-_z$1oZ_pfq;?* z7|;L@+JNn)e+9FsX>U}$VMK#a2uc>fN%JDZ8%vJ28}j`j2{kL_fG?ayaZvjZbfGRj`08NrLJMUeHSb#(b zVri%s!d8E;w?!p!QTYykMXbSKXuuq8z}`nM`T0WPHV1qyTn(6^kqImp8;@Sy^ne4> zVw&gILK=KSh`NB#V8GbI0Gg3Vsu)}pqJ;_wyBDHC*J=9~pB$1 zCbF15{f8nT%>9ZYHk=OsiibrYH~(j3?-1*U5b7TR$iE=vcPNE1fdsVMX4@Y>yz0l* zFb-wz`7oCJKYIADKdufTWHFE*hnFbR=CL*at@?3w_~Q3Qhg z4a=+rn(9(xH-C$gOH_WDih4#uKyWK+KmzZVzM&+jWD&EDq_tu&Ik}H&4^lxEWr8>h3m4wP)q!;v>J%ekN$nwMe z|3bZ7iE4b>M=T^(1wt=zj+auWe#s`{uGX2ZN~P5(>0JkqE*N=9wNiDgBz>Be+h zt|VQzVJ;O@)*q{YJHi5p@dENwF7=UZ*JHHT;)h=mvepp0C3q>3%A|R76@m!><+eR?|R=~wx__( zPE+R2^IZ(24*_V?@XiXI#-k?*_tyZ+g+mjlAf!K4NNQ;oXiw(9Pqodrpr9&|Zub5@BxG??oV8e5AkFlG(=o<7@k?fZ?z`mwiT82f8fJ!vk zos}G6q0SSZnNNK5y_02nxL4U6CvnLBg3G*%__Lp?H{1JM*ILZ|d*_?1`=!HPEGb=^ z|ER>slh?19%y{6eOi|pFQ2pdAHaNqX`rvMusGeqexWClVNCZ;IfpslYA}Q=Mfz&wm zW$EOhge$)=AQH7amliyZe-2m!yo4{N`A zEIF=%5HdLR3Bf5(e)5WmSg;|cg{WE3s6d`%Xh0+CE0WKw0lJ5tRMP;94}>Sn&JE+b zn0Dt#)~QcC2j6%cZwPIRVzkopz9EA*c$L$m>z;cXQzU1`%r214uqL|iWtl*2pFmw* zLNl~*u4<;6VK=Pir;dS(>(LZ@V#JKLI(r8G92}?7BqJ0wl@05cP3Cw?@63aBhoZv8{v1z%E;Q7j|_^g=(vAvH!H=8s2FQS`{ z!Sp!}NUSa%?XYr*uQ~4n8?->o|6*|h2JRG4!TrHN9WL}WLj*AH% zvA6Q(8i_{KJL}_R`gC9Yfe7b}#_<&r`g^}+zL3TW?$-<2mFNyU6Rex?=udL(l}`P}T={9Kh&#;;`Y z33bk-KAc2FIEPVcyXIQt+}&g?)MWi;IkFlUvay*C;qbg;gZY26%SEy>2AmV9G}E81 zF?LC}>G;8Et!&&DhP0@CO;)J z@szM69br75$GFy9H&39%Cr~cSb9t05byL||kuU%c5V>*5n~A&pori)$G)=Vb_Fowj zGsqjE4=&Yx?gP)E#_=z~5`&Acl^p)|*O6B*vM_CSn4DwkMuU5<-nAiF#)qX{>a9jj zO(TC3#@WLYJOH~rmr&sD#Wed3k~-zjaAS93KmE*7!RH5`v&AUXUbp3Eem-4sr~J1R zLiy1#8f-Hx!S;G{lXB;=Zf)fdPWWV!Jl?2}X0aujX$RbYx>?+Ad7Uls-cv)Gje)SB{fQ?>v`4PZ0|OBY_)|rt814XsgL^ZMZQU=n zyZLW_s_AE>HZ7llN@;X8m7hc_v{Kn!p64p1$c%gyDcISaVYw;pRi|WdproZex+WJ*aeUvM%J_criPv}hpb zw`?^{4*X@elH}c=Bp1!nDU?%>co(T;3{`&g3{4XE*RHD%oCFJ+RckHCdQWD2v+iBC zUW0Z~*1tHDU8bM0DX4{OdmYhArI#fGZ0K;VPP2H<*cZ_zF;wb)`StIV$c0F4wxw`J z=SdbpuXnE$<=1jE_dBbqQB$)uT@P=Ok+BRB1JHDKr zu?j|c<%wZZ-JZH|Mv*c!eiRp-pD01+4jX6ZcZ!brxKD3 zu?M-94AEJvsieZCLCWG=*Vls+g^4UBr2_Op3POi405Q!;-N(_yceSCa*CmX5!rFPyix%v9coe(jge zTCzN}sEl^+)a!YTVxIw#!nF4!OS5^NM^m^lNs;+2B@DcFn0`dqZ^5Kr`dX9qIn^b6 z!NA@k@+*`t<9RVs<3;iXTiXpt4w!8aFfW#pZBhtUw^Qt9G&U~*0-|uNeeRyuorZBq zfvjy74qW_ag4|w=dB&R(e=~`EWSSo}&TRSB{6;w8fdCP4PN(z^xohKq4TJmXFF4!u zF=RMeSpFC79q5-n4Av>jjCR9rxy_Gt`VH7wVPuC7KT`If8>LgdRq^x+?2Jh+^4(gU z6(A*uB>oB1hGlVGWa(9t>*~ut>%fnG!fc}On{%Iwx$>B5$}yuMxWuk-n7$SRTDWgZ ziOqBkLtErswD68!cr+h7^q$afg!O%}q+Y>0!$PB94EH!;jNj_(!$$#H>L;#YZKpT2 z`}hRv>{9U4-1~PuYAf%B_vD>xD-Rbq0n)6hETB)@<$r11!pm!_sJtfQIlwfdA-k$j zp}9BLlQ-w)En*he8svx;>zDos?EII4gm3A|LR6!7lp~tlcKX(~-(DCFVwusfTU9C% z4kQ*>Tgz#UuCwJ{YiPPn)>~KCGio@w%3OoDv^bBhwUQ@Z)VSSiDGL~SkXwdpo!!JK zx7OnMd$2zFuQs%5$LGId*?-l;|Eh;8k@x@X>fwL&<^Kxq|Ls7m^hEr(CG-EyJu1Bj zyY$Y2Duv>lyWX;!r;|7;cc`iUc<%x|R9|@bhW4-+YBUP3d1=UUU$IXm?R3cYk4gLk zQ(}^#;-W9W!$Y)(v_70TJF{@l7kHOyk;f(*k0zdxS7fR*sbGX2ex*Hxnq-0w@^N?X z?n%>^JTJ&~ue`T9i1m~N7kLACnDCzV5L$K{pY!=2+0w(<&6Tg0e3a=D6*V{VgCVn@ zOcym*qJ%W^Ke!{K+(Z5@ee^HP6hkdD&q?n6(t*(qw1&frx}wkbhXmX^^hTHj3nU6y zIe#(x?0Wfz5%?WypBY>D{qv1+vl6Ij=d467s0v@II|}{b;qt`C1^LutJ1e#0OcIZY zU&y9)ApIgxW2kv4HlmHbuP23&?$xph>LI#tBiHQd*<quRE3vSUJ3C;# zXKoIS)OSVy3z?xml4**qohfb-@7l+PCw;azn7b8x3-is{Pj%ov)L!+gxM>|brY)eu z{lvh1s5xs{>=!L+xcG-URq%e~S+Nmx&@La{<09HSEjXa=s?$;9(YkxfHebIS><|FG zCRs~A!9)H7jmO3T=rczP8tL!^`za%Haqe!0jsE}42x$4`Ptb!}khWcF@Hh1pG=AZC zPDAcaK7IGaCmC;T8w1|!sK^}D&6^UVZO zPOjCHSq&F19Ml@8=RPQk&p(vX$$C5;lHAzrz@H~2JtB#beuk7ZsC-j*6ly+sxajm#v6vx>A=?7_~a zF*a)#Kn(y8yPn(CdD#-1vGZ>y&>mgmVzzSBXx5ypG0~)Rs|`@Am(rY^*O|C0ebFr% zE(|qWe~pEYt)Ho6UUZ3ySc&WUygvt|2R$rHlj<`B^F<7`=yM#!uk^8!k+ z3dE;D>eDcl`B5~p2Z^9Fum4&PY8Kz-PV@GC!)gkI$2R=xzvv9?{63@#9axmG45TwJ za#fver?a_Ew>y8agf6Z`Ro`!1MSz0)MDCw`LPLew(Py)tXCD&cMoTzmZ_-5y?mEI6 z67X4gydqSygq$C=1Y6t_D5obRX}%TQGpV^i4w}(+FK^UB_E1Jf?B*^f!KWU}-fwV9 zE!Dw01vW+!+y7D`RRUE~4!(qRDI-iW@fsexn^Z^M6`T$`dkmOfT8JHHMfwdXgu+vn z*CPpbj~IGY(s*}rZM<~Rqz0g4c8W*tZT_2X!#TyWM&DB^*3-MfU5bZKbrflBfp*2c zrLUmR3?Bm)n zKP5`@b=?D@mLZp3dDpH8yJ?~8GWZ-g$ys~`Kgr*7Bu^?zOk|?vYHwg_E!cx+Le_c& zk9D`O`W`QE0eGh#VkSgN8cEzjIKmlH&Gdx2ecEAU{F~eS_vAROeRxW};{?j#K)tnG zDIJ8c-vGky2*qtHJ7XHnOh#TxRMa&`FsG3t?>%)I-)S}+TP;s5Z;qZsc@JsL*H1@W zoG&h(qya*;T}{>oqgq9!c?up&@s=59nV-eaw=1R0yXR3<8W})Vwo)mm&r!=%gd)(wygt;l) z7>a)YAw#}e{2?ULyrx|R|Hg(XS3VzU%T=_Bp066BI!gWucQ%Gku}%B~Kl3%_F(Kw7 zL!U&Ml!PYG#6H&-(pM(ar26kI(i6(l7N8x+s7$|472>|ywu2hy3HuAA65Dr!cwQL0 zuY}XF{T6Jz9Kl-Wdx;=kx+Q@?Aw#RC-&bLPmNWcB--J+2Q zZYVslD=Zx7Epp6x-bJCEu0f)&6wu3J34y4UK%PV+I3;d=m-dcutfJr>wp3L( zJ8-zCi0P|0Q5PHoq)pDebEgS2!|_z9_T+U(e-N{DiC5gKKof*kCz6e?GGy~Mx=R-g zYIMhlZDGX>+!8nSXhQc5%Wx0rhb79l@GZmTfd}ynCa*BX9o5Ns9|)59Ut1JY300&4FDRjJ@d%Q;S#ITO&Qfc zd1o~CHCY?Q=(23tz4=YT=h#~5_Sou~#zgA0$$-TuPeBDwMb3@l;iIBc-6N2^Eex|4 zgxQ>64vQly5oc0*q z1Kh$b=(=GoyXnw`s1%2ufL=z*cf(a-+JBGq0NEej!(Hp?fut}znlJE}B6@TeSk4da zk}W~jg5ATW#Ryp!Tj0;1^_7uW9ZvFT<1%eKaXx!U2tG78_aq!06xcMstc%4I7DR9O z_5IUfb3-^`E97Vx#O;e$8H5K=Mi12cb3a(gNG z_8?42WY$FH2r6R`1m89TomM?*=e+Ff9v9ns=P_Kj)|SHOH{i8xJUvBc1<#RLJPi(So`HW=aT~3#rs$+0)8Lbj6B?p+k$W<5d3SQ$zXK!ij zQ|A$Fql#f&eRZcrm{`f)b0gCPY~}J4OZvp0A6P(spvNyj-e(rHSOjVD>$Rz?Oi|(D zxp}QG4S8I|xAe>54Q6wGq-EpMdPTDf`eC9~U}o#`2oxXNFk=(uT_dIFkz-%=aSS=9V&St|~X|-sXyva1+M(W0y2Hu|{(aQUa%t!rgW~ zp>7Ii*otT?FY_Q5Jd%xJM&tIPQJB_nIU3Hpv?Y6T=jm7y-wp^pRv=bOTbdlt#>L>@ zVblg#(#Sa{z|W{fcw*Xp zW627f?S=y9x;MsP?{;E9UqGK~x=c>D`KzpTNn}uNutoIZx-K6X%C*9oTg`<+_`r-F z3yTD!L*$vE0L>sN zVhb9(_f3agxAp$wRILAgN&L7q;ujh$m7PGT_FSn!vOx=bC`FI5lbW%Q@+_#>f!=WB zt)Upkx4K_0STWZ{C__H!)UP>jgqQ1`AZpz9e(BUj3m~Z>CNK9(CEqG~zHvIEKU@dT z%855I#v0mIKNIjsS^NIIz(_Kmb<{&4zlC)oW4|nvMWK+Rq!Oqly-Q`solnueK5Vzv~%Tk;XYa7 z%op~liq~c(J})EmUrg+rKm{~ecR=fF0B0`mNd2#dx4XG$aCOTlRlvI9!^*KOxJI#0 znM$UkZ%NYqb5Eek%fiZRGBwOL#jE`kF`7@n+tlSARfv89m9k(kJzrzf0$J1u;paH1 z%Cq&^t#=}FX9#^}P4CE7%N;HsQYY@RU@v26M~lS35qsa|2E%Yvm9EYwGB0mK%+gk= zbgF|gu@6sNH~^#$qUh*ypHH`te%rkMnSvla%9W)yIM=3fSxvca{(foa8J=rWI2|8{71>e86F?aMct-*K)T`g~k}mogZ_PUknwNwlWS|DeZ-D%?J>H8Es# z`DjmtEjUr01#(WIrCsKdR?k5X)|8){i)wVc9-W1MzedlUY@Gi8OPi&9kC(_*6_0>D90}pyOJ;8x|<`3 zLs$~!=@nWh0i&+s%d{N8`x`_6?K7X{<@%*REcX+ypCV)D1|2OL2-HD%^F;x?cARuF zGns^QV$oN}b;CTWrODDB#2cp2{EoHdzNoc1ub>UivE?^eUv96RK(Q`wz_-p);694f z4o8n}G=t}n$`6|1LowtOKaGApFy$f57F$dhqg+l}SCETo&m&2dz9#FVr$9^mV4)g9 z095NjpDD3w$ld5xu=-igo#;xMsQpL*&4YxpS*j=jnRt9|;u|)Fq-Kdr%XyJz(|PVX z9KQjaV>9EKsq_N-;@``ftQDa$V^BALS$fj5Z}E;_~# zC(cax`O0u7Ep1$=gK~Ulhf71%9&}J7+N7r9L;#|n)2h9mTOL>Y zejIvJch>#m!yJ>bMAjp+S{<9vL6&{GD&WH{MMzt5=)>&b!xzAZn>l&#*g0L|MUS~g z;?EoIsv=S%PBQo*{^6_XmXPT>?no5Y8U88BEUVQE=$!A*A0zzA9gy8Wf7&}Ql=q_WT^HtVl6wvw{?uR+77LIfZ#~T~(!`XJ- z*DSd)ZWRNJJJ1N5#ShMX$kWYK%0g!dVQyLZ&qv1Mbz|7}DV8N3Z$J}Mvzp;ls7sa& z%rjrxn@EqkgDFBMemL4=cl{!sUrtb?8t9S-YOY{QAw)g^hcn9xs!-R8CA@-1EdrT| z&H?G<$o$3|oyX6UzQ^q+>b7RN3;`yNkM~CdCTlzXS(r-#@aO zC_p%86h9^3F8fr^Lj>ZOl!eFZ^aYJhT3>THIoty&q_>%-kV2#_15n5$2%%|^LUcK= z+BRxna_0JH(B2T?IXR4 zz^u6;4KT>$yT`?h^bGPxmzoCKk6)aXacxghrdG-9-|^^C`tTw^DM zyH73r-PC5#NF{%-Xz2Q@)~sIHEQ}*GFZK&D&DQT!A+~_A@PLyn|2xmtZ`=-3wx!{7kEDOFhE@)} zLmRDAO0l~@{)$3;1DR$vyC+ak_x&d=!-Ll*QQ9;#I6HfzqP>vRzPe(qGe1Wt z;ZxqsZA>zOjgM>>igxeNv8J@r_|xuI79Pi4!bgnf_yWY0d`RsEUHA!ur+^tn134ZO zORxVH^tl8W(kHT@e)>CB(2Fy(t)eTpJlkzbJkAzneMb12R*YeRA9k1}S@9GI3W~-f z4>hNOb&-3Wc_7T&_Ni@AxPl^hS;nvkixgkRQf_rHh+i$z~H9Kn*0I(%DZw1U6o5C^&{y;XRIw~zeGU_ zzyrVa1%8_q<#S|sbbqqaoaA%ap-Qk*fjDxugY|RA1sZl3N(qM}!KaaoN4tnqb`m3$ z{N0AP^Vz5>_(G243LFXQa#D=3$I5bm7-g#@EvI#+fEG@oMuvCj=lvKVd1)PSDDZA> zu1gt-^)Exn;AeHa3LM|LXeJ2nTE&IrptNudFo8j$K9N#6^gK5@2{KKaQs>J_#!j8) zYKhN68wYyCAcqX7<*(iz)ffsz?Pak_v;Z`rY1=l9obF1#4uDj#^ATA@Gd1rWo07&2 zCk1Lx7DuR(BSyE1@?$&Sh>Zei^+e3EvV63OJd?#Y8P3fn&)%rRsJ?~z>lHgii0$Zj zvWS!&6AJe!d^VL_;mLwxC7UZSK%O>{LTH8BvfNe6k+zD!fr-dlHW-ZPkonjZrFsxr zBs3i`@rg;-HT5N8v>=7hRbW)+`hhd}jPoi(;jRE_%LXAE7xPTzCYqT!%iyySijd`6 z$>1B|HD+Vt%8)|@q(w5saG{lKNKRwTp`t<_-7qrz(=@C{hSVCkXk`-5$5H1Y2c5Jn zCy4M(z312e-~}0LaT!jch4q>$;$ne!?NK3*F50_5u_I~<4ArZql8CfrrcH(4VR+D& zRe)H}jlz-b6&t(Mp3+DaIA5+X@w!QLhHWoR#8BAjqa4I3Y{aa+t2p5vNBPsBg43mM zAiJ41A9=0b)HlFoD8a6)D-P}Kqa(`B=yu)f9OqR9Lg7BmcLSTn3prna z6t(*h4a1!746zf{V3#k^3>6kh%$0}|Bu2mu`1R3_e)g@-@3zQk%6$O4C*U{(cu|Pj zeT?=ZKQ`ub`IVfxu^{qrLw3oVtu%DGJd&#o-gma@s14xLkAx0yyb@!S6NdT2Zl_~d zSK;X9#HJ9!bss2VelB2c%ZaFr2UrzEhTW_O8VaVQPoq$oL`R)HD{;_^hZ%casPE9Fz zac43MAQCd8pgzsq%6@!LB&QwlvJ#{%BV=rQ-cl-GLB_`WW2ZI7WW-SaZE7bh_|(Y4 zY_xTXKO80Bl4l)Kr&{KW%=(x4L?XED6sb|~;&@*ZPl04NS_S0HP(Ni<{xPWz=|*UF z?_bRII`s+q<3T#XN91Z7A$*v6Qu4EFm%Q$y9vJHtSEQ`#yaW`nG)$F!-U{2iz-U3H zC&bnAbuqpl)Sy%rL5zvOjtXP#LyDm6B9ja|D)h}+aUxmT4%I`$ckKvL1ur#Frw>D8dWeWx27f{j@o!6T}xc(6GaVADxEa7@E zWCe8ksfG&Fh-z|@+0>6cL}yN|(0Cmph%DxL(v%OF427UhtgSI+fzk-QFwl2gkoh~02uj^5)s_1|z z36g7p70ER_=gpebySQ9&migK!jA$UsXmtJz1$sGrR485+KdxM&Cd@d2>H{g%C%kz+ zNR*)T%E&|kOZkpvi5nqa`e0D7l@)JPH>ApRjs9}7j`UrC1L)5MGDz}3$FUK@cw3FL zP(zxt-#E;J%|F+}8?w3%ZxFgd8D=VfLN2gH@`v;!lr+5;&&2G)2&-G^cxu6@7J-)o ziB?2snKLD0l07!NoQj-PrO3zKigb(jX(|?-vjM0rJC5&hd;Aw(7lQ3HkV-=tljaK~ z2+ziv!)$xmb9(AIxd~lP%^JB*jXGTI39=M|gX$8c4T8_N4cLMc|mgZ6#FAYUxZMxR@ zgAIi%piZemxvFhb1|~VNPzFy{o#9lJ=*;R&6BWV^z#6Gh811&V#M6+EnfFUy0ePi8 z@vk&OD-C`sYFycGjm-Y6l!ZGMUP&T4&bMR^*wNNgNuSj4J*i)O$VqNal-qlT^Ssf} zeIWz4uRv^pR8S5w7LhmOC?3c)C=@bQ5ozDbX{1r7!|8(?>bdarJ;m%_=3yJ z;^FE@ymOo~v2{Mjt@zq_q(6w-3un_E_a2|Fd<)Ef;n29_QLu38e2KN5AD!e=B7I5V z$HG!K&B#-YMnSsj&PnN?ueJRuKOPCi{Y45#Gbok0%M>^;Uz~8*@Yx`8D;GdH^;f{) z^qlTYS5~eJ;TuFnd5BY(iCM>iCx)McjJ>C843!PZf_5}rRPLFcI&uIuNzVs3gVw$r z=m4axJP3sgC*)NSVi?AwLRdQt$`e#HUhf29#$Qtrn*}Y^7mnHAxBXt!bp>bZ2t+O( z2C42#8Bv-GGJ}P0$asz5+nH>!&Y}tK)Pz(10q;dri{fKm6b7_Yivbfm4 zIgz**DpV{S-f!v}MZs1GK0hofG$f0c?72>Xp9pArO+2(RvEJSd zRVJgT>nmd-O6D_5%0r=A$QI`n2GxbIW!}pSK5pOH_uRh>g$rGwQ`$9xa$BLpg*J9~ zeLP|%tMv}xiSZ$Dn?dj1&?4(&P{dieLZL0x3YcigMR3sV&lf0&0$Y^NY|FP$XoOa? z|I$6qP&-f8(Xjlnl`Di+zQ*6hw4}O~JC}aBM}gUd`QLw6pOYN@{;v$f9!oEacKPz4 zU#?kbl%QlJy3WmvZXvtef{Jkeay0~B*0RB_+|2aL)e-BL_4CJ{)3BVS#jX)`wydCt z@Go~mpyrSi^gKghsEvX&l(zh{jA46mCoumeVfybk=BT6hE+{zuE1|Ovd;X2}o=eis z+_Kk}opI%AtL4im&^`A-3|R2@#^sBimM_iN*3L>=H{J>@TmSK54QR~gzwmECc>3o{ zFZLj@ZUza&%PT(hyHdS4u@3t$vV!wM|NOaE@CVkVGJCE6c}|~>Kis*Dg3EVkE%u8( zGx%qtqx=*Fl!-&nSbMVb8~;s%l>J626L#h0L95DtxKX4WUnaA+?|-IeO4-MC z#>C@n{Haw0OMi2%b03#%W^k=n#uPFxdfeuPOU|Hz-J(a95x~6Wll8W^UzwT~TGl|$ zS`7$c_jheBe8%%X3=<4>y|NxTaBj7fNGrEwxw9>~O_lyyeLM#Une+~0%vU>aI9a=Y~I>A@d9SC;Ba=8qgS%^$t9Q=UF+v>#ka^2 zPT%2QO>N=61?bkYMd0BKRpOe`I6$mkMQwxC@^x4iC}`75ynx#Cc`Z}y zfGH{n44YSra8u^;1!oH)j0;x`Y)u9ypzp2{<0FH$V)Rw3qQrn?XYuYc8b($9HfqbU zpebV+Vj1n`I+{C6Hmz}aZlhUYIu9PaGju=SB7$vS%a%iUYj&@`<;sac2_ej6lU$y}u}(NIz_!2_++ zd{b_K#S*yBFQFxtJoeZF41{j#h-xzV3i8#ttA?|Wf*|;#7PsDSQGaf^cv|y~6bamL zVm)12>WnlAJ;(zcY&L zygIoh_h8)6{8VT$b*!@^Bvnzw?RXZi*)z}GIE(O&Xe{$L$qSNP_Y%R0Xx3BmHx04k z_Z?(QUzC2TK3xrM@jq50`Us?M))5zQH%jIDz&&MNX)v~4UQ4#>D1&lj{fXl31-XZX z(UULGpieKHA-!Drkx9K-v>DXDTNo<=ZsG9qPm;wOwfYs9$-_|_Ug)vhm$5XY0KXFQ z6WVwCl0wUnp;`R#e(7$;mY=)NzPEt*q4h;@eJAis*ltH39yuO>Pc|@AXvq@TCbAif zdYHE3GJg%J3b45G-J3Hr3QPm(;I3U&h^LTCF}~5zUSUTFj(nN~E>gib9JAkkv!idl zB1K!NU);hbOBd|6NZrgona#V=rr}Eqkn8gU&jUVR8i%BZW(WJ8oWJs7XJ13HW~e&B zZHaq^aO0{hP>n;V_Fc8L0#RH+<=E~kB%#!@QN(bsm#SdD?!I1n#8 z!6TtuNoyxwnVn9J^T&!p-XCNLt}l-8pysCyh@``)2Lhe@{&I@gN|#a}#6q!>DUJ>G9&p*gi3 zjymt8I4K67WuE#{lRB{vZ~?V%K1`!;5coxUv>t>Ob8zIFG3b;*{UbuTN*%)S@7GE(i{)UUT-r*%I^?n)W?MV+Fw_6tq6e(7B=>^UY- z*B~_kOS+(j=)s1?=E@k>Smx=28i47yir2TFx02gV21g=p-d&pZrbjk~Q*B|Pxbu>a z9G;8Wp{a2u{nCz$e1(MIs?rn7+~#oI3|Jj|em=v2=dWb_i5cyV$t`43P{vTe5+O=} zC`lb;O}N8=5VtY*^}3nL?~A7SBKA~Mcm0#*Yj++|H!C%9it?Xjcfd%H8l7h)3?}8~ zGs)ISM4bq&%~!@BLEeLA(b%b>`s0mDI-J(dfK~-3k_(ZcJm4|ZL-53oK3QjS({a-f zGU!~EOd@0+WVF58Ub0CP93>x6f!eEc&Qs&4p{Xn#=si{g}hdQBmK*O;X!$c5k~%e0;;K z{n>fgtj_jC!iRxnl@LR)N7zp3%&C@cx8hlA*=)ySsBvQHIMCCmvZ$r-*qA4|{Au!W zxERIn(`NYL5tU%;(tKXisds@-FP^VxguCgT9n_`f-Sk*i7IAjqgXQBrhfH`=KRW)5 zCGTL4Mdzguo}7J&)ZVq|FG3`ygKp*Q-VHyC4imW?GIn%lL?l;oG2sgQF>^oN+DFh) z>{8>Whla;@9;bDm@5LQ-#~nOC>i>gB0u$eHu*Uk(sRcO~3G$7%#U1IXOb)tym^>aT zP}n$sIH&)%{aj6Og$;cuQKh712bq~-W3CPFcUxw=gh@6&GB$0JvHK*Tv;E^6{sE2! z{1C14pFrgwsIq>u_=Ow$(X=~55SLtv8sRWrguzX%-l1IoDxqCRrp?qEamX2sX=KIC z-ZGq{>Y~e&%k~kUgxVdrlB8E-Q{(If3gf0@Zm69{P2H38=yS=Cy?@ztOfQs8rX3&*M^4ay)DgmD@^H$*A5oE{P!$1&H3dc&G57u?p~$F+o33bm271w4o+P3s(dBL_o^R= z8U-JEw~->OG%MCr=eMCj>bX|<+-axOLPpfIk@b@A5wGH!Kb_v;XH(xd`3_uE91Xyl zZbrlRNvHI#MeO=JB;u62EcZ0j7FX&X9yg4KvV@f;0qh@=Ym{Vr0P3b&5mwE3TdK9;;z86C>GaBY* zVFfc9KNmgGFE&OR>(&)$`>H+Gs-YtLy%+=MQ|I*9t44YHpa~dj?MmQUa21FASwZ5) zj(KtB3o%ZyYoeEDUS~hBxd()c!3#ELaaQh>r^k5G4oV)GDHmpGdb7h4>>^8hb0|>D zE7RcaPUACi05yXa5YDnpHhXp!Wt~USe^HTR)=rsLU&3SlgrEJ=H!j>INZws0Ex}WL zKCjKlftq#ZSo0Gv+0Q9ob9$QeI=nR8Q{~km*QgGjQK6GXpy7d(TV;;|On3LQRyzhH z<4?~lL`BlQ=haAU_I7G9D6qasxF0K#-Y*e}?Sx6B^;X}~k9-~>nEo|p|96EM^tZau z?+ZxR@eDhQrNXCDtu^npj`_{)sFmLMMuO7Kp(hNwqZ7Q$;u$PdXEby-PNG=yPk?O6 z7$F7|4V~&sK>Jx2W?IK?vb zZk@Y@HhcHF0zCbamYhZw?9Xg>huhG5T#Lv1h)r8}ShXkvz=RK931*n8b=~J}kbc*g z{%0#B_<)evI8(_BRziUP7qMjf0@sSYVWN>f8f@%%CKhTg_eiC3dVkD*y+~@1Zc`nH z=nC}R&}&f3jrve7dPTU(0JW@$?v)Q}p%I@MMmj z%6jWc|K$uPK3pMh=0kly`P;0n&$*2#2WS~ZTca#y+4rb%+x*C=tBcDk8#UslW8wC; z&94M#3F8-Mr|Md4YtH;z)?_4Mj0K+jVgarTWyJ!e{Fxug_r~fkMex^6*3o}q2p9bp z0q?wAwlb2Z%!H;iwIiY~6HlECwc$C_%TYC7uvz2-tn|5ku?J~5_vD)F?pzU)&1(ZS zPIEy3U9tP>2n?E)6++~`f@}m9gu9OVCFgob_AlW}A|R=$pEHs|C3*vfQd3ErmX}cr zG3ph#X6EE$r1rjryz9*o(wMu;kLzmap>N%Yn&h5*=gg-%QW?|kuH!3qbuxtu5ux<% z0oqCzalITx%LO;A=;_HUpfmD@L`?`+zv}cAIF;>7{7*1RST@`f6IkeKFF#kBvEv{Omk!$Z+%|N`s!* z4*8S=`>Uabkg`dwYf~=FODUnaN8b=@<^0(n$NFZa$_d-mu}k^pj#R?wmpa(j(3%0H zCzqbuJt<{q8ucSSq~my5Ddd?r9F4f)dxr2<+?e?I-hlgZ78q}r>Yc1AlBVfhF4wuY zO)&>(mbOM-TvYi&8VC0bdM{}Fs+L^A>$@_yElj1V9gWgsEfH91+%9%p+T^l0BS%Uy z`u1;+W?>kl96{+rnaD58N$tH8r~^;>rR||)9K@inAwhCia-54LKfNFU740Q*9eK^} zl35rN#kNm~kd~n44;uxN*lvtCR?YK~|bw)$~&=~6EqHZ!8?b^m3$CIaa z_WeDEZtvUJO`myUDKFJy*CjS2*!CP~48*VbqR=FM|`+Szg9C^62_6-_Qt*eVd1Vw>HbN`^4?A z7y&od(pIO?P&&N~Ev&&j7(IhV*ys}D;L=LmpbV=I*4?46JzZwwWEPkL^#EC>z#F|k zeug6Za`;uDGJ?=crH>Nb7_y{V%^+rgJHVhvu|9GUt?)iFewHx^JzBjz>=35 z51wG(+m_?}F70rtwGODjY(vPUCD~GJ%Q`z#NqVb!0iCiyK=)T>z5e?=zZ2eh%dwL&)n4H5rQi!`5N8&5j|mbE){PU*6JLBo=qofPO0@o{=a(qkG<=-ys&VFPW!;(E9JMk<$WBG!%f0%dJbuRnj zXLdnUo0Dq_mcQNd?Rbzwm2aZi_BQqxng8*&@1d(lfy5PqTK~rx9W|Z))*Y{c4i-2w z2(?KqTEU<9w654{)EPP|_&B~^xpwr=f;x)ew#QMTDobWyIcNUIc`0z5=9{Ruojvq; zO)2?z$=<7t!8!R@$=&@QM|!H&$xa~ViUS-SXG4~XwZH5Cnv8UBN)(25xt{y`5ZCZo zJv3_!PDaZ8&S7=X2eNp5<)3$7z84Diy4~5|oqm3~p8VUb@Pi%c3AVV-(qAgWf8N0w zIy$E+!zIISuj#^@1+`!P`=^T~dwG$cP3>;>q(K`tSaDjX(3;)1Aw^AJIfTOhhH>2L z3LqRu*x`Mi0YC+{UUsl!zIJcudl^{rq?bIFhur?(u2UzxRgcC!wmil(KpFcNeYi%P zMvoD#a5=I6JONW7kITZxdjmXiCI7}*rA%+E;v3sG>+s3{5mB-uX5Tqqt&<%G@Tm!% z+4!?v-$LxL4>?*X7Ds&WZ|WuXh#H{X{u!U(^el>D6?ZWbM@cdyP`EwAcO zhr#y5d$8*;GU74Y$&eY&1UgONEG!CPZWH^#uIWr5ttEP8Gs&6mdTgg~X3kwL#~j(+ zVy}-*r<3|^p+hUYyv~Oxtpu?ls3Bm{ySJ{Rf}7d(!A$~C|IEVQywrW>N!>`BY=gGj z5avnIPjlEjIC!K=cPGl=4fr%W3oOiSaJ3-8-%7{?c1FO-+NJFmoMmR=WT#evyb^BL zTID$H2g(1cNGQ`VG8 zDPb&wOhuN6GO}cb7NJs<79z3}MwTqu6JzX*otb&>XT~zBr|hRdFNbZ+!6tzxHdBR*paxD*H0g6nX3DWXK;#2A2J)A zz)lY&-6KaF$vl5i`}+|8Xl>av`g!wiO_MY+aE(=5SRd3CpjeK9u0=tZdrBWZM|1pM zJy6v8d8g0oe)l5VSdGhV-51n2EER)5MT1p!<>>c~X9KLhJS6LQzjP9H8KZq0|4}wY z$K>kHD~#J0I936Y9fglZ%JZMg^qael1OV@HDIfH{z4L4-X2{=Gq;p8n zC|_usV2E)5CE>xXuR@k_5t*in`0$si+-4}K6T;9C@d+%w(V>GOuKK1u%KCHfw3OH+ z?->8&TyI_2qXeMwer~d>G7CKS9hR?==_-1D=aZ-txP%-I3sV;TmfFQ+F8Sxx9+j)+ z0I&pSq~Y{On>dZun(2}5NxzDwaqb>+*G(KV;3e&Mee3NMrO+mv>_NY+?1ucr;46@c z7Arf)SNRVmOws9&9`73bWO*v$@VoA(obMxls$J3;%}+W5d3g=#AF+;gOz2M>dr6HI zm>cUIvh!%E(6%m31K2czIMcjO_g2;bpybw{((6fhwOFR9EW+i=}vg0A@86pf5O=uo*FzVCuR=? zZ$KMKf?iT&P6zZG$X0OFyW!DD=xxkd3((8p*qj#B6L?$T9~HJu@U1eaLNnyJ#oHdE zfa*|7G~hjH@cJzuyzJ)S6Ik-pNj~U2^1At4u1BTd98u2ST_+DSeSTq0_r5RG_bYy! zd3f=|HeDytYaSlAM_g+``u|t6Meo6W##HQm=KYtotD)NbigW0_johpK9P{ikje2YJ zhp#QMCE?6{&VCPlZU3yA7aY(?ppRx?clYw=~%~hCXqCHluPGW%&_CA>(j@M zy;{yuFIRH*58cdb>E7jCB#*3p8n|1gxtyZG5=i;TnN-i*}`?~vb6 z($s6#W4x|8m%d*i8J!=YeS0^foDN%zMuyJm%$3Q-&*br_N*7c96WY7CZ*UzezBDTD zXDeXva61_Y1fSQtsgvnFsVz*A5Q|*D`qQP%XRGH}YNNcsGpmzY_4zzH?n-QFwa;w^ z8WWv&X=a!vS*ztF-R~WPH22<9saVHB=FY*h_})3B@x;WYQf%Tc)VutEm6e>a;!ygL zmqD=+D$B57)N$9cz+dwAhoyI>{$N5NG#WWN2fc!4l(zl%BjtgE%hojqWMOtEpgo>p zCNE|O4)$=SK?1Qlr;~PHuFLou6K|YT`fhW03*UPf;O*Gjiu%LhO>NzW!5jCUWqO9j znj%6PsTpFR+JcQ@2KJj-PHSSwlnSB|=z^QH6^g#&d5 zJdp=|P~5LtD%a;+fnHVo*zpPLIPr&_TS`2;ck%r(uR$B~_PgU&J*s6pp2b;QR2X;7 zel^I^eI0qTu+iV z)ac}O0xE1!iy;4M737jf+JNE(kT1>XO{vXMa~e~g9Q(K?Kl#cjg~NlFUa9C^0v%++ zauuSEcMJNWB~1Y8KuDKk;s%cB2<1X=gzBx z+mwN4CT2!T3C57#kO>`2bZJ`xZFi>L_nw3LqmhfJ^d;wb2$yw2`{U{72cyS983tl& z?~Q{%1+6ThqDzlXa#k7^wHj*n3YL9Ia)&0|(ZT2VDvfCwe5HW*1HF`EbdJO*s&LD@ zZrHZ(k*-wr6Ipi=F5)`+U|ZOY>GAMyRd*(3an^$h>7zyV;RKQ-#UEyqu>=}A(=6e) z4i-80Pk{1Z$A&W~2i7(RlZ1Z?m4)%J8>LFT;(nPmwW;bp8i~Vlt!;TEnNGo_lWsJw%yp;RurFS4`>4&S7>p`*Gew?k}cyINXaq z;eND!;hv8w{I2_iZCe?x) z#HGqm1^7{a;UxDx1G4+nH}cnGq`14rRSCM#tBe%kDx_wK2M?&h&(d(LuH)hDeSZC0 z*ya7igq=XEv0*+gMXu>PFZrNY&1?^lr!|38sg+auYIAad+m`DbV(=85a8=k9-L!o{d3~vZEq+8j!?S=c|i%h{HwHhD0g~hA+J1- zoSK#3iT|APj65cu@njgcg?8v82rVtQ9K^btIv>%QKeDdyr+O#ysHpTTY=VZuap#^) zryLu+Zhnp=-W-t9^O&{YYN+RTvQM4uzJ(RUBZs#Mx)|PsrLD8eb-wEvmg{MPfDSvS zWaP-kE(=!GO(cLyY>~CLOXeP$pE;!Cl z4lKL`+9oZ2pAIUWY?zgfy0hmb`mLW?lMtd;A;**N_I+KLNIHhmSZT&VIo6}R)CL18 z7s$*ixa2%aLTl-z90Sr;+S#GbLz9sXt(77-q!I!DJ=*wvIDj_w!e6cnVz?RpIr6$0 zupoJs1p|#*F<*u|-dSig3K-!1i!2BzG=db=MyGW>@Cjy#GVy>$Na}N=82#h?mu1DG zhOiIJD2Lz7Gx?wMiC5>22NQ?sJ2QW>lHou6cG>ILI4ovFi=|o0pZnAC`H&?E-SVva z`*UDVWz9ubZ_X#34tf8@q}~&f1TNE2&_PWB(b1de0f6TnU09fD70c27 z*T7w!)-BKhF=T}j==NT8=i*+h0{`*{))lD(tmIuQxZV{sTi;aDvav@(`T25|ME;(~ z`#@*KLtX;HUWA|8?;d8Zu`#Vk9r~X~_ec=B7x4L`BacAqNXcvtsITOc)2v|-xoyLs zb>xBYEYLdg%CXgx{-3vW26*HN%Pmwz+6#Bc(r6$p{wwHzxz2)(-9kF`h-|0)5_lL| zE`5O_`|D`B{UXpMUh?rIy&lwMWg=k3nsctTidGcsc1FF485O$k$-bG+!MSadq_h}rw}(18(4W>05`9E)o|#VDo5CvWiLVpXr&w`RIKul*JTr4assEHh1J z!E%hw$iZMa+LArD$ri;S3pEMAFm$n3h=f*V^o)iWVF8*BLn(objWTCtPP$F3@#A7#L-K z0OuXkWOkGWdteFSCi}0-V>YAS^jwSyAjF-;r9yzYKK{a7{}UPQ_g@EO6g+9nD%t-l zhecqzgNeIX_0H|D@rRkz1Dws|T8QBDO+^VN$F?x32S_v1vG>X8uVz-h^6EB5q?`V* ze}Rnhwm^~mJD6YH`V^%}UT6na+*!rAya#ISxfqm_R|n}3R)k$_1&=02L3`LFOq@KpqAsMXA#@B6oUm##%;LIXh6?xXS;;`uaxwvs>lhwb~MV&gVBz0=792d9k#`?Vk;X^ zHr}C}R>8~mw_T?V-E&vIIPBknVv$A}HXuLXCmR?)VbSuYo)HpRU*CXSJ@X5~U(GZM zWz=mjd8wHfUd{T>MjU`*9I`^t1o^BzjMLea5H}y4XH1~-Fw;iZ<@0z$rfB^CdEgo& z*V>^6hAh6$hNTdo#cZp=0(N^?G=Cxk&^mBCzhfs?r#zpJ2Wq;CMY{8@-(qZMAGDo0 z(|ja9CMVkqm?(xB+sYz7l1j~YPr*F0VwJ~L_rum{_x_!T{^Y$bAjugCON;-51v=-Q zUNOjdrm2-LfIO}msj+nKc=ksPUFpd@(EriU78Q2}BM(&wm{nO6qvp;%e^jYwUDudG zb=`?_+x}pFFyb$VliJk-8;8HM{r|x8rCb-*=8OK)yZ!I>P>-8=Cu?v*p;Jjqs7} zcliFVcoUxGjuBP5FzE%3?;mOTUpQc@<1HP>3pyWjoe6}_va{#g|Iq=n--9Gu=&pL= z4-;$5sF*)t|F6&qjQ0c+RkmD1PaXOf6yo)8z$`nFu2M$-|C2SA#f71X|I$<>1Kwx{ z)+5yaYP}nw8)4$V;)GoxdBr#oQnbiMc^v~Z@V!f);~sYp9!Q4 zDitko>^m)L5q>*7J(F<1oq!sD2+;?fF19JnBf-7d$^I@^Sh6~W1wxb@^tD#7S`ErjgrzDHz zPK@hTbPyWo=@re2y?-UR%Z@v={%ymZNMyzKROV|*84!nb7E3?^zxmcDN8!|KPv?2*_mLjMZ=H|$_&HC9%344- z6ZI<8U}D_gA@tORahg_HG^v+A2?XKY#{8nP&13FPtRnDh;ElvT-C{lPn2WL@OBxDu ze#ojo;~fzw_amgwz1!#Y&94zd!hUzKxBkamm7>1Xc*bgZ%as!~7KCkn+tmt>p5eDn zM|`aJcg90Oednx?z)xGIF^OY2tNxZ_rNa-F>U+$U?EN*U3!<~Wu|0y6T+b4w_K7!~oC z=qX|8fD&o%8L-$eE`Gu{bPJiNWlSpSH>7V^`Hia zX{;B^{lc$7NrZQ_vgK)Llr(tE4Dr*F;&QuDNdor*S^rf|V&2#z;-31$UQ}{VG8rT5 z7GR8&zp*=RmFAV$w+=^8>g3!2#-`X4w7Ab8e-5y^qQ7nHz;2HU$`$I4W>xX%LnnZc z2&D`M69@l}_O%|hCD!eaiOu2tH+@$__w&kETPcO=I`kNpD~(@c=}18kH+ld81S^ZBPG|Bpb=YnOPC%yF#-}+n^^tZ-zaQB3PuX?IaY7D z{$UA}ZV=`$2ryAV`zt0^P2-K?Zu!G9&%d%fYg$Hzv{SLgE|}cM7>D7%@h(w3%GoZP zHWnK8IYv6y1nOR$_MqF=ROHj&c*DV08%GpNn^5dun=SyAzDyIWe(WR5ceV5HYn}cS zOZo7w7-nH>_6+EZyawUsn3U@mj$~3qX$h4bGIVg+tRNLVoSyEBvD= zW^>y_>4)?$9F)HBX>4@55LBNqZC&U?fQ)+{3rw5*%68@Dpu8EYlK?;tS4-v@d<>Z= zlR`omnyZ0TBuaGmP3Z4knAjOc0WE6O;Zdf;xY%=IE0o;5$K{*kIq5Bui5ahZBiG;ZqKUc zzYP68K?J(v{bs2qC7avf=&0^BgS7k5CJMH=rqiR3h%5x5TpvydASq3Mb54d8=*&NqAO*2Rz$%}R&`8PXn$a5=-S)j@u#O|4y zJTQ~k`19<7iH}wY2ykaE9URNll$Xag!o^JU^fWQV zGf?N`e|vC=Dz$d@I$SkZ072^C+5G(J^rz&f;l!MDcr@a9xd|i$mCM|kWXM~iq`OvlVspyJJll%7goge2yJ%5^ zB^ef5Y_S7e;m+(rKeQRj?`9^=3XiT;2`~G(b}t^4-rIUu#0IgkmsJSMw}Gc-kSI5< zcW+F4@Ughv12>m5DEz}KW`8r)JIswkEFcmsHI}$U{W;`MHb~F259&~A!;pWsV+u(V4-J{T=ai?(2H*3wuf97Cliqp;R zm*~5iMRxRa?H-=-WtQV~VekmcZmRe=e6sV<>zTbQ4vNn%zKk4;oNH}QS`#fT^0AL#m~fBMvdPRHKE$(IHa$Q* zeUiVUF^f%scAT<<;rPh47-UYEgKNc+WJ;r!O3tR_N+GQf<+Ba|w+EujXlGHU_+?|}53)DZMyyDtD z)a3y2)kgCwNENIeubiY5>b}SO^s?in+ZV8tRQn7&EqBMG1Am48t3yAihp18(Ht0Sz zZGYCZSk}yGxamgI4UBWrVyU1m;ZJeSa**UM#y+4c5M<@_Ve$)f%waKFS>#@VKlD0^^ogh>N|Kyn2%q=Mg~5%z4i+ev2iJD zZMq5IZuKuRELzJnm7k--FBbHHEJVUefi6ZF+O4&-1Wj}4b5Z)tb8r`CSjH^ey5Mz84$n>hUfa7@S*`Yk;G5LgjZp2) zRo;2msFynU#`Tv#$`X1d;NYu=K#gMF2O^(|lCIzlP3&YN0Gt-NKcD@aW=?G$g06j& z6-wcV45CWPb2SWhv1UD#OMu22Or4-c)A2bumiTHQa%^7J{zSkiL7K>C+BLW9vZYl^ zp49|L0Pe^Kk6>OuRb2~N>qW73gVCb~xZR+bdjY6#iTw}I?+B3VYUo$)hwj%H*CjsV zpmnGESl@9|jZec{oA`UJ?A0fNH7{oY<-5ab=$QQ|;|xqv`WQpW?5QvKNKvExBxhW@ zJV;we%nR>8uQvvY)`{{tn&#f$KHYI`Hg$}&#weILbj&*MbbbwP!rrA#24Zh1uiFf# zRHNi|8@6l1#2CCOxl4_^P1W^w-fKAY?h=&m(%+YOzmsW+!UhHc0_&+Am*=Nd4JLkh zR?!x4JM5-@V_a(JbjRMNTM+WUIGlGKVyI;}pIctG-^F*Vtaic-Om5R0w&kr zmpr>f&A`<=QIQLl*c(i=)J$Jzrd&C3_HwN>B=;pNcp1kew6=1h_pQF#0a4?QG3?~o z+OKvEE={xrp)cIm%aSu9s-@O%Hqt;=ww=fRicqvvO@!*Z$x^K#c137vKOW}P;bsNk zme%~6Ta>mE$cOiUeIKYx*H8nzT`m zp-7SiOezGV3CH{E&{3Zvd*v}>3v`LZ@R?az7r+ust5eHto8~>CwK8PAF^bE`BOJM!PC*nQV1_@2Iq%7%p5LbcaeFv}NQ#5$YZ(F#l@-+Rq~ zq7q@7+AYM)&=LsHeRmn`hRSJx(aw8}x!WnZmtjn)mjf<0T|3N@0eE4Z>&pYPj+=}J zWv&Dqq?))$+I*^I6xX3h_Jfe7v$$haH6avf3l&^LTA>j-be`NQH>>L8ZqU{<%3(UN zk)0)#>bBIJ4w3R{PM>>IB>W>LhSCuo#ji1yK~Xb!V=qYn(;7uF0G6htI}WEjRD8#` zn`@vNRG^=XyLVFLZItptMmq|!IH6keCPb~Ac}(ohy!;AyTybygD2LP%P-rFhdJL_5fS3g*^{car;9ErM zjA0Z~o-CbDzZcg)!a(t4+llN^9Uo^YP<8+Nh)Qmev=OudT#t^T)JN+=aUeqrmglXr6Eb43rB0ns2Oy3U>5gVe7VJmC{oD>Eh`=k+E8N_Z~ zF2D#l5>^_LPo>5<#8J{m+&WkeC{K91nPx#b`#GF!1NE|jT+xX)w`9%6r#_g)A>FT9 zs23OK^$ZVYwXz?09>U172 zoqwAqjywo6^LTSb{gd@TI|XOhjlsv>k&{Ype_e3jz#Sa?&2w~tWMvdHOxh;-E2fgF z+_oZ)QO*lE^T93T_EIKEuXt%?=GZpPdxLh`6%!w$($8|_q^^^XA}YO$Y8T)=6BX@> zFT9K|5P?)#>#kIW=R;VL+W&mLg>C3bF9%f9O*od zbNoGY2L6d<7YDXg#5533Qf}7JoZzPoNBD#dzVVUeDVXGdw1jdY)5NKnHm0AB+I<D*o_I zv->(V=r-NKIj`$T5(K{ynF*MJps7Zp26n3VLQAD#t%`cItNYN-Zi^=1LIw$kF_fxW z_?`mn*BKF{2hzU$Oj*uo3po3wY z!XX&-4b8*epe9&Ykum4fl`vqJ#7v+FQXPvg6D!nw1wKz!voi;||98lP- zgMpP{=~L5qn{(1Z)PbRu^ot_3YPea`ze^3nnO2ohCh@W) zrOcL*J;wBIRg}o+X==Cf#tdp`vt#YDCtVta-jNV59BgfZpMs7)8Sr3u02&@?})nI6mDIuZICOz%JkE~t>z=(zX9Xt@49hV^|Xc4%_R3J|m zDgLAMMc4xV`}J-K#M|TA+KlZ-n0Q$JyvNr8LHaM&nO;?**oFTX1#u;txUK0YcUG78 z*Q+_w8c;U`)o|(KFjIH#Er~ptxIpUv5Z6Z*Y&H01j4@(3?bjz^n@lP&Bmampq^rmH zM~1$UUNhYB?H@>EHyJc#uY&CgV_XTqZ#+~^G+p31{eI&r4SImZdK_VppXcG5z*Avp z(?1AL&Agir1SgOuE@Pb|vJf)3W2zPaUoQWMuQ=qj-Z#WJ#{M;=drDsnLhk6Uxq9NP zp>Y*58m~4&v9*A1W=Mw(bwC-8seh(?&$DQc)w+OHG^7SfUzB@-cY>GxR8}6kr~p`! zMnTdt75-T{F+WIRVf5vXoOSaVy|;=%46*$RBCT@d9?f8ugpWTWS2s38(2>iEu~JC6 zB;lRr0er-#f0Qu0@~W~l#!}JiCOW>Qz@!Q5{}ZZ=ca2nDVW2ARu(rZxN5(!A zD`N=B+E##LYVV%EWlR9BZ`eKZgt^2Ifz6LiE9xfdZ_GR1zhyB%jGSWEC1ga>s)fv0 zP>YL*1&%oSkBT+Lu}g=cyRPk9tep5?p!rIat1AP;2DKh+QfngrnUtf?5>bR+b|>gk zn@0ty(-3*G{-61B%zzqX&BVaQX|aPF{&}Iz1y%I-_Z_RVAvgBgp0z<_n}3!{J;UZg zSf&Y6EEjQoT|3_KZM500dOp|lPa0h3{WIHhggkv2NNp?{ZCVQ>+o&tQ{7ae+q$>jq zvGNQ~vs;ylc{lNoZ1x6uO{qJ8u^ka<8of_7Y2@!?#{DW$FH8Vi)=@{(P~l%hVf$Rwk6OzM6Msc5nMOi#=gje)x-DZaW8fo_ccjuIX9(^rdD}r5S@=bCNy; zwkRjQ7e&k?-r_gJ&n%f0j4ZIKo~Tnc0gV0xTmmkqEiF3RcJG`Y=S&KB3S8zIf?MD_u8{FH!;I>BFeWKV?=>lo~R8uM71z{lrQu|)R>Fq0=A;?en? zchNq-Z-j(^*vX@UTw4S~1ob3nwh4uzDg^Fte=R=qLV4F8NNWIbla>U5x*Fc^BcjoK zB)jy*2n)(~djE%&9^H+pd>!W4q$_s|9Ftjha`F#5!9%+TC06Owux9uD&n``qYAoWC z!0Ce()4!)zWCh_MOd}9+mh#={9B}u9C%*sfAPWR3j6Q-DYxF$*hR^BtBw*Iwdn_5c zzhx`!A83mKB68Rm_`PA47IAM2(A8orlJQ%MA|Q-N2z`=Lgg`9DwC_}_W+H9(23sdy zd&)YrtM!C9|F;W)s(}Yr zz{{!*mjD;&0n1D0U%5MQK-uE~I_as%Dg&5EVm7huk%*}iD4!l>IOQtAlJWkVSF@rl zhH~cp+x-*Z2yncXEZ-aALb=!*_P6wzz?PUwLtsK(1e|F7({S3I-hqVaA=a#mUlq#Q z(@0nuUB4^HW+mv*O?~;A9!WCz0@V6w@qf-X6u=>~rf-T#X-?IZ)m3nn(M?;0-iMSZ zYoZk0rf+hGeRj~mJzvXwGA?L&WeKy=fN&NfF3uY;`Bd|DE_XxXv1kP9sM3tivMkY` z$p+Z0LLNRAjD|I>fNRQ2^s1p0t@UTrO@4Uf%vF;P*yJ;5GCF;&)9r(Bp_hKlS6LPO z4m?nU6wr8e1rnxyT#^uImQMMK9DYl&DUE)uHS@$~XMV#nD7^FqhdxAd^9Y^pL1muR zg}WD&U`LbtYmf3YtH*sPP0U!W=6JDZJW4hzshQuhZ+{?o{=7_@5G9C17M!t&(}nN# z%uU?#6;Z%%3GPeV0P3v)r_<6fiH!n|1 zp(LnXu>EcupfDz0U{|4f6Nd!%xt<64oU_Mbsrmd4V)nw)1PB#Xcy##L0{WNC#Lfz& zuRpx3XRPCUBU=g45 zt1?jPXdi9p{(>JIgO8Oz6@1@=8x2=PV@QubgA#oK3L9teYOoYRqM}?YZO?NWuW`AF z^;x*R@2N_efw@~n@4!iHQ7ykB<{k0caIvmVcEMmur4~+UT@Wr%A^-X;-oaPiW3?dn z-Y!nZk7WLHCGAqjJi!N=Y{hF3x(HH^fQO(n%-dhhFT&@w2&!=noM#>dD`@qyVMnnQ z7Oo8dxeI-@66_1m5g}_YM$|1vN323;c+Kf zP1VhhH8ND>B4CCRDShSMbPT)!G?-|l`+Um={ z)5`aNL41$sLfllu>8!7iZIUrZ10e%JP10eo@3O^Fz-4 zsDV_}=7D)AuH%S{gf2eL)kzY~iZjqyoKvRu``2J0T*;%h?QHt|isgLnqenk}R{9Al zBF=~*`_&2o^Zoj2*iJs`%WKHC5uR)_^rOxQf4MK3CQTCzju$!vBM^5k4^_wC)?wA7I@xQ7R! zz^(vz8uLJC?RXvdCNtTHc6Y?bkMU7s{-b)xcn$hQ5$qlUv3_vzDrm zIg%cUUc~50=PHw3TF5`Rrgr3qmmSUqYL0=(uGWb=vQ!<}%Fe>Z#~f7JA3k;u(vXXad(HP7?ik_PUA0duhO*B9y$RrFIb;!*wj=|QSp{+Hp?I6#5chq_3cOwcWDatFzI@;N-w`9*Cq&Mi}JsNN#b{st46|+ zCWtuFr?+iMmH`nA&AaH;%*OzayKkOe_`&vpd%EK#;|Cb#=upNFG{{whxZommlK3jI z$x^s=LC_W<%RP{(T{QjHlT-hQb%g~|1PKeXzuBCQa}oyNi7+wo6ky^u42L`)L|#VQ zO=wf@pT_KME>O&8LVpi}zDo~wUp?0FS_K)?RuPyJsBnit>_u{nwbjYThLT_30O8;K z=z6d=G!pgrqw~aRwpMtLVriV)a5Ioc`q}+ zbuhjuk^?*rzj=zzrjb9tn9jE-;7>jPc7#JVXllBxzAsHU?IFB73=K1-?F$8CUqU1S z#n;%k9fFm_=O9RDmP zLL;dB^)M=Wy85`IJZ*{}IAhGlqQ$wzYn`_Bp(!e{^g;Mk5y z>%!1gE*{ZmsVbR`wtzI&{6JoiAWC`IqT*R+foT<@eISy`9zt*oc*ZyTNx#C+b)s!^ zlxBnFJ8P-TI!<^-lBM3uS#U1~b5Y3gR)_5BcSbk&+!CSR6S3@Kpf3=|Rkd!lw&tR` zqf3#n?a;TTRE6~r<{|8L>B4qh+fP7O58e{7JiQM>I5Z*{{oGwox{oWn3}{~s z&#$@#EVwi%SR3E&v!s$7-&G*&&}F`#W8Ziw(L_d*V56r$3(X<>EXtHor6t&teC>om z8MG6&*_|LNo>3GCNzL(lJdzzOd<^(4SLw_wdn^pyH964{LwV4fb}GZ~yx#h`8H2f! z$yX~;_Ttoh<<|kv zco`t<#olbtx{M%A^d`upz{oL47>fdTcl=(Js^AF8 zCIeXqVS2Go-q@nPrX}2?=7Uk+XF2J(1mU7sC6MpzQGFQ=^MI|ZX7{UAAwWH6sVfWg zUJe*>dv?TqNy7JxNZ@PQRV%~_LNWvjYwlT(AgK~uame@=$F;^wtMz42B9^NlCmJHl zv3uxnU7hun0y*ih+BG-#O?S9~&le%VXHaboXV zpgT&6>^=tGD5ydM(w$h59~v+q7vu-uRJ6TY{zDy;+*B z3MM)zB4Nr$5*Q0_oS!F054J751PB_=s1z0m%Rit!GgXKf*F{>|4J3@O1milm*|SrWe_H389XE!9pzwGk?KE0wHnc+PZPoR zeCMa*;vsLr%IV_Kug;G2cdK;q^Q@Ugmpd$e>c%-~!fJ?Y9J6E_1pGt@;9ZUcis(>= z+p1Q-%``zQl3j4w&rqEPp!6|E zCQ1)g0YIfzF+K_!z((}w5p{s7PxfUR6~UU7nvtNcTOCD5>qETRTO0_b|0&^ z$oHC6GaVp#sUIldsQ2|u9ou2~y&w3m5|{ABk%ympo(k4w{T zum=;+if;-bru;d(4sUN`nf0-$J#TPG!&Pf49&|!z6DkaEOZf|;HaJc?x?ZqQb z4-_nB$*3hXMo9x3-Of2t>OjppQV^at_i1XkLudRL_=<~YKQGdprPyKmQ)NFvRH>;k&;NDy4A(=KaSSgzl*%@Q`>b$5U#H*1^ z<#%YeP3+ergUaOyX|@c{^LnO0K`4FckeyCDd3dPbRN)rz<_anOdkQAHeJ=|Vr^iaE z-5vQ2UNTHnY^i;9W;}Bv9=!zQMvc%cu2`NGFJ{iX3Pe*7RU?~8Q!D9a9plN-RG|R+Qx*<+M*fAiL@BEAh;T_{pE-ZYd z>1;}al5vl<7pBU3=b(TC-NT#U`dMXZau`k+KfFJeyY8z zFnoN=jJ?Z)4Lhtt7xnS9P`!gQ50K$Kon?zEBgdy$X*>C-JC_(I;{CaiE4cE z;a3C7;%$d~sE$dkdRzLoFSCmg64>Bp|0NrM?RIzu?Az~9UHzvfxVS#VyU^K0Ut1OK z;cA1S5?^Pk;Oi#|b2^wGKct@n|t3dVh5>e!Jken=^ zCB(C)X?zylrH;G7rqyam9`L#~Epy2GtqRV+j-!hn0Qo0@3SpaPnEBAV4s0w9=ePEX z)L|obp`m1J6|>hqx6Ah5kd8Sa0+1;<%bR#5v#Zh1{go$G1(VlrdE?1BfhoSq>x#^* z;u%;~W~iqQc8Cw06`z9evOtwbZKW%|fFqB`QBI$)IXjVi_?l`l9R0LwZMxKlhw)?`ZVz%Q6*Y2OBRXM7pO$K4kG)*I6)X|A7 z`lAy5$;6!D(*#umPQRrN9pGzv4O7 z8^Odim-17kE>vXQ1F`Q7t>DqSKwP#L<+^|q*oQMeGTKF>%P&o=IigB%YET)tP@xXi z6#{*(nK#pZyD3Y z9~3@pDo))=Hs6^TE=4Gp*H9rJkZ`M**!Xi;`FRwb59I2wz1oStRxq(~pka-(O2u`+ zMJVxNzc94BzO;%ej@Wwl3Chm*Pe{BVPN z?YXILBPn^W_<)nYwkQN0=#4j{UK~wPsE5}cR#qSA~VYoxpXTw=iItWsU4 z=J*X#ZiqtrA8Pm1rb8zZ3tmGc5C|r|W)GSQ$l=lsAQI}1D!h~=>@1iVNu*OXsg?Ap zJ(s9m!TxYuydj-%+_G;kgxZK4ftvcFJTQ7pim*A%Ui-uIP_<&)mh{wjF-j!$0@dM@ zE^;YhyZm4l85a2=cNlD)5bw!(+0Lv&_3Bm>6-Eqq^E?gSZ#6mJrP&T7ow9Wp$|DR8 zUZZ~rH@pjJY0veJNsnZ&_^l#`*-x%kD)VVQY|4{xM0udnYoW2INb(C}Hl5I2QM z5#-vFC?8zL_7PWTJMA36v#7+q-O z(+WanAj5wryAcd|76cWM)#Imw#l9(YIGj^$mm*-Na+1=_=&r*b*lZVzf#cH|<6v}f z-zgDJRrNAl#|Do;6|%2^h_q~r zX2#D>+lQK&sLRIAJ3CIFQw5hzBbr_xU)QYue2W1Gb=aMrg{RQ26j6MUFr$>ptHeXF zApFvPvj$bPHA?38h6h#Qy7$_7!_n_)Kc+4pVdr5iK3qv@Jad9%{AJ?iC#SbpQJ)d0 z0>LIEEcxMP8iU(PD*7BY#wZ<4D&luoV}!&YNCIfufUSsHSVIoG96L8-mcdF&slO`8 z1k9rDob>1-9-WIqkcurl)K$0=Ki=!th{wBFqo(5n`^^L>0&nm`!NjD?=_3~E&gw5b z*&(jdppqv#)L%Hrx2y3}*I4L&19S)qCRUhcM13b~j#1sP=LSx0ZN5GzdM0J~0;K6~ z>%!bG4%MFsDpnJMYY53ej4)VkQ1+qEh~S=cu_Sq13K_bThNKd_9keSj;l<;YW^%d3 zV-?8k^?rrC8MpG-nQJiCAjv4K+MnQCFL1-*c;F4+!e`ds?)<40o{pLdI0L#Nd43 zoTz5Irb93x=_A`M-I@?^+gpU!Cu+|HsNR%`ONvRh*bx-x99o~aXeoq2VFb)J7vF7& z!C3ic3}rHC zsp$axgwWN`zze*C3mo4_<`4?a&u$q}&m1J}FtbJphdo%)4$^R-;Yv2ARB_+c@;)w_ zjssY`7mhZi#(qomaC~wvC<<$?+X#@~hVbFs&gZY(d_648$#i;m^}*d18P55U$asrTk2m3)w3ADs zk8FPUv2&`RGj;0-7ZO&Zqz^0h-|VI;eW@g(VU4+N@7%Uj$OEqI8snl<7CzkS0xO^0 z)4iLI4`L{@5{McK2$+de_=5o?u}Ihf7WMSQP=Iu0ukTRu5HiOv6b&2ACaQG@+)Rx| z?C#k32LC0PnE$G5IR&@_s{^8gjE4p~d+|8U4z!-U&b!Z)DNvh$6cFR^)AoL!7s2Sv zl@UgAw9DaPi7@*l7V%{9@OW=VZClT=|Hs>As+nt&ZQ0?ZxAFOjLAXuseCwH3^S=}eZgf0oUcW1@Y$ez(oj=I zwhIsf4qM|YzeooVyig?%3md?c8#4q?l`ZgY)CdQW)7zGs*AE*@$QY&TA* z#PAz@^MNTwarIvC`M~YC2`js^v+`}+Vsy61_al)?oTSx+z)}40Elh091idp;gf{sl z+&&5a!Vw1B0x!Utgpb!3I>X*R5oyw_TG`N*F1MMpQKUNn{`Sdklpv80MkuH`nOaat zZ@Vt^s;A%afKsNMQis2}>d9`jD7T}Pfg?=^n`9~$;2yUhF8G5g@A%{UVE3kquaf&w z4Ua_Lsa9Ui_)1n2>nfq~out2uoW6bn^|WTN=45_|XS?w$0Dc*LuzzXTqf+wMjw}Ww z;=8AhO4|sPw?vzA`tK@q76Wi7!w95L-{=bNRt+CisdAS+?mxw<)DZ#|;GgZ~YRGC_ z-4kP2dZK!wI_#(UPtXRpd|kg-msjs*UQ?a@nl3KaO#9GMHa%$3X0wsPt5Jat2jh~b zPwChSS-uBgBvuN%hZ&-CvkJj$kSCJ6)vElycWwCyP`cO|CL-ntdvXGmy_Y~dn%EXC zA!NuA>X3y*rG8T_an5Iq*a}9h1S3*rMnpTpw!aMIQn_yPqVns9SNZO%Wk|OPMBpO6 z`9$oI_S6xtCw~o$tsOWl-I4i>vMT*)Gd!h|Jf2jE>5IPFLpUB=Gsq3%kZi@O?5((-tk6^eI0RWRMLY+4r*}kB}|cO{xvM=)B+i z&>2N^m+9V|Oy|HS*9sI*K4{vJZ^KrcdL_<~I~D2Uv@`$5e&DE;rCbYy6E<=$vr(y! zcn7;Ta$Zj_N!S_IUg!uAsIURRMbk7L@tVr}vej$KF_<&%Ivw9|d|bLHKjdb6O669a zSCx-MUf#pR^L#2LAC3{}LvK5qv-NDkE@#++UnpFQr`Tds_w_$C2OPPwfovT4y1IeP zZ@4P74H+lQ0I8r;o3bRx!IdqHqu2W8)ws(!TP>@)#)A?Awk|KWi!%%~2K#(qIqUlGn1bk5tT+~T0uHUK7iYOdOc{&KGyeL=@9#s@}sB51^Y)BI!~=WuO! za3rNtA(mIBfPhrBCvf$qNb=^dqgN27HL3o)?JorHdHbE5o?#tO9?B*g^qE7nt0A@W z(T>q4MgbA~uFdZBgID&cpY+$&9cVBh{ndCM`r^8`YMY*vCAQIDsylmS*9KWBSgjgq zMWWU!;`T$xQQcYOSdQsAfLpfd`+B+z*!glrTwC<;Vy6oRZ2I;lXi>LvnS8xR8VzKb zeOC5`XxQ7$K2oW2upk0hqjPij*FbIG(U&G)hgK|#C>rcR)5_?EZi*LzyB||({pVLp z7Gg{WFPFISHSaNy;Vc62_(mmFT04D$KAA~NR5s)La79IKYw|KCc#Hum{`AzPY!`!7 z-cIUtv?A54FbA%e^l0OZ`H1^OkFssv<*#5E&+QEJzZ=mAmdrLPJapUqp_E@vceyv5 z@H|&0XvN%@{a?&u=Ha1aI)B~+KvG$4gjmZ_C1H1AB&ej3Y|N&(nS1Na8xMYdyt-lN zt|I@l83Y@7l@#hibEnGTZzaU6fPhM=TsgG#X?XkE#s7yvjGcJg7D-myw8!eFxYmxt zf7p!xh5=N~t>nA+d9(KDuVXjqfq(Bs@55tChI|)A-1C9{3qg-LC=gIJPoSTYvlE^; zx@_q#gfRMb|JOjP|Hs;u2SVL-|ECl!mZXx9Y>AW@i5W{}FO4KgiewqPWUN^d8I>hV zS%<7cl8TbOQkF1`u|zS+I<~PhWBc8&=t=b~@B9A#p8LJ`o^w8DyZ78P8>S@pFY&HI zafJriWJVRs9mc7rd4|zDcwu9qd^^+BpKM0)?uW=1U?g~EUgH}^A6)zI7u%UQzrOzV zD`MUT6P4Z2@|BJqqFubEht6d0gx#WD#bs}Z3W-?R`8U2BnNMkEy~Vm!jBQx+AFTA5 zjP`Yj-Tqwm_;#ZsXcJuCmjon+JnMK$qB>H`%a03Q`RjvpqT$1m1 zIBircSd0aRDC0j^i~iC8uq50-pVs|*a2)EpKuX|dN?-#rd*mw`yyYH;s~Ut(2nd+) zH)=Iks<-u*V)1+?Dh4YIHdzw6QbLCpvV2LW{w-Ozk3TI@fJdA!(YkYfxA?zj$L-^# zUM9oHUXlM`cWY;U;7$>++DjiT_OVk3mRaNz6vlQfg<^h4+=K`)=N$>S15j{ zJI_YHQEY>67ZSw{ba|H>0}zwBxqv}4&8t2xwJy(D?dD-#zJK}Ef*w1q2Z8;g+b&AKS8O%S9-x~goI95?2cG`;3P?>eicVd zc(j4h=)-F3@!-xDKXmg!lNI*(N_YQceop!Gr&CJ$jxSb;E$$yOrw`rI&(rXY?6W%S z{0?=mwtpv;Hz?*?u|MC_vEKV02$S z>5bXRd%lZ^de9)nAMY!uv)!9l<0sc~hV|{e;nrKCYA(n22!N1j3l5k+5XO9WbLkuH zCt9!1JHUt0{fndb`QU8-HJ1riSsh-|BOM6uh3bo}*o`M2iL6zO{K+w;@cHt#z1XaG zZ{+4tH7E!HCyOi2ZYk+%2Y`{oe^-1rE#IX+5vk->2rA?m*t zd62>NVKm?T|H>K4WG(p>(H_Uf{@>UL)vO}F#g5lF%Dy$+>b{dMN@%|S+BzgP#~mC6 zIZ^+j0F{++`+s3f{yXPA?^E||#P)ri8*SuIWc%-}M9~!bHs$D@Q^&T#3Gb;TQ3`x; z*8dcU&p1y(;7M*CQwW)xz)CM38CO*j`q=^ws%HO<*(x9i9Oex|x*b?#tJEaj9xvAo z_DBA#S|FkN#ezB<0og;JtW%;ouzA>t3k$vI&*X`3+|B!z27#RF7k@Aer8U@cq@?;O z|D>=u1lGXu#4!fG$K|lZ@ykm#cX{HeM7>`O)aSsJoGf~as*s#Byr@6?X3z&O_sM`h z+4E;0hqOGT$oyBg?VO~pad>7Yocv-=Q=r6Ms5(AMfgjHDjqA%luD0%gjw_Gel-H?e zb%Uh7nS6EuHjYy2eY50adTb?cr_=NJ{16+6AGrk|NXBh;SFOS#BNbp?D(Kw+w}*Q6 z?1TN_7L9E;IX0|8!N{4%%tiNVojg&H}(%>o zru)$%i+?-13@DB_W#2|_>vNsk2^K&iZzFvkR{ua*P@Zr@6avd^f)hYD%y(w!D&YW0 zn~a%nN`(DjJ9|=3qXHKl;B=(hW2l}if20qvxMf`HO!xj^&#F%);6a+Qr(mC#*}|PZ zNgxHI>SL$Pe()^ipSSf_W04Hc@BaNXyB0BY48?5Swbh2KSbAVg17tRQ(D)%zii|mq z%_EYJI%zFb&;2!NdYy~0_&VqCTS1Q$)ORbPcl?D2dXPAN^1%uoLnc1>W`F{4?GqmL zlQcQ!AV;zLEa1{HCDdPYsnlDMv~et~>u(16C`dTt#s!AbO7|pY1E~L2)Mbk@*bvG- z7I55n%ScJ+uNE9e!v$o!6Owu~Louw_b$`JtrUe-+2&i{@xgQSb6Zi|sJRbFuzM4Qx zr-pU?!-9o>Kauqa3qw9piw~ZIqc8PG-uxX{%sdx5-%wh!@f0|XXK^N74s{%RctKMj z*hBSpU)qc!R!gb(HGrZ6uL1p-;`R2^{ih9YtpcUlelboeW~$KW(8K7_zWR1v!I$gR z?~PoU6L8%|kb%H{aYK}9d6~W-cbis6`< z<~%870bjmE!Lv|D^?BBMu&oAPW`!cWOlquC)zZRqAyPKaRYvE9UDmF}_+tScy<#Vn z8{-9n`QQuyNRb0iU2qefC^suhY2bGhm%~o(?AMf{7{ccct!+4xzS0i)t<(+i=l}>PvFZCw->@NAJuFvwBeD-^AID6FS^f028jce`h z*o$RHX>y8UCo=KUyn_xn?aiTkmYBIbU&fgG&1X~*&%@>F!{U9`(rb#4$=bVKZTysH z3-Zp@5Y_YaTIimrEzBC(8$e>Au{R^W$kGcPp`h!dm}|qhjU&>XF!uv+ql-h0@0|Gn zaJdM=klz%++KWo7@)d1t<$tj7m3A#C49;ycQGGm~q@E&YeBCI*Ab!&-+uJiI|H`Ys zQWCMbnL>E2=4D?*hc~)CL>S~})zH@` z1c-yxT?}@MUunmK!m1THxyS2>m6rT(;zp@8)3K}VyqN|qHcpQiI)tuI@p@gI^}O^- zC{l$}jyTkXFg~g7(}N8%h~Ml8Y^vhhfej;JUFu3GNDwyyKHYy;EkMI&4@{%K%&qm} zE$=X)Prx77ER&Uw3SVP$vJ@}WN*>4?aNhdW-y);*soo-6C|U}VItCd% zj%{ELoSH;D`fMcFNpLJuJZ{YhMCG_{Mty9VG}+Xl6IT+WsB}R=)QYJ3gI&_GuU{j~ z60(i2`N3kY;j}qcQttrQ7yIYB!4tAF+cyV`b_9p;E`H6AP0y@m6zD~aBZUU)dXHks z`R%;CQuIJY;cJ1gwh}lXVeQIdfGeot#aU}KJh{1=o{h0LvtNsC8UJF@N4}xXTz}h& zt{*GDN?aQmJU~B=bykM=C4Q(d1qEXeo2a>;oVXA1kIap z;*Yc~9@F51(?OAnqP+ObfiL5_H~A??gN%=ah+AA<5PKrlB`wU>+85=A--xU=Z6{Yd z#nP`@+ppq4nL-r@Vw1@e5*KVFQQ-5lLoLoBNX!TWPHo3TKFU^HZjfuQpHY}6MSvzT z%YLaDA@Hv3sP$F@)f)=M(Mo7~;6?r4ClNSYD~)kdMj|Dc15BTNhi*3k{%R{m-NMfr z6EF3n`(sxVO)t<=eXts)S&4>|BRkZb0%|PeMMW!ZUJzhyJ-(=*w_4dq)hx|av20x& zv1f70vIp@&MKv>CK$9Q71`zyiZBeyJ@;Oxh-lWoc@zP5cwI2<@iqh}*G+6Xi#=aOe zd71l_L;J^gqgT1?@>Yw*qw?7U&Sj>d^r~Uv*76=oX3X_=%pUirQ9%-)KH1gM5*+%f zfDZ`vZPs2CswDa&Zvc;#@Op~`O|74^g2ju2JHl^C8BEx(aV5sP4emj|M5RX$IsO2k z!oI_ylebJ{>8MXLo9~4fS$aZxz<_c)u1y!^c>EBeH%~EM)zED5ag|%fqS9EkGsr?* zcMZbD)B;z_#cGLiZt#3qvwduoqlRMdyxwNmWO_78W0j2+swxgUE9HW6 zyNq|1s2_AT=?!M^6W`!z`2vRV4rj2L&;S?NhGxTPH-W-9nu244rWLM}U zv#;u?m}O0;HdFH6Pds(l2lvx)d#zP57(lD>uI;LIu~j7w!N^sE@n{_osXe59U+L>T z$?y^pO*pnCJ8JaPm4hEP%P>DZU<%E>L?7t=34+p_UXy&mt$|1#0==yCKl8c_!*_Tu;`> zBDn%nYye(`Y-Aa92FtOuZ8O7N91jrwF`wYSR~@QSS36MSX>6`lh|un^wkx?b@Z4~N zknD@5H&T>Zyy@54aU)!P1c>@~Z)AA{Ft~#-3Sp3u%vZE0=yvG6PdQAHm3GBPuAf({ z-)QwnOiA{SKVJE&D;+zVgxX4b%yyz083j;cy$U5^k(ZKH_oU%&0G74{uCLCi46>TR zKR(I7#;vV%HrpXe@TSq`+Dc}e80=C5BDu5)*puC77uCl^6FZ^Qd1qOigwYjMJ!(z$ zog}}+!h4<7kBzswwHx=|?#s3M$$V~AS3k+#{cOMeU=EXuS4%weW(|o8HWP#3%J8W+ zxk{sXf|>mE@Rk_gh|}`$eqgD06FQbiERx(I_8|<3y4Kg##sH&Us<8L>*wQaK5f!ud zV}Zor+0`T+mAK1p+V1XF@v>?`BZe_!@%!X-L1{zKV#FDE8INB2lXrK4YGAO2ZVR{Nfb4TiPG3HX+=Qz%<&2 zj?j-qx1AR-6N$BD@cYQn5_w^$I`Z-ZfAUiY@}tMp#UY(CXG?&RWfpEyvmQ;9^^C~$ zZgp`m6IAz9)G}k)tS+$)m>k9UJmCq1Dt#$)kv#V*x?X=4^a~&<-zQ34J}5SYEbzID zh7&)Iauf|$#!DbjQ#s)crQ}D|SmrBXBU^pq1$3zic-h2K2pHSUu5gHZI*TZ@W802Z zE4lKPN4=M4!cOCGvbhPK1+A-X2t%k@6N1|&In#pkP?&E1Ne(V4?j4aW+)b%Sp&_og z4)n)7w9XVwD71|%tEB?}Om3iiCTbAwIxU=1nV=pA%Z{ej-QvQ0Y_5Jzio>3Ky4r9s zKa6^RSHe!7gf%E}b?DK{HoIZexcllh@w-K&SeXx!mtdqQCPc)O578hM=yLC!4C@jx zG&JRd?}GfbkrTfpA9;XkTOwYkbL1}6`D2Alb^oUFcw-<3af6@!FyojhS861eiV?^q6$?;`3Ly{uXQYPLV|6} z7osT8!$jatjbYXuhofx=lL@)QFeX^z4t=&2Qd=4ddi@}-t1DV=!7dz1k$B2 zgQP`SZ3pT6&5rShRl%bb<9pIve$N@P7*o#(+@#c`S0GKq7lgOp}hZjqV zKG5(37?9jshRhiy5Th=Z&ITqtH{xeW3p_Sfop#kAD}@m+H?xy>?iJ}aahYl|1?<%92B!i8tI zr+AUoPPS84p`TZt^j-y3ZZP#@&N*fpE#H@UT zd&kb>+yXv~0Zd9|;~%4}g`73yakx62sDpjrsMDYU6tXz5ax(%idGj749|&M($(vW zi6lSsfB!`(_)hr&_z~1*0gFB^06Jg{>8qMR(l}}}W*`H4CXK{Sa%DovP z`jE4~P$8`DlZI!Z0tfua)6FvYK{Ii7H@Nw`hKn?h?LXC!%t5h}0PQs% zC@Il{WZxV@7%0rT?J7QC@w^lXQChn~Y1ku$hDsH*C}e-vMpstyyOzHeq16y3WBAK- zCz8uTNF^jpn-2SKDUOzL5fw%Yv-2(cqb@VVti-1n%2eFpBQd=#;rM5yg zB`x-g>;$2vv6CG^_nEA8W91HHV*{+<&`Ev8$%iM3kXI|N>h3xmXC*%1 zN2S7M587EI(SuW^Z+tA_jtuNO4;`qkZ__`O)vT_ih>J8VA=Y(NNLoIAyBUGM(swPk zu;Z+P@hXciU?SVO-@&%m8WZGZp*ckOSW1&SEH=w?D7W?0AlH-}bWjLX{MPe&`vn%q zF;?zPKvr+-s*;RN*n)eLOR;_C?%T>4pO7sY-Wf;~Jb8<+n>7L&`uzljH`ul9z}ypg z)Z@HrI%bYqW8B^kTn<{K7T1h-Pwfkw4=$7Nby5*qVqWXo#Sh;Gw9WU}%h6yS%|O{j zfBWRKFDRKrqF{0F&vK#{wyL-XgiBfKSs_#bDQq`nsNq{ z$4;9O7!Od2vm_C%aiL18KQkl|dzbUd5$+kxMnNA5QQwOFS9`zhAc-lG0SVUXxPf@SGY?LT0KJHadiTiY7rsUPa4Q%d- zM#@ugzz?RgJNn)Wag{fbAJX!DaV6`wMU@DD_0MAow0$D6!dC^5S~;%V1H*boi>7ON zqNGsWYg9*|`Hr#Sz==-e)q}Ti9Y`ERFrCQ4Y1HzhlJsREMPgqr743euz}~Fu6e~ZW zG}X{kDEg>>^-gjARq|gxYJ$2n*)My(q3g%py>IL@&FQ3h7?pF#_O?2$eNXq(5x25v zyHRH8(?=}QQ$$SMNAp+k{G?&I6mT~FZf^%u+Dus2E&m|sHbmDL7j$q?+NaF))5cfC z+v`@Y^X|+f6A1$u#|EDgq#e5af_z0I`kVJ~3|8;%Ss{A|2q^ z`}ZGbt$W@Ejnk&C8)Lw6z9s2`K#t`|Vej(B{0cTDq*e%R8su-P(y1l0wASe6$x?eNea6N&aN}?1onNo6BR< zrxmd&{>%`S>`Bf++}wA$acfukaN&;0nEQd#dRdg%ESgW(z}IgQ;}4Gv3iC?K-bt_r zDE)e+LKZGHb-*dsa+NE=`GVmtH>kT7X<7N<&T6-YpqQDp(ewL<7YaSC3tkYPb)NNE zDzowVDXr3-xo>%hFYP!P76Qg60Bt_tg#`ByK{CXGj{QxGGQHYH&m&rC6IP z_C$Y~iz?8`*A=C5=;p?1ly=b4ij-J7B;C8}J8;*syhwlq(SDf4@c}=a5m=93?*-m} zlTBFzEdhT`3G@3?dQgK3xx~l|3JrQeeTRN~{2uN#&wi=Wi`reX%op(&fG=DGBf!w# z+}eDzZby#gk)?q%*w4zn9@x)cZ%LNr!zMC+8YP&R^!vMpWm1o)@bo`cLT>~7{PmjZ z&NqmhN}Eu`-uDms?UDW|YO`09<6MN{Ld@BZyMd#MN$f($QWdc;{F#6Mfjy20K|t6M zkh+6#>~Bd;!Gq&yKKO>e6GLhPNE|LGG5oC<7)^1V?*OSPXvV)IwI*#$5!>h=Tf=ABPCoQH*~ObgcF(E0;mQb>cJz0UjB7 zuhRUU)aChu#!HIb*a>TX&%MROlQUu^bL_XD9)r^U&bbnp*Iy3)raNE|Pk-UvzCV7e zj>`+40ll{|+stGV>Vt|I46mtspoazmIeq`Bfjm6G8_fYt0@ZXa5L1BP44+_~%@mX&HPVm4(0ms-e=N?Wz zn$&N{FWZO%SI1Z!rIaRY{*JNwU%Ilg{&CQ8y?#g=3|2WgW80O5?1!6m_4#NLf4dv| zVTG_l!9=mr->Sp1Y2MlkLXMM4hESsUmk3ox&eJTll}J#O_t%3!A>u|uLz_E&1;2;t zH}vIJr2mUS)lp#3-M);!ohbm)n$`DCD_YYof%5ctaUd}Z#0OlT_kgFq{!OP=? zOd{oPy^IJBSo?n(1V@2Ea*0`gJJbK)AWkHW_GnL{-QOeTkIcyQ#j!HeRNe8$cZ0e(giEt}?3!bU**An3bCcnJu0wL)q^G?c!pQJAH`X zYhS*ZvzpHV2&U7qqmw#%N`}9W6bOe46n9y(0s~sq8<3UtfseN7_x9>w3wQnHyHJuQ zhjRDO*+J0#eYl3M9KJ`dzYZiyoYEZw8E^fI*Zkrqf(Fo$E=NRmgZ~&QGN}_RP()l) zi_5(g7S4C%>f2`BTdX#i+s;YXBzl-cBC%=_)3>t21$>~Q#Of62gYEy}2Qv{uts#nI zg$H7jx8>dHEGZTVG>2&va^4RUw>$l2P$|DqEH*`e11bbIJ{Z_6IG5YO;;h*95Lvs;Lc+?n9Wz;3C-_?#*(fUA zCe@s0467Xb8+_3bNY%ad z&uUZ+ij6z-B+uS`3|^3&SmvTVs8IQFn(7R~w_23f)8};kt}VS+A&(VbeGqE0WdnIH z7SH0U1{IX}AW@x)d)T%%YCmLQZ+aL^H!)u5_rMV)w8YqQo7v7$$vUhLz zU8sin#CItjA)_Byca;ZE;DcLiwiUc_KCkO%8FC*ym#7?nc{TJ*mlQCvk7N@A4?TlE zd=x9iKi;>KBs@QJyK^p920vg{%@QKU)XfJi^_}1K$_Q+`l%Jn>LEj{sqYU44pC33o zy2!C=r=O&00~urfQY-Ac@O8RfgdTZ}B#|8+(m7YXb8|2_TEDpKgECYuol-UXR_{dU zu|3IFptV_nkj;b%<2t)tq2fEI243U|tmbH<6t43g29DrsrDv;x>h3>SY^*k^Yw3bx z-{|(;cFhR0yH|bx;l-sVMBf3GZ-xt#Rp#W5(ocEAV!ykZtIYX)GiDVxRG1fp9G#SM znD#z^O4RQYw`$WRj1#QHi?aJ8U%8C}}7 ziWi(RGXojitTsNkm#X@^bB<2&j=dskC5>l0$Ep%x<2%~xtQ=15V~6UJ!@pzoNefxS z#>6v2$$5O--hcOqMj*z=9ph+`wnI;yBOrVk?aDUDa#lmbq1)zcE09>9$`WG#aFlpC z$YocM8@G)-d78>Q_9EeDj+o%Wc10Y!D^a4;KgH<98X0TZFFsCRd68+=?)7D+1~TMW zZEmjY{tu-1Q~flo8_6T@#F>f`o=@no!w3Dx4pg0YdH;a&yk99uRQ!y$t#hVR_@3O zKmC-m!>wD+=1`vE1s&6$icbz8m0whx0B`8JT=k{Zg|ERd@(nH92|HGZajk!$2^GD_ zRDpZ`m@sH_x#xV4LMW}D^)+G;ovQ5b{g@D445L*cwCCy<${kS2@}r zSnP)BMxsI#7lXGH@U^a8RsiAJ30?{29M86DCpZNYb;i?cF8xz&FVDk(j#CvS3gDjx zyF>$iwl#OKNQsbRtY^q>MSm#n-CKId8E$AYmvzRuNuqYRANAt%^|7b|qOc$E1-TAg z&APLEt$(bn$D)i#lkZ2*=gU|H!FSyKIM_AaY_r8A8rxWVrzE7xi|?*3!_TTc-~@*X za+;Y0HeNYH86;Yb%Vc}q&o?#`pU2;-J@MrJ5PHY_omCp?6f{S(G*seT5m@{yvS|#a@_4XlF0L_d4zwxRomZv zVKWy06|u^oZk8Sy$oP?NK^>fw+m4LUWBGTG19L-%vO!ti8129pP=$nZDQ4JRipW7# zk2QM#45*t}WPTd+oqLIm16=u=G^-vMwOo)&k==zQoT>x$KfJSgfu3Ko-2s4cT*xzb zL_1!Q1So-h2UhHsXn5~K+N(`w180-n;+h7ovBq36;pmL-Ht!uts`NUiSXyZamwpSL z0e=e-@~v@6fpMM=?~k5s%zk8=We`)lC&JZ=2USdp+dUrahy__*s*NpwRdbnpZ2hWbGPHq*MFj~v{sRci&lOfYSiPP9w9d0DP-3p z#?AGx+4vVD_mP~;*Q`?hHnW~0SDR%Aqx3q*a91ku*?mo?2 zg~jhGpAUmy=Xcw@BIeDGGOsS233QuZTS}d$UJr47aghC)uu{-!fOs++SsB z_1+nksJC+y5G%$>#*BLg&AE>tBqJ^g7Wd`q%`fZXrNbEMXDRpF(cTnB712Mw$o(7A zHLAkiVt05vnt3-EfBui4ielqUyj3k1Q-eDukv-Y{Eb7g-x{emcKA&S=B>_I>*;8Y~ z{_Ss;VdB(qiaT%#d-UVG~1droAHHQ%_OLHDy-Pr|Wi z%;9}+2Q#-K3{CRKt$j?K?p?zctvT^v!fpS!-n@$ey9*3a!&(c%jK>ZQcA?X%6Z9(* zXYRjt+M{K5-sGfM5B~X?cc~k?FX$WZwKF;zR@;Jt&$|7BNKnx%k%8Si z`;N{`r^=v6D*ou+@f~sUk0Xd(LGGRsVa5zyb0F7;9Bd{gVyM@KT;_wd z_P!nAZpu~T!0Usn1ie#k@N=BTL9a@|<2k$*5HAmb^SgM{s*ZVR2fE31PUAW?2HaEp zPq8LDcd$jG;TiGU?aYSEYtnv}?UQEm={P#loAYDMElT+}kptKwtI}!}wc(rllRqmE z3u$^O`+cR#9?xuFCD`N4v{PdYteK@Nf;}|wS~n|>JJ@TtWqo5IrGTK3vmte{h6T`u%qI@m7NkG>0Q004jO zO7PqD9%-dD5+3wTKW2f&?8!YjbTXBwrvb+RI)AfR9#ZHMf^4tfSa!UQe$2IPIMSO^%~>?DUfsMY1WNb%GtD{ymXE-Y4VTk6SCRU2g|3PpL>^j z<2FuX=O`|pgH87WLh@9&W6I%@ z#2>>Uy$@doGQ{K?r~-*PD}v-m<(}zp)J`54>N}HX8rgEx^7I4SGba()Lheb5=%rs3 zw3(a?Zw7FsrV=YJ26|v71?zjCS?<-;y>N;Kwr5|#{Q!Y=<{FRb(&W2vYsKYx=W}h7 zZcJ-F88EQB>CVS(M=jYE{s5It)%~dVF?xs#hedw&>Q#=w61{dF33tvtF)!ZvA>{#y z2}Y@Oq1~8xBGsgjF`B^NG`GsDn}}oP_EMAln^wGfO_bx83|-AP;!&UurH0{NYl@Uf zeZ=#WW|#}iN;TtG>j%~(j&-f2_M8)rYEB6;!9<)1UAfuM=g7-3OB|$WCmZ$L*I>Dok2X=6>kx%Lq zSlpzo(^*#`Ll3CKt)QK3ZY)PGjLJ<~z`p<0tTw{2_JYn6F~A!Z zTDso@0fbV&tZq%$q;1Kw@CmTXuaQu+M3MP=q%vMPXC?l$V0M(*clD80R>bA%^V>6& ztzU+HzQ_r48K;6katSykzvF9yEW-|ofmYBFPCef*$OGe%BxlARW?=UA<9)OE#(NM` z8ne=VOm~LA+`zzjRB{-X)zG5sF9*j5xer)896FrAlt4zW9vD59oDD17ravs3R;+h;@5%nxpob4k zcw!QuxYBg`P4d9SQ*gVUUNT9q_*Y3kCML-0BCzOpzT_k1;!@fU;WjE#h>G7|)0rur zy<=)UdoYD$lt*mwZH)~Q^YECoS33D4xfz1MB#jKBRe%R8@~?4vPTrng>z?X5rN`7! zGbn5KDqy_&(F5ZL(H`2bFW|!5RXJN~`<0_rIgQWFuaJ5-YjH2N z)BnMWJvs&KQIw^IqrAx!XZH~Z%v&D^ijzy-^Z=rS%9&jp9Dg}oxPpT{Wj%OLTVGwb zMuEtTsdvncE{zsN1mno9KGIL zb%pmla1*@U7dVYUJ=9%t?v5~d8K>7VzpCteI99mfr2)&#Qd!RUsmPP$wN}#xE8-$mNh{d|O&8*!<%LzRoreR=h`?hB-$bNKBy!S5bu~1!w z{q5DQgo0l=_X4ma_e8^UVC8NGp!&b=tgJw_lZ-Oaa5t>?syq}~Jm)33cwEVK#T`>z zv;$8La+yg?q{rs+fsN5;M^Cg6G~0jm#I|+haFWOnm)W(6yx3IObnUw*d$FD4(^^%O zKUO)7B1qxNnsrJ3`&Lo}GPm6$``g%34L`K5q&f;zNtJJ5uKg=wG>4y_vuxS+Fed$T z$w|2r_QTozi_Z?LVS}VpN|~=e7(}A>ljcv29pU@1aw_LmipRa7-1!*3=eq0s)U5NQ zOy{;<`3#b&`15@>0W4n+IK!`hJ7lc~U5RYZ>e%&tllhz|RlmXoel@VU=i>pI9Eh5Y zPP3_$m5T3g-qBcPAn@%B^*VLfF0_PIYscR9zz<|5$|HMkK+A*jA|2A;gt@V$SYEQj zN3NonND4>N&6eALp0&&)g`N>q3?ht3MjnB>XD}LUu`Lli(hH(8J#E}gp3Bo0Q2Q0J zSxVtP&BB28dYQ5^p7Zc|1s((6Y^?^3&b~Ho3nY9RnWD!0cw}4;%5{!)*bboS zw~lf*O;_x!7&N?n;8kg~GZ4Mva6% z((Qe8&@uG{{ibbAme+0s4S$q=ZGB}#P{w58)<@520kZyX`tATr-=8jJh^Ty>i2a3qWFT>$sbJ4d1)LeKTO5s$m zJB_FKpMWkKEPRXb1eQ**;#j;* zYa?`@5qPyhvCDMzMJ@g^g}Vt^(hr`Rm6-8v+}h6IK6<3m@J`w~aP<Pw5p@$2oQyE-rxJV#evb~>yD z&(Wlu;N8f>w^q0uKbnbwlkS?sATn%D5Ayiv?^jS-_+#bqStJ{{0N{+T>+1``I-i${ z+YO$vd6eaXs6;HW2D*L9@vcrXPVx%ZZ`iiF!h+4E?U2ooKHBBTWgO)!ERM8LH=glQ z1mXq@wO$b`rji4-4vCa))0d|h>vU86-7Jz|JGM0jY8wQx~hxWfXU*hb?Urrr2d{O1j41|H*6yME6$t+$E zItHaz87{_tn5g6tY9H5?B?q^DfMJscqCQK4$J&rUaqhhd_#^zS8-R$Sdu!7xUBw;m zG_22jd9*Uz5A8>ECn|yZ8C1_zs4bRYIg z8*lV~39d2kr0SvX7~M_0)cPS&u}33b?Z|q-0FN+I*FH^8tjpag%M6JX{G^w?P1@s;`!=K2EJpJaHGNeFrHXtYS$ond& zj|t|Om(Tm|i4MJlg9?!81D;jwdX9{<(bTv*D{6<^TB_1p>-B#30(|D#p7M!pXtMC9yU%3#lwe#q0-T_IhqMJS z;o8n&Kmkv&(v)|Q3x`Il6E1rl#OKlsLe$(JdQV0_=M@n-@L>Z{7kr@Im!3$19g5?0 zhLdJe@Dcp2P-RneclQQs`af&RDGd$~@>Zh7x z0Dhz|rs7FFdlaIvIKs;0Kt+PgH$$usZ{&<$=;nPk)wJttnbo(kyBvnY_N$j@16H$w3s!BPv(YC5@&5BzyzzFx z*Dqv*j3OC}3qb@l}!lke?7Z1x<>ji7waCsOAU@Xg?e8%V8H;C|Q3-6o|8 zWdEpVvb%NcUU?VrL!gtX)z2slnVTA@x?OKSjD7$$||fZnHA!>3jOv zqYPFMecI>R+U-YiA0GtM0HII2OE;j z9qt?9V6^hjsz)x7t!BuJ8JdUQ>kbnjha@OJS7OM$g@AzU7@x$=K<)}ZA0q28x(r$b z2gu1LFYM!vp8IOANzd=Bel9%z>R9>3_!akqB?`~BwKHIY;`ENtM5!5NVG@AP^jJLT zr%K;xYTDwYQd&H^%^w(b(s3JPEoc^?sx(0lTttpqOZ?tspJ_Dw+RMO!&&-fIgkv1c z9k6I~J3->&siqO+2|LqIQ4>us8{2guUsOs<9owAc5#nM; z9~bIu0-t~<8xp%&I*dm9w84Xd;yQZ;ryMiw~utARWq2s*v!n+Q?ABzq4Zk^izc}=Dl zGIHH4j?A~sAs;QN*1_X7U~Xfou3F6{Iy9Bp*efe~`BM!NKGodoJjfrX{kS^ao8;m@ zi0oaz|B>_s0iDJsX3)!CM8;;~JL zduX&PF3B|7BUu})QF>@AjlZ^8w^!3g&pN)?)vEg&DZ9GDk-b^Lv#-qTo)%lknmV5^ zMfRHN)KZ?uc|PwlgG)T8xR0Igjr2bUDT+^QJ$a5w=#!jf%xhKb(!!1Bb6$T5Z#5`3 zB8IfmwyZ=du{B0mJkR2sz)+w0E5f&pGr_S5I9Yve8!`C;!i){ez4l`u{15~8`M8M$ z{4v%{$Z^ukJR(wkKBsmPdU8JZoxSca+lN-!F=_6Y3Ys0yYqu5=+S`w>%(}rUea^3Befg6s01|Yqw!8)4kbi6n@Q}a6_TVg+w(UPB+LI%{n2+o*b zRU9lky_~bv9iMR^AxSFwGXhfTQ}is3o`ffXT-ymy>5uunv!`)rcw5_jFWk5c40m2t zwU+X3qKx=TlJc&i9v?VuZ}-C*pU9GfvXJfIIAN-X3$qWJD9gBA>FIHQ>Q+hVuDM|O z#l%aWq(u`=m-z80%>j<}vwH$XJg_N8_;^fG`gYTj;t#nB1wTysnVd6gyyPeu1)o1A zR)VG?PEvVseFcUF+`Wi`Mb&tWat`!nY?pveg9R3utPJ!pq9rReiXc$c%{S66S?niH%EPiM*og+$&;Kn_S9JNTq0SJJgw_xavy+k`Npk zeAgVR!;@5dltyuemj?A@)p|82CJ~k*2l-BYEWY}(8FNF3Q@0A`=E~D0l*0)eaeUCx zT9yaAKg}SzMxE#x$jIqk>wNw?Pd^ts0cs0ozU6*H0v1`@NvbuUTRnO)oEsXW6ky@yydtZYCBuGJ$ zLtEWTlS*IQ7vvU?A8~a^8F~o?B(*aaa7p^<5$rWi?z2_rI6$Xu;4W9}GTn47pXrxR}c+7l}F zY-Fa}B1ob8O-=fbgW=e>FNW7c ze$a0?fVmHyx;I7tNtRr_Op|j%&xG~j$#F&g4TV(otCaT3Io5QqD3U)U~% z!)2Wvlr>m<12#0*CgZ*CiTmks`iX@2-m}S2OjY0@e7@KIkiT2D<@tD(N9ElOk7dj~lSG?DTT=4s?~ab%44w!9IkFYch+9A zvU7)3*rqZI{iF*hQ4SI+a zF4nsfr*$!^l1qW<*ogNjz^AhipJZm;&c^^GK!K(5-seN@mZPU@BwTwzgw}FQD$|W1}IhO)K!LlUdUZ#`743*sZ9*C~03^sSwOGxlXIaxu$ z=1|MC)~?Ys)5?ttwDO2IeQHn$WAZs;kp0*Z=xLO^%I(ix^k5To5(;X=_iEACS!Tvo zWb=XO@;s>S(|e+eJQ=+^5E&MXF1C~n-fMbyR5m}cCBe~UP!-hRDTAq6rJjpD&mrs<8;p=O++C^xO|fmvCo)1sRWNqA0cY$NL_ zDDFs-y?!qsOklCDOb8nkkVa62lMctKBA$QjpBr*Knaq#E$ma{%$cHG-JH5-ikHE4& zPWx(pKVnqlVn-GcZ)S%Zk4!VR84QF{)mp8C>=&@@+7n}qWDVv^W7u*|Rd-iMKgza+ zeNfY<`FB;7?kv*B& zfc&0mVvc2uV-yx-Tx$&-+A6J@12D$qdkV~I*SFfVU-tOqvioJo^}bLIbxu&^+3-S? zhQIn+&;vK9T8UDUgldCpBqn?n7IlKc29P<}4zfV-(3;luo#!5LK+bxz>~O%s$iQGv z?~(QxXSnh7`N9{w82e_1zmpwdlo8fpv130LpTv1VCON55rT0*0l$(!j;597%VWz9o z2@Ycd;;J%9D}p$_Fq@&xw+ph;P8^5%VFps5^F8`qD=&AT6r3a#+g#K*p%=)tmq=zT zNW>VK4rBdxOm^$9_^(45nfNNvbFGmWnM@~mpr>QwQqp_5u79orzeUfWO4%8`Cru{EsI=wxfP#A3J6ekhMQvNH#c z4X(Jl+Xe~#uz;L-+as&=BNr@I2Br3ACgUawudNf6A3(Rhz*0DC(|DuC3y+-GJ#Ojk zIq!JrkQYPB5yTTR!iPr?1VDFV*$B|fu$=0#n#K`NwSsHlM5nbVn^xSx$QjC5TYjWf zW0AZQ)V}i8-gwg%Ipxmu-3*wF9H8E?cYa=9RyaR(qGZux8+3rcY?X=6xTS>)Q@BvB z;ldp~nZmLM9AMdp&+Vhe`l~=-vY=O3$0ae0%zD^dlYGc&m%R|p- zX;gj?Iy&!J4;+ecA}{1nVqLRf14x5K`1|l)-HTC*3m;{I+HJN&$07n_}5 zE+)ZI%979%Ef9~Dyz0+q8W(%w=`pANP69qr;w1^lODrTyj&e0QLJYd`m6o_ zZ1cDoZ@=O)m$!Vyft}PcG2fWZDhMq4atF?FyET{GQNXX^RKow< zcfWmE25CYz6kKRL@Ot!*96>#w`xorE z|6bv6))v<9!1}XqKGY3X-LvL6urv;Ov~=V@?mi%&Yv5dC& zHldBx{bz}yU^HFFxRMi3{%Lo_%7uTiDhgiz@J47U4~oJjrWjfqry-oN-ycyx?h5Xt)|0f>lGb*}xBH2<%?H-Uz_kN(Gd+N3N= zg)(GswJ({mRQ9qIMG^|xB^moxDP&)gb;vpuLbiw`F(zA#eJx~+HG9^6@6T9bdX{tk z=llPi-|u&N&UwyxJj}ee`@Z+S@4c_rZPe@d&pVnaC$u|NMCF#WoaIr_SWcI3g^-1f1bUDL3Ccb%>n0Qq^}~CcHiZHQ3N{LV3DIgGy5k0V>tcGk+5hn+GB*|jIAR+H z1GoPjR&{MR=^h;VuQ~JmFcK1dtac3+{OsQ}gx>e`UUj^$+o_0u-%9$$p}GGYa-c`_ zZ6nLSN(#?Tmor>>|FH>W_q@v8?oU^`4HmioGVSXRZsppDBQR@3?Ug+Qz^SlB>v49@7T6xt;)U}fM!2xhXrc< z^lpf;Su07ysLv@SY6H`bA0|XGOA3JK66@Z_GPr$}0Jwrj7mJ3a+X@Au(Si)_R?55Au%P zzO16@$}E;azzQL*`she9Ag;WU>DgijZ$A6&HSObxraSTV5atj(3dceu&_-Q2gBj)F z<3_x5JFy>SHq4ci#%1L2$^K5i!DE=J(|7X}h8xZJtY21%?_Zd1yS^o~QkngeR_WvO zAppMz+8Rax=IB8d#-wIefjfp8jJ=4|J#mh$kFy<&POF&k@5Fh%Yh0O~_0D261HaYd zD%_W<_pM4#m|1lxH+`9z4LrR)F;>zIX{_IWa2PlAa3}vTAGXTgUMju%z{X{3f-^G0 zci-THRxPmeAntGt&W$rox!;6W&Lmu?b~Jtfxwv=k-1F#T+VQ~z` zd-0agH>;?r`S``T1M@9X^Wwezp6*PgOq3zQu~Q2GamVreDb#H3e3YHXqI zw|y=FHsKna5L!(|Ek;B}#SNsP^N*n9a`7Y)hP1vi?H9O`lZLnB)Qtw{KyrB&n*mt1439P+iQq}7l*S0V zy9vJDbLwa#D-Q(h>_>eSOTy9{utmDKU+Eg+O;?1#pfTm_Vc}OyUw*wLUSqpB%N(M6 zO2BY_zi3{qb0}cpM$XuH#$P33EaMA>qMr)_&~Cv+bO;}PAhSt|C)+e!<>gO>5drh| z9WBGXx7ZF-y7Im+&)Qa_7U_FdBED7&)*t#9pe!44URC0kSx1=PkjWWpjdQ&S%wZ9x z5MVync2g@}7j?;YOBoS<`s*6lz)=mK`(6f!cyRJ}#$f{b5k9{uhOC{{^ROHluG<~yQpsVB9tU5f3QEXc@M9iYAJ;^dQ!>JlflqoI;6z^^_=da0qHuqafGR)yw9GB%%4Fl z?O=BLk_iN!4h5Xq z`}NugU!)vSM)0ll{fJacex=C?F1B{8+lR_nip^4*Dl6!gnw8)DYiLF~bAx)q4XzgDPKR{DOPO(y4%j2%O#nupImrzj^4lz@~Z7(t~!$B6I+G5 zGhuP@r}9il9O(s-2PMcxB?o}Y9ZXX_KKa6@2xhoDqU+|Vq#q`TJxhu|WtT%pMz*6F z8Cll>JkQIWMQh+N;pUi~T%M6nc_+S0^;w>aAq#u_NQIMu*1+Y~+~18VkolA^MA?&H z+t~YvlJF@jL#(b!wS@fCk)$~lP8Y^=3$m%s{Fi;8zDH|n?z?dO^^~l*^^F#f=o0sf zXrYO6M6oFezeVy_fr1Q$$4rTW3)sS#BX$OoJ>Py8YgQDMOg=zZTqh`@6I@+|TLHlC z$%C5|s*x8mUv2&Q0~^&EVE>JD&6G4FgX?Qn3BGVLhlQZ}!Y{|j|Jj})bN-n@AX-?( z>^hvKvLu5Gqok2V-JY(xA-?@90Qe_)9<-sHfTo~%02w?6K1{0-A3Qx@HuilnmZo-3 zwB6Ix>f!r})Dk&WTnVyx{1~Bncv|nbSt!wbb3!#5u4#{{M4tR_!Vg7abIw!No)BSB zUjDhOEsM8mm`j^HyDsQLrbGbqWbOd=6PFaJ#3d;ky`YR}n6KDRU)B3QsKJRQKN<`rOk+H@F2MIXC}ibk3rx zhmTLB>8K!>enx)yXx~LCkW`gwP$2$5B#U{xjZ12?)qF&gHVcRSY??;6%~iTBe6ot|8qZ z@+s4s@BJw|m@U6uOi4IZHwMbCMItn@rrx5b!TwVwY}U3DUR~4hp4b9ts@ za~VmC(6h!ypGm9v5k6b0$YS8|IsV4fg=78I!*Yc)*o`jGCrW_CE(T~Ci6N)bG}RjW z7Q8Y(N-^yrwJ9Egy`hLM_>6S+i)PU@+}CQ2G$2kz?>{EonC3UP4Rm%1tZ!8D5XrL5 z-u%4(&_B>h+*SWnjvjqbktvD<5igErSOM?6NG&=y0$2R5EWk&XBYE2o~&~Nml zTETaAv<+M>it&D-VPno zAoVR$_2eEET-Z6s^ z-sPl84vX9S@Sp%bV4g%Gu3-ncCi@}uwGQ#|!7MiwR=gPH7{!N2!e6MOo7IYR^n?eh zfd_Czn`7rO?Ii;u=5X^m8duh#XvX^d)6J5eV;7p$f}D+9J*N%BkLj6mqyQQGhDa?_ z0pu!BJH$0V>D|6MH^VlR+bHDeo;~vtO@?LVzZJ%fmO3#g(%s3%XD9}9nrd@)pQ^}s2cv&=C zZ-mbGV0Yg^8(Z-u(FJC5BWELtD(8x-^Xh6EBigt8=rZRB4`AY?pM&!qs(t zo_c_mHM;sd%gDdD*8I4@@sY}dg{|a}mKY9U;5YM$Np;(#CWKe4wSkyEHFnt&HVwk2(S1|y zPiiD0SO{wM7;mwLteku8*ZnBNBnfeJ`;sS*Bq2pJ^_UI;C& zsY3YrB{dxw`r3`BOnj-GM++F+cqpETNSHdL;~~V3r~=Fw55=fc4Q8+uU#nA36#dJ< z+a_xGQp#MrpWoFLue(PG*b(784k^xwSAH=$`)k|cxh#Ko04kAiQL>1omeY3Px0=0_ zN~`362@)z}j4mp5oFsLAex0p{m}{MgfqFuOk$=QN6Wecn;n2Z;H=$IGoHNj=`unkl zknnV#Ph~?Vrs#&7hsbC#ZSX4R53BOaC6ZEVE@nx5^D-WwS$jEEeW~QKUz+bHw~^=+ z7dk$|6bLhvxPMs%flAsxSz_q&t@?MrE>0QUYv)i~8!P$RV)mTW@ z*^)mexKS%<3IJ|DzWrzM5$qjre^+zob(myV>@R)7?aWUOA=iNH zkxI@rR1M;d0k^hFSgUB&NdYj>{>lCcSQBvlHk)!enUAaf<8i~xyUhg8Q#u~iRH`e) z8ROthNfZyGXB(6NQ9LxzS#21e$>ac$Z&M5~NQ;(6X=*sm{_{C`Yo>Nw0U?&5J1W^Z zxQHAX74U=F${P<Lo0lAGhrqJXr;f@g)Mt^*jCB*M{| zf+JKSF=_QRHw}Y;a%dnJ#CD`o4Uxo95?J+CpQxedP+^jkU0}O#M#v_@$)xbyqeCag zewR}%8#ux;v`aiwuuL(HeHOb|Q(VzhQbN#uIQ;qTckrg$?5b=SVPZ6*cPsSZmbv47 z^SpJeFQcq~$g)fYmZ!RVvr`0VpS(e&aYZF?MoO#r>C2BKioVk9-Lhiy9l7W;4E{mEDik1g$K~3)ev7U z0@d@EA#E1oeVlbQyrahAey9r+ecnzJ&XqN!1x=WeocTkb zwN}AVIlqgxI*RJip2L>mNTesgWnzb$j)wHyCr~qpmk{iLTfg~@dgroq)d^Y6U0uh&MF=Sh z{G*Fe=AcPPI2Yf)U*w2t_rV^HMMdhI4*7;8ZVln(wM#W2Dt zW0GZJ!Ls!jNj!^c1ZkV_nqMSNBoI{_-0b;6$Ch>Nu?h4o6gll@DqOl{6*F6UnHv$b z9tZ>Zrc%*iGOTcn9no=yY@c0%BmeA|mh?(Hw)LoEK90}Rx`jH}LTu~{6i|dN^{DK$ z!RXmBMg%jf<&Oeqk@YYh#M*bd<2Xk)z-$(GxlHc5wjtuYKEj#)y3FyNL$@xudMz@n z1Q`J{AQk!zu2t;J-+UnN9=hbQ1*+yt(O!hsoMEzdcTd>Z| zUYe<2*@0&(*+oj;0c7sd$$a}W5T;>6(zw(?JigVK4PIb|oLTVqLqkY6zURcOtKzmo zraJRNHAfrxH%TsMQcmYzNreB(;rsk&CcSKRnt#(6b~OvFck#b+sjIX8o&I}0V@dyC zMFct&|CP$;*?E9f?{6t!|7^$RF1_o?wLj9aDcAUCJN|n+YP44R;!b+>{MEmUfk%XnVdt)>0rT`(wqYFqDuIu_^)7oM+qhHGtM`Y3}3I=asWDL2g>0P5z5aPd_| z(=#cxb@1rBq859BgJC^t!0ZIaihC#{|3ZueV?(gz1pX-oETdQ}K3ikc%y4 zSX_*lCF^=vw-ELwJ@HqH8Q=-GZx_0#nT(5LI22Szzc3Bw)SnzyzUz9BF|JvqE9$mw z3t_EFWNq%J>#OfWzM2AtUmzOv<}KpbQ#O`vq!MUi%k4t4CFIXdp5@G4ar)lTgH<&6 zI+oEta;=7j1t;ch*A|{TLYS&knPKxgw)q$@Ud1@b%24 zZRm>Y!wcfDqOW2wBinoB*GLt#IoFS+xH)Bh ze6Qy?WItc~QLH7YnH=WuXyN?kvCdxIR$6gA=j|-n#N`x$(AJLht>ebEI=W9Ji_bAX z5|ezalpKZC{B5{H3O?I{SdJGdBOMRDRCtMN+SX6rP7sUknbvwQmlcxl(=fE%i3$Ca z_tlHcp3^uKdQ;C26yJd5mlF{wGksxi6*AQVZlZPMdcV>o;2eh=IPaJ`qFMkS-OVdd zRVu_~uxc>9joCX*K$M5^Ep|-*XOJov-OQ0ZI)^@5BEcbVf0cr-9H9e^$6g*qo?Ayv z@~N>D6`Lm1n4sNR(VZ&$ciA7*EJ4tjo3&I)}$My&elOr>s3vF{4D{I;(SH!^@qbM5u2ETiob0&mV+zA;7nEcMaP z5NuAq{>mBbQ`psJQgGPgw@um3WK-|dgC&BLqQB+RbU}}0zxu-=)4}P<2A2J z1>$79_UQx#bQTUNLV+M7)kZtF9(uk5p=smacS(&LKlA9wqqlctK^x7&P&TKDOQR5bcRD7pgkYv7lreWKOxsgIJ)g)HtHs0X-C!5pTRCQ$aK~(SJ-j?_X-|kM60!t4R zsV02Ls_6w;+;2Wh!Tno1brg?elnEOwa$~PbJeGOOabgr1zpi8dk_Q&IF=gg%LMfus z^$HEEj<2s>EV|fn;5Fg3Zu$`E&5V-|bA-aHKJi)&*Q0%wo}2=anEIyBvCZN=$@*B~ zu(%nj=JiCq7R^|OYSo1xj_y_vdWsqy%urh^%3j}*BMJAJG z9eWk?@pb2f@-EEg8}BoIN*3eop?(M@SX=L+s01bWGEa;Mb~fI`|2Ew-vJl&-=u;s& z!i?TlKAs$&ki+RY%0^|k9Zo##-6S0N9jb@v%e_5RYcp<~ucFPvX?pvLfUUqGI|&~l z%+9Jzvj)BD-WHU0eON;KsAeZ#tOXMo=wgPk&=~H3g*%KV~s3tlfnh`b2ui*@KyKz#Z>@nDZ+C z4Bf&pvzzD;$N7=FZ*yh4Kelm`O%K^2dik>XL z9l_~Aa+2i1hmIakXVD(i@O|WP&K9m_%@Ek-h-y^E*`R4D019C>p0G>0zT?b=IUkpT zhV;|BCsXNFWmJdT=tIEYUMUume0-u)Lv^El#FIxJx}KD!VIc%JqqC;^Jajb`2`?1z ziZmFQ_Ax-5C=X^<|IIE)2PZ(cu~lfPGfARpr0gMR=qa1%l&sOfu5Y{Vb$e)*(GEMf z)w-di6%_h>ZS>`-Z)wMTq?&wRux^hPi0PAe4;d4&3+E;qu(2TB`n?3bbg0tk<*V69 zA7o}MKj_X`A)nJ|sn08FWE-ELZFNRl49-A!5AFBlU2&o5%tB( zZShHM;pcYp{>PxqY8USNU4#+BAdjwq#HHHyQ0RN$+?BW8K{8`p{Z3osT)#1=j}Cjz zM`vme3wF+Bc#{exWAH)fLiuygP@B}yzu;~dDWgD@)*9U_PN`*xJ&vVEJ>2=pP{+6Z zkpEpY?XETZDJEY~FN+y|xpZ-W5w<06EW@M!u|=UU=62m!>&=4Ux}m|}2Lc^~(cK*^ zaR1$RGh-*;Yix=2+N8$R}8GvC+8VX8gb>ddYVBfC1HKeCR+;F`>H zEuGK;sF%N4Ix=>lz}haKrK;z8Ttk?D*nxRTz z(`U&V`(PJ2>U>p+R2-?UcaVLGLSX7g%6`;Kbkq7^#gYQw1(GQkGwBq&tI>rzWDxn;MosbIf#Z!{j@X$aRz9FpNb%;|r5w|vZ1e@8 zQCxalq%%;Pi9``dKk{*jzlyy7x8nwZXv=YvFPHP)l%6*i=)4;yK^naFI-lV`ZUy5lw(=%RO0&UYy$-CPdvO&`MO}s1M453Km{6EAYKF+fPaSB@L=XNASX}T{0Vz9w()qy{%&plSXIIDybgU3)&>; z=ZL@5kT%Mot5#(=7qsEcU%7YSwjh?H?%1$&NQ1}K%^{G_#9tn$V;Gjny6kar9k&7A zu>9K#4lCVNK<-A=vFETHTmdt!z2OCkzm!4lbgDZU%CdhQasd?H|M%tnv?ilyU=Mpp z`=c9i;~D1*%1rr9PtG`&i%@ZM1G~n;V>62YCkHhTo_E={%_0=FDf0zLyG$Z%u>S_WEpANQbr(>*SU0 z%6REAfmTpLzn|2~=-lL7M~D+j6#mG%DK7NT;|#F?KIA%0PnaiY|MdH*Jzp99!UysS z;)MQe2Xq*JwgcketK9oXIyR}eKijdk9f_b4=~iIq{C9_42@7#Ifz16CR1 zft(=ECvllK1J^zSB+Bytm5+e+``7OSDmoO@eFFP+`Y%mTtJeUTFdWO8leLTTJ`tV6 zna}!>hZ-~^icI2vsOQLD2=y*R-P(0oooFLCzHT?*05B!UZYp}N2e1XVABQSjBKy4e z7K?$#f~HSD?e!)EeSZLTVSLJRab-YY$H1O~IRInL2V0M`ect;V0&|%LpE$QT5y(&f zLi4!P(PX&~d$t0uRZUsX_no zW270?Dy`+GjF?&VN1HOeTw++e4#E@CWO4r~(DHB-w-9Q};!K_{PUCX%^1R5%q4%Ku zqBlwIdN(d>@bqt=Yg^>hcbFLZsF$q)CQ2ID?ScbBewR&CLUR+E-Dt~eKo<*sEs`O; z`yIx9A0l$`DHBUA!;H|RxZxg>5$aBBF#gVQ2RNk+l1OWdDm+3RCYBd z2J|wZ-juy=(66@LiK<4nn?p?~sJ=-q_o}${2+ZT$7MI4_=yv>yq#H+C_yh8OW^f{Q zN8QF4Jp%?*P`$>w^y{pkesLpou6!m4<6OkMMYe7796Nn2(z)jYU@6U;iem+QX@Z zv7k3ZN|pj9A9oC@vZ1;;aMZ0nAea_@B+f;X$`Wu;t-YG#HFFWG0@lfwNX^N2H#!Yf zL!L&pRyQ#C>_fXA7vaq6cCjQ&Kb!z(Gg~nOqUP(buYP$%U{0#v&02^JMo>mWlzE5;p7(tV-3^U~ zIVTf#x=C0~iQoZm->PF$cYMdX#=>&$9AwUVcG#2jUYxQ*|6cf)i32+SVdc*6N;s3muo$%_X6=o zJisi~HSjMlqw@eCtWxA$W7rzwyD}wHcZ4N>0Md96$z*59J8UD^yNFdeRM$hx0xe|A z9M-9~f_c{1hRXH%hR3>}YR~CXWuqy#0Q!6{n9*)fmJ9pKq4SRAlM$p&ntyJs+ul1ZK+Zn!pbuJ|+QWl%i`x&k{XIGQsX$3!O!shu?0ZN$Qk4O#Mqr1kXS2 z1++_;46<#^nZ1fot;RO{?Bi|MIlBpB%;Z9LmY}aR)60B|+kyTg0CYTpEWC!2qfM+P zYeP6M;&wxlyya7BuDU#?8jkWDH=f*3CK!L|vUwCEzLj9GZ1>DvPRKTz9Jf@+`oLpUs7~87! z>*FHCtK_3BpjtSxXAP!ikB}W5y7jJha12D(D}3y3v|Lv3G7iXgSaFLLvGK=W&K(3} z&;lh3BHNFu5Gfat@=0`mw7j)v`ud#B31GpgYsX&va}^u zSm~Tn4p^?3%n4D-==CK|wx#1apy!8TO~(U|-yWjXfl>011to#bLRN_BNcGX|%mgA) zwcVCud9ilzHaOH>V>Q2IOxxHdjA5{a^NiWMhD}F)^cR2_u&KUiq5R#@)(0Vu=3vjW z=7r;RbuhMV4%u*?D2L%XL!3%50;CGZwmj*8WT9$phLMR?RsiO8=Y3XVovkO#2qMvi z-8%#X#v6em2SF*k^NcM#1i>)^Yw@-f^EaDQxC>QWz}_47DtK1IVN0y}`9@eGJVhpC zcm!FFk({B9p)d)6p`jWM|05i;OGR}69;wS-?6!M}X03KwG!})bnF{*u9O-!=N!-y5 zp2|R+U(C;a%?P}_Ts1sWeJq0rjPd4dZ6zpDYp@C2*^j8%vU1%dh37~6jbDK7C)BQM zEkq*wZc?{7d-}&Lcy`fu==sHP4owT&y(Mbg?VrZl4LM+fS?KJy;@v9*kI>@FM=I}C z3ED=}LBhE`0A1ns125vqx&De3?sU^vd%;lm!q@O;yj`67pj*6`~sF}EK92Y$-SDPD`jT(w}@P**RAeWlDbX)LR9 z$D_y>h9`$k>cddiAhp{yg3W8tacHiIkbDlSj#c52KrjvKoE6z)!`{z+pQQ!{R24&r;@g>_bF9CVjB=fGO;9zfJ=gU-vV zM8D7fk&c{pb*zC1%tQ_|?gwlMkx@K2-3Ffj_UH(0TPr)!G`cY(RNgh$MOiCnfD%V> zh<-WP(gr?gTCQV&p@EKRe<>OcYt=EjTXnB@EVY9`0bR`yXF!Sjb35X ziT-}mG@R&izxT!&DtNw@hTA)VrZHuA(bnGMicYAldlS08xdTeB(nffs>r?0Cam9C~ha{gXEO^|Wn#D7_ z*fO>QcE|N{sHo>nxeVt_(E1n!=xe|Fwlj<<4y4_bo186Sc4q1rTz56LI<`51)h?=e z`KkDgl0*8VHp79zNuf>1!dR#FK9vyEc5h9^g|K3djUb0llS7ho|K=qB-7rPae?+V> z#|e<6H`-ry7w!)9ua0=q&yZjFWoL>MA_}T7tGV&xy4>3%BN6SDlXonbw{Er=z}iq- z*BO5BX9Mo*=>DA|8l{+uJ*b+Y{=v`8WWhUDLhxvicJ>oFLud(AHZoSwZ*QG%86bA8 z5iN|tQ53O{U_0c+uc6rdHta2= z#3b9v=3NTt$KSNbm;3Ao)$DVD3aRV_u8|6He&sPnQ?U(x%+Z(GO8yWZ8|qTA0mcC; zx-l9zx7nF@!3R|{$#PL^R(%vXIBp+ah&@mr*AChxDTO_4X;SRm2bm)uqZEORh-|iI zf|xH?uL6-Gezn~6P|`;$Z#WE5Lkn%PpInN-_b|}C$jUNQAi;9oKRP5f>L#53p(if# z)(_=2TvS7E+(fQr#VAx8j4DrPWatn|f{9u?FoGUVS0UwhlmnV=qKNERp{>vuRGDeV z6re5_1v`QAr?N#`?9pXt;5`wNB$oy0tU z=WxYeiHo#&)pk9y2riMway$vuOo*C2(5wUI+`oo`IcEq5+*`X6SM0+-Jk?4qlbSBC zyjrfD=y&Qu2XQX~^1~8GKsOLAAPATbKtZV=yAzF>jMc>OyLp*o7@)1?icc+8G)TfZ zh{-hd)2vG`)yp?B8b8{H$`#~ls9fyBgb~?NRE_gE189$_LF#SA*hg}NwjIPcAmKQ5 zF8!lz`WOP_P|;qh?7SC z_CwovvK@wZ=u2#jSa`f*l2KlF-x1n@^c#Hu9+Ry@_y=ZJlsNmc-)ujsrX|7f)r7H& z&ZLQ4Q`;ytbT)E;JiiO*171jbhE@Ad4kBgKY8IP5t+)%y(xTlBgn?6ba5Nwh2jBNb z8q-3@^pjU68OPw*P@1RXo`b(7tx_2&sznQ#I)|#C1vhhh6++&v5K2Su3kD=SBG>Q> zr)@M*DKkw?8rt6v6I@yw>6nJ3n z;EbAkK869R?ZfjGYhN2hGHC}P zP->9AucYwfDxJ)gk0MCIz(X2|D!CLe8;fvcLRg3y1vw~&*aGY2Ic8AYG7qSN*Ix$w zhhbbcylzg!=3wf2mm1T#;a()tq|gw6n~7#l#>8$aEMkfxwZfFATp+(?;Hl%V#Num#o0NhWk4xdc+wUg zpda9zxJq7rh--*Lyp)+TSzI&9Q3xI&g5H_X`L1l9Kl_*fkHhj<71)=VD)YhdDg0+S z_^NLK9^m*of&mp1<1I`CgpBS+=RM88BBm|fE)H}VF8cMX@h<~*#X+2viGR7*D?8n3 zeE!vS3MyQT#t<7*cT#QF8%c2AW^Ja<^h?Sn2U+Uyzb%l8&9xq2TQj*RO8?1WWX$OJ zjh1)DVJq&2ORNFC+ad7)tO!r5GJZ_O8a@-WYQ}l3@pYCvVyBwM%_hR@^ie^fiJJVQ z!CmyT$`+80)5Xs5x=toDjcqjvC`qUAO~`& z5RRe%BOvHb=dSu9yK$F#PMi8NxuxDZ2wllBfkAan->9&&WKX_+kp&SAsH@Hj<^HTo z-(gWsFreWat^{&XnASOUXI<3i!w4e1ZK!W8>962xBBFmAih8)jmU+Unz`Cp%Z-a0S z(PPQlcTEpyHYeatZ|~^Zx=0aD_5|Y>S6(2z9s!kE4G156mO74b`bDeDko9 z?_u|N`l~BJBlP8k$L?#T+$(`+I|_jztT0cyWT5>7T5<`)V4=LBRC9#}*p9-D`DgAM zw)R2;P*iZI#@L!<+OYEOpS^XX$WEUbnGL0=D`0knf6W~xe{1zg)%7>kO1Fs(5WL;` zRM7L|7eMV#$>N{wSdX{;k&aEpnt!(A&vxutdk*|jrQmh^i$3AccKq3nU+=wtZn(3G z?fjotr7zUc`o5y%tHBNZDg}A_vrwf_c?aYF-2Y45jq>M9)-*p|9!^_ww}U{hUsLJ< zhNU?Px}Wm(i~aeh*W>VtrRAIm%F rfYAfL$mbbFf*j^9 diff --git a/docs/source/manual/arch_lang/figures/ecb_forbid_direct_connection_example.png b/docs/source/manual/arch_lang/figures/ecb_forbid_direct_connection_example.png index 3a2391283d86bcfe7d8abb55a3affc9dce4aca10..ba936335a0e6bd68910e87ca36214894c3b52b9c 100644 GIT binary patch literal 122110 zcmeFac|6qJ`#)Y;!=NGtL#w5fWhVPBO4&+_QkKL}b|MiWBYUM}?31EJWhqN!Eh>YN z64|q7C;Rq2ui4P;e!u&Ce*gR)kMH+>JZ{~0Gv}P^T(;@v z4j(+eZXILwx^)a$j5OeHq_$4J0)MP`K7L4T-ODPzKJY)ZXjL86b?ef@ndi;u!2kc! z*FK>E{#@r!xU~GU2>kc6-75VX+3t!T|H00S-zrG_P7#asYxawYTxKcT3~%f_6SL>m z>wTEdV#mY9wN0fi2T28$$%I$QJI5-iYN&vJ!m^HDzjq=r#n9~d*_c|>+wta#m(9)1 zZ7fgNJi^=inA^W-bo27}exB-`8+}8@)ZgDf;Ng{k^yWLFI(HI7BZI9Th#ZPNgib1~ zO~&`7q@+Bp348YXUB`on2mJj; zhr?%_*R7K*g8p4EeDQ4Kx^;^Wv<|ACxN1DGV4FQqcK>nk{j+;o*$O%>oX<7r1pmHm zo37z_uhZ;)%rmFCyVskpKXZ9hv9#pIqOE`pblbLL=jKiD@fnq&gFEWZ+%j|?419oJ zU@PM`50O~o$J0O)UUNL-SwD%g6LkCV+~%||aI7C2pl@5U=>Dhwe7_`K7PW+PynkSpaoaG6kjpLUJJmQ^1 zvUL+{h(sc3y!K>SRI;PIc-f8rH^KkiJUBTTvy|Io;%*V*&c9M&uAhA3y-;1)eqW;7 zeU;*LjlGgvh>pGf)H~M)9>Kn9OfF0Fr7y*peRig0k4jgnv zI*!Qn$hF>9o02Z$Yj-sbS^tJ6Rt+I+o>912THJhp`AWjPcY}?d`(rqmF_&*8R~GK) z_`scI?HJ0q_2r91zWPWC&h2%iL3TT>;_KAnGPJti^88uxC3{-xmpgJQE8#3w+W)oQ?_&{IFUtH}Y_wj=HXCsl99U_q7N` zjOjcbCf5a;qtsU~aW~PYx%%T)@0c#O=BsYv|InZy`m(Gr<&d+6t?y=a@=;|rt4;mx zE?CI6pVHpFGq9b_MIo|(sEZa){Ug6h2VlaCf2gyysXQVC5h4+@nr*v|(Re7($$UsL zFXLM+4UPlx9}aC&861?3*#A0rsc`?7}H)HCBTdwW6{%q;XgX>?wBy|Edwi@Rx5SoNX>^3sRy= z3wJHbjv>!QGnr2SnUs0J^?;zrY7(y;k&@ivnO^p2HDTgOJUfxa-!Ex1t)7bQnTzC3 zn@jkJ)iho6n%!^7q!l>J`W<3d!8=scz2V>f5{mPC(FAm{{PC#R z{WK4GwyXqT$?dMUe?7#TnwH=zB>djF3#ywuk-)87>4yzNwGp=EJ+1MLz%IDCxt_Ti zC8l_oYuBQ_v(EBr&u4_M<5f@PLUQv;%IZCkSRc}GXa(16PsrcOmOT9fuKT%XXBN^JT zl6&JrgWKk}8tm4zRJ;8&Jw?K35cQ@#$Kw0{%Sh*X~s7g>w&UTdCDQ8exGdO;KUnq7ZqIJ)99Vun&d54!ji)H9h z2;CP7Cj(|8;B`-NWpA@+Y5d$I>o)$0t(F>(A>QTd$jdAgX)k>hGmmb&my-TiLXn~= z0-?U#=Ek%oM|JPEF*$8F@tTn>e84t6A1ns{vem@PQ9(6SS0`>;ey6Yu35_30+Ey0D zSjLLu<_@GF z*E6I`6Y&Fxwwt>vsx~6WE2SqTg{Buhx-Z9#vEY8OoJ0i+u3tqZ?qkR&|6eQ!Y2pV$ zS`Kt(pT?YQEak+^kc`C49=KYu>>TZU|QwzBjz6Ws0*nS4XY z`ULvP!!xLjKv$T;pj=RUvPPIu!%}wodj7KBy3KTZDAq&JK;uViNze)OQ zA|<_=3Q-+-+70#2s0U|xzQLbaZPnSZN?FzJz$2Ky!R73TA3$bNa(8!$W%m&;(eWLj zf4i^arbuT+`Kh@5d54q!R>&!`;z+N>DGQsWz?5LVzg{Z-;`hpWe13FXFlu1*$ltPX zl0^#Hm43aoZz4?d3T98VM#PPgyGd=N6dQO2{flLtYF98}F~kobV^6y{I*<#G!7_`K z)jX-v*T^K=)UvU$2jQd6J*gr%l0G0Bo9Ny1$PR`ZVwU4t(chK+o)yBAVwGtTxwk9z z916!S`0Nj;o+8vG{wz@J?&i%8&2(d$LY?CiOL+xq@mHa{X)XZB%TROjn11*(a<1tvWLgSf1Vf zKTwqrnDn>~CPkyN>*C+j6_eIw>1o}4{?o6&mZVgPU9v_UpU%Sy$G?qTdFIl!doG6w z2IBtKxx?!#QTSi2SB|v(JzZ(D-2Tnl$WHf=zjlI(u1LXh9ihJs9k#hxl-|wgYlnyb znyReDwvcA+;75Nel7q~a(&n1;IX|u9h3Wr}t}pu@G>2gC{NDVRE~4S8Lz!K&<7T

y)N8y9CXq#{5mx9NGW=LBe>5)ZUrDa5-o@S12WNLSZd$vB^{a; zBe7S(mNMeje;83sZ;~goW&BBswT}=YG>DUkAtzQs;?)?kC$~*`b4Y__pVfw6 z8suFp{%hcl@38mo3ScNNUYeEmGHf%_5sX6buX$p442s_RrYoTGs_5NfFh4l#ZNeR0 z+UC75*DB3Y`T2!l9^Cox{B9o3y+q8kS$hS*Uxk@GFjZBeu*zd2RaL3H(f5sXT#?-@ zd%e5+W0Q`NMO3mfomd4yg>J{4;g0re%RuhMSPq_w5Qvo!3d}#4bU}j%lrjGblt)d` z-55({8COd)t5bFdu2T9{ z(7=BknjSKM|4JC36KKGu6NhSW-Q11r<~w@G`S<*NvOGluSEM+rKtQj^h89T!gC}j}3uUx{ge!Rs7g4z7WvK+dY`C*G~O03ckrb9~xSa%j4#n$-7i`G`cSOhf>#fvG^Q zcd24Hmce9!Fq{fez5V97hh$HEI0>%*FX_ytRJn*eTmxf)L~p`$9F1QLsQBoyI|P!w zuXyi%#eYNou`slgM}eE-bZ3XYxgaLF@Y^m9z-__XwGaNnvqtI2`{B;O3ars(m~L0c zWOY?TNpm%zYN3U(AldpaSr~4h9$QRErE5(`vZ*^4%GBbZEw_s@t@n50{R^4m%ZI6*LwibfZrxvHnTNiYLf9EMLc8S^S zr2`2Ib4O9tZ;T~;z6eYlB39)h%y`t2)luHi%)L5LS`&ijrxw3V(Cqs%{MM@|>p0;b zX`gIo^_Q~m%A;RmH2}&VR~^2V)@$aC9UlFxnF110RlXnCDuSr5zz=NU(!!ErE@{uQ z?*~oqcMuijPI%$GqhW%4;oQ#QrYD(*Sk>iB9`_Mc%1!S;)bEe1d}>}-T<6+%Rfnw> zkio874^3HE>6?&-OtSBwvG{lw3u>HovM5OZ?u6#X^vyJ%=slU`XRxB^z=7?X8P9I@ zdj4>6vbsX4i+*b$$gR;AUR=MT4TJGM!1J8n3T2Kr3|uY6Q6arD7U-=QO?bw|0%zd^(vT*-@Q zbj!Q`yMbuy@w-AS$#ewZ0Xy>x4y{kCAHV$7EIqThig zpZ{YsaShS+uE|Ig3sV}>Ks|}&Oh%cb{ME=f4_%1q{&Rhm3&)}w(R6k5ER~7At`0ma z{m$i>Z<*;n*Cn))a%U{!)$V;&F zOCrS(-U`2wh^g8PJo+N2%(#VlmpaAvwC*Sy3BMw&9+?UbevhZQS3~)plLKEA;@|$95 zjcfX*r59hY0>yj-q`X6FNcJfZF)QYZtkkYjyNTC+<0}+XJ@gGe$y+CWDsLifj?+>) z?Jy`-$k4bXcF>~+vPTq4yBjj4K0$St%$vN?`kJm~gQi7;+u;2e$mvNsTMGd=3tZt+zj@qZTwFm0#x+ru0rEca>5eYkpDV5oKR+X8zOHP0e{u zGAvIb+N;xCMCVRVS$=PBDvF1^0#aP`XnsO&9HHx-`Ac8|>pM}XQkwT}kYGja7_6~N zi@jcFAZJ4#KY`T9_H%~#a@A|%`H(U=zpNhl-r(lLM28zHbJ4Z@UY<&jkC6+l9Q3q# z;eBO(riLPF7M6<5!)UwppKVNMSSs;V_0_*~5W6f%VwD_8O%TaL#HtlDWa}#w&d#;U zt0#doRa);jZ>}f$?4O6q!j&;+u@Y~M)gQ?v?zNqGB|&yQ^cV}-8gI_PWS3)V7}>MH@=6j1IAYc*fnt_7SFTkR0aJIDH`w17n+4$nez*&L_5Q{{ z7*r9IV6oUKUkm2}<*a}akt%=OvD-#9mCuK)pNSvH9#8*t2rd;Rm6m`h93C6YMq{!7 zCP$!Eo_{HZ^y1fLQ(2bEFM#+Q#KjA)f!*UTA%5FckMp-U@VI6s zda6xv0Mp_+a!oz+0(t=5#Ofqb*z?<>%++ChX8`76{qqu6hg-hp@FU%JXnJ+^NrsRD z^UIc2F7@!2c)ZB8TBUwoN>zCoY`~S@%^VfKFF5kBdB;fu$obRT2^^Nm??4T_u{x0= zu+5VR3SEM4aAz`+lSn&;hA7@>F<@ABt+(7d4@*rxOGNPD+OA@J;SF#&Pev>HBEWRX z^1Wh(cw5W1xlt3teHf#iKf9Es=%r+Nd9TJsG%JnB_6M>kjmEamZFdKbiN&DNk>H1k z+X}O(kDZwvi-3u~z@2$RTy7?6X~J(QL=@PZ@!Z7lq@F{4;AuBZrA4+M4F_MX{{AiU zwY*?iiwkH=O!s#aFWoEeZf+P>tO=}obKi(2&s*a|)S+8OZZXD3EO);8p*E&gE}LVL zDBEi~Q2LxVwh9qfsR-42HEw?)q(Wwx?H7yJjYaZAH_}D>ZHYB|AlF!|#1wW}I1(Gz z#{z2@mdHeIQ6F#Ck{%BwWYhHXPF}mZH>zZREz28^q1mw)KBI+U_JxQztl}&uui*JR zi0TFPVN9ogSmub8smYRKc@2vPUhbQ%s8}XYMt6xvNEEMYnOE81w!N7ix=S0)9`!hS zjZX}_^1(btm@Zi}C%QoA-_w%Kgs?vca3z`Man-0zfRTj~W zPrvE zKZ1AO$2DXS8SUl2CEE;gVQ5&u`QqUZ>Dg^ z!u<5~Z#~YvB4ErfZf6>P%H{Iuq=*-d);wbJ^$stO6(H_%$yJs1hNPPJzuaQKG+Nd1 zR(EpoexlEdXR=X)@i-921Rdo+;wxAx)$0{`!@4Jj;@^^cwvs!AfDP|^`UqhIDyIQE8q$z+ z7|YCsg)QG+Cyq;Uv>3!*Iq*RF=$6K!WT8{pgW-!VjU`^F*AYv2qPE)LlM&0$+;T{pPiw4hLVq{*D+|%U7y{sKS%# zY1T_;nUOX*w(ScuX_mM1364tL2pjjv54@N8JYOT%N7Fun zS7u@?r>?z(K*syQHafi5-p;wG+5uX$J6qu3;kkYDDF^W4<@cY&*Cawb2S0 z-@{V*GA=y*NjZCl+FglN=v&e#24GYeIS2WV z6|YRJtSsH)>Se@jp5-G_AGXy8e35yLyd3)LU@b38Wsk}6i!-u0+Rpw-IHv?V(|lx@ zbKZN;xmkjxn3&sP<6ar%j(F5NHKa}S)YI|)p|iP$pu%I4RT7l>3`+jKyQj2Sxe#jg_;{VbJSCIvY@gV&@lf7#v8` ziukE8aCxy%@)>-Wn9Dp<*>|h@U6_3p<1goi@~eCW*I!pU6pNF64Gi>zPot{w+dUpn zad49)xJeo!>qIN-DSZc)gJ)thkmHtDGTIlGTAtO2GPALX8!!G~BJq9K;fK|m&CyldJS5*xd{jSf;_g*YurPLp8=oeM@7zII!Y$qL?4iSpWz{J& z-uf50(%tWL?+(L6x{S@*ThZjbDGzgAD$3gd0_Atd%cc-PucXAQS}s|cI=Xh>#2v$k zo{7job_pT1(6hHXKod3cgryt*ZQVLIZcm6XR#J9bn~H5BGd*O^yce`uEH z)BJP9$<#%uo6X9&2d%kqDZO3w08F%{ijQZ-UU5NOV4lUV3xac4(M~DU_v;1LRhXpq zW*Zg@k1@}_G#{OzV7=Mz4bBBq2SJc}wew>&i~ar9qWE;=Az~V6tHucc6hO6mjIFGq z+?AD`x4XkCPqr#&eg+(hcX|2FMq0cDo^YkvDw1`GnE_HopFQ31?bjj)V#N zcx|b4cI_@AKzWBw6RWWgsA}ee99cONmH#pqVS{Tkyp{3M+G_DxY4S&=whf1z^CUf= zy`w!KURCb(c4wN4KRyub2u7l<>5@z(Khx1{{0pG=KwkR~e3mTbS%(1|cYOcJ#{ zy!PZ5R;gnSd>}9jaBry^An}pu3b0FHxzBptLvdz5s$*LqSAPr`y>+J~>b!Za znZZT>kxPfvlVq=GmK9W>XY6{;0S&R=DA(t46iR6n3yl_jJbx4ax=GTZQMzZw(3d{4 zq-p@*S`e;+8jG31IWp6ouY`GvW=zxm+>mP@(r`aq)BJop1cG*k}G#dEKo5cD-tDev=RsO~oKwqIl&FFTkA@2(w^M_m0ENY7EPCM1{ zn(aYtRL=|CIi1HX)mxZ+iF3uOK|c2|$y|%aKe~mEzeU&UUxoljI$PJBQbMn&PJqrG zPEb((1h8}6`K%umdaW&bMOm)z4iuMTf21b8@d`Wxa-E3MhOYubk(Td-UfBF{eJ7ic z-(wDX$4TSMTcwZ>B&9(@*44p3PRxSK;KwD$%4qVczjenoj z8fqG0c&<|`NKiE+ct>1Z1B$2;TQ7n5CIB*Y$DMJw+@rH@u|Ma#yqu8l&Kg~juLr3G z+wQwR2o7xLp-q*x1(mZY6jknvEe&v2fWAP@Yuq(n5_b}a-XeN8p zz2P;Tj;JKo$%zDSo>_uk_Ru#R4ADV9d0?u>`|a?gm31&IJYH(>_6uM(<$VqLDw(}n zHYVmy+vS*vDa|GMOgmy3Lfno43somKJ!Prvri;!kYslAZ7;IywE0^UsBB$=0jr<^; zwwI;y?%bKLgd+a9!AAQ-Gy*+mZDQj+n2WgC2 zW3Fday|Xh)v3;=#;uTx))~p{9`~uE7<9V$Mqnypp)j?8U^3e=kN2&-xs2(VS#P$Z@ z4zNlMjS>sf9V(9p+i01>?1dvynC@1YPJT%9gq6M2ue5#~KZ(j-%(&=+od$?Xu0A{5 z^+U|K?g19N@epmG@R_3zlv}iQzTc}qwHad8L4Ns6YRyI#VwOnlFm6W7CN$|XL$+6% zrR7UaQjb7A#%YEP^$^Y7h?V21zr4R@-sQ5mL7DVB0e}ZW?Lyvu8{B8CWI8t%I&}yv zMC>3?`)GlVBx+h{hwE+NubxHS`9ioCO759~(T(Thu=Us@JoUjtvipqcJDz9K|4tJ~ zG462AsA;Gl{A!nBeM9jo*uVo?+R;>W#PCMj*V{c)p=_&a%$`wN;J%_Rx@8^Mli`vuQ7%@z!~{?RvAgI*dWrYL^5E9;qnq=xk3xL(=#t|1s;y*$|jc9EDBB>x5uB zXtZXr9#3?`nJ_A+Y(^)S7yW5HF#{jnxm(Fp-GGjw3tS~4>a~qn#}NaJrB}_V zuu5K~Evc0K_Pw5+5sc!AKG(`&a~z84M2%F96-Rj+(JGr+ZJkO4ePU$UR>tu1kb8`{ zn1t%8QsF$1mBOS@->7X~W_&!+g|H)*Q$FhInVyeyq0p*Kw=;~F49pyASIs8UC?u?@0def3WRFb0gJHI?0pEk7t$QLc}wuE#zG zB_e~1oIr29ZYFzDm@Rhiyn3y7Xv^7=^Q1ZuNmz<4vshCS^-u*!Rz6c4C1(?qDkzxX zlz|iBr%0OFvYgOs=RC2L+nyMl6;{{S^}R4$IUFD9zcF656z-eC^NG zoyi87LicXSAFl@mmQ&#r4t&=a6&b+4e$WT__c@B2Ckv}aH4*)S{vgk9gr=|goZt_e zdXQa?Zw95ppSQBFQ?#ufbA02{PKN%Fw^(Q&p`!vRzY!@J)HzhH$!A`&8ams;QgFd4 zi)t{AAL|MEwL2;1EzNOck34b+4KY$mP{3&nkpfluNtUYeWXvCj6~QK?h_X~BgRD?O z4C?=2#VTx>*Q9QwLtn6O06(7^w&QtNI&Ksl8w9G!BsD1E-e2u9Z_miBS#?op8c(o+ z@?_FZq5^U53G%vRFC)vLbwqx=*BT;2sVtl@y+P*_)rh#iUM+u52#Nb-JQ9e5E3v)_ z0}Ws#1JoUfrwRp>BdiDU?>K(}hBCnw)jF6_yUAkZcHt^p7}GBA-BKUHyf3f#5XQ0^ zlrf_~Ql!p_zFKjJ;Bu>+ zZZj)akYkd(kR#JGll%%QQx)zVx+bqK4*`?|l5aaYnNf6PLiDpYn!C7n=MC+~SYAT2 z&f_adwF44QIEJSgDPf51!=2J97T7U>rcQq94T}&X+zTdkaGF_3tLnEZzDs~Z6>7d2 za2&|DtRZ~%)H%}G9^Waida@g0$R2pJ;}~7E6Ir$d`wda&FA&G~lP`Go9%=WlR_Qo=|9)7e& zFD_0Iv-9WoYUp^fqJBb!B+xI=E8T<3@&In!2$En5Tv=_L)h(7Bcl?C|^P!VBjx&!# z_7kQt22Vk<4MmXOF}1Pz3cw@$g4DQb+>$yZK=@XZt0o4s<2mP^{8H)IBN`xcBM}LT znE41m+oqy=!dLHdEwZl%NoW?*+rzWD?#yEDB>iMg`$c7gJs|mnqRm1#>Yi&kRB@7+ zfCyY4B7l3k6c#~-7@Izf2&D0)^eGp!+gM$)H!WyCp+p*4La@_yo*V;u^^^ewamMx+ z{FJyT^^kAFt7?{npM2mZ3-W~bbxW7hPhQFTpu_@o1Z(|PFgezMuxZ#PIK2Tn)Fp;w z+EHEV9)pE%ZBuuiJ07%6-C$`PxxDBG9>q^=9IoYyT|fs6*r2_>?^JKJdCp1pwmXjO zC?Y5nnC~qjd|jGJ9)$_bVb!2Y8<529UMg@_ zH@v)xyx{UlGz^_%Y+@K(qhKmBdhrU?PXdkSX}&FpFI+M8;zoU$`!VAv+udUF%{0@{0f8+ zSrh`FJBkRY4On&cBZVuy<==7Z=C;!h z6^PQ_l@~$ClxMY7yKo3AFv50yyf4glViQA2@6(A5O7iLTiOK{(0J5%C;=bI`!wkG7$1Q$@z!veDNLk{5a56%00?ho>A7*jPvE z$XLXQ+d+VC9@#LQE{-y1BlGwRk-J}m!+eP=P-M5EL|*k{%9}xS70%}mMjr%0??m6u zVsda-;h=wBhn%aQR8EKv!{V^#zCfrCaJrAk;9nYtREcb%T4#IQx=Od$oaT$l&P*gx z=wBss>&cG0qdSYQ7$=f*8~TT${14CoaLs=wm$C+3~Tbc*BRWMvUM!MQ=3 z=6{YM^HgnzhQQIQI{1JG>!glWH z+&D*?=QIeqKe-UHfS3Bbg-F#OhGH;75#9q6;ozDsY;l#TmDdCtI7tt`1F}K5bebID zA7)ohdp#z80WS-bZ{lH+N;}1Rg0AlI-bK!lxG{GPN0IMvGvAbHA>1K47{Y6a_@}Lz z>t8R&mir8%b^oDV3aZUq6uf34d~2o_NmKZ1K>G=VM$V@r?M$yECM6O@5Am7=M8i}k z5H|HfqSN#sMgi40NF;8x?&_jY-COqJ)_8%J|1 zZa&vanYDxCv)RHJ{y)!}Ow*3oJS~&9fEW3LkB{1%QC~)P$Nbtry4%y0=ImbP)y)Ci z$Vwk5j$j;SpTi>V3H`%K9bzgtxKq*Q88hi#5l3kY6vGTiHbAw(QkQM{>Kl|>*04S; z?(>N=zB(ttoh#^m#)bMkfTk!mN_Ce}tT){l-ww-6jDiSDo}Fr8ht&qTtjIT`nDU^& zPmwTHJ!^eNSXHMGHZt3Ur?>u_ybh~({pWe^-7S9%N-!bF8RcB(5knt2kx3>9}U@kFqRCPmabPV&ep$u z7O1)SZv^!7HH-d-Oiw>;xdOBSf;t)F9C44u1h*T|Ocm=$m#`3-H^>^zxFDwp*t=r!+OO9r(Ne}= za+>b)*K}8+hOk^`0Kx$T5@R@f%ce)a+lw~LxO*&~81SILyX@_My6;&**d{&&n2oYC>)PRY=Ky+Af2~P& ztiPh9O5NQizGl0O1X=&JVkuKVLcK&SZUYGydS_R93#d<@G%e|Dc0+2 zFg?p(mf^-UImFV(NLk>qla8@N2vmq@Uu)r-e`nmr1JppPi(AKX62(RS!`tVK%>Dj1 zmD&O0T;5dsHQlEFl{Vah($RZiWT3$G-T5a3VaYE0AM~UpG^o2jB#LTvWIX5@eok&r zZaX>gh%K2&6Gz0X=@rSo=jH;Qf6bu%XhW{c38zwWek$W%A-iUH_^)cT=b6V2a)fcS5cGS3$NP^k_u8#0G=P9^P zxBZe=@iP~j`4@itHiDo5LQmdGY1EKs^=<|Gxp?V z$E*?7{;L4ZU3`);yp)od$T`^MFJ{U#{{h(l`=;1AYLAsJN0a^CO;EP`XQcnHo@(RM zetF*m;MA?w|CgYx!U<-?P|6@oT+TzIYXA@mS!&F^4 zPHApHK5JkLRTi4+#5qsgiMqB71{_30HOm(}ifm`#2GW!x9JgDbI&1_r_)1JPEvwWK zU1e4qQVEjE1_^sm+dcV+{+mmE^||UkDDQ#FVH7fO-lp;-C`>A^9o9J@O(}L7s!SbrBZC*wfcu-9z2Tzy&~ zIk_SpO_4>!VhikZ?j~KU3iZ_K)6=eH`{5d!&Y7$!2i|oBdnA7Quu2VV+3HC{Eqgv< zXn;70p9#ObiZkZDG|OoqnU{LISMc)oxWM;S94e<>T%VHG#tU6)f$si4*`avf^MVnn z2rT2z3@W_Cw@5{CU?iZl+`ae?LEpbZC6S>3>p;#B!02Yv9!-4>)tI2 zj^MfgW`J5~NN1K%?HM|0zvCmvza0703^A_w>g(T&yT zC=*zbClKMv_S*?w$4pZ|)#!t_j8r;Uv%xNcFfyxix=AcMp%1DqA_+`#x}K); zq}jd&H-eYL7PJ|F;trrMTz#FCDa1R_>=+p9SF(BbU-Y6wQ=t{>3BRg_QmgWqo{K-mrRpR zJb>9){p=VQvyF27eZOF82jy&em}L@q?8%USV=;}b)e}TwEUOep0L6CzZO<$Tn0p}X zd6p}og`;*#y3iB%mR?HlWci2c3o;Ks6+bc3c_n_i-e$is)#l>b+tIq7IAaoxdpWad zvi-Is#)chNZlmTTfb;kn@!pZDBW#T_l~F9`X2$$2xP&8dilYzFi`Qf#??3;v7Z#FW zLO!4b8o0fec|y(a)kE-v%bgqqxa$&|IL~H7?i@&Q01AY(9DI(h2|mSJzpZss1#5EU z{5h#o(UFHK-m-S5OIb5z4ek1+WAo_~xT*S~PT(Tkq&Lw3kI-y(lgvBOqG$q28%$WQg~t8 ziC{QRSqLSw#)3myK4s9irP ziCn-l29Qr!_}sSVA+!xve~}X_yd-w~66qj}xb2;Gu>R5MmKOm!dV3;`WbWiaY8R6F zkUshMp{JL4rgPD~HTgM7p&c||(>}QOL0u}OtjK`CEElHS#=++agFs>FU>oL(n|yuB zyx1z|#orYD?VzI#Uxm}-P1Xr_P6V(@**XR9*Wa%`o8OBqti$11?VtOMjzf>>kSD$g zbX;bd2UrXzrm+~7?T5U#M7InE0v7Ob?Yqn!7sXxD(hY%T)}s}U^IHBm-*7@Wc>Kj; z*Hxz9i?0LRM6)JeaoG+Xpc`5thzo)k|u{J6hDz>G6D3>-!dKy`ekZyAj~4)QV@qXPBR2&QHTkcSPp0tQ}; z!hJk)LBI7^L+O0nOjs!atN)6Kbm^xyh8P)7TC+ z89D|Na058wu7fvYCYJs35cjxTbL*6z-mI-bXmy@SE=xplaQwIL z!Sq>C0eiy@DPgHfRSaZGQtid3Az;3(iB%IATt_>aX<-AU-G^iUP0rqFIwL6x44{*U z)lN-NI2<4k)3&b$SF@h%0~Q#Je7@NA1i7z8zz~%-(|?-UP}IXXeZ%1D2q(k~#%#Y$ zb__kji_8<`lQe^z+uj#9@=PJ$)q$?oRG+@x%2TNoXLz|_1AAlxB!&;lsq!K!G-+z< zYV3F>t6-^D!N6g@Nu=820ax)hR|kM+z>zZdu3SfZx4NR_SCLKv1mh0+WbX@KYJxNl zq3jKlzV{zeI2YLhpFB?WhJ4{{G6*kId4*{vs7&nFSj-JB{?%7=bfLXIMN(kt8QH@g z6$T+b_vxihy=pn%>yrNFA-z=f`7K4&ir2hriZCV{@_TF2g5#GmNsix{*0V^2GUuL# z!FAoad5BbVWrG_DGrNxxUMHN1J*o|^$+_=5+k1*-Q~9qrHyr0~YAB2rmq?-h9AZIMdlu7$QSAXd))qeUfTD zvKxT)@O#n$V-G4GG!1Di)(TppSuPG7E)r0nRAoMF8?<%hdgp%n=R8V%c>mY@mZJR; z&g|onsasyxY}&6U<*`-h&5w~fGT(Qh{KAqLe0Tba0UVRced3cH0}#PxNCc9%C#Bvb zyA6N-y4P!{reA}`C^osWx`RnGH~e^9Nfg3a3=D3ooUK0jYDq*SBF0s$T{1ZIt*v{~lA4)Yd;u_3cMhvn`Tq0z8 zIGR`me`DVwVvD?z*C}rqp)01;FgfTo?5u5PU;bz~+{_EpHY#7Z)I#^!!m2*MSN2;G zCbWw$oeH-)=S?1kFcusHmUSFhR)?#@R%m}aWNM49O62qOosdK%1l(%SIu{@{;@luQ zYp~?xXeX;2*`**VmCr#9IiF_OWHe|&R1iG_ZdHbWkP{4roK1&4W12PWTUx&r%r_-T zbIF~*<@LhS(h>W`oU@0o$Z+c1gpDQ;p~D zxCwhI#fzx^Y`kQOw0iTmdt71R}>tR(%p1eSN{Z%J?*QE|{7p@a0_ z_XtS>es*&8`rPE>MUiuX3wXlKtSZE=_W|d6@_se@>v9?Gy<|Gx)U(f{<%pd^a9poRpdy&vXP>|6SO3?{03 zgh;>n!TQJavP&xjf2z|BT^HOfbgCa_Bct5zZ)hVNsWG%r(btLBE5v9;RjL(Q^gk$p z+Wtw3nHlS~O@`D6b42w6$;a@!)D~k($c?nR?MJG-*HdE;-6wl>8@X3kt(?80(``;F z{*c+2Q?D;7S90vxFWscbX4TTSwtUG}wh3bZ54gQ$YnC>r@g8W#%TH@FycX*n=iH^dPp^j`y@!I@7y^ikl#l!JjiqD^l$<;qRdH(u)s;D)v2oNW+tGzS4xvlbdz(spOZf z`FxXdRL_n=3owQ;DS=b~GT*DfJ@LuqX8kuZ(zlMaKW-Avg-2l`E(3!QqM3ZO3cOSN%li&R9_#vK8V z)v3^89MRm?=F>*%(%Z|B?YFOvwTJdj=@+OaI5#apq_TRzyGV;XHRt;zKb@%aJI>2j z8p3n+hkljqA=Ff!o!p2T800k^1(m24cU>iRJ}yrTNN(xcUDE(8WCc-i8k;Fle~NO- zAhGbiy+|$RGLUfb)~UenRULb_OHTAJ2h|OUyeDeI z_7ab7g)=g8O!zV36+Pc)D=TV4O2tf`R~)UD%M<@k5A~qV{4poLkxXNPBwI z?&`qe;g;_$|0jebKsi3lj*V7kp6RfNcH38lU91uOXr@u5kN_h^E$-K}jeAc}A?fzmYc~X?rfC5} z+EY512$JL{JGds1A7-%448HftN2wMH{22MhF#er;t}ngnhrFz@TMEX4+^PiDDzMJngc&n$9gOeq<{CMqqFZmZ~YYt zqBCYk7aS9lo92e-rLl0!L2!hF5@2VES2BmP^N^1zB*@*9Q z5@j1SicI0B%63InvV?mE`+`?@HQ}w>qN_ta_5FNX(tn>!XEy zmH)X`A|>@%vDOAzuN&QgZEUMH?KF?aGFYuq)K&=-NOa#Zye6OMRjqayy(kmGD75pxWFBDjXTc^9`{^*=tO8frPI9kJ?uor7`-89TjMv-$K z3K%3+lN{Z7VP+uHzWz851?1i<0!_*PtWk?aCF$9KHxgEi1!{UPL3VKq#Tyd&MJgW} z%P=I=d+nE5wwEoFNR1h6$C_k0u<|Fm|9|MOccEAKR*3e3->f@UFFG+BxaicowB)CDjH>+J$Ddmk}Wa z(UmViZyNBvF!!mA26$=mIm68b?Q}28g*E9Y9fGBx{h%XEQZM>NU@YRwKo8bX#Nc9Z z(!l@)osC4C2hQ@=kS3;?SGSs;YkB$i(!yQfQ1aqYYswjyJ|lxP1$M6VZo<9vhze$u z9>egmjR+2)kBlS#<@=LB=@9wLwumK$;gEtIR|nVJ?S~dvN{ZjqYOVt`vZjP(fP{mY zQPm8?%Wg`LpvAx&M9r^`*B7=^5abF!@gd#0v~Q*jvCIQnxjs{MN9z7rL=TsLrh^{% z`SZQ%usFcIRV&_Jwc>^PcWbSvp(fuOWs<1H-ZWU0554L6kydi`ig{~t{4l?CY`1r* zh^j+2%{aKj_hbi~^258piDUMCpyWtuvT3^@v5=9;N?Y93C>^_*)=ggg6?9i)%v8D7 z$3QsX-K(z#|2)UKfa7(++sQm;9C9@!UiRuN_DZHn(P#BolQKgo`<36t%`3NleVEVy z+Ft${PJ%7QF$O_xb_~QTTpIiXXgLQay3wOsADesmtPy^KK@unNuKgbIk!q5=BGZ1W z29`3jreLB$v?IPHPCj8|n?xdH{O{I#tx1q&KgNLg5;)le)n~j5p6Y-gba1W6TV2+w za2R^Gog7l!(-QiML^$Z4f04*rOWGfhZ`)A?Dx^oY$@Je)11BCq7hFoML4V;IEJA}% zJbeU-uga55y>q=kC~zoH3LL^gVDBaw*5Ef}5mU?+5y-=LLdZ7)dJLZ&xcJ!*Gw^<< znn6bWwY9kLza2&oa9{6g{;AP<4<(*d&YW0_e^V^a^=O5lr|8KilA_>6ur>}R^Nv6Y zUR!~=hT*3Y7fM76C)Evm9yB;wJf5=AXRZw>MB@CTd^V`lKI~|gay}N?7TL;kB9GE_&uPH^3u=}e8v6bI@2&4`Qr^E>4hLx3} zpgMi*puf zZl#gL-*iC6q;#)A!@&k}^S>@m#W0pX3mgnCH$R|pa2JPCkrm2#alI{2Mir_u-mckF zoV_N`GDYK8i%*3I;4gM6{<`@4;K<;HHL%{4Xz*(0v67glt;uCIeQS*%J%t))My<4Y zh6D36$yp2d=?#@5h&B9SOD$fEK>VEu|A)2j4y5|~|F4MbiV{N8h(g!OOrnxRR8&^t z+S`?Ng{aJi;@X#tP-IqQBqWz>WzS^0nc3raUiYF)^?vvMeZK#4UuQh`Ip^_wK3AW{ z>qh%Ro2OvEwVv%0m}47%{iDEd9##B112p1bz-UM;D73?Hh8rblwO+>GMZu(szpjM# z+B6L8=r?wJOWcOBjb|J?>%m6PyU+jj14=_ON$C}qdhd<$rWIa=N04_Y^YW@-`2hL@ z91vGxHp;vhCR=BH0=(jg^_?T&?(@J(SSS+sdGdGc3L*`W!O{_vpX4&6sF=wVw%^G5 zxjn%B5otA3!q=fmkgF3wx^mG#tQba7jFZl&18>%Hy>CT%r_`oLqL2ow_b)`|?|cyu z@jh;M9@$mUsmiT*)aUb7!2gP zn(O?`l;_)#P9*zsMCRX%u^CY;IJg}mVpgKW`eHdU5>l%BG z0}YS@Ui>nY2FY}i4(QX)l~gt+Zv)5D2g5N?G7!;`?6JVxlSNrjsf-nONb(8oK_j=) znuJ%jN%6brBNr(1n>bt$D`7NjWWX0g#V0;KkN1bDzPVmu;pE(FkfTn_qXEFsS%0(F zKdIL&q^0(wq0>kpVpHoNc9`$qB`IH_2hgc!- zj17F@?C8>A1J)~oYLNmLqpk7Ds3(KZF-h(mM(BJ#({uMojcO^xQVFo;=Jy|yi@d!C zVZGuc~cdQV2(rJYA9h?*GMs#hz!lt?`IOo2by>Bi}U z3A_Dk?R_evh*m>)s$WMI1!7ABkno?byg4+W3ZqoE@na4HoPRA{dAw1ej9_vse!05_ zWXJ)l=>e-jac;fc#yaEMDq_qQ`E#zt6wRgVFx>Zru{b``!WrNR*&FBkBBfs!=h7YF zB;deM!DFoP*v5M83=Q%3GEl0H36p1wn?scKsa<6+zCp^z0qS|bx_6-xEC!FE9Q5g` z&PN)QZ;sjACl>Ky+f#bNb%0{UjDM}ALd?;0aiz~7(*o_5tWRxDZgBTA#pOfskMWv0 ze!_>tyia!#t0x;%6b)q#scBQM!g8=`;HLy4ae#u9b(`g-+f~S*RE1kd^-eaig2?bP zGOiy2nkmjcmSfpNU19l5_}!;u;*s!3opa%XwWYHf4QFtz-Bg&7dq0P*p?ybdnWF;( z38d6e?!%{RC3iB!TWJ;B5z1u^*hVn&4{tQnGVkhU#S8D{9rbg3++3YuXXm^(+-`Bf zs7DL{cyip{v#(JxOxx9MrkHM;k0zqk>e9-ZFM{gaQ_3gM-rv;5e}MN$Riq?N2UIpW zLszR?4Q2Wzj*t#2#&~7c7qG?ONFCN0a>1$vtgW@LAwQz^4pQQxO)(ChO_C0Farw}_ zcOyEo5X=w~6VUKTGfx$ilI&IuwO=D>2SwdO`S3F|ev!J)$H{zX<6N#N)hYHQgb~cR zf%Utp`>vHB&;2+)Z_LDD%ZnCVfhnHj=wb0jWiDXTQTT31GLfU-0*BM9gOpp9KCe;4 zV8-?^pJQ*z$l_3Kd;t4M1Bx+Ti^m0)IrTx_O4Z5w@jYM%K41sW zb_S73Y-Zt%#&Yo~&D7X*YPZ;*DOwho9 z-o=!Lc88xd4gjUZa}vUo<&2GI-vFo1pf53dvx=hVvH|WilXOJ{;atGHoiG zU-b1_TI90R)fLr!tWU<^9K+H&B5K452z`gZg!yx?66_Flb|bt(p=e>DgJs3^C})=yY=>3_($SR&!XJP#0UT1qk61Tu z1(GSbKfft=Zm2Tk#3!%(V72}_n}4DO0D~&T(F6+yhS>zxQh1&{-bS2%9#5i#8Q|f) zIdrS^9SIG5-duF4t+KtKD83?&54(&7Aeh#?NZwVJ#xZ_X&YwGLb(hY&ZSaAIXgn^$ zcrt02m9on`)9hIh=-KabG>V*;Vk&LEmP|+Y*(JPq>-PTO%1GS8B-5QkUIrkCIh>$? zY@o;D6tL3XA0?y!c+-Q>0*ZxGKXNfD9G|i9#r{5XV}fqDq0U4^gU!w07xXJO$NNI% zLDA+&w760;1>WHFfL5Ib?2vU=6oTNTsA%6ZWNo!`(+DID)i3g7=SpDTB?griax146 zt)-W*(j-1IRJN1tPpvkw{$418H8U4SL)jzx(zjljWqWWa`DbTTB&$ucm%Q=gsAQrwXK z%UMCgZ$`uLyDR0X7ZHp@G&BG+FBh*Ipx(OhUHg0c4BK48LhF!aFgGRmdBVH>wvGKl zC$c-Q2f?+b$2Mhq2&=5F1+sw9T55~)K6Mn-`OAm*jh0MT<@dh-j9tF$wYmt>(7o;! zBJNB6PY|ad(_W6e+aeR1ARjGr@S^NX`$jCGmGWY556YiUtF}O%W+=*yrq81Mie2Nh zq6YNCFEr>P`P8!gGZB%qgPx$sY}5(0gVj-w;?ecMQt*nI)`hP1O?!WAs)-S3Geh_( z$y2NN!$NYaWxaRh3>yu*ld_kLjHn*tu@ zw$X;j3Y~gSigq*nrZKpp(uz~_X2Z+p+U`aHns4rlSk4i8<(4`03E0c$-LJ{|kQb?W zTGAccvMGgA!?D6D%Ec7SgEk*|7WYcM<#Z`oXn0PwgIpTbXLP!v) zHQH5lVVBJX!^Xjgf;6DVoo)h5Wf+tyda;|&5y7HM#eE@FDn-pEIz^9@!Kk`K z>y{5LJF)AA`0H(u?B)fu$e=T-Y58Fw+F@iBhN2xpufau*<_83VxTtk@SJvj=c=~}= zY)?v5N18N-6n%otE&|uFy=bR-1~<)hNtG*p%6wqJMDuOXAeApVn;*z+J4K=~<}tV#Vj|4NR34NWo!IU1hJ!}2h~qj&)$r7raQLFg#{`#`Db1A+(v0sq0tr%q z?A{IoYo)CXO1!5)FqAeDbIMwr%U`&;u)7QQ8#muZ(&^4Me?wWZs zG0E3Jv)};=SQ-RVoK%$)4hMQ!m)DZgDq`p^8*g!rWj@hc8WIWI3t>p2$ICLvp^fD(rSO%(YD*S+gU2vUf1?<>Y&oKMI>qiwp_~+mX2; zKn5sV)FL{ZD-;O#sW!Q-Qb!iUsUF*VJ$Z93M+}A`wd_6pwnGr(uv|N5balC;gm3qs zG^3*!7p2&2NG>D^az0>kplHCF>}sk3wx#QJju>JxG>sbP>=fxeIBe@2>XEXoBE0X~ z!r%W{;X33zzafrZ2NBz8%C@0%T{^knl&bA;AMuEu07gC$mwmHZ(i@`Qg(?HSO zSU?9i4LF*Sg1RyFN-ty^UiIh!AB`HcI#mCp(vq#TpP&07l)n&cG_io(qjXlA^Pzg| z@mNd>;ruQ$#UZ^p$KU9HsFVs@O!(D{Ia6LQ{-n@sD+)abDT(Sj>5z?;9+aRWakbE) z?+vL+^&1N2*m9xY@R_}MwsGgI=HhF4?7o&BIy`TRE(Qn{Ljy z0EF&RPp`!`T&`ntrnustj1Y;XIG2ZTvy3LYMcR6@8gI%WLx#2i9__u*pD#zhA) zY4OiYqAS2fQ3G|bbIC1(jt9gmlc+720aTz zt;5%BSmzDMQn@`{4%|Z>ougp2k67bG@1HqAo~offf0`C{eUg4|2^aP17aRLSBBwzh zyD8t_$i4cmKTEwiNo{3PQkFOd$+3Nd`t!0bwkl+ZwCg-Bd+<`n zl0#EGxE2BXQJT!HCky zyu2{~t~5y~1PVrIN#dGWuiTBCozT%|!#D;nVn|mhcz~b>-{2p!ReM^nfj)%iXGg&i z_hZxn;un{#Cw#Aprz|ZsvR=6pi3(*Buo9et$#88;GHLgehdi#{_Qisa)!>bJ?bGFP zKG(TL3)iH_%Qy>HY#e3vgSv!?Zw^Z%gJkkH*Nz)sT^Rx3f*IOrm$ZRdKH3ysU5Xlp ze+JG9dQp-T#zrEg1Lwm#mLAx5UNkn(@A)D&u}71~*?~`ugheR1jeHi03YuB#(O=Qf z4Nrnt$QK_NNdT>ZG(7;ZysPL&@B=LRXszo<40vZ>{-DNN|n>tpLPROmz7imqu#HgwtTo zuLj~H`>(K0!wrYw?#kP*WP=_<&jMR%k#ln<7{$_MmwxgXETv&2xYUDW2g=Y7IGS$7 zc9^a2)4ZR+KP=T`s(LyGWHF!)-t zWN~QPwT7ZG61n{5YqE>u7Uo6^i_vSj2_7#v{T!>CJ)9TgcHO?ZS8~~2lZ0i7`h00k zB{eJ1BWU=RVq7QLh_`i%o00`v02Y(S`o>~2z&Nb{Y}i73s`Cx7^VKfjS+F9hR$-Y} zf_G&`tMsYJcEk6)lHuzzL+gqIsx%as2f^!y6-y)8fvMow28Ip=L(^LL5QawfIth2o z476OiXkoe9A{@4w6U6hPZ!tF%@5 zUZMZe^+(^Wfb@iZGDJ(RxFDnP6(^Cr293$Wtw-3PYnuCsMQHAdWwqKPwPYn{L>oYt z6`m^HgSp?Jx29zCm^ZA+s;1(50C}@RE9X(bp8Raf9N-sCIifzIP5+gp5vTn&+KpA} zQ+DMT|Ed;rwMImA^&IOa6LzlK%rTwl)#n3u&H3(iD(p?B9Wc&ahw+`r?O5but3ZZC zY$JmIzWw|dO299ZWUU2xLa8vtiOY`BZdSYI<=BmaEpK-_vC_0SEsZ3S31hy!D2H{E zl?2$!chBb583)}|_f{1J1{U8#9uWIMOCectmWNE#GL?C6Mx+s%m2kU&HyT-G%8`BM z`qI?}jat`9y8GcL_B{a3woZLpi zjC>mdtaj{ROM_uD@yNnuIK(V!;#CHgkrHQboHlV$8Q^L6Xa|`Zy$Vp&XBiH%4pP?MEnb9 z?PJ_*KH5#rBhiD2WBl7LZRS18Lo-t?)u^UL-k~WezB8>`M#s5r8$kVx!`I>&8%7U*B2o4s zj2d+dSkCtDf|u)LY&XSx>n%W5f#ZzO#s9_4Y4)Xsn|56kOb`oHmxkeFvT+lzv{_U` z>W^>5Q3r{LaKN1Wd}B_`M+Cq6qbC6*+4Z!<7UJ#}$tLw^7WGEE;S7n&lqo*O4&9Mg zuHkzvw*c5T(*LY9Ogc8?o^Gi|u<6d9k0()&ge0Wn(Wg^kcIUg&;Hp$`PZ?SsY} zLv0u@_qp5R1G6CKmec#eliipNe~>QHpvSm!v?NC4rIs#R*5P+~rqZfn=Hz@(z3T|Q z?V<*8-GPxtu|(qjNa$=9*;GqM2a~X^U~B%XDHso2Z34U?4Ay9AXGLGSv7?m-D@Prz$1^3xpjKVKZ0F%= ztdvwOvCJJXdB`Z7+VS1b(FuTNXHEoHsv&!=wq#j*Iy62WoJ(6&8~_bPplYGFY0G9n zfe4`nB3^xB{^m)O9MiQs4uI*gg*T*d@He*?fK#SAT=GJQ>v$uAlEH8r${5Fn|;P(u&6cXn3sd#$PKVpyk5YFH5>* zqSGz^BfeuIGe*)6!4C->ty1Xm8K$h(gEpU^4Lf6yakij)2m~dTIKx*{8-UFq7GFS; z!!*f#?H`yI1LFV&RGJ*w`_1T*GD)c9mQU(hhfhL@oWJZU$PG1cb`U;D>?v;1Sexi@ zUVH)^#bVM?1bIFm$R1hPoADHMzLKHw4Yz>bDoT1nHy9@Kn<3A=I{`f9@RGo1e`1>j z(fk{g4EcB9Ce0uOKGw>~lFuU&Wv+m9X(HNLS@z~%H&%aHDdMnXZGrhnhJ!qwM4S8ixCui)5}N)U{h&HR;)}BaL<3mg}9M z#a#R#7%{|qkH&QThJX`Yi&rE)UKJvAE81wSLEhW0i{pKFO5xW`i2UiTqaQpR_>6RP zSI0ONbi%&JKF4jWGDC)@<Zz602qA zC!7aau0-csvXbi;+65q?;(V*g6cMIiwxh8?o@LgmF@o%;Ze;>fVFH;7_^h-ZIP9if zAa*|9$#Uiw^iwz`Z@+SD`(8Pb#tkW%MsSI0pF#_b>21BG%GB4{3Pl%dm!=Lu_=_++ zY{z6rwnqc>_KzKREyjSFo^|V0_Ay%NqSg;P5+5iTA<{F>c5q8YKefqc+z~oHi_vX5 z&xXoDJO@jD*CJy8X^jTBX^mf0 z)2FAsO6g3MJfJjre7u2gHU?@2C>K9jxQ`mpDW@flH@MO`&|P8T2c5t+m$57*;W=tWGdG90dnBg2JPW!+zbtkeJIZEdvU zQ2iFDi0`u1BCRc7Pv{OK;t1nWW*Lrh0(7m3XKuvJrLi>DwkpNfR|b1MEA~+#2^EZ~ zn0P&Q$L3-`DZU~f9=(zVGKy}41_Nqihk{Z5%Z(I>E>Yy;gBo)vj&)8ZMmaf@b$B{} z=L0xHL|va40Szr!IXe+jUj%SEuDz^UN^{jQ*V`7WK2tD`+<%%KNu;!XA8K+~zX2-_ zT*c&!Z=B8G3FN6|9%P*7I-}2(5#~o*A1U9)QV$w;)#ryuu%E|4?U0A0mjwkwBFzor zWLd=G`mV}Su_!e;KI_w~{bZ`(`ICjrAUfX)vCzhsEmBuLe-Vc3G%ygWUr;1jCmEN` zU-k@j9BZzOoYZnB6DA!r8h7S>8;P1k9iC3lK)Xr$R%UnjlfBZG?yd08T$-XLl3Wm2 zFW{$e_CQtR;x3q9y~4asU0+(~JZTe<bp2pP0 zVhT0ZC^QD8=Nth|+n|IG336}>F2%NbwyqbFXDa0LbJ_Xqj@ZchkV?H;_v@uMgF5{8 zWKo;8J$1DQf-Slu;GTP*uhMhi?_)iVMzZeR<29MOpNVMApvJ^7v}RRb>6aB@$M#UX zU%TqSd)s5(v{@e(hmGUi+Co|@kFma-O4*C-T}tinEz|FEB4POv>-Hn5TymJ7CU6Up zWdIk?=8*PrgQ`CB^YG1aip~n_je{;iyz#v&&MyACNwb-bpO)r#2xDZ+%UaPL3Lf24 z*^ipFe$T2baWtRD4e!L)tRN^OGw5^zgM^X+2<1`$D=#YzmaJo4IQ>Yd;@k1j;4xJ< ztWavtgeMNlH##>vu=FC%!h+qj(MyvKk=yp^t3IKyGr?X9nv-jQ8VBC+JucVgOLfmT z(3&rHPQ5L?(4M!>Mplemz22BHFl-xNM1^U+Zvo~09%DT=cPJkUd_bN%zW)p;U>AhI#BMb=9#wKTs@ zH5SVHd9>j=J^@{Q;3mlP7Z)S1S%`5%MHxPnAt3i9+)4}OmF>dbK@EKBg&Ug_I|>3^ zii)!Z2o{qb-haz{fr`#S&kf*Ofolcrk1z&bU zI4r=-m0eEar!Wx^USp(yFQ(a{iN9Guxk117iOSMp!tgt=Jmw;o+lVPd0FNoEP7Tt-%U_)^J>M36u@OKW1`Ut%WZGvw5d7#hCuwFKQ8YYEq9dn zBUFz`t2+JWm&e8S&kJ8%PKy-4cR%M%naM!(5St}p;F>kwldpjJcyHrrJ-z~VTRQ}= z@)O_NrHmMh_$ap%p=z*-ZA~Eg4elR*`dbClJ-*s%{u$~F3z`92tzBghqx@E!Bmu~M zdYr}}uDKk-2@y_;>yU2vOM+FD+gae5LF7-=j{48P6&=h61t6jO`Hgt+l{lY(ZNDG0 z1l7C#*QvP%mqERE4E4Wl-dhB!DgN)%ZsDs{!9k^6p^NYsP(JmK45N;LXp{6;o8Hsj z1=R>;__tM(!_R-!b5s_)pW z6&LgMub6EKbu750>bI75LjG@*61|OL%Tjyr*OEs%!3I@|#jMu2AV!E1XD7Hj1jK`P zR)h71?^jwCcIt~I=7Dn6|H}StJ(^IxdQ|>e0>$PfXaR2-~awe&8?X6-1rX*9tXGMe_@W`_yt@4{ell! z*#Fgn{U3!pJR#lQ zp4U-Nxz$k-Yqn=mxS5Ap!PZY>esDI!(NQR8Y*Du+Pwa}huZg&HXbt+x0h!jLQQdMu zWhWSKILQ^cr*t87$P%8aSAD*l4Rue#KdTc4DeEtp3I=aMTD4@E$1SZCvW{Z{eU9{W z9IQIIVJ$neNo-`Fx_>CDI-7AEbl_|6TcvD^VTvJF_11v&*B9Bv+4|ILY2(`B7SA~e z_(n1YJ^oTqe@r5Dr%G(qV*oMQFz6OA=$X|)$#`lfNOVKt^y|o2= zy|tJOtD1HeGRCrf=m&7@;*rf$vwXz*@le+X}` zfHwXBE%>IhL+_ca_atIBYzY`-==FbX4w!j`agAQ1sF8eg36`Yo*;r~S8M&C)o$TuIlxwluA?p!*KVAXClC0?Xainzg?|wUAeVE zOVJfzu-q?Niu2P$#M;CB;L7*hwdg3jS_{G>o_(OCT&|I`Bp#OF#~duI_)3(o(Nt%? zNKxjGizTIK#1*tE$0`fy8Xmg#b}a7~-syP>XFBEyx}Iq>immmlI+m_8$2fHl=X3+3Yg_n*3;t3ILp~H?#xUgVmJ2IE+)Df5ISrSt@#CazPxXr z*8<{PS%BmcZuWsdb==kDPJMb4i03Z$?B7^kUBM!%<)xQ{9_cnaDJe~)rb?Rdr2L5p zpsZf|olDJy(d>%Dm=?{5*95rAnxJ~1eH{eg^#zmGF%1u7&Q93y{doUgxA&YN?rW>V zNKDsD+CMUkTvlcDrhkhJt6_6-CQhfFuDx|5873>0KVKI{z63Q3nfq_HC(+JFIQVxx zzZNFGpG7D&b2CIm@Z?TpvIG`^a8Myjah)_=ajclR!km_sP<~BV+nJ1AlX5W3>dQAv z$LO5+JdAjxLoKCRm-cnW&$E}HK75=`?b$ZnsQk+%_fH*&Q5V`c7_ zNOW#Y5wO=PmV^T%@DnN!nDnq?d`cJYd$j#X{>j6h#VM*d8$De1OXkKo&#g8eF%^@T zrBh1xvc@Nodo@8{M|@1(V#o)< zm7kxs2s6^jZG7WDtGtWX9g>wz=8Jt+KF*6T?)r6Xk9n$LrsL>pH*on6&SU|Uv?-(x zDt&h%Kh%Fd-R{XbFqN&)R8Nq0WgDiY`4{orgOnb>>DDe`s3XFLeOW2^+J&`t*m~kv zxq*;)K#efi^xN7z$L3hp8e#t5$-Z6!lNN7Ps5v>KRXU9RXov9lrNCO+rNsu(UHat z3AsO+HH(Bpt+d{!ZQfR$cp|a;_VPPlMCu^Cy>?uFWQr7sQMC^hF7B?&h7(?h7r6H|{Bo-Hwj}NqsN?DR^;)-t!np03hHo@^1|r zXnlpN&ByHQX!_yrrHHt6QJmSpfI6R|etv1;=u3L(qSATQkB&A!GE8De+K5f^+`4VK zoCB4KKJm{ciLotUx?j3C7v?X|Q6V)SOy`N#qKWAl3A2N-swr-wy!fEK-{*GBdknVp zdFW+s->P7J5@>SF#djst@BJ8A7n5dpwx2QX_%hG{B!%?!}%7vQXk~r+Bm*aNy&~wdM2cKPSM!_uVdx|8?G0mnG86%EKIa|LL zlM1E!t+Q^=iP;IPo1$O0RDw;m4Rez@++8!G zZ9coi!VIH{Ke}M56n(BGB(sSxoPfgok}=M*ZflAgw)V*M*m*1l8(2sCj(L7z0-JA9 zgt0Y@uF@x@>47U7_GHblfwKefXEa_*LdQmze#nrpAZwKnm!e|w;BlC1b-udK9173l zk%uG$tn;Wo;09}n-5~HthhW7QQ9?eCn`KNEPu>Gz^r}$0!iy>ojl;rDRftnBn%tN* zw(F*0-`$gc+_*6-abJ4bsEK||4kqXN^34g6y9mv2B=A8t#Jo}_-jIJH@Im~70oR$? z<7TDeopSQtfmDM-r&sPVmr6drj{}V`$IC56(yI$Z4~8DmF^kFayMATb#O}vMs+V`0 z=PFF=t0l}MZVgn-^CpFx38kVN#%_N2&QI**>xg#;ocz9`1&g-@U2QF4yT5?M_?^)! z8Yi9VY}=bOk~cgJ;y~rw3X_E|ajiYp%jd0Y$Lo;`ycxQ)@sV)r>MJ6t(4yP)5szcP zG>_Qj21k))2`LikfT0kP4zL5jYiZqU49Yk+0ih%PWIJdoQwNS4PGck-y_Hv`4_WAV zz(-~$U*BW&>4^8aknI(#o6ShoWW*vJYD0ZK?TezrK86)u-E~Wt$p8Ujr}oPM zU;DN?+I-oAo024=pF$FoC^$C~%$F44n5fr_Z^iBdIdTx%`|j#d#2$mg*cTbB9(IE1 zj`VW-L|@GbG1nY$rm8lIyu1~4p>l>vD|z82Q;a4A+8>?RLNpI;nj&^x4>L{EW@bC6-B~Ih<%R z&C#*is*h4GHwLs=9fKmzI7dyp%w@nZG@!e7|FcMxok(W-qE@L7g?E||2HDFirbRf* zuN+3GfNj+6RX3ofuk`r>HOUp7)Uq2VQ2Gpm8L&F&4SCLLialSpK6i_AV)F}5mnI+iPG-5!kL=F=gLs|V^r zQw80i#i3w>aAb`z{DOrPk~87V*u<9iZ$Ug_KMaqMTYr$22+nf`D21X|kxcEmCb`K( zGR^il63H{#Sb3wi;817<)#K1%?NY&^$tPJ~ri5Z*xZ9eZo=mDu0jzxRr&H-Y0H!&G zSo971BwM!VV@*U9^|vF9C;&%|bL%){t=uNa9Yh_^BJ+Qa>ccZ7z_sy!U@wv1F&1t|V>5g46Fm7huEtH8p{@YvtM{)~`rH&WL<$6U#=NwEtyIDvR%7j^6d$ffN`2?wcy2B();|Vh? z_ea0kpvv|9{TUO3i4c1Kgx~thgxg%9o|zY=F}Qt!vnMe3L0MP@hI%4frP=!g?$(Fs z1;(uE&0(kU8Iv3li3!_2@b9`QEa@~BAgWvw5Rd?K#0hJ84xPgtHm z-2vQ&KsEZoqFTO8H@+Im&j)QKvJqo-mA-lQyrBrREEdhX=GXc49&K16QM#e_Hq6R&$hoyDYb%^G`%?9aNxAl*k? zXDq*y4X0=$>UF_+6C#NjD}tFIdLNS+Xi?Ib&XwN;F3Bu>EV1L|(uXF1M*ou##u+-k zt=#1_pN{VKi~UKJxx4uVpC_G*A1PK_5zctV-dV*GC?DamB|uRtufvB zobbQ|?vU!OE}86Fz+N{mj+f@qa|Auf^o(p!jy>%`bZdrB<)t$J+}o=q#yTybrKEW_ zKlTmLxO__T$?axe-$bKNUucq`uDCmUPA%UI6d8DL{^5-q_l#-duQ!-)xWnu&V})|l zaC2)T6;6(0yg)-+6ZaO}n81@KiEQD{6k3u!<+M1fQ2Wi zN_|g;AiGScMM3ZyJj}am>k7z~tfcG~?_8j7r9B2N;#Wc@QJd^C8cgc|OLe>72+f@C z4i^jWL_+Zi!rkF68Xu$f&DkD4pmX|4%m^-;TM)ehVo42`|_a^>0{jtTwf#-1QK=C9$ZBPx|#I_AR#-I1+DwIol&?pN6AADZLW9XA z-9S{rOSs`~2#o_OsW2+QZ5?{giFX5o;trrj_(n+Uo&^P0Uc<(yJSV8YOV2N5e17|D z0cua!JBf*{fBS2F*iv#9OYjQ_#*FXYv+m)3b-CSpJ{veg+fsA;$F*8f>w5$ZSdqZ& z)=CMlLpczhb5$(&%2q2L!-5J%M>ah7tW??|JOFWtK0_Oh9+#wulLgJXiK{IUFwq-G z%A{c6_!ny&qdpAQcus(IL*=1$0FLw(LBJ~WrbrIi0ESjL5l>SCsdvX;6 z2w7Bre3!50g+Mu=qJdywdH*6>|am}H1NQ_k~yh7ht+5yrNptjxrh+b%Qc* zH}uRF$M(;wHsgBsD00?w(f0-UKeAts#d|AX?O3@hs3QC0pE+wP`$7?VbtkIi_S-$> zq16Cq+j#y3M6pU>sYb6$C@pYh{V(&67J^z~Geym;e@UtvS!gu_=w0q-A3Cky3BnDq zUht#LwR%{8`qAid*5!nTznxtQt=#)JvxDCOY88?FEw%P|9+={q(GJoD)dg2J1G z4uy}20T&@F&-flHT#u`999jPcyja!Uuu~5J1mkZ;7WLes0X2HoI|2}1|F8n+ckQ5i z@4xUC_-QvVGyVDK|GmDWu$u;Nj+L zEmlMdB&2q3=IbP3T3nboleJg*tBffGEGI&?q&XU;vr3RT-#q^oU_RwmwK!gR?=pmI zK7Vh;t|UD>BR+>ZN-ptS`{C5Ngzj5P!);w;SGN9y`XxP+Zz=3g^^wkpAd{>1LkTSk z#1f1S{2*Wfnz2|yFIB-_$}G#7lD^`D?ioVPY!l^Cz2I6 zl6y~GeoNt|GnGj!-k|*u`HI8j4lFLh`bxJ=P9f!8jGA=GSOX5{)D#%78{F_8sFnwu zlqKnw18K`4UH1kAs=eS7XtRYI$2SrM^n`%nbb2~{AYl8d{S);}E5O(Sr}h4Aq(*~D zX{yU}jZ99Y7QEjx9W<`eX|K~NdAO5LOzBq44ijW=n#Z=28?2ce;OLoyz4Xx@+DezK z)~hhX_3Y60R_xiACN+DXOB?GrR)Dfx6_zjEG!aK)N?>yhc53rYlTH};w~wjEHv~f( zDhX3UjkHD7e((os9+C}l!Yfn7op0yUJ+~Y>dEn-Bswo>0pe- zs|vO49&v+z6VX%QW_P)p_zE^w;{^51w(Y&iZWpv)3Y-qjB+v9MSJJnoj&arG{tmAl z5sYIBhNX(@c*!MA?FlsD%QwJh^Y;k;%`5fQ?v z-P!{~HPYrxOiZ+vlPNqbNG%|e6zp_7TQ{-{v{&2d7tEOqSeCiQG8xd-X<;100wk)( z)GnxsEUt~QI^$8Kc4bw;?dsb6R z@??Yo^n;hdP$s%&lYkL($hG&Z zV?0AqdpFGDJ3OoMqSq6YlWKF5UA!xe3dDA;39_t56-ofB|AP8wzRS}OS)0T_XkePJ zx_A9ZBp`QZc1AG;-Aq{pI$wx>(Q&HEQ7{N9^>>Y!7v50aQh1aE#W;yu!1+ zyRT-Wnd45tw9$%9wG>Vj2Hjgnz1YYjnZ<;F8VPN93 zm6H3luRGM+O7#+7?WA3LxDB}a=EB~M;ZH0`XHj=>?sWl8>0E9L=-(c)+F6r-E$amx z`J#y-Q&9M4uD1>(*9~GS#EqJCkAGY^vUlm?h&|63ttD1F!*DCsjplO)W7YvEKA=9cJoov*moC<2|EIcJ z*Sahcu2j-)KHS|(3nZtxFbL{8|1(P0w;Y`TmYk{bQ{0?p%bUiN%X1m75z)#JQ;Hl& zLT{zlBnBlC`|Vp}T{rP>ZJxJ?ON9v=Vb z?Z)1xnDcb%U7{OJn-SjWuvM|ORb#twZat}wH;#S+G!WjKK~nrW@&yIq7Ob1$b7$um z%6SmFju8F@aoF^$i%6Cgt7>dq0OXV1~(j?T7$JSa*uUt|=okEX1 zk=0~#E?XaUk%3&NFJQ$@SYNTmyG`fnzN+#bD31b1?zPvUI{i~`ZsgnHeZSBE z#2v{3M&!*yi9P`Jx$ZbdnhyfSRDG|N;}{PGn_FnA=)7o00SC<~nqf$lBtf(^@!>`C zhxwZ)k_|Tow>v?BmeHkxubn>f>s0fAsH1c5`$a|0VGXL#w#n}UB7E0tnV-BihV-aE zRepKycxaPb2WoHPqM{34#0k*>Z!2xDBCVan=!S4(2XN+d-LR4Ga4{()bH;+v5^2Xt z(5@`k^M+4aSKp=s_cWn&SmHZJ?;@NI3v2G8;^j3JB0Up8BGL(`z5o7nH62eWSGe#+z7|&yWHPkY>)`+(Q?fLuK{vq`fBaEXpxyR+8_lS22q>7Oc}r}4 zAih)7d2b`K7e#gj^Fs04gUkATdgeNkKfP>{-?J8(;X>{8ZsxG%jA-hjB45{iRi;c| zZ~{G$4nz!J?VoT@_(eYD{*@LtzJ@FJSI>sfH-A_ab9^E9`M%f2N7+L zgB5zV35x%^!Z!}m^iB_=*{}HT>VNXU@U%YbM}Z9|jU?Z=4f6qW*?4;|_%ucpwK;3= z*dCS27t|DXVWwvev;F3C`4+cV7-|NaM+2Z=8L`ReoB?GXnQpZfJ|mH@;pT*<)0Al%XH)5imLnp?7Nd4Qj zXg9;FzK`7n|9;P^`i2b@E2+n7>_n^C8|0o<3}# z2y$cBzf-Nh8(0108&>uTtucGM*7`quL{)hUTLpq$b9ResOoR?fDi6Ngvkth&)w|2E zqE;z@1|FY`wi0-LRCwmR_@_Ro@R(F~g?cT$cUI=bPnVlKntycs^0(CVY@lUQ@a$Oc^NE>#f8fd?(LtdyKvcGyR?V-T;LXE zF?+b85Xb%VADGO+YZ%VOFfJMCy2yqT$8mq#xVBzTf^MnvrWY*`Fc+I`>FC|d}haTa3jPZNSZi{TcB9T)7wVLFzV zuGR#|{T~rGBP;_(Crhsbj}ZPpqiat__*lpc;TiYsZov&YGP%aDKsj>NMCzW@gqYWxX%x*8fd;%iRtg z{!~fr)f=RX=sf{?RIvT;DmGf^@tm~WDr8x<556RVl&Z+LqTT`e&ZIT}uM`m2PeG9@ zRm&2xd{8>Pqyc`a`hQT@yU!0bR*`o1!QKCtQ9KSE&PI>*g?{*7262CWI0fxc36cW> z|9_2-+aZp4v_s8?A&kYguRO*A!}+VV#s8aOFUjK&XD^P54vR!4V6%OXQUb$DEwsyx zP|`|`hLX-5E$8;ZLnqmkk{^ts9DOcSqX3}kvf?hy>6vKQn4ss^gZbs1P) z9YYmRLUWJtku6Yph1cu@WqO87O*9x}N!?aL1+z;+z=V43-URMM6lKqK2H-acBS7_0UNAGTk;?jP@gy%)R^0&rJ(T{lpJW_mYg?E^_MFJ*>?Uh@6rko6mkq!QA)7Y1 z+&HrvR3V$!o0OZ)@|pW;A3YKD>Xqht_TTn2dpCK3oVN@{{U27iu-r7C6VddDr($Q| zr5D2}`Fr~kqI~W!7;19u(?^^$Ot|w&Ve(eOmRgl?c;MW!EBsS&&-Vocb6daQJDirB_uC8}^0(ZB{8KLp1DHNDPx1&>Ga+?#4%a~>`{=|O5opIJbiFnVZ@ z;lnNaSa(H`lF;m|qsfd?6%D*fQ&CZQH&S2nF$|jq8lODI5BcDl4jJL6n;-g9UUYXOqZstM zR>i%y0x4UY4(oykZ@PYo*;fz*!~T-o6lBbY5<(NU8l3dx1Um!c5VjR%p0G9iL)Wm7 zpv!-p1}h~COZ{)tur%r!di^&--zFc(XTY$Z=$9!m&_4VhC`a@02dd$}aEBg?y>;h5 zkXgw&pPT$YEXZ)G8HGmg*Go^?$x-kuknErzkPp3!3V6nG7`rhkcb(&}+6M&bhyB7zP85HqSP=NHkw232wenmltOZIKmIVCOt>C6_Xu9G#(p9&@R zFdrA5Kcc&3N-uB=KRSF5LHcz>$hbGrH$T9uBtL8Eqw-2yFE8JUJcZypi+f@>DH{4| z&yG+7Rf0Iu=qE#2a2IhCD0PBoC1S6fl(@$V2X&-@nV`Sc6_h_({JWoT^(z(lmH~SS z{haO<^%-kFZI>TUQw;dQB2}m&x16ySA?T~a-cwrQwfNO`#>{qK)pqGC-xqwiS!O%w zDe)@Dy)x$F6WRHT*@ik#s~S-$c~f@VF{el-?6#9$mKCpZ+ItcyUdzOsPA7#bsr9<6(nu_1YLK`Pj-$dVaLkx444L0z|yJr`h=J7M+w-X%Idw~MKv(T@&h`)bb}*18D0mv z#L`!v$&6l@OIzvGj$~As$?!^iJba4@Ub+h|Wkj!hUgiyr`WdAa<(do(NqZ*av5jTN z&7S`G0+=)hx24~y^%)B8-`!(~U8ut5VD(5%FYbZZp!vcl_m#*pGM!b)Z%{?HaM0&N*)4XI4j{(9@iVJU&_GJ0)qvd>l~Man3`^>-M2F z@a>gcek&#h>#j6Za*Ae2KiO}aqp#@Gpmh-^%HP=6HDHJGKo2J3>113)`C1aCecVNX zXg0ANV(>do*shxXU0uj!a35mMKWP>=;(r;Wia{0Kbh5H;&~ec!@ASB%qBA9WOaVrK zCHdoy%%4P?Y(vi9TRIoq?-Q%A%+mRF&u(2{SPA?~3Tz z;EE-6U^8F@Hw}~st7&EA-BH|U{2^B9uRSUYO=;+bn*1dVz%uj%9bMmX(JnI^6dmID zZYKXTEs}Dy!gpTOPtG>yau@HQJ!VPYz~;BpGFH%ddx+V9dV-6^Bn?~|aVtPwX&&ie z)NaRJvNRsb@|Kg9X8loTjoveKU1pWwKt+1zVFk*wLTJ&{kF-hktj~mLmFtfy)E^X3 z!$$)B#9;G}9dDG9qdaCe_q2HN;O|dYN_2OdiRtPJ>vni1xa?1!iyl5z{%q5xYu?oeaK&KT)6`QUv& ztn|`4>6SY}5~f6hT`Ye1_B@%|$sxPYv-@@x$}feQ?mC1-J0QDPQvMhZ%Px~JHYJXc zSP>yCRem^&pE5 zkx5oOu2Lo{>?Pn>c;E~*4>q!^q=d{n_Uzn3MM#$WGGpRnehr9}s@^I4TkYzlPE^#? zCCqKAoo3kw$+i*vsLVkv_N=dgoRDO!+~)cFT(r{vq8hmo^uWGS1`cW{ROAF~vQX=# z?#b$pkO1J+Q=-Z#^OaBb$i4rHF=nEg@>^CfWlG)mV+lLAQO!5g>QH_gvW`QF1o2cx zkZ#sI&2q=qo(0N~@$+>m-R$8gKXocnwjZAeY%JTt2k{txE78~gAL>_QUCXU{H?u%& zUU2K-@0&)ZvrtXT;kI~E3i?s)ed9Z2X)03pP7-b#Lt}il(a&UX z-H?5hY%f{pzPwWV!WgL^!}y8H;`jTZG-<=qtBtm{HIshuhx;8CB5*a+mcppWyVKLk zSqhZ*b=p44n?2$*me#DJ#`OAA71P4}FqJQl=UCa*}kp$2!#A-`cO|02RUJ zlLKUeb3b)XpiehvthB!Hv3M1)$4Rt~$y4MUrLw3v_GKOGr-i*I%S1^UD=8xg{LrEn zA?=?sJgp~{Z|x5NUx!y~8R)J~*?Y{SrDU=5YJq4Ts2z+74>H71`OA5R5i+YKVFpC8 zx++-?pdte*6+J5ZPS%g>Luc=WoWo3?Qf{xvsN(A&nQx|I;YGTKZ(xA>O3HIZQnNQ= zMvj;U1Kf&8;Axkr=?ctdf*cpsccA$P`cZ-RDag+DQ4Lg)?m`|2`ON2QxoIav&vt5w zntX*!Vi|Li^zk%M+Yc&NJkKh|Z3&_!e$S$|tl}qeM|aUPem?_B+|DPG^&s3})d*?& z(6n+?({9P|TA#M8zXO_<+BMEznW9WPFlIzrjvODsmMnZLbv(%7D$W_lYG<*waZU@W_#|Fdte!P ze=F6fx`*^*MNGmFVMwBNj`zjS?-a|#O;CPj)Z%>Rv%p(gssT*^nFJw5QE1FV#E~y)Le>+m_>nx+_>}GK8kq7?DuLcS48FGw z3<7zLAZg01Su9Y%KA#2ohcy)|k19vW)&;9>DCxNBEZ&;EBkF!d`Mc6!>W5B~HrEm# zKpqXN1{=>#tYl@R!y7YV;vpq2D_vdmsyH+KG`}Bsn~!MPoTO%x9qNDJh4^pMp7>czzd=3En9P z1$LNwk&pVN4&8AZtP07lu{o8=@8tT3#@j!dO;a>;lH_r3r8B{eN4|w|T^&&>ad#=a zR@V?~HZ7+v+}gUR-C?`++IqO#)PH_|zXFtEAVW#%3B;ZOzh|!h9_z$<^Ha(3nJl(x zSZ+yE=3RdDF2~#hA2zQ~60H(9pFxjcLCi3|?@ZXqwk|?A>rLsm6uDH*rQNI-KHgmi zp6J=KPQRtVS#yUfkQi2wtP@5h3mx4wvpOfh{DwEyeX=-CXL-oq$Xkc>dQuzFZ>M#i zX;FJMSGNUT83tb!KSf9j=5&qpv#Bpx!&|bC&XKfd(F-C(>tpY}0q6;6c4Jw8u^`ZI z7;ysBUBF6*j1;E!lPC3G*|CZ&65@;(U89N@n^Xys+RQnxZn(LLeqbPqt$L%nN;P3Z zp4b<^sBK`R$J1eZ&47LM=K9;=`!PZ&8mKS;CbP3KHh=YR~Y~|0-$y|FcUC%u*dT-u&n0K&c691 zR3tFzG!@b!GeXM*;IFr!oCD{}q~HlYLcELN+)qc2hy(|r{BU5l_xOLB0icDwppCD2>D-8*)UBJXcm zY)+iVyauuw2y%%v*pKy#VXXU9!n_&8!zbPH(=&_OB;6iJqBO)2(`BSO7<3v8Dz1NH zWjFjAU&JAzb^W{3UOFVc4%<73_U!|{E3=2u3C{rdr3YC0kp5o?c7={0tq+YQm-wFY zdofH+A{}T6Y4|Bv6R-^V&27Ghy<3@u;*QLm)4(h?_lvv}!FpX61++0OHq$R)&H~y5 zHjf=ob6`Xd2knYls6Nw;@#0h-vMT{!*{c{M*?uYwq(R(Bhm{_#FF89217$&vGlUJ# zO^#e)RzJNmh9z>8+oNZ07g-ylG)P}#d;DX4cJ!jIP4@zBSp5Jd&Z8|^nU!2N4v=q^ z#Fols_v`lT+)YReoq;K{gLDl&pk82NDN#{h_kL=t^a;{d1m`NaXN++6`KoHF8a2UP z*As*S3O^F(a}&!*0o!@Bw#DGRlP#DY`5_}vyh9a>nLnR7ZO8L|kK3;Cu_PD>coc!hs1o zKq?cz4wYUFW`7K3*NYVd5PVHqAhxlTv(-t#&DmW<-9UVz=0v^N+|061uXGFa8|QDo z2?YwrOu_bjgUt(h1L}U0X{47bPedjIAP->9U&xaz5Ay5hBAJk%AjXk3+<lJ||C8nlekQaP}aYu@aH3vR8|oJGML zw56Ws-C9NRF7lpq?7rR5W%}gAGg{?IA@Ck79SRTZPb$kvmI+-^YWJeB-B* z?#65t(tjrM!G}(A>K>RhA|td?@`yh=qQf)Ge$aANI;eviaSVoDzt|uINeN&A}ehAdH+Xjjb00TgsMUgTe+e_! z7e{W+RM_-~ckGQ)F599wBQo;Li(Q7ta;Zz`?j9(KAN2od&vpbyz$eL0Zw#>ge5CDk|*Oht3#Za}*tyNl}hI&=2JE=!y#iX#M2T ze#T_JTovD{jXk~{*PCdQl3}b677Xj2KP|;n@%nBOkkIW`5x03v4+jw7vsD4E>e59t zWRSb$&dTGD1Ts}YS1v+)ye$6{RZ6~?bMP-Z=?{i0FnP@c+B+8^X~i;UsZ377O83Ur zJvx<5v4rM>ASlZ&?5Xs@A4rwhbGS&Jb1ow0M8-jgi|r zu}V+rAL)5ejYZsI`+5(FW|tqAvGt?8u>a35U;qPC`OA-nQxYb9+hcVeJ3<6Y{mFDS zQCBVd;um-u_0%05Ep~5DA{#C7k4Edspc-~sKg#{DRmm)7s!VFm!n%D(k}TcM`-@qd zy&H>3lB8*Ge-*!nasQH+!Ls9Eu*MW)(fiM}?<+84=ab1sUjdBX=PA~zL(TfiXT8mQ zQ4Vr1PW;Pb6mFk`>tVXC-oc#pT@^&pDEqSMGH$MWBI9tDv29t)qb>jPn~AT5Mh6## zrj8sl8N8&WAEmY`#g`!HEORa+Pm94eoA#49qPG6~)xl<1pr}CYeO@_Etw#*21_wgg zd<7Bbcqu{C)Vl9lZS}t`&n|+!*YXm^3Fhc1x?@#VAYe=~EnzBaUZ%=5wDFN9dOA0F zv5hAS%&1<4^N00Xe2QCDAc#FBud(hm*D<6qyr$lCUQn|&wy zbMf>eDq6Ym7~5=MIKouXE_@nhj=}KXdv&;^NB-Y_FGo9k_K<)&w$*Uyo;}?wYAQeA z_h2yNb5q3=QpbZv=7~My+yR2q941#;K{)v>;J8A;L!dU=U5=AG-c^MXJ)r1{-hs$! z!cD#zXKfv}H-yPK&JAx!MMfo`3TH^d-VUS-C5n=RlVmSS7K5=buAb#T>=xNsCyJ~U z*+5AkL1g3jA_@d*y$bJ*;3Ze17c{?NF}Bs%VpnCX~H7 zLmSO}H&bKCg|mj=b9*hMsppQt>bk(TL}2(M)zx((qBqueZN~GF(EKx; zc7x*7GY_J;I+1_jaf+t>yJZ}|S+Q+A^~|A|S6(;nbC)Rd*@?oaByPTdF_&}Vb+;`z z&-p}@cVlH2x&--d+SJjfc2fDDy-)Uf+Y0&|FD#;l7<(5mq50GK6^#Ke)ciM8nGI%# zs?$Y5$q0-COckJ!b;*Zd7kK1oy6yAkwm%X5YhwarF4bL-c-cP@PEs_;!>9nGBBvD) zl_V?hhw?Dz5Z7}{!Q8DIgirtrS~xGI78stZstLC!s}%qddfjAr(H7OU`bPSA?6#G6 zMp^Rz23KTjPfYGqE&eFS-Hb!Nc~(w6eHk8?dpq>VMYlzHb^RCatp5(-(EN0lvi0AF zlo%a`Ia&>#r}B5ENmzGMqKNR1Z6sV{K)gFJ=igA*1oXb_rN{c|FMx&iT0pS~0uhkE zEjuG%l9`&zZa!D6`gYYG+*tQ|y4u$s`1rbf{i`QX3Km2itH8m&s@iw3bDXy3+cuUw zZH$4P_^X>KMo$^#X1J&*$IrsD40^NDv=kL`owAH+HzZt#13$jgM=LN7t+WZ>&&v}7Ve#6IwBDZ96f?1v< zG|liAmCxbF4`&Bppaiy#tM|?N=Lf@fpaaq_^W`%B8Mgg-<}U)v{xXS8OBvSL7p0@O+vqK6@3wP%{}k1z2U zxfX29#ScC`;z<=l?Z%^+6w>vlm@p~>M#Kr@&ZN2AOK~o9fD3Lc!?difAYhOz^g;2c0n;M|icacwF8%;bf-I)@g-)XhBBdc?c z^lAR-_T7!_Mzu=ZRO88k@xI+qL1lI@^eaaU(Z8cc`sBpR&FC5<3P1hgx}2ZugTd$` zlYWWDVJg!o$rVN-GRkWSjH+1-8(XG^;S^l=z%*X1iT-CinAck>{ioNl*W`WQsfadV zmV|!+$WBn0)YO(ljal`HY8|GYGD8?SA#<~BP^b7zKi$QDqesY|Txb*BBl0A)wo_Tr z#0Y(3=y0BV);log9n{?oqvxnpm(A7U+uzLZfatpJ{szRI5_QkFg;;FteJycsjL!c3 zUX>%<{Nri~MUEQkMB~LNf!Cg8f6pa-hVs~NWs4nv7lN8gwlCuK)%71A07-#dg+Qom zj^ICB!Q0JnNvB}!pBM4}2H22%Jh}4?YUR3@&*@;ws65U`74fvg`i`Eu9;2$$#z|td z*4Wx`N@h&6Dd0gq9hH#mhv>ub19z}j)lppypXWT`8(ZqWQu{&Mm5jGPP_q$Z{89^IuZ#u>M%S9A7G4NgAJ655dp5?pOC4jz>t=oaI`hCS_rDkghJZylYcz{HAbOE3csI@X{lBj zeiX77)x%@zEI!lfnD*zr$HecFdja#l#2!z?wFyu>k(TkP9xjGy(XQ~~g_gD)}3S_OMWUo9l`&`7}&{fnN@tcSJ z(F2sA!AI6$-wyf#xo+aI3WR@AlZ!0DQ#})r4vkz{5nauO3F*L z6xuoANiYmwXJ7DG(i;(57pVbkOg>8ifhqqX*Hiz8W^p%tYEX1$Vn9H;@I+Ua(us6G2U6Wb0tl)R6Y- zxV!UYo^=;8zez9UThjLFEW)eD?7*>-TRxL2i^?zNB66a-oy80Zu&j{5@?*P!eTD2? z{A;bX0ipZX78P}bqdM~#2u{v36!c49y~N_<(N@AYjxwjm-d~mBGvR-(qGY4H?}od= z`Yn|_VqU?_9cep#Ulj|J`_YlhPQ}&>@#&kukXI9$i@e4s(tNz5Vms3g2Vq+oLF8n6 z=k!`_DMG5GGsRcX>qO&&*1X-q=o(X$JVOxjHEMAS->vR`3zkxNpFT=mx3W{d7 zScOCUZJN2A&wV<_F@&V z!)&|A6FHtHErXLuD3L7^U&bYYw!!?Sg7VA~AEI^cN)P`a(r;@mYbk6AGlgwTt*b-w zmrX7Q-UFXRCoQADTPit!gyg!Z)A2SMS~URuL+|1xQ&CA+EKK%Ne~_cK5tH|@BpZnX zZLtsZK$LEbr;kn;b4FF)f18fT4FkZ53gL+)m+ z)VZp@5YuGG)rPzZDogzlt^-8*1Dh>X5+#TgU5fiM*R(Z zTim{nbZ#zO?AwZi`7Tb?8LJshXr^0e2Xll|0w&+lK&$cbc*2jSIaqFvYrI^S3}?`D zyyL5bWNGG3>{cu)>#&s>*7{LWLqcDYMQEi(s~s&F&KX_C#fmTs)P~GN-i}*H_asM; z8$&?H^Q$jv=Pvft)NoJ3;zJuR7q`%UDN6u>r14Tif8eAo{zcxwvE{)mNwWDf z6ku#$7E;U>aUFIqXklEz)sfC``I`@#M4TJL)A%&@CjS33mmW&s3C&A^AYx+1*nY7rL;++!n;p+`@l5^ui z%rMQiU%*7GDFD@aM>R5Q*n9kqO>K2X!}3qg9M=8_%)yblbJXRZcE|;d8)|K4rNu|H zWpcJwR+4u5_8qIOy_+{5P>gklCoXj+s@fN1%W(7SXVl7h3jkcB6j-%m@X3oLTrn|G zA#^6MyuJCyvtj`19!QJjWnJ`=r~$!3NeJG2M54;ucI<=__6z3K3aU_P^A- zc^S7^cxHCS6Y1SzZEeHkX`|kN_}g@*)vfjUH`F4_gq@{6Iypc04GYQ?%M3;7J|p3j zm*&O(3xkj1Cm!dnO1B5~JqLFCo17hUXrO}}TtaSCsf5?)*}{Dq&`A#V2VNnFm}$i7 zb?xRz1qXhczvyhfm!jq*Q(>6cI)V!H9?DrU(YCYhuxo5>p@+(v@;u`A0Niz{?ubm6 zuw&emj=NFFO47$MMwTnts{zy+fd;vHoM zC!?mv6?Nb8Pte>e_~hTtFCoiZ_rc54G<^9uWFmXY3kMq`ds1V*^;zu7Y<{a#up`K}4dgtfuE||(s>N7A{ zXR2p>ckE(URd^@A35zDr3YzLWDXF+5VT@YYiU zlF^gbOTIC2`qKp%FEm|FM1=j;0;K8Jgar(y@t|hjqGN%KZDWLcmNY~%ygUh49+qrp zP@)NdD0G?$gfz?VYwTc*!?gNW!CxHG14Qd{9c+W zin35Nf36{@q;`Q((`xkH(KFL9SXr@E_bG>_;+e<`T15qMpqpGV1$hk1O=(xh!M$=$ z0a&ZnL909U&#y<;;F&K++K%L^$<-hrs8-^?as-nUs|PzaR$xCqEkZF_pS>O}7_OS0 ziwLLSevY>;XjlQk+mWmQ*Yj40dih7yxC)HX+d+$vX_&a4oszdx@j>zSa3W4Wv_K|3 zCGV%)H~@9Ur}_?ec3aSq0*3%y0KmS zK@+X=04Z6w+@~#xrvt(5E6P9dNc$(9oP6*dgeQ5CDs8PTiBKa}ZpzX))pGa}jv(26 zgb=Wbn^(ZNN0a>kAyFvzsb!1L=Ol?i`+;RniC!J0zZ|rA;nl^C{%~Fkf8YZlz4?hp zX8AQQjh)WT7)9Cn>T_u4?1{PO%>!ZwntP7!OCe)d%F_{}T)k2HuEP}bDOT`k;mz23 zZ2@BvrH!`_be&4GflPl&;@~KKM9}n8!>ENLV1bzv#QI2|A_VnpRu`Xqq(%W z6By63tQ||TYi*w1;pP%Me(hnfaiJr9tL(???xqSAAGoiJnKUodR`KuwdwF|ranW}? z4xYfanY5gU09(+=oibB*7tG)uRj0zlu|CefiMV**n^<=rQh@<%JUglS4t=MdYM0b1 zy|6{$CgZ9!MT?6MUC>!?r`}@S0T63BnPyEUTPbSEW6^gdPD+$*vz*=FKJ6J8i{_!) z1Kd`2;Wu)lC0;CIBK5AeTvz&W7)oB=9jUy9E%oB~AClNj$@O@!jl6wP`8Eiq&h2({ zurzG9*DbOIF1<_@c7}%KMxiUOf<-iP%}TF1u2|IF&{6l4bqeNBzsW#*A0%txUQ`ZP zR=&7|c>_{!`xsiZe4)0!K5Aj8R}4UzKJNhR5o~pls6-tcEHRUtb%i=b$eHnAxLnO) zr3VqHR(wZaUQ2_uksc|C?IvV;+sBD$ffA&Nf=9e zol=4Ax8=KS!hzLJ=o!Yqz$+KICgKYK1l=E09S<{%vI2|8WUb9*T3B^0RIxvlkdj=& zU}iE3D~4C1Z9TK0M(tehfiDRwGDTd`f|T{-;f5fW!BE<%r%kub)5n$*+MO%#%tue9 z&P1|FL4~=SaMLWGm0vZTb#(VZO^vbXR#V^!5ZW_Yc;U#FxNIzQBbTNzyD20B{*|P z)_2r{>l0>!r@zcZChzv`abU6dED~n@A;^MX**5^Z4 zWTfS@yB(i_2C9o9X0b8$W^L|s%*EP-Tn*RJVI4=b#pEP2I2wp-TezpE;eFelaAE*p z31?glF;xguE18_cSl^z@H#hUNVj7APxK`axA-p0OUZuci?HX6~=H7>JHUzBA`Kq?Q zW4p~&x9@(yTUzst1ne&BG?#k_TD3gXpIZ`2^{ZAhxI{^5`%Ymq!09JGjyn4ehbxu| z#GRyt+E}CF5tDL#Afa6f4Qza1%Z5C*A?zJ-LZ)-g;2V$BG<4uUSd5--4$>c5z$j-$ z7VKDRipO`JmLPo41go2st?q<0-azr{$4mn4#am}!Gzv4>VqnWg5?7LmaxxJ_gRH=~ zILr}GNA zoc*j&xPYxV#WABortw_kMab8d<#V0xUVdneS|FB=B^^S*tWjn7HyyLfgyq03JVPEH zvtRGeM3%a$q|G9wfLN#dJL)cIgH}QmZeGqeaW3NWsuGi#xdiS_2%W^&FaMDA8S}sy z*#mgBg9Yr`){|5q%@()DFR5Qrj2IAO87+Wp2@$-{EbPg^;t|$mk@J*|BE`oR!N#s) zC);`6p=|$Iz%gU7w~aghii0G5bT@&eHd`nTAhJ{FXTpyLfX`YCHt?*P+!0#GZf^^(`;bb8EODd{!nmC0OX0G@%PVs}9;!1}DFLAPNWsJJle#@z zer~v{7Ab;+Jssj*k2>ErAWGanbU$9e+e=tmg52oH3~cGmR#awFomVDS*X{n``BJ5b zPfxpan`-emLgDc2V1{FUbY9V?cjOt_`i)4=)>qUuuNkOOJhGe58+;4n1gOKCkXu%I zL`Lo0i(M7lDt*7n`OCV;sgh^mfol4FnXPqRIoKnm4a>8Rae0~~zNvCNA@!guq2SY) zIJa;fda?2w)iOfy;l&QnAY=g68L19}=AG7io_d1r=*EeM+#UhHu3ihZkKq!RFuMe5 z`@=<#y8UPtJ4}S-byZ;WocNQ!Pu>gH_#gpE4BFZP?KH?4ssVnD^A`u>z8q0&8h14FYRi+-3nQgdmQLjW8~wi4x=Q+OIbceh}pTZT&3>ZyOB!WQdG+i z-P^q<4^0vy+XO*6xrhgSG8Y=WCSABCU8JBV8s-)-DD4^rg#UB>8uj1`>gpsceyRLg zbk_YIIx0#NhCVW!hG@O@Xoi1GGb>K4t9i+A|Lc1+Hq_bXyQOE{YwY6RA*{uSB|5< z0;68rL1lkS$<1vLeBB1pw!^kX*RKW_e_fB(I`alLJC8Y{${B8%O0^_8KQknT-<-?- z-jqu}0PLpa1{>3<*QVtC&TFdZgV>>ZLl0#ZYT@68&imX^yt2YuV6YgeH`)9g%6{Oe|BuR=9O97-aI8bjpz%^8>)85QJZk3PQ*QRAU z{Es6~L?4jH+7heL-L8^$_*~&TImkRx-(|)*`tSNxUiadn7hYNCDz7d*XkU5RH~*sN z6ZIf>iicNC(a09sMF$lCgL5F;BNPL5c&ZpR%c6#V-aTHp2P8aKaKQ!)2*pMH6X z`t49xYAwaU7kiT0z?a6XcHXKwl*iF;Z(CRZ?UMKz^{Zfc?T_ZEME2b0GB_gs5vr%w z^v&nJq@7Jx*5Ax5>b)q}UwAKfUjVUUP1$>n?Wo!kagEB(uqQP=R&{-unOVITVGL^d zpIZXtBLslNkwIB4VA?y>(+YSyyUqw(y6Nmk}kRDd+!lb@` zWZ$zSLNly6weV@}9h1vRZO5U+cFZm?flz`{{Y6sS#aIoYi$(0fo72>9p42R9-;N%f zZecFR>u;jel9zAp9!4n6+yQp!1P<#zX8UOtZivN@LZHV~O_ZhnelAcW{oMBK%RDZd zZzsGaB3&VF%QF0SD%j$ND0q0|o@-cpvTCrCN;^@gbu#caRWb4dJ%q@ZM{?N-E04>-8KjEr0J+gvPZp3R6^WPbPJhq^<| z5o8gmN4V&KTVos8*0%<;?rsN>VV!h9_d3&s3!x=M+b@yq%i9c;-%ZCdlHjLi!dAqq=IM$OBPSW3Rqi>_@*EdYF z^9uh|@yMszAvu=RdAK~X@bCxB{U8bs8ly!?kEk=CgS$wD>TMX?z(5cMV|CUZqS_qo zpI-Vd2@*76zPL0T%NS%OM|XsC`aFdm?;+&iTgFoRhNW=S7dmyw!S{2qHrg>?6CH@7 zN+vvu;V8pg*!2b8>5hBKsliJboc>Xa?)%Bx#JVKL>&z-6**k{(3)QXtMS-tfk{-zC z_t)p1Gu=m?jcR))gDw?&4@K~uJOc%J!V1*P#eG92bOrRB&aHk!7{k$lo#3Im)%U*v zsth_pqj?69A5^G}O{B%R=PO7hYo(Rh2PZkYe2MWY4Kf#$Fs8sGA9h<##ErYi$KAr4 zEcmm5ims;jNBYpC?{}h32vNoFkexG-|1tu~(z!{^tUQ+YVIpjlymJmUfUE?>ot$XL zkV4zLpz{cQhs7vzVe*W758X&q7obQ5I|PoqfEyhw6aZ19H^rg5f*=kkn>HR|S}+gf zMC2RBq{T^1;-1O;d;o;3l2&H8e+s(v2%7w7u%$Ql|4X}wVGYDHyRRS=z?`W}o+js@ z>u!E(_aBQdv0{=zFkI{i86HIy$s zU`6JABMIxgfgQ6yF=;e6E-T)Kky*8-L=NaqC+KTNA97?#*z?~ZO2rC0ShIvTI+DU|7pNs z4`fi1^ctE2j+sNgHVwE@3)zIB$VA2p_DqZ}gZ4g4_J%QP=`dJe;PzwxuOBOgfU7M2 z9U7GV%i51Wj9zH(UeSy|K8(ivk@wJ8@`Kf!q@G3*#VJ=STspGZ+MNQM;W`-vSyO5$`g0eh)`{Zu&>fy|f;7`~{Q z)aOEz$p_uY0uIuMWjqtn1iIQd9iOyQ$+Co$PqSgB;gPaN#khy*Dugvdw{C#-FN51V zSls`Z&EV5~5#|b<#2&tl6FGK^Le`4cM9;{1Z|VO=;28~-tSf&ea45qP^@X|iS#-4( zbZZDWq?G#p&Yxqf;lTyw+6aJ_9avhJ_CohX{)SUa??mg$!o^%fh1o zRqRncAPrA~j77$HLoB3_53;b=s>uzXO}6~dNI@_LEld=>tfTT5VO90Zn(^Yt z?+9f*6w#y*2p-#r(n?MRn7w683&!R@4L#Q5(}pnYz5Qq2l|lMFqB8Tj4)8?wuru0M zPW_Mg&z8m?f%+f3hp@PSjvz?J$p1!Q-$iJ05JKoSgjAa%q5Gw6GGXq_|HW0>tE>YD z^Z(3koOygSFJA<>S2XxPXi^41<97Z=R(W*31Bv?H@}G7tU_`tN+{Yd*6Pzn8t zTug$hW236_!-YW6V9=MzS}nYO%QfxQXoalW{{nLJ9Ph?en{I$nR!h_$Q+J|U&Hf8X z9Y@OQ%`!ItORM3w(5<%4<}m#E5L7S!L&jVHN3Vk~s>CWZAP3Kc9!sbq{VmUC+err6Vqb`M;MJ6~FgA;xr9a!tizf457fwKRX4K zQdomkVTKUyr$$!QAG#e7h9ri7xF&@!SS8|5-HrR;p6^6R z&4N_z6iV~vpKGm{eQR<6>|b|8fXh^WZ5Hgl#v73gxsMAoM-*Lk(@+9-^Z`9M~VWo4Ec_X;a>kFojf)b@(d6N6wQi$ zRfDH(UOy1GXPR7ngWO0yi$V1?xFA~H4rod=5A1uOG`L>eZ?8+{fl8h&r5Ao_u3NY@ z)tf=9(0(K9=M*Ln|>jg}JZfSq6xTS!rUAdSc!TR;=|D|cn1VVgJ z3%H)T^!nNwnf>mnR*buf$_5hQ00I0k)X{bjTwCyHuYBNQ@da||LkuBcA?0VcBK?Dq ziqrky$aYMQ#=tfr`%svjWeDV?KjqiO6_T@B0xCOz!%tLBWDeaj1C~Z9TCv^PTDKf( zL}D|twXlIA!tWB)*)uM;rIdAzX3sj(8y}lNA9w@Fr?~4bsg-2|g+96U&DY-;oQz$Y zV`>rSv)iLDHcyE&>X&;iOnkjv2KTFgYu&&}80WoQv_a5@KIle;F0O}f8C?=?$H4c; zU>P-jIhHJiH&k%Czm5`^{MJv1+H*2?4Imi0PHXahCdwUyn(okrAJjU|?5-0qU5BQA zJyL6|wO3l$PYXvsyd5KDxf%4peiz&k!wgsu&pL?TDbKuaQJD>q@VbB=1Hu@&SNgjQ zpZ3ZqI0bA-uUO<;wGkwP9ievPH>Y1m9|6}{uOj^|r6%jWZwyNpE$YOi9wBApHzy0C ztL-=BvrfzY(-BU;?s$6vIJA88yYoH;D=&o`Q7h@Yuj?e3QJ|;iu5JcGkOUeWm3QPJ7FFM(YJqIZ}NSY54s;y9Kr5m^+ zMIs>OWv^O@p#?1YElVF24?IST&a~I_D+e35F^W zV@9E>%Vt+BxoqmE*32gycUO*Ap3^Th9>Gs0HCE^7i^6(ou~FYhtEYV7L-eTi<%@kK z(bXu5C4oB__H!>@NSmsE?d9!12sg8_9S5!{SO)=jfCBcrIV!-9cp@@j zBJ)UsZyac?9vq`D`m0&KM5HML%e%GR`&xW^7`*dSw97?;f7Y7G4atA3Gg~d!!0}Vv z^9OA{5B7VFK8mawuD|D@5LCjO(3Luz+ixcv#93A_WQ2k=>|DI~+TKzWgb=3&&%nV~ z5MO)vW5b5ubY>YgqU*>0wkPQdwPp{|C$4E_6Ua1*>lSqOYtWMG{SsUuTUS8uQgF9H zaRPSuMZxEi#ii;yCO$D4%J%Ck^=2zA^O&GG%u!B1{OJ<@h;J@~9K_fMvwo>J{$qVf@I*O60Jz3#Kzz?9_E1=;h|c0r zt=~a<8dPy%hwdgLZq}vx_6oJ{u+G=*q*AaEas}37ycTcI(ILQfNHDy!uU{G4CEjo9-KWe=qF4wn`H^-75V zF0HQHPCtdmg$chSpoS6+f3W@JRREbsRJv6i(j3FQ8m%{zt8f~&A-G`ksm%lTB%kl&ogxShGM^W61^ z9)*gn;ng2G=bo-Dn*IZavG_RGGWhviL!7TD+BYBdG`xM-IwGZV*v{*NEa70KS7T6=)!S-@c?SZxB;^ppEwuJIKH;KcEZ4z@o zEWYbsPOy#_j#}HUa-zmUrIGY~)0-g;I@9!3M}`DdZ1`f^nwi{tE1#-_Kz-J`xX|#YWD)W4%)4O8lTTyk6J{Q+YJqt z-h2Z4X*=+kZo5SXgo-#mx=UxU$hECPkQi%lxZVCv{0vOu&E0^`BE+`E=jTo$&-G?!egkTYIRtNdtDJPul;B&GLZW$5xG#{P+1+SC0x?oFzd*D znMZc+!6e4vxv+u6iBTKIbtA4V7SFNFx)w5{Gt=C=`PZTftf;1M!x>8 zQcGoK!ss4wbwwGt`zTIz38V3F=JJR~BomAnoVz4;IYCUfe2iCx_W2m-|1`hoFN!!3=W;*$?{nbK?1tz&I&5_MwffYy9o)x+`CllNR5j+v8ls`27Ub=hd#5JB z?bQ}rOTlS%=N(EDvXy8H$XL`M<_5YX<^pP$IOAMr>buKZYrB5E_Mr52oYg3fFpVsK z9an9RQfkE`vztx9!Nqeh;r@4*h(hmt4tI;3GkvS-JZboSM$j{}CeiRh;@Z|0##&@~ z-&jKE57?vW6T=}y=HOzx))uxFT(f!Om_yo;kfpyS=H^&L4FIHTU9%m6&SChyXv`eU zI#4t+dch3gPk2&La~C_D^w4$R)tKa>2$1)VYIql$hAu7Vdm>k>3B#>=c5>dC*kPqR z+-F_u@S{WYW7E3A<5tcJqbF+4v~(_og_Wv>1Fx4|ld3lti@G&x&`vJ<5MP9s%b-6I zHxTdk4xDqj*gC7kJ&u&o*bWk0{+x?r)X@n|k14ZVJUI@vBkTLw&Y9GVC20PDsZ@mW z$OK0dZ|rEEgw2%wxL&?2q$#KmK*QReOP+LKpVsY0gOYgoA?A;kD5Vy>^Bw(%ZRv&g zmbfRBNDdPNVOJ0Ol)m3& zOS#ajmLQ{N+sKVny~D#X{(>I+}z!8)p)pyz{jxifEdg9?)keHo^$BwtSn)v(d6JA zR`(b0q_;l4UC%UfHTTZ3;GDy!hRtsk6eSHSJyoFHgn1t)#3?%3nnUA zIRHUA{R}O%#p4m`A>SE=>Ydc8*iL9k$ zDU$*9H!$mP6yIro>2?gbq{o2mGD5hpD7e7$wf>M%@>no;!xD%s`Jr0aZu3h&3i-;P zh|c~rl6Mx3=M%A*N7FZY3qcwxhtiNIe4PGm_r!JaMt5M5>wIa^8R-m3Ro+IeWn|4;qCI~BJ=MiOU7;NQ4rluzSOmUb?KudoYhc&I&t9YLLMd-mCFW@SA2 zDbUv914NjYxEX2e4i%=80-NJH#&BWn>f)~d$Jm$0L%sd~S1Oe>6%t~)EmYR5S*M6@ z*(!yyCq~(~vBXRWWl1F=TM{bU$i9an`)=%#?EAhBzw@3km~ubg-(UA}ADQ<#=kC>4Tsi4SMh*^9HxYcF0jmi5qx}GIjRq)v zIotI0!M#I?bRN}r=fRvEzDKeyhGEr5-%1V3g`oB8J*r3RRs?R(-;uM| zLsLpf{{mpM>G~zQ!e}WK6^GWJzM2EkjBdYZo1*oJ;Q9yBGH4MuKh+^nIOTU!2lmJ}fB$}^G^ciD)QF);P`?(zWsNh+X}=X6Ht?|B)s293 zb&%HPLA^p`6DH8RaWq>q?Fd22KJenUyW!UK$3PA zz_gRYCP8kg%x#PLKVUM5GwbP?dVrp4rb5iSNhg$KyF|a+YGmLasn@M4dTc%6s4Q!W zkx9fn$wzqv0ZoI z%BIDn_hq(EXQ1_m?~Yo9e2nfWxl^RRDw!!&qKJEaElr9mxqK#}^_y6L)#qj%)Ag4c z@9EsQk+-KIb^q8Sl)XctSsXt$OzErBBRPq`g>-En`Q`FSC5U-e25e{}t<94bxJ-5U z@>|B}hjW>Am;KsVT^jlOp0^0lXXXNkNzA}^GaE$-q{URVtkOy#4CKxuZgg~}Mk0ytTnj(^u3 zM1Uz6x#B_WP>`;y^XwIHA+TYIP89(!YD!ByN}5%0xiUH91;Aq(j2=5rN~C2+9otf%8igUJyeCz6JfF=Qb3>~UZ0nGD!oA1@?jm-487_LA3WBMC3MJ@Xu0e%H z8x0@CE1OxDI&S6cuL?S2$KHVEV;dL$f*sQBCX4qGb$t93GDup>&%q4B!ZU9*?5I&u z=*101={@38<&YdU!0=Y0+oPiyb`;h7-Ge@$HH>=ruP?$7Qg9TwK`DNvwxi!oUq{%GOz-H#ZFc3vp&8ZNKL6 z#e6@KZVib38101UsN!!!$1Rol@agOK{JxLRDpQew52fhi#KLV*B0{dF>nXwVr^FbLcsOPn378%rNxnGBIJ*ghqzio`d?KwRiWM zU{V!g>Q&gpOv7fP>Yp8+0KtwQwYa_N%~k3kJ)HQ0n)+gL9_0Sz;I;d(Kk3L6KvL5k zMvwINTRCBO9G1uS0(xa}th)&R^gy2jR~tV#WiA()qbQ7iX!zg^n7}lHjw&d2N&v1a z(Q{G5wu4Dgb_%XO6k4Ex-6l*Pi)=Uf+)*Vq%4}-Q=5xqgVs`!d-Re9Nl}~4QP;7B~ zdhgyy2b&CXHD$Tn)_4;*edBzy?|0+GocI!-Uw}Va@7Za5d;r>L3H~_#$(bMIv8V&0 zvQqPbC$Mw^M%gWg(Y<2p3i)DfHwehQMimyJe>PH^XUw*P%iTsjiT;^Ul#+T_B7<@6 z-DNN=A+Lj)bVjE67Bm#%ECGEff=~z3f}nre6#sH_4?FvNQf>ys)()-{^DDY;JjAf^ zxb3vdAAPa)u}RQyE$7SYTYHQLYg?eFyCS$ZW;P=@q!sxMUo6jGOy>2N7i^mNCO>X__P&e zFTeDu0yv1LAmOa)U&k#LKFNZPde;hyKsLn^`EJ4~4}hIOZKu|se+%|7LD)auv|g-N zg$0Lsw8b~2O0)mKRiRtmPY>i45R~#*PDQPqk%*`#zY~PO9FIS6^6xXu@VoUO6Cp55 z(0z|2CvhMV`%eIVE2@PCEX=N(JDOK{9T3!k1Z@h9Zg-WCAd6XxLxb*0$mqSBb`er{ zn|S@C7?&c1&H;rfe=w0^n4KD!N^&M@7e6rjuC#{*fBcW0_uFUv+?-dME|DrtHi=c5 z!r~UEs*bKNCXZEH*KTzx2^c~N^k~>DB&H$LTi~<0IG0E!(raml2*804Sv52CH`f~> z-L?rJA_H2c&?(6PBI$Dv4h+dA05>LfL#jyP;AR|c^=Cc&>A!?``k)Vz&t8y(wO%7Y z4r=#6C}oQg%Z<-j7=qwRMHct(*U@@#hG4HYG=r%hvli}e9J^Tju}$UXi5ZAmZtzYdVzOXi!!6S(!sUtePSq_=vgp6A`s&bp<_CY_=6IM z0KuRKk`00h?E~#lHY+((Z}5LmXXT9=jC3892hcp8B~rA|)LR^ZfW(jaRZ!OX(iT+L_79u9q-^6sL#S`@Nx)n%slaJ1)Q`^EQ|MgMj zrkN>7rpQrzFzcF>U0xLZW>X&r6xqBL8FlWdJTQ~Kv4v%0Uqhc9*`G7(Ar2hdZ06&z zLt8+v`o?s^z?$YIN*g;Qyv$_6d!?CRYc{OP7JuU|9~n+GhZ|WnbO7mLWWBq$d#rS~ zkc#v+qh?>$N*kCJ^oQAdH~a@%lmYJ@$SVGKomnQ>>W%CiR{)x5GSECOAey%I2|Q}~@xYGBZ(+wcBmbnaHV){0)-Ck@o7%s&FtYU)BxMKw zpmy2tlI82aU}JC=|Hr{052={!;0B4&{I3`Oz$+y9-DHBF3{I_ngK-OC=3Ux~EsP$k z_GF$=+TY*gr(GrJ*A2dGvAr`Z1g*ZF5Z1v9j_Cv5#Ws?ps;@5-tl|c$pwuQf(7hYp za(Mom+Ux!Q=bv@$`;)K`)LAw|y?~B|-~_EBN(wk_n%R z?H>>V8DZm4R>sENAb$K0wv^)pLlbfrD+PkKP$gXBIB0GdWHyL7gntvEYah`(|Ie*E z5FD5dXm7Qz|C4Qy{uN%=zkjo}-%%s0iQvy|XpFKgNS#Y?&el^0x1eKKH?qk<=!n+A z>^(gn2$vT&`gPajY?^CVVkAw?q?quENz?3~_5Jqp;k{~2z)Wn=vl%>Z=tJYRuwne^?=OQ|4SJoEQ27Jc zfHwQX`5FOc1UmeNUo)6^bNevWMq~bcTjt_?? zVV63Qo`>lxDbATzmDGOKwtbJG&Iwb08G=s-J*UV*wM$}lRBh8VHJeb#;xh5a9N*aZUc$!rk!{?Fjk?_;5Lj>S z0vSTa_k_4Rs@_nHZ!YHwdkZzI|EunaJVn?4a~En{G@!mny6Fd0%fgx4SDj}+@}MOT zeRL*rbiYZ{uih5T@k1L}DxL>LQ2B>9!TYGIp@T-&83dn+%ru`?Rb9IdeCC|n_ihY) zL&O}M!x%h87ccQ_LhrGNgCOM|AWlh8Y(+>v1G6A9e`*796w4r+Uz@q~D&g+PlO$#4 zRUiPc@+xeA>qu60EmwT!T<0dy3cY~kfIB_;H7cEO}pzSvu>QC%Qs;MN+o+{uTKJaZfM@!{t;&z+A%lUf=j;* z*h%jKw)#FE+G@P^6v->O0E71S0oohTV`&myClClF5KNzmVZ+CWItHOWM}q@UZ5*Hg zml{T}N<;^j><}G`(51K#6huQv253UeZy&|T+AIdc$G&xAa88VgnxOo8Z4+j82$$Rl z_$^9-dpW1_FG}BpC=0ZjTA10(u&}emRYs4N#~RZ_dbv(krR5xl`{-gvbl;19;Y{+! ze>yaDdhhOYgAnz4_o>W5m4*dU9ZU(%(ug1Xvf=>V#v9o{*HfK$){9R@?GvdulXg|f zOzO>czqWB;K6Y{JuoNkI`&_ zCDs$(!Z0G=KS;TgsS5R}XX^eR`-Jad1&?6bFH!H8e6#JHx*SgqS)y=X}`SdYyxa{M)`eDdOs^Gh1xJ zBQ?~kpEZ3|e}$@8#2(+nUN^rkU z_C+w8u!qulFLZGEGdiM;o{fP2h7JUVVCm8nRBeN{Dme7v3R~c%)fQeb zeHo-((0zBfdtEB5AM?UQw>ucdKP2@n!?(!J)0`nR> zNKz;y*mVv8Q}+rBpDq@em^mC`u>0QK7b;kK)?}P*FXDKn;$idl$9{jX(G5?!b!t0} zGOC(NB7Mrb?;01z26>@hP!loZEuUUmHQ@IPDH4)i{Us7({W)-!TZ@uGTk;X-gUTu^ z%7g*MY~j|(UgLvg^bkPdFY-VtnM&@tY}N&32b*InE0L|Xjq);xAG?X-R>b-wY&+0e zF;>yakVTwY!RN~nsPg#is6c2SY|lu!KRiXEODY zI0R#Xu4ve8#gR70dgGIlV*;k1opn5jKh8u%HUS%yT%}cFH6;NGTrMUlda5tJemI@t z83B8Wx-HZ<60?vLKkMyUMMowuMctInG`U!LNVa##nVU)qD@c7$v+Q8r*%7RQp9H-y z53k92)01(&uRvVqid(UHfR5%#g3Ou+;wrVYG~&? ziZ&UkLg9SHJ;h7#-8~gIlKO%8!$_>e`hsV*LPyP)b>l8H>Yi!DqxqH`7w_xsV}-|=VO z8I$k-oj%=F(F|#=lSE_|RU$z~%9=Z>FCReNQ3WI6|Mt^ zAD12agZ*D*?W>|P3R}zBudyx1$;75Kl-q~~f;E-MsQk>J^_#?nfj^J@_UmVbH_gHv zs`qMNwS4~$+ank%KJ@2l7mk6j_q+Wq3&V>2gVslgLPx!}`>T+51nde7Vd>)3_+UrO zieunyyIlibYPAJ+7RBF|+wLF~qc&Top(bZW^@(H7R}g!8`?+wltX4DW?ILyA&M6-r#9v(a!0=ptNjcmx#W$Up>QVX#UoX|WS)(P=$e{2=F5&Xq!Bxh zfW3$RenPZB^MOqJlNHijXpi8RP7L{FRAa&wt}m9V*vqvGs(ncPs!U~fjc^QpCXKT*(~1{BQyp1M zp9)#UfQXQ)l_$LUvbZ}uoKv5*(9y0$N}LdWV>&>Iu^zZjlnhK%ShKfvCi92tuD5Jf z^oO-4c=cbD1GxxgQv`Cs2B8k}cFzs!E>PiPUhFOcn6*YZzI zqtp;hZ(FE1um>HE=$vLiv)Wz6x|+-074Pb)Xy%&v4Y3325+d!$;XlV0lt*;G_J@R- zL(atXX(O?i#i2QucrZ5n>_Nh<$v~)a0QF)AN7npylXk56MeHtpU}NV4#%F*o4?%a_ zkpNhMS|?q(p4d<5SoQSW+Z*<2ySC_(8$Fn+b zOy(`M!_}=X6>&Zr5*X;yRb*Ke&B6@aj+$;OgEmN!55Y)o00_u(84%uy@4=MtYFH*6 zXs#O8B3-&V)zXFhGS!E$>OuF}U}l*Y_%!=$xGMOb*IbB5Q;RT{wMRSJrOL(~FnniA zr0AIb?ETp8ngnRy5(njgvI%^kU@DfkQ23Ev{b|WaAIiMOA3G5{hg_iR|Z+u zU<9=Nf~Crkl-qNegfIGv`Vq+^)pMN%>8HgJ@SqWs?4-$K6yJ5B#}E&DZuXIwKCSpc zWbLXm4e(!n|AvM5+3_5ikghakRncz!ej33CWJ=;4+{$x}zMzlvv6v`BdA{kahTRCh z2U<2AxNjuEx`P|U?)E5#uG(}n3icyqW9OG=5`3sC=N|R?&+wJNc0vkHLZ7MN`Zs#v zyi3L6u82JKEKn98PWe3oDgr;zTvCLt=5!+h5H!TJhz)d2rSOsE=Uxb8>oaG#Dgqx` zE)Okssv6ev0dsxY#u^Joso9t17@NHAp#T$$!RS7&(bJ;NXhpxhqDG zQX`HaAG}{tIqmSn5Z!C+rr-7|U6W4)zSMO^r#ml;r>VcW8^bo6@M!5RidCd(1}`_M zB?dh%%5iAK8TWshPeG5zqpCIH9Vahf7t`Pl<~rK;65()cO}TRPR}=PZFmZ|vk@O7Q z(W{cFzppj+dp=W}#)p~bLuzA2+r^MUZ=S5oWHE6S28kTy94MLTK{f2prHb*7R6D~0 zy))gaf7HKnPN`5`chRt}4ePH#EC#U&O#%nod^{?87;okgvR7&2D)td&OFmS*>3dV>j;) z-UOJ4_ZyDsVXscZMa!`)AJ_uhJVTX9<%PU~)6H6B8@GI3OfD zb#NjVJw7_cWYuQLYKgnka+^;M@0EZIw}slZ-8v=uZ$D*_kOL)9te{s>Vb!f4IXQB} za#F26nzMW`sqV>exlv0WeHG3g2&kB=aF$z=3rC0~4 z%RbY9G(L*irNGuz3%9VqtlVs;zCsc}2HHm7WTjv0tV)LM?qCRhZ(T)$7VT`<^Rmb5 zIlzJ9+L<-`Pe?)cQw~NBf%f~>^n^%=39C@Z^BH{kJ4b=(q9y#(X4W3;F%>Id!{%Ps z6E1>3^-S>Eo;S7nDcc9x!4zKb->A51N~93AI8e3xN^YNay-;`ej7FPX^-M1 zFzqpBh}qu|5djC2o3tz)+C0!dFkgPTnWj%OlIU}*XarUFp|gBaa&v~|^&uCiD} zeQIarH<3neStYTo8}*+!!bB=+=JqbhlpRK0-s`4)wJTzQMaO=?^9gGrlJdvpz{g7nDw*=wsri( z1D}E1YM6p(UYCe$u?%ABD*jz-x*pF@eFIi{1NBTxeQ3ch2M>p z;_mB&Lk-XH6&|rtL*!oMlb9H6G!grA0hTdu5y$*0s~AO~_!a`aVY{-d3uj29oD{gK zU)RHGj{^%^ik0vg)q0SYGC6r6=h}#t7RrI@9+(-;kXHn{Zc9Wkx|IE#%>s>J2)UU&^G(>pq-ldGwt^|BNGIJL8T*e6e+nS>AA zu9#CGwF*rgZJ{fhu@G3Y>lc^^#T9IqaXYlEYzbffhA46Zx5gUFSRXCrf9eyG21z`g zI5SqES&FpKOn9g56LVKti-$y#4F2Lo_s-hbDS{+oNszTRVR*s+0b0LzIPOt*&0BqL z9-ARv4NZknE!2BAYQp6@-|#~_x?=?SYZ=OON$9!-hCF@xCy?J`$I_+4kiMXIUkL2P zcPXU355FgBnHm--9L_iwBi!dZH)&z9dTmi!90G`U+I--JJmJ==^5Bj(lM5ND_Ywa( z10D_jYP_TFP&B(DP$*M14K*pxXiZh7VBRn%z7I znm!>Z5Hy@%ROpRC>z`XK@u(y1C9U_@FTT{dgtK{SOfyi}5PYpt9j!mJ!!nH(*VFTR z#c!G@ByN-rF}Jh^D9zDIAHwXcJSG>{j$=B_M@}W>BL@>HM$n}RHOv!f3xG9tA<583>Z@MkU^gLXXWDv(J;)G!do8aX zN(;kbF~(xD*TCpM*~;hEXDL82QL9_d$F2dQQDbaBg!o$ZZJ`26Udooa3k+I&KeBX) za_>R0WjT$uJ7h+Bu?VUr64 zF|l%A)<)DF?h~Re{UuPlcqwf}2BD;h`1kQoal{m#u~Z@{p~E%1XU<6!(iWKnM7M=@ zi+`=!ucDWiogINWy}W~<6lH9Fz~=GbpbVfa%oF$T5M_HR6JE{^e|)?1>ThJK&p6+0 zv!QcLL8a_KLKH%%2~;y5(rT^reS^Tr&i5#u3%D~F=Z)j+MW1{eXQa6=Q(L~ws(TJ|;@qFE(4J)>b+|^wvD|@tvo24`W*RG!eCM>!NxqR8+YGm^sp8fAl z@VSsFzIM@*D)nl2WHx^iKh(&f5erQX&igU4V@h4=?Tdb-J-cIbTor}yyK%uUcqZtM zJkDt95Xx0)TG>xo7K9q}n$}cb`$|!Tu2EM1(%sce0a^MODCC~-i{C`#_7|!Oqn4cW z=xDX(*{spqm1SkXO=J^-u7y7ZIu^v*bLP@j=|HZ4EnT#^62MOI1fQVCgs4wSdrZ<& zK8k1zdE0GY(W3RCiRWlKwW{GejR75d8(*~E3Go!l_C){a>CwOsnHLWD#NT-gsfrJx zgJT(*O!(ulv-q#_8iVKOE|^J~(Yb9+Nd;^3mIm0aVxV=%gVAAalJ(5NSm;njK{G+wTGIl;qdgX zZ`HQU`hzN&4L#E?6$i8Xkm&ezgUJ}<8-G152cbj-D56&RLvv6otuAq#kJ~b@K&m`8jICI>VPZ`{9#D)%Nv;# zAS9d<@||!SN@)jAxthpF2<`2=TQtRT&L+T(AUAKY@UF(NHpVviVorVDtI+xdejxY3 zPHL+Uj)wB$zuTxEOOCjbkTsVVIK|oNlpU?Rw213gWQpx0JXES=gjgHB8j!^wuQYuv zy<2e3Wo_J#M4yTS)wO=Gb)cpevE3X;-=+?=iB_`XhxC!3jkGeBRPvng(3GJ?FlDGB z222?$tc$4xqib@Tr;SXGHs6LuZFT6UqIIF?O3mJm14s5(gkk2ckF!II0bFyTmLpq7 zYfh>jyKxuMw2yG-h)Z0awefA7M0S+@L}p&(yq%gbj5n;-k7`p)&eWVSFzQ6NGF`wd zGzl^F4p*{+z`v;|lPN)&4cU&1@O6Q|!Ie3lwIR;;P&uOpXAJM)y8nhHPmBYV?OL5Fwv6B@oS zGPY7J5P+z%dr|y(Z(8ba*1VybxPhkWfG$d?dRDG{_Ef(>R8Uyp1Dl+sK8i+eMBWad z2~v|L2+HsNJGQ3q=6RQ~^z=@k{GmjA-o#IED|US$&CJD{fOLBx(p~MWE;lok0gAQJ zUs>?M$A-#dHF+yj2|fyS$ZG#lbQJE5NUy12N_zf1msV=<{li$eKx{kVXve0jF0PCt zt4vLxaZ#M?_k5;;ZUY#-^yCC5Y{<41yUbQbuhsT00F5O$PQIWk(On%)=99cbJno6TVH0Hr0L)eA9}`;N~71(Q0KO7-Ww99K}!EDeoM51O^gA zHo`${jB;dmCn}oPMV8-CGZNTGYG5kZ5NX!nUavSq9Z71~e>%+wd%Cc_wB@ooAA{^CcJjyBV-e^Fvgi(P`ovxU;Ile(pFmU zdo0++IiP;eFvC=Z@!B+yf)v866ponZbhfkX+;0K>yg+z(a&mMfWq`o?-@1ba_IV%J z%G_8hO_iafxGvy1fH-@YdOys_mpFQGt&D)G4-352C4c5mpzcO=VG6G%pO=MyF7;v; za29A4obcLIU`3jpl!RplT5#Ke3Y$ydBp3){9{8Ey9ZUH~_H@kgMXp}tAx};<5@S|d zv3rY$D#xCY{V3=#^rIDDDttZ#p}8#RP({kF_V}bIX^NK zxiXX9HSK38vytS<`+e3W8rl{B(L8J?ITQ$K>7T@MHtlF_Sdpnwf!@l`?c}T_%&72^ z|D>}*03BIMH(0@cVLP$k*g9Vc9}DcDj&7zR1bQ}S$b&r)Ng|KNxtuyy|Z3!U-Gc> zYvXpve_%=o#*&pDfkY2KaO?PcLn!RZmK##CW$?-x7nS@HGuhR>gAEwHQ`Si{HgY zu8bhinzEJU9fF?riDJ4Y6#uzng*TX!&~qkP5!dq@=|AXG$%UFYgrzBfQD&1i{dk1f z`6WaNtHb|#`A>xkNnM)%cQ!&^2iXBal+ugngSVi04u{0wy9Zg10=YjgZvid+fi2|1 z66Byc)BLRf(t;upzX%CJ1P!)En*aVdvdahZy2#7-K;on~_l1{ac8Q>jmhQ}-gnU^t zEnpVsJn}yL=bsvqKukP`*xyIRom2lsrLYCGsLByr{WZ`r`7ly*w>2|K*bX-jW|QJw zgJS&c^ludTTYR0pT$A9ukV!O1vYpt9rE~j|h+YF3OYgcu6MN1;VLoW=*qV`oh&*<> zm+NnNPTA*gdpzK8>3{Sr<8PH~7AFa;>5uh-qy!zyhvxEsRV#2!(8IO>6|Ns2)iL7p zw?YJa*b*soCs5Hxa2uv*ZEV_q|9K9Za}F_jzZqH(Gr3tv?+cqsA-Q=SPy8iy@wfXC z2bcM9w4^DMn`&m}&iN(~V)hR(3Z zq*Qwxg9bq71pfFPkX9@K=E7~1M@mX9rx09T9sRAt*HWxUotP2Hd8=}RNF;xR3WF~miTRA6%yP+ad4Ikrg+cF%5 zWMlw;5xh%Vu|bvI0?AA%Hz|e_h?6fkQ66rRgac{0#i4Xvxh4vI5GbU&R&KdXP~=o% zYdV~b{^cEN(8ThDD!b^7R)Mc8pUaNz2ePqzA2F2-A7|a)xjI4B4aU6AZ~GYD{?U(7 z8$$;yNc?Ssvn%v70NMUJG3~11Nz2t)^R>LVg8rMixw=)p8AZjrYx209Q@+roXn_4> zmgoNjdXWlrEI5Bhyq{95_dXo|^BS}$J7G~M6~6lBGWQ_RW88(xS&5Q;N39dyJm9_; zm(b%5b*9XiEC(4Yk-BlimyL1TmSVV)z#vMganM39I4saBXo53#&icAYW2r*@HEL|$ zATN~vpG>>D4eC(OYm~yoZgp)e7ZrAc`(8}ARv^O#VVxj`BdhyDYLj8O>B&%4g?G`q_%j|iFBFYoarfcyQ0K6`#x~$ ze5yr20voj#C|bMA{Ccn7i?tnDH>V3?XV`6hCYeSjBq%+C~?lO9hp^@!L zndUL~A`(mXUUR*c2&I6w#BZNf(dgB&a4MQ9!#0?R{nAaHby*Ow!FQTSXW6nX=10D} zNeDk~kbYa_>U$Ofq4c0cTz0E(aVpezz1S%`o>=FO8Z=J3TlZOa%a-i-T(JiGgi^vj zj{qz*=&=@oz(me|;R~x^hbJZO86qx@6#Dwtc%WQ9O-I1h_nrIx86?C$j3m$is*`v( zO>p7-b=aW<-n-#aA~d|6)@~j5zq}VVWjdf+KL5nXMB_TgEe|LYv;jrnCQUaLf4rvK zvEbEHNBa-KzhuDW@a3@X*{=cNJ%D!S*Y*VAvq2BS=i|_@vy*O+&E+*(JJVyKXHk8! z?TeaxE#t?uaMS89s0*E)-`$LT3(`~x66K$9RO6lb2=DKo%OF|8Xa4aBep}*_eJEGs z50Jf@eq?P+8yvEtU7>=y%$8yOpcLY`>g~zF8D)9CzA*y}O;4l|NfI1q_N-BWhyu@= zU5q#`sRNam!~3V7!Y<|qEp9K%4F$97!2aD zl*Y8n(8a&jdF@#E4A^Rh)U`!idcRa_$lyJd(yS4DsEeFTZo5ve?T)A~rp~8d?9SBt zk-q?@g|SSq?6FltXxHGT$~#OvwnEU0aX#gKmz26h^HqDaL~2`JqhhzSe}7btUN^rD zWvbrj52drp@*s*&RGVJY+|}t187$0JK#s?isFsgpi~V4P*2h03XsNuq|K!16nJ~Ts8S-!g|4ic zw?CkF-sHBT@%3@Wc3}Y{QTWhILdJG7QDeg-UrkAWc!+cog=+{~`;639hsnJR_@CO~ zEIZfEQsDjG6mmR)!iI9OlO@NjBJ=9i-S9)r3#w@|{6`HPnLkVwj&LtIW~_$9{-faF zX&BnoNNwpJN_M92tPPXHJE+%m2%0StE;hl3{;a^XI|h6JB>LT2KaH5l1=ldA_@^2H zUxVh{o_L0(M#JxpV&rUk@e2+4tmys_qm=R#o~RBS%~8bC_V3t!EpEuD#ZHf1aO}(6 zMdH4w@l~S{$|=1oqVrvi2lNNo7xURce)>_MISKV%Ow#1ykyH0(+`_V}Jxlv~!DR^} zOI-zx>4ds37V8OWms+0|ck*3@FU(j*0$!eR6io$ z5;qBZ7xBvzjRgrCJ%s?$kjpfFd|3|u(01VdC#C9~**n3v3%nILB8)>}RQdrSWp7Lz z$0(dQuM}6$&P3>_i)xz$T;I&U;pI|^hA$Sy&q$}elmgZ=3__{8a$TKv(Et`{8wr@O zeYsuGeV1e}axPhO3!Lt7sF!#Zd$&=2-QzvOzrM{AD>3Rhn)kx(ANb?tBVgGq>cnG zw~jCHWTCPW1J{msne>Y)IByoZ+%E&(CO^zhDldpMUBlVvM?YGwET_CIn%N3cY755^ z<(T+Cj&0w%i;<+>WYlP;dO%}6OL&m($J`q)6H9UV%3ul?VVw>?*! zOV(@@AwK8zk3JX3Js?={fwQ9J zBL-ybgJOdl-9NbhUM;=*GKq*y9WZ5tV5FxY2LgiL9^WJQcebSC?QVhtyPJGlEP}RG zB`>$NhYcO2)Tn)Ohd9F#uYP^ZK;gZ3%!2(6)5_hyLi)85@(DZ5Uj27`nVC({lITPf z&7!e)Q3U}y3&itylFq~PuAclnqQ-QJOkN`~TJxZ%kD`|wRWbW}+%Ji}{A zB?~*hJwFOc^Ur0GB05uR*C?rR0LleX1*2Y~)5~0XPZkm2V1y1_78r@Y?w$x@!=Nde zG|96*dVR3-!>P>zG4aIc%;OBd*df`^FKdRw4hQZ{0ZX&=nt#f$8tXs?!k$L1G&ml} z;L)}|N~Y8gv=@u`88k_?H?#ZQFif^~NT z-lqPeJ(+v?AK}y~0qniSXw54Rb&RJI_ydX~duwwZA=Hn>MbdPPUeDxtypqE2Mdoz4 z|IAy-%S*kTGGDnZ)q+Ux{30~Zr?An8$;95q12THY@Y{a@1A~-?U`Nk@cK}64{NP#2EYhaenz}Hk)7);aOT2^FIvtx_bj3QX5o` z#P1+Ec`qc%oxG(s6RWwvda=(8@gW1CN8fkBq#gT?G}q>-2TTMyHd%p6pkf>ixoQA& zS;pGU-`cI8axCc+yxhS^!CgM|;Bz$Ay`NIWY0Y2Ixg012J;ZKY5be`Ee@@&gXTHU! z*?x7P(#uQVeb*sZ@+lTr}$edVERTz=bX?~BLsEOQ-K-=d*bG(;V zb@!oQa6A+=>4Wr4-~}FjUYU@2vTSW8c9;e8C_91i_=HEx+Cxi|dU~o5v}ypBXFe=l z*#$o+%a$F|X{EF622`}M`BIG9qcFX4GT#>nRS!|9p0MGy!_nw;anrhK3Ki;SXe@<3 z|6=~Gb)mY^OAWo9ldc5@yv8})i*tG{U=H;DBiglZShI$%&iU*-;xBQ0ema0US%hN| zr%Xg7O%BFMA6W4<04eIt=vum>RHt1o2PF4X(u0>Gf*+8;=!`In*wP1V z#^#N-Qxh*Q648RMhbm;qyb@^KHc(FU&uP?z30M8l(ud8QG;$Omae`VNxO()GwD zGg|U`eG5&Cdga=osM$X!=A*uV3}HO4K98d41FCjC2a#0!b}u3SCETPP?;lFcw8nqzLZaVP*iH)ZU(duo zg-HXI8BV@&$!GLX;A$PzpOR;Chdb#XxQ}2j`PGG3X7JpHmMADIO1fff4UkqrL}%U= zaHaUBOnfe2`?8prAt}nSc94l>INEACuNf-=LPH zUVc#wC&+^V=Ii$QLGmlBub1wEqO0q|cH&(0KN*Hdf!?F1z#YbmVnU+dgqylV{j9Fw}J2`UZq&lauJ3f!M0!@}Xe(ux14M z8!9(ns*!!xEb;)vh4_?fuN!G0Q%;+=i&BVCQpR@GH3Qk`% zVmOLg&~4rB5>pa8F>~xzsYyNYF-~+R{4%`FJd=Sj^(Hj=?<5N?cqv_Xws@|LxhXNM z!5w-XzSJzn*z|Zp_*RxleV&*fQ{9->PB=A__Qq4L)VR)c2GEv~(%?;mW#XL?kjxz< z2VB@AYfYt$6z!wl*XJH6!G9t8VON`B@*B=*xkcP5RhXe3+uAcLv$GGxo`uK}lcXHm zZ!QJku)CT%72YiT16ujMsU_)o@Wkx$Yhcs{akOJ{_ae?AhiMYLky zWp&pZr_%e_UKb;HBhr497tP`dIMy#D;Awrg%FkkM4WUI|d-T}uu|<*Xpkr+*@zHqP ze0sn?HLGIw*CALuKzo4>=0?wUaCzgAeSn!FUW~y@GNZ!|WBA>yv7C#dMps&yBn1dj z<$Ca#De(*d;@e67cKt^a2D`(+Cwz4dzZf8VLQw~Ci4@_^MAAPGFH45Fwi$F5+!ef;WYT?uE#?Kr-YBQpA~Fq~iZN$(Pem3@Os z^y1)_JPp0^jo>f*&C^a=$BL~a!uHzU4V|+oPYLKLwds7me5tMA-F5c#e{t2ghSH~c ze_o3G-;C`N-t}L<@~-ix-b%>(Xh90x-+2xI2x&4}{9B2upxMfQQQ(;4xSZLTTI&|F z@IQXV;+Ve`-I|=^-t`3k2Y*5jI<9QFPHOd1%DjW zRbmXNXudnmK#quu&j>z-paOVdUo&}|lIei#J*$a!gACUC2+!)X=Mf;}ZPfkyzsSev zIDR_1k(}(e?m@xQrXLwv=HQL9lloF@;-<7fpih4HOG!t{5M4-E+O zT4`el&HCsFFSZrIcK?BI8)^7*yrv=9Zw?WDGt#v4ZinN4{A^Ad&InwJ{I2W7tqBm1 zWBF@+Us+tlzJFr>*nMT1&-YqcnS*OgJvnT1Z?k6;QbXz1OFuK?;y#_59<}W~+yU6? z!-RP3UTA%X_4T>Rq<%o109oSRzuT=ZNzG(wG34f)Ilg0)+wdp?M(tfZ{N>b~OUGl2 z*vESXK$?bJz)m{C?haoVBU}j~&q3qJ?KbRm&a!Vke%>~x|#{Z5hp;l6c3b2@j;u)z;0~)yzG8(^m3x%1&~TYSwUkJ$S89Kjr-(YSh-)Cu$IL}!a>{uK@(XEH?Pap15u9~#w>i^S z3Ldt6z_1()gG!MZBvpJ zymtS${$17_gD4E1XV5+=*L5ZrE!y7v8fx3|F-QS*FRJxR2W}TB!xNbP70GGYqi;XO zBPANZoQyk6(}M5e^ybN2R^5f+Sr2%zb7rode0Xb38KA4@{COxeYznx zEW>qJg91CmcNo9JO<(2^b@wC~5_nY5vSkW%dxSo|NccD-8zBHfkkMcL z{2h}Z?ZiFQUBmr$?x)y=>exGs)u{WLyJTN$igcq}PgDaQ zmTZlz5WkNn$DS-4bK6A_ihnMTf9&mmM-!KuCoYGl;x~0TMREqObvNd+r2Q~`qu=!P zNMqYAM^WJ*zZKh_*5i?y-8xmfn_qM~a+~;e{qpYDqIV{E&+~@Rs8-Be6J3FPu@7O? zBlYdJ_~sf|i*=ty^YO@j%G-c7IRw`H3sM%C;Co5A$pz_l{!Sk?npK9qp14OCY3uqa ziWV^qSPbIF?spG8*Uwu#o7KnF9&mu2X4B1qKEmI~z(nx=@oq5I6`F@L@&M|)=*qMA z8gRgH=T2EvZXlSdrMBM8ig$D_BydGwv9{1kj*8t8kIG!>f!YC-kGpqCG`n7z$`{e{ z-%n~g4u}_1LnX+6Yw_|ozRyj~FnzZiFsGBQ;M7OZ837=ybi<&;p6Tsrsg4_au(WXY zD$*OICah|=v2@D#zoMdM`l9nqeO1Jm?J!irLGp}*7ZZ$hZ$1wXM6hM-{gki@CO_%a zp{7{h!*A_by97y|i{7wv?=Qw$;v<#NRaMyGAv+OM{#U~6ilXp{N3$z7vk%3CP@WTB zMn{!*Z_*hFb1qu=0*S^O9^rv0$G5s;eL9598DV-~l zGBvP4A{u~zGdFZj#*eL+P+YP?UZ0AVjYt#xH+@Je!dTGCtGj@ zR+glYwUBNi%V^3vEuxUR6^@$8gn1)7Ud|$JRDA=B)bXJ7Q~e`)wOjgY88hTk zxxDJv;O@x(FqfIIanBV~tBPs-0)FS4#rM1yG|C9B8RCnJ3`*sEvlzLhIo&#oZ+y@X zI})a>jpvP6r(INMQl?Jt)iYXL0QQR^f``QPspp8!GAq- z-~Mfd(2j-1+VTo;TjVjPeG#n^f(yf^p))7Sf7E^C)bQ(XziE~G$t0evh)j7xlU>KQBD$s+UPnevKF z^=w;_rXQq0*_tPh`oW&y+4amN|6Z1md^=|NfHvB!r6Y2D1 z1k``r8+k|wTnQ%0tRK`;9#NRiTsxKC(C58gnMcKy+)o}D{M0PY$KI1cmb(vJ+Q~YO zHfr1gWhmtei$AFQ#Hl5xAXYAK+V`iQ_8FeJ-(Gq^KKh$@bUU%-&___v9*$Ow^6a_y zp9edfQJ)`}_ozP)p<54_^?hhNG}o$yFyfA?IX0ba1D(_YrsMz$DBGg1e57XPeQ`k* zLoaC}tqhXbl=-gQ#+?#i7F1LoLT+{kKS(lV^r!i3pZf@9EM!fT zxpl_PFUjLX+h_=CCrd<*$`QHCMm!#7#q+|%Z+vV7mWU*RP5pRo=H|$o>Am&tpI?xe zr3+4jN_mE^SG3YT6pVO-SZs6wve)X4YY85adEC}4(zhIk?R0mDkT-b%8}C$pTZ>Ck z8v(mTA6B4aJjZLrQAlEbqyMaas0sXDgz~of}aR-rn+Xz&oI{b>1m=Y#CB~K)gT{&tHcAe?RQlj^R zU+R~W{%0wFw>(VB>%hLRdJb+fx^o3MUrYe4`7ok-bk9n5g;R$H%`$niZ8z=@2em#q zL;jSHoS*hTRH~i4XE5#aWhn^*iGCeRj6Ho$-*&A-=gDXKN$J<@9Al*Gw?4ek*KjT1 zHNypKCT1kRMwPPCehhh_wLWnUKSspDK=9*pCV;O8PVHvOM5f+Te5OU2d00Sy!=C$k zG-}{$cdhs9JrmfcqJYI_aY7nRr?uJTDDdVF48LcwSmbk&M>baa3p%^5@;Xy}KBLCB z&mDTUZJg4O> ze(#&kMO{PzuAW$|^^p8-!%7uMaHZ2*oFgIT{3JZTu@RWk+jWe{`kIMIa4dd~i+LPv zk8i3?j+xn&1Qg{#$t$$L;}kET*05+OXMP$1sxZE)O!G>8@`Cv?GQiq3Vu4|8mz zvXW;r4ZwlUmHq8ovIAT9jv^jnXVBzm;84naRyOiQ9!+fuh#v6tteDaCRU_v_cQTE4 zQQSVOoTj520|xS{pM)=aDEeWMmlv1JPGNHMJ21H*yx0`MXQ^Xm6hkD^)t67eC&5_o9CP(>tM+;*Q7WG%fiD zenZhl;o}yfTMZ`D4fE8JG$BetV>4Y|bkuVKz!tSt_B%XQ$&|2xAv<7rjB#VV6gLxAaB?mnb75 z%4CkOqq!xf68=3%2_~glTVcOPe`o;8IEkoo4tDbr>AL0>179@+uH4^Sx?tP!e6#e~ z8Z~%Lvsv=w(a%Qh@HW@;g5CkmLY2{qoN7`ESO^r*%S%!A`Sfzomddj#;X;cfKDhQvMTDtrSw2~#TFq4BWY>>mG&~qM zq}aV)o|C7T$y79Zm(!C=cN{b}kGGhQ^!mUGWoMs#Eq4=~0i(zl_Ahbo9)@x3*-21E z@aOe*7h$@))c6KM18RJHS71@Wq1ol@$CT>q?B^z*w#vGXB5`ET0`ytqDzqC$kvx2h zA%y({XIpv|3g%PwLh&yj{K&M^V{uU>}y~ zgX+9?ThX!3_Rg|^03@x`MYwgAC3@=>Xk!Ym%TCzvoK;#wi5>u#=`y&0*gk#X;$Ifq zQCnXuT0rK`5@CVl?J^A|BmjrfWjN$XUVGi7th>c_l6b6F=uXQ9Y7N4ehe7O=>OfJn z2+q(ELCE&TqAyA<4FZhKq_BYWVUj9*Dy4M4n7@mZ=^hqvQzK!R#@)gttFV<0VT<|~ z`=1xx;rCt3MKg<4(*wemg+GqD7a$=Fp0I#1>x6Jj%#z;DmFTnJcU+Bgue3s|Rj(?L zTk5jhk~X}toBAK4-@rJ6yE|+Zocvbt;BrxA1*WTnDq<>Z9_x=?C8O!AA~A*U%1Exi z=-K$SDh=*JfJdHXA2aqM<+nV3kN?3zkUZxU---5B0z$1_5cxj8L2%B!4hrY3e_y5I zOh?LJCxTW0nI1!NM*CZKm)J3(Az~#wf88l|mg*nW^q zI@?=se!RKu*~=?fIYsWSyCXn!c2NEYw2#!eUBFv_cGf{^JDt9y)GwpJ7V)98R%&g^ zV-1OI0->Ln{#LC;C41)51e!rcH9avd^n97dGZy^Wl5AsU=ix=m;*EgFtzIJ)AAB6@ z$8=kq`#JX(s*@=LCUja<{F})06id}tHS!3_*WW;c67yIQVv8-*Y2Bqm0Xk5p6|B9* zINHSyEs8Q``5rZ&fo-b2VPT5yDSbV`-xRD>&7^G#16fFe=3hb6-9HOvnzWr8qzWg;$v`if1Fuz2mlIW>MwS@ zms_{~XoGnabohT7h3HB{zDRv%1DI)v|B!iZ$@zdtDt#UNOv{X< zG0wYALvybAeBi#;Uka6=>x!Q$8Yl{lPI39rbCmyb7GofKd>KbFnBOe+G>czEG!5e~ zE&D~H->1l9`_u^*YaWn}N$#i@hq^N3b9pqZc|YM~q1KU62B)neO)Wi8=hgUs?xy-Z z^$H%}`3GT@DY22e%QVP2p?*K)*Y3L8&+)6+U-T-VpF0S4=7$PNO}F%p;AIAaA2Mu- zhwT@Bs%T|s9pAwa94(fgfoce)-y%`Vr&f5;tBp)Ajy^J~`xL^}nkpyp&`nnNQ~ya= z31!?wp9leBTcLpS|D%*Ivq68^K=NTM$bx8s7J7c~ADhB@h) zR{TH7bp)*0Q#?RYnf-MeL%p77%^3Cv@$oIRv?-fd&AnrT^{FvL-_%aTmw(yni0#%zz<<`wa%wl>uRy- zJNv8Z3}fU;{l2%@HQWAkj8Buhy~=$Y=76gmSupVky!;*C*mGQsYSi{EBK>b)3+`l_ z86Mm3)OF88f_#aenYl)NKJ#;Ck}S_ja3SP(J;2DaFXezq8%|!O=s1F-F_3S*k5>%7 zd{^kUSVBpC_^p6uqv#Rw$*Z-s1ZTnsoO=}Rw7l#XP=r8Q&WOd8HII(%E8{2)K5J^F zQ&KjZbdqxBA5~*k&8jUMc5obUF>G>G?>|Z@`kW?dn+2lD?tF zY)O^#Z4fKpebroe$>%ej!Z`t#BE6ng0uST~b~>$D?fO9L4)WVokT3a^y%8w0ISooC zWc=4Uu5xWqD;~BgyzB&gI_kL=`29~QRH&q^if^fiG4Gef;AGFK2{8z7^Y;&|SrsRb zCkEu*!(3XGRhZhf|7qZ>`hejif1Z)N_`OgHtlhf?po=AN0vZnYd#%b0{9ilq8g8Zh zV+g4}tmL-?t5Ov(zi-W2X41s4z9nspJk9(yQMG7WLsnw48P>fj-GJtohhY9Kx6lTH z=zftB!GP}1>l=mi{Y7O23Y+{Q*F!zOI7N~$!=`>gu){^aI7MwOFCm#eg#9&zQ|f=s znous|JOml~n^zonQ_4OSG+0Hp12Zi-KnB>0Fk;W3c#ua_MS% z^CFGIOJWIMt;r4+%L4Ou7x;loy|7Y76&2^!k5-vOOAoBWOP}J z2N~O!*i{{DFTC~jV~DoNf|I?6OCvO+!;Dgz6u5~M{ z7wozUHiv=?oKO)M+B;8Pr>)HPxKY%>aoi>%BMb*MJ6cCnlHikT$`17(QWuovXcyL) z9;0OzqN6d2VOd~gmL?&;X}anz+`59&^7$k?xPyZyZNF%eDb8Yv*Cv$CI4^-DvpZuZ zytBzpB4};-+Hey*jLYfm0mrL+AAEhwdd=JxCw<(!JjHsI`VE$5G!)eMN>AwSf)^TB zybh2Esw?rRNv`p^b>Na<_WrxW9cPMW4XIj5QVO$t<)CE*&KS>zuZ2c^G*vm~YiMjW zwIh%gZqjNg+Iz#P`@L+MltS{zdyNnWhuhyC*UDy4IUCGlF+0L2+ZOdo*jI+K;4>6{ zg3$~6h@a2&aa}{+L(_pIVaTUJrK`rdS7F(CqoXS``8hA)ETa8ytu6DS6;E`^D9k>k z{=OH<{)p2~#d|i?Ljsb1(neDCVe;i9dae&BLHzBFwqiE5{DB8`SnfP}b;Q&G$8WEU zZ8$U2QRlK)p{Xt~a(5Dw(yy#Bl?nI{5>kJj!z&+>P2@-W~VOX=47MPa%b;psH@{&7HzIgH=ghbB^nRo|cwP%V zpLdF>)PQ@9iy&<^2ij^58Atiwx}{{`o8yjIq&@1y(RvGS%X!S-i|ixuaB*#JJ3^)�@HD7RXzyJm*3L~wI) zITiW(_S+x`LLC>-X+%J^VQ`pLS+E6o?@5ENuY>@R!+tNk6)h?%I#!{Po+r>nyf(3~ z%N5Y&WCC9E$az!K_Y5KmW@HHVAZ^Dfc{=Bz?pkRL-~wr0bY}rN0JZk8Q2*fT07^YCyaDCx=!m z#AL;=JY#UTob38OY@}LG(enG6VfzX5Ppa0L2)k0KgTrA`a3G0DqfV=-%rli{GS!0S zctAvp27*xMI&Fc^uinDNmHNt7;Y5MTe437NIB0bBwh=g?PpFoBse`k21{9fuZad6*c`U%rlvr=e#umfzK}mbjUrB0s9QRCf1)2_z`(P z_mSMxhWtJ)^0Vt9m6Bi*xs-@5!n{(~JECx7MungOUPT&untjnhS;&BpfD99%gqQJ} z>!wwK>1uk*(u*{?ai<+T&4zB0Udp#x0BtZU7*QcHDuPo9|Ai*SV+(>4!IJ%SC2} z$J#=qCJ%t+WA|>ErbmeI+C6vEDgg!gX%WcK{&v*v10(w)KYEiW#q_j>b>t%m2qrtX z=o7ek1;R?d8(@LSoq(CIGcBiRJxwM207(hK^cC@i; z_QPOG@%&7Zqc+spiuyF{vhE%oL^$|QOYKk=SGK$RM<-0rRz6Sp=tU$DjA)d%2nBoL xn0?}Y`kxE!hH1Ib*!R+&gFh-Ah4inB_YVft*gQ<=TLb>(CL$@@gt2ESk)pks$H=}^w$vCx*#?P7_AF&z8~Y#$ zAu$;HHufyq4C$NkFzwAQFsmX2ImKC^f)o3^H`C)Ozj53#qa%k>Aj5G~{Vi3|62n52?^qS3mgiTtP zt+$7RgOj6&k7s;|XG-cln4yo4kKc>Cj|H`!JvIyDRl+GD6B|a7l9G~Ju&;B9-?&`Q zsV2SEwkl;2EUk$z&vdIl!bKP?Z8f#;?Ck8~6Z(`m+Hb-FACTb**jZhdNAwUubME>7uu76D|Y)Za-zL*R^fidb+Zr z+%+fo_?r9TSk2Ry{`u9>A8PnJTSss4*XDKC)#-}74!*8_Hw}`+Eu9{EQ|Gk%hJf+c za&CXe6WbK0w`Ik8PBI+d3ELA>oWDJ&XhRZ#5yCV6zxro>HkLwu(hrISmzjJB#pbhZ zjFk=t9$p{xY-0!hZ?p_jeG9uWpW&&-Aigo%UMexbh)}%_BZjB6DB|XVS`}IRSP+=x z$Na?AC?TCb0V}=bF@ufN_g1U<%yY-iMl#&47s4OjmV&|ywaXviIXiHwA2y&^_6&jH z-KI^%Ao$-y`xCrz$SPC~&AqeLj9S}L@xYS)@TyKWJC_6193*mw9Y$z(*&Q58bw@AV z(t^(?wa2|963S;@7x+EULsOviyvST}2s5wZ7P8;p4Ef@@o%0p!_%GZ$F^5q^@-7mItN7F&hOK8Sjz zKu`g+vdr>>b6nwUkE2kfTHwTDnl>jvvqm=nMla4@`9qgz$&$kJ1&VW(jBU1!Ptf3 zx~0Unp@*#u;!z-r)PJoR$T^c(D{2zo7<5(+IelQj`yqL+I1NB4N$RJ(7Fl9n++ba_ zPO<11As*g-DS35GKqI`^A1EV9jMs^IGg}?sZ-b`iC22h3=vr>Y3LQ3nWb~8iU6R%s zyXnNFzYO3OZmYW(Di_VRPV+oP?EQHQjfP!`f2?(k+uQY?SsO=h+%*=I%6CZmN2Au) zWph?jo}Esp6>}-%s(pZMgejBzkc-$?H>H{Rs zU70w-jd6e`B$e9+KQf6bjYmg%e*7T&=3`4*a-E~7L0SIwBInHMPMj3@0CXUW1z^^6 zn|6o@an*vvJT>h0z9f5j2jMI+V96R#5jKeUkj(Ms2DTW_2;G%Z8;N4mp7o8Jn$tHe z7%C}RW}5fP2wQiZ{3htwG`b4j%=`0Z|1i{RskfgU{9ZqB<4oiwjf0nk{<@6L7@aXb zs`z$jvLu`LYxmN^$1@?1#Yw&_O1P#c@9h9N!Z~iJ;hI<+dr7O`)^%9#Oh?mgClT07 zbrGR<1X^({jGZfRu)_i)v@0t8fHT|+VJFqdPLs#m= zkJ_=IWHb)ci?>;<&$F9|c=m3zokyseWk#Nd`1PhTuIiNWm2kE?+tQ5bs=?@CQzM#$ zCxF0*?wxpsj%i;Vo8}4iD}9Z?(Ch=Db1K)2ra+{XH|U9!L}^6!RDHT5^!-ZU~z1FgA$lZ(zj`&%Q0jO%yT1fJh-*zd> zm!6Yz)9k%z!rT%!8V7~3F(L&5FLTQ=NZvl!SC+x1z5Kkefn9MA2XS9T0%7qNvPz5) zs*IVDDJD1{HM6^~GzMUO#t01{-uTM{Iw-d|s*W?oGa+_7XuEZmcm{%*46)$dwhxet zv}*wjl*a*m65-iSUvhH3Fdo{QI&=quEJ##{!rEom(eQA(0s&B+_R$RjDx<}`^`mA% z`M#T?c*X50`8V)SrW$YxXJw{oaJIs4WP$YR{ruq|%VMPU`>*1xed!Qp#8BBC{%0uD z7|sIfqoVpp*GNw|OWNXx7?prfuD%PPYLwAJWlD%VDlpB6IB~!2))}Izwv?{AtWQL- z+Tdjv5_JY3y9*?{5IM+|jq|_=-3K$WI-Z7yPYDdpB;MQN1Q`A!y*4@xL$(cD@M}}5 zs)6#v{{8%;Lus^%eP&Qs2hMYa-6B@sIp$p0ms2||R+IcsU1#uhnCmg=v0t*ig zD$WP6>-Ox#GoZjwoiG#;tB382;xB7(Kka#Q^%~(Zm^J|?J_jfFimWp9x-VJ=re8i+}+hisi~4Ja=HIE2DH>_Ofr+a^TsG zH7IQ^#Ei}WFT@SI(RdQ7F6A0=s|pY~0!_(TwMcP*{(QHM7)#$6)ytTvRC7f7E}-&3 z?`ZvYtwimvFcQE$C4QBBTNt;`F5{auYr=!-%C8M7X-#_;aeMrUE-&wA(Uics#6@T`M? zRLYI&^RJaT_q{@>Ud@brY=ScsOSyQUn#w`KQ}bwTb!-lg)+5BTkm-{%3u0@Z4QF1g z8>wBPjF`2+4-Jh`0K0q(-BYs=_CVyW} z?DE>A=cva^Sh>a06zh6m@tK6i!E)v5@NPp9oqAYc)OB>%QhNn^D`cG?>v@=G=VqS2 zF%YEntmhfIXKCm9p%M=d{Nk>RK4rDZo%JZTS1n&0N&*h888>6v=tI;90p+x?!-sxG^Ie z6XwKXkEz|Ejn2oA&&6y^2Ns96QsEq)9(^nbOSZ47RnV+)cDo1T1rk80Y1m*YH|}bQ zxj5NvRCd+9>BjnXi>}hHvQ~KCRftl!_%1{PM`q-h35(UP^>y}}>u}C`Qy?6l;PS?` zJ0={I$8xHp9BvR)DwbW=(Y8RKY`qalJs_gTg0&Lom~>l9bFT^~1A#aUMa6+sti^B| z?6|2VBkG4+Z!Z%A1N$u3O@dv8?j9H&$w^IM0Mi0ZWZ5TK5`$>a1%S{13s zjFq*UQmoAGjD_}VZ)R%H_@4dj4l$w+rzV== zN8Z#1%YIc^(^yQC+L$RXJyvBZgXSFFP?8Sza z-^BjA*EWv2iByLp3`I7fb>=+_!T}6JG0k-s=c>^0*Hb~dFGPz-|V|;?LJ(|pot{g(Ges+KK z_8HXi0O=D=60z_-ju?b!pa<_|og)b6;tv~&W)yw9(Kvn_^lU#Tg!of#9QNfmZI=$l zY_P~dQVX!p(r7E2&ena?pl_lD%jjj=v1jCHc$CcDVs&&F+VUKpiz52hK{+u|115yn z=bBp8*}7)}N@`TnU?fz!VHFgyxVYCK!Mq`V-sgz9pVERp}y<3cwO&^hzohG)9AE_ z7Xi19u~w_+JZXivUA=R&3gUy?h=ogBt*4gWk=1>?^)c+J+kQV@2pQVAeN~Z<)O<1h zF&4q|WBm)^5xO1=*|Dn$XtuO)Ip%zR32d|(C#iLx_)Sj2@qtwnx3n^jq;r?)go__) zH7W%}1oNth%P!Y8g=r)*m*jeE6la` z<<(WHoPAl=;LjBZJP}qwBVzcJ{D-Y&p$#*ly*a>2KJh{BT;=Djs=|fl{Gfyr4Gq=+ z&5ElvD#3=&MT2+CtLs%=t#MxGdIPjl5f(us7jUoPhZBuAmoa8n29^;rrCsa8Wbc8J zdmYNoTMZQ09)1(d#rP^o)^-Ah1`fda0gJHz}pA46Ts?$=m6}_I4^A zr2Tji15xmV5zkaKY_1Dl&{YO62+xGgJ#6*Hm3vwa29brh0cC1j?;0!r_Y`)3=?zb( z`RxLZDp7Ia$*!Hb6agt5{8ax%?cg65D3TwHkgJ)o84N>wJRb5;%jk=-%BHOO{474> zoHSH-IPsV`Ssd!9k*V=XPzm6LR4(vB9EkHuDoAhA>scSefB#$-@Z6p?s)*G|gXoRB zJGD-HyY%2b#hQOpGbf+&j|-HgX#lh>m8PFo%#gz`Cf`|6!^x=nZ!-Bm?TguD61?yf zG(49CP}Y2HJ5GG4HNjW*@74MOT6DcXNXr}Pg^_)vHMI&o`6dvw`K@DEd5V$`An?uX z1lVIi=q4Dz3!6%wh7E)&(Fw0#$LURJ^bCLE763}?P+JMd-hy%^dlE?X!%0~18r z6;Ja=l6FbTtQ#Ug1dEGvJiqY7+c#41l9SwXE+ptN_O2;&c$xavibegK9z3 z>IuqxBOHEm8wtGDwI1cF)t+>KIl&u28r-x8;rmb3LXfNg447P~DKrM(p=zE?6TEVQ zne5IDAF-SDj8pj+kIgf>^^!<>&ilBETCeCS?-Os53k=fwDoK=Bw>bXolDxvyJwVrV zB1S>e56&wSU}L{Zd`Whodz4fB;1^w~Ruz?+5xP-%b^qA+Ei(o?0i}bS0ZhN5@$x>l zOJ(H-emSErPWA7|<%z?3YV^5&aebeWGutn?@8B2Zxes*?ahW z|7Lgm&)GbDH_g=H zi{>UbYa#$kkKS*vog4M5xsvp^s0KcDSm&(j15gomKynv0`CBlK^_Ji&ZKRdQe$r<$ zm8+2SQr7D{Xy-sDvGYGM8g0Pao73ILDcK9N)PUJm|S?m0`f@sJ|LE${x3X+l^q|rwXw-zxKGZT z7+~ZqLGzy|Rus!PHUJh^(pBZNa5iawp8a*Wjp0e0`rjf!4Nn|BZ@OOiE*gP7p#wl= zU{Xg(s(+<*8JfG7Jd{CuU*&4n=s%QqK43CGjckBLE z{DRJaYOCP-p1Lla()wF53I>qbu1e{W^90Z;S7e;vLb-*kKXNH=KOA(+K3c!q@1`+KSM?+`dar0-3;eUz)H z7#P@ep1?v0B41B!k^&L>{`$U<<9)mD2Lsjj@6sN9tqqUZI|_gcYnkn4FR&nS7G+mA zi=uxSi{YU*di*XFVHQH51I8E_0oH!+d$05lS?4{_JyC)=e1*L>e~6-4$@3*aA<%vp z@zzc((7ygdaD3Eb#z{$7J-603U7ACurj`kXL#1z5%3`+qp9?$2|7c<?lprrJL)(z{nBLV|pr66SIjcYhi4Iwf8yuKxS z4}nc0rGKSBQ}X%CUo%d9UP-;TN* zvjYPx4C#y8aiCfo@#uW4@?Y-7g4QyF)=uqTE4&_77z74)9$R<~?*WvhNaCKkh+nD+ zAu%7(kX0;da33W}Q6YVBC;mu}QD;3D0m|=#mM3_&d=jn%5(TDqw>$SQaBWbFYvd~R znv-=%y{>Z~a1=tH#v@*(B>|r^V6b5f*|(zlOQ8@RB_E~ip)}HyR?y)?sSNyy9Hi3F zH!MKxG*smuhW<|l3>L6UngXtq4P&y4d9xQk-u1}y8G~F)mcfx^-bjXk28pS zj?QIF82joc|3Lx7Tl4btK*EZy{Srjx88L{er7hI_gY-Pj-`1C&@>5WmJibpH*q+7< zIeN*2{DQ}!#AegrUdY^}w*K%Y;rf`M){6j0&|<&QQMBBYb(_y7$=ZaCe$*m6%=nD? zpLs~Kt=)tGe+d?$O8pysYP}oSG|&-GwrWPX-{6fU-HF2ww0Ko-ity0(-_St6>))jD zr1(G*op^*wq}Lxc7&5G1lV9iASPQ_HlqK7Lj)O--IXjbY-b*O|cZNK;#=hg@>tWEf z%~||m>l?2dCVqF{(e_Q30JmNIDC*?UkB%GvL}dV`;oJTSe7|=aI$Ioc&^YrneJ860PsLbtnZ93swmyI$(x~ z0Mpr!JeRAQO_>@YiJ$sMuy4>d=AdIfR6o`|Y9o8+x*X`n=lB=fz^pCl13f`7*jN$x ztY-?;Q(pP|-vsyuz(YKCIB;)u>YGn|&e0yK50rJFA`e!#Kt9qz34@zpfFx{C)06Et z(iE(Jp+V+Mx#^ZMs_>&Fsy;KVFvcy-Q+^>)chH2N&cHPN5JF|8kPGw}Hk}_aMZ1dk zupLOozg`($sr(d5@H6~gd0}<$S$-f#==P52za9x^Vn~^HR0f4nF2tYM;{HgUOSGc!_~vY88PrwIXo6Bsv+!Ac@u@7$$DB8d_3H;J9esCqNMcC*R)RlUXM>+IsUx5T}1qBD0EaQTJhauu_O1k9pI(DaQ; zVd50DM+mDr#lCl$NyE3cNDQrKXqr0Z z5#i|n9-YEoYR~X~g>9Z5hJhz*^^VZzc;ZqS)oL1E3xjbac~yIR632QY1y+jKhau7f zVDtH^Xr779yN@X6nQcVP;4hVAS_E_G&*}v#tK4IUY z5>>{0_4<$UH&RMtpJ%bPzID(Zo9eJnf8q0N#?CPZdhD?ufA-hr-OmAsLg#--!bSiI za9jQf^&tupPP}<gO`Ww7) zoliMNx^?!QC4MO6!4x?bOkyD<6-sWkkzGEa{)IxiKdaGV=u1BGO$*mR4&T^pq{Dk8 zHhfEXR)7zcJ03R3GBM*oPjySB#j(DUt;R#e&YU7blaxn@Jm(9oo$jIO^{K!_5&=Y% zG@5-tdYIrwR@E|rZlC}Yb)id{d+UUuU5=s~!3~IH^Te2J<^G;uQ<2R3#mtyEha2KK zC!{rAxVi}^-E^@P=^c40!CR~!!%}a5(qhh07j!japh$Spo4Q$K2EfD>K#V{1W$90A zS8X3&X+pd_D&Qe=x7$L-scK977$HxG-`6)AJTH~n+RNIL?E)m;i%{U@ z>MPvU6?NsRxqQC#`g=inqS}3M!(w2Bv*F3-RL4`a_JHH2^Oy(}&_)vStbPq7IaMaZ zsN>Ygkq28eCoOSS&G-YPsZ5@mFHy8LEkcNAjWsIW=w_>CJ89GtiU=cF)?U&#mb*Zoya4aE>UN zyE$#D)*nf)*uIQCDscmPZOC5j8h4U9@q@G5K{&UCO(ZIvoD5buUGHNLD~OWAOt0A0 zj4a%hU@2bVINViiI!oxGV>NrNHD-&Lh%6{d)L(Bt(E4k&K-&ExB8$~OlP9TGa&Z7c z*V+Mv91WXEZhbd5c`tW5A-i{-_RDZ z(X~>lECt6wd&}r7r%?>iI8^jO1C)an0Pr3_4%Nd|l?Y`uoi`UftB1~H82PO8(``w? zY&m;%Kh)GXUNJF%OG#<>x#1x^ap9_W*q_Qsi@rKVyfwI~q1XUtlW=XIQ$GfF>JPP# zFc+Jy5~MSvwAF>x_2E!B|JKy5n3UjiIhwN_C(|Vs1A~sN6rS5$z-=@fP(3!2BSWs#sWm0@dL$IQ6 z3DkoGpFTr1pIh5H=V)2L`9Z!ub*f0VKTF{l*mc<121J4L5=V@fvx!U^-~eo(1JLrq zq1w%gw*{RmGny^%m5=0qtqX8i?c?z+hg!Fh?=pzdR&bPObeVM zelFm9a;>Lgwn~wk{#tB%=53TFdTV(TULw5+k$!aA^#!rTzo>v5@})I>NU~V7`eoBM zb;l~bd$o^(0cSQi%8#P>8GUxR&ZiIHbgmpQ_KTnakqP-Gy~KlF^+@1!6uEP&H`Ch( zL-vi74`02OTzb>V{zQiV-s;L73M0jUj%36|4F`{pscDCL14ejb>%VCPoKMl47|_g= zfvnHrj6OOKmTigL6`xCXwvj|=Z$^IHYGvxYCG1h|scte4Q}po4=>hWuLy9d*axKafASv$t> zsm~UlxF>(~WQA9TQkPFmQ^TVxUicDdR#K2E*6h~GIEThsSW*u#bLcY#XM@q@s&MC6 zPz1`{8xR3ND*0=bVxk?+UGEZMHnJAr=+{V1t>>faJ!fbidCr3rb0khw+~afgm+25j z$vuaf1&X7d^d%3^8Qb+Yn}9)2>c=t_Tp5lgNVMs|Nu`d#ygXqcux(CW{SeTEn?jr$Z^-pj65q~Fo;BDfsC(d9 zc9E$>>ZvUi$bCqgl$?w-0wVj=ui9piT#}vXXQ+B7_SqNd!Mn}T6PRwt@n#>;Dz{F3 zJ$EexRboZI0I}1Q5nrVhw*uPd2$KO3qT^(8N=~L-W_j(SOx&QzL?i>-R#)HF)?kqk z^6~QR5XZ?DBI`RXPcL?lN)4l1l2E}j`ZDAjox0?uX*|6?BT(hkUs&Drl)YAXXK!5S zLo%(nr%Z8z2{16=*n0`koMj!1NP8u|eHynces;%}pz{0>_NcEtLotC#Flb$QS70EE ziKTWsa7-i_{j%PCeF0HPoXV=s)b#Y+MpFCfUP$4TmKo=;dtCwZpTfgQXe zN4dr4mnE+YVDUcGv`l6|GFhJ68tbVgM$C-PBl)D4r?0Ki5qWY{--t! z-#vOad-Sc|AzHAb@lwJskH)SmTWBt!T}Mdc!P7bE>26d-Az`bzlC;?n)= zfD&FZ_4rn35F8UvKPgy=yc0(4pU9lzgWcV`{PjY>F4&MqGWq33GiyS4)_9$;dNTuM zpaK~-V=ifnG$!zy?{;|U@vy=nBccfHr~xs1-Szp3>1GMH_P1(Cow`4wMlrATSle85 zAv(f?cJ#2g`v(k6U|Wcw&I&_;KW-7_)z3LWD7_f3NZ9|)V>$%uTxPb!N zg=2`MscUxD^EZnJ+n=CZ-oDN;r6xVg*$ePpWfb4uz&mUtFp*i^OFTrQJv#L`tJT7R zUXo!;oi61BX}UMlDOYH1d0HUE<&8QMsX&dPxp$dU<7bOm zwH5F*>))pmTGrRai8+?jkq+~7(UPk+qAZ5UkyzQSjX$lk+w-CNKxksCpsRJ;3jr~z zHMaTfF3(gztev?-;aM6>TsP|7Oi4Betv2Uf+H>4U~aiqZADh5TDbt{=?W za){B)M*w|38JEOezx&bF`pD|0aG_|BDNEv;V^QvKC!bB~y=Pv;gA|*R74Ba{n7K%pzIh`e!GRrKhOT z>F9Q9yU7?7Ty~q&fH1J9A?jd?dtG#~$vSl?9whd2yEJ4MRWN@N-!}p!wc@JQkDLeN z!Xx_ZatM!X8gd+VIkVku+ZJ4KWs$q@Oqn3jln_}vwo_cJ3C7d&+791YMVPwUWg)Y5 zcEy&H%IQeG;48`VZP#&5;oUV zAnEBG8xS7_xsPoLx0@RTvoGGRQ>E?M1-3Q)Ie|prEw{ogINIEC5D<7GYY%Ro`@zPd zhy>V>!n(;)L6jnjXflHmTE|KH{EaeclKX> zPZ?iTnD??c;)UM+W?pUpzf%MIXBvYj5O)u-8Rd}baE{-IE*$T;;cLrIY1Mb)78_B( z#9eaB;B9kT#)NzN7-h!0jmP7UOh?dsXS&Rvz4{MBegjjFl*Ns2rYx-RN=Loy*btS^ zJN~$J@PlAilYc1|+zI*JhKO56{b{ejB=H3Xy-EZ&r-rP5XD`*So9S(B_$w3e z<^g1DBSYD05)j+FjZW_X6`;$mK>Bn!KCG>}aukr$sS$R+!wGnMi^p+rv%mp8B(P0_ z7~b{U`%s>{f+#nQR)ae@JzE@La|b6ZDhi2%dTwt9fU?!SHUX8N?~HHB5@Ffcef|%o z8|0qcbUbT&OFBK&LoJohV4nT`R#kq!BVK%72OEw0?e;=g)H~T7HGm7a{^L!0!UPDr zxWn(ac?_&4qfvx4y{Euir_8^Bq#b$+6R%(aBU)Vllg-r5LX`NhsEf+XMt?TKTLO&7 zbD-l74wj$HMa0ei5jBIrNag+^mCs;i|GlO0CsnS*sbOx;>c~Fi~nv@ z%|wa!xg6h%GQhguVBbi81SIRrdsJx;cYvcx;&=vD@(;mMQsw8m;Csenzw0Wbax{nl9|Hw@?{GO5yF zbu+=DmFXWD@B>>1vXq@h18W@WAPoM&^pCrt^^HKAJ0cqh1fAdV(+wkX*}hAd_~V9M z7&8*Li#{xe^^XR$Ljx}Ztu*~H+lUchG%Wn)l0cjC`<-G6Mk`NR`TcQ|@qgzs3ShH! zgOBiQdyxFrwvGTjj2Q@YW0r`DU6w1y%CZZO@PRiQoF*@MrS`K-G8nUH(k} zNB7J};g$cFCIA1>@BfYhA||A)FF5ZXuX*Fuc~|6>6B(_jt%{0Io||L6Ln zI5;IK`e0M!_~_C9>AphxKlQ$W9it>0VVgyEUeISbG^b7tY_#y!C_5BI&R>O%smtv@Y-g4(K zf?i&7;^L#Cvn&alr(lKJeXTzS3^)h1d)7LaV#vAJ@sx~`*2wrxB?R1VkTXl_x%A|% zk5}mC+2f(l&1!{Dq!wp zGotr@HW$+zuDqIVp@W-b`!OLEn}|Erc`1+H zwedpSujG3~h&2jKC1#Bh8LBy`do(+G=D_zzYRSj%8sltohfaN8aiIU{jrBwko6PoG zM?NcqXKY{AbXCZy00+JkqD*n@gB)~S>BKoVB+-Zc(9Qy7@DSa}CaMA2;_^DL++GjkY&VZ^*<&&PcF8EnmdP~zOg7vz%Hn(}<>eW`@RCT}l)bTm`+V*v zmO)$PO~Po)naF$$6e1R4awDU(QRKP7UmD{2Pv!;kdTPeNz+$A~ltLU;1;7(gpk z?T3$n2g^gH7+&me{)!>TRGthl@+!Q_uGTpuf|D4r5t50z6YkC8+PFLJ?*wn)ouiMt zFK6|ca4RrGh`;UEWlAjN!Fx@qW?YP_X%+xaL7#GFQ{(`=ufOc7N=V@=QKBWVt2Z)5 zFHOEIIWBZ(-K`FeK0AC2JYXDp!e$>euQJvB;Pj`c80<_=gr7fc)59C$nI~w+oW=B! zW{Agq%EYgT+X_E4vEK)*Hg+oUs!jGkMG;mQQs~3Z`O-~ZbU$Yb9A?OHcBv;G%|9C3 zVZpy=jq<+DrtxZzU(x)6iPwSAP`ysNC?#cTNT(-W!v}8pHb$;zPO@0JC5Ia=L|e#} zzH$WKWEybBlx>_O(cpp7v-wdxi-7qq=8q|nyLPrIapt+usS8W#GNqZt3g#Z6=;@;* z@Wv1YdKATL;zu6T7Tu&J*BM_x(~Gj;!)I#Sx+M;=tXwD#0WpjK>IGC$!U4-G8FvoS zUSp^092aTDkSjY}7@-)sg~-eDn`|6*z6raeEW9VwJ`NMltlEl>wRUe(6m^TqfbyMv zNLSf_vKQ{ooH(bQ;aWLvq3{du6H7FB^or+@?XRnJOp4fNug4^HeL6+#uy|xEn?Xan zX#oCERKb_1k+xd@4|CmjDsJO-*-h!mZ=wT|vWmB(FJAbC@>4G;feGaKhUHs-aPyX= zOMopzHgq>z?5LDe2?m^Z%os<|Hzm$59gw&y{f->kg72tY5k!YO1WSs>;7f!~|49$? z%F~hWd1N9Q0fGkw$+paYF&KifKXUc50-m8IdBt`6MGTXt0`SFyrK_?=8*d|eGpX6U z0bYBU)$3EQwg`+yQ-H`h?WuG3!3=I)p}P7qQ#sZAYwq&%^H&3RWyFcMGv|*PoR!LK z$DI#=A7Z4}45r<2QlFb>-y_yrryp+-7pJesFxm6zVnCC`n$<${w*v>lvtruBc9)n* zeyf|wJ0wf={zg-#1tloU2BCk^@;tCD+~zQ8SoQ91*WHCkP8Q&p3C=x0!Bx%hyDcLy ztqVQDd>A)mzEUjaVwtazX+Z79vlc;AIB>QB-&C@zzH<23ygKNmfv72t{FfjniT2Xso_9nRqMv>`T3?ba(U2-cl%PX! z8hB_0O*EA5qK$H29{I6I+#aASx&QUl%UbtqVJOWa(|6~p&CdH34>3{~4@Cs!NO@Do zyZq$;DMmqwKccqg;xpH4_6Lxuds3~e!gc%Z-hOY>A-kjAI8ryM^ji^L0Lii@?d@@O z3V186mdyAFY?}9AH6y#LM`Z2Ye$iO*^L=8#Zf>TFbfW8ehxWLL5^Pi?qfbwWE2n*z zuU9A-B#+g?vX8-IC_0lY2v9rY3wW!$nYzJ-KHhV!&q%tG*Q&kYMt!TpQF#8seP^W? z1{9~9mGV6Zln%Qd#D{V_zCZxZ?1j7XVZZ1r9T6ZD1jZyd(Mgv$01K}qpeIDAVZ$OB zmvX+Ist;`51Q8`?QlP;_w0F-p-mB27J94$TYs46l+Sbb*;5R`#z7Cv?65L399+sdm zMwOZTs^riz65AvglU*w1A+M~^@f_X;yhtbG65KCBo>*11NovKLcDFGTJXOmZzAGTP{AMS5A9 zW%z%0{`64PQMLO@vHIe@@lvGnvD2h|1s)~2jm#3H@DiD#!(L+dBgA&+w}!D7P$LaY z*dkSK=wb4*C+wjd=r~LcvkK4V0bq67ZF6V#5p@)S?Tz2V= zyT7Uz+$OF_m~wsu_;iC%hS7D&cU`J)?G%3z^a-i}drR1-B|clauI?E0C>}a4>1wEg zBw5c^g8q`L6QaC++!CWFrWL`;+2Ws!M>St#jk-t?>=|@y~QOd zguuw{=Eo6gofZz2p#(w?Rfc)&hqS&U;f|q$N;s4@P)7l2=YzX2_UKWU99Q+gT0C&v zOKq>d2Tqzik+?R$zdjx*ql1B0vlUr+&qvp6Jgv-!kZ=Op(Z3*+&0hZ7^fszK*cUiS`&magyc{6 zW8D2NtV!ReMy^>jrvHej3~`GaKn<}=iPvcIWeFy`#o|-U=eVHwlSUbx`$C)_wA*LI zAN8$Th^(;<3O3$MYFZcHG+vY3#S_;?~P2;3>2 z6#CJRZuGj^gZrcL)K(c*bCG8uexwFt7FRV1;KL7#@^_3bS!U4CZPqsG^)RA0`VM{s zBfn1p&nBNFF#l>;&+RMXrf&q~B0>0t>$QC@8_m6koNPapeHyO=-WJ7bGW9g(vrEXl zOpy7BP#~{6n9I-=GX|PxQVa)?&eiy3nL1cy*ELpIfj&w7w+mk&I4jJ3Nx1y%e0UN588}=_=3`&wL|xiz(9As`n8tIXyuRK?KJ_<9&w>X_(o-KA|#p2 zkWPoj>jDiPm<&nAxG!|yj`5h{BCdRB(SI`X*1hmuNBje!%EqjVo>9BP-@T_{mnm4G zKqT{BNxXy2o+UDj#MqP%c-^lu0TrPXSq(puCu#`?L9*?Tqi=f<%9NdU@+VAqAxM|l z*MoBy==|`8}G?^qaWxjcgQGLnp-@Gfrw@ln+h}xLf}xhJF#EkhnO}KVe}J}>7-UJ zE*xcigt+i*V(zBhhj>}l^u0}((NAad@^llT8eT?>eG!NWOfTU*V<0>97;fK|5E$=;ie$8C5C7wqzLZR70rhBQW}npMw1!@rgV{KWFRpTLN!vX96j zqBRcldwGCb_2a($_hCpsfmJ`p5H^$dff|>WuQD^u3t3D^j@V~Zd}x^YI<}jMKj3SA zHnSe<0;<=4jSS;~G$jLePD)_=f!*ScVUdtAA>tS%^oebRuc@6;yGMWV*uXaeX|Co{n# zJE^_?l3I|6;Z%2|OY)f*J)#acbuya(5h6IbAs#@A?-(VtyKEP$$3LaR}T21l2L18}^{zNxJu2tYLRCZbt^12Yff+sb}e zHt0(lx08F_5REWn#$?Wn@lb%hLwILdQMp|Xbnw(L@=kG{r*jW} z#9JDhBGW#X28O_k8u{uHy9;PP>QLZ!v%RUZ4HdwsH|^OV7zjlp+dUe1Np% zaymjxxQ*8QSrg*-yt3O zY_t$54U`1NI0|%ZzdOuvBCThSlN(Lc59kBv(q&8O?G^%Vfj z*B)1zANj=N0gz90@vViXDL`qOoN(-ir@WN+9Glt&)T`!6le;n?vdb52Gic~H6UhP+ zCs#G%`T3pKkHxNinIGPflH8m6LA|GY;BH>47#Zjg*>JfYY`Xb*8sDqc{MfGsDH4rN z_Ca)hK(DB+tnpJelicXAtoHGw=mOxy4nDyR!@pK@K;n$5TI$#=buw&$8G*)2dAxFI zdoeoP+;B26ySkr)vZ_lV1t;|uUR5JaD?2CY< zoPdR=PYeZRpw=5To4dh z8=cfw&l7Y{O8>|vrw*i3jn}{?1YH}|u}%R+7vQaH59WuN(JjT?FqQ;xB=b1}MdkR~ zQ~;j+J-9tp>56A%?jgkm>9^FBSh6O1e` z&pCU;@!~Goqp86$nR4Lp>KgmW**oMk|Mze-ZVler6BjAyq-p;bR!jh_0G}Eo?9Af> zU&anvU+ZwXj)$Z7 zx)%E))gw%S-hJQN8)n|wyv;J$Tn9h0vD7PHwfu0ZNd0NBdv%hw(vs1D6k2ws&SmYa zOH!UY)w8zr8}1?_py~>p-P2AFH4$-0DU$nfQcf`2PrX%q6PidM~ zZWZAKnfo~sbrn|MP;cuOXYG0leyn0XG@rJ3gCFs!vKBfrNdSQm4tP|HlRb`(+D1EC zC)m)7^4SX+;jlQ(4FB)`>R^m3k3O9iRpCJSh=jC>^-iS0NJ%#O#=ZcqWfRU7oobD9 zG3{+#FD>3Oi)V0^Z|ZsCwsoaiF}H;30}67Upz^wt-%N#b*i7ARs?2ncHF*AntJLxm zHJwnYM9fJd3jjvvlQf%(ymJiAY&|N{0-T5iM~*J|k=|rI@i;^wZ&oEn}| zG~=ojU_K}h>~;dj9=QWip8-eWQ~s`?!tgFzEkKBn%^x9=xe zZGeyOG7O$rZGTNT$Hr<_nFZMMh9)(0cfjZO-M+3aYEI$1z{z1K4z&`7`jm>Dn7o*V zn3T^8+|IASsqB%zXCwDDG=P*Eb)Dzg$8svicn2ZJzC`#THf}j^-tp2D7vT7MT+;OX za^Uu(1elzxom=8eQO*{+UhA9OcU;r+5JNvlGO?{oQ15SC_^!aYf(H%PB*UWNK`?QP>@N zvVrF!L+QFm+6wUPYcK7HL*Uz6stq1#ql-o1rK|BrWof}PMHi{NzGBeI<08)Bo^`PI zEE6~7g_n~iEXz0R?>|HkM`j8=hYRLvGdz=&*z6O}!6glg0`TRQMkY}8Db8wOE11-s zDv_IT7uXSvbNS-ZIF%V`U}4VY+QTay;gx+#R!F_q5ko z4En2STk^>?a6|KYcCCdd(4;k<0l0MJmd+SiGBx7^zLaxCQywoqCX5Y8LiC01aY@qA zi+?Hp%$?MDm*fhb<0iGZ*J)g$qjSjtcA!bPsO#SkS$=E~%@7Yojf-e@JJS{ol^-93 z5mObEX&_2NpX`PKdeomRlctV=)U@4_OewFYW-lQeJ8!JY+X4LL#sU1zxjtQygaX^@ zSA>|0hi(7|*KqaC7A}hO-_2sx1S_tG`FXHb;&-}tE&KJN_~#2fo%F8^i3{oq_4P@X zdOSCI)$Rl;mFpspwkMCY+kBgbs|=ciLDvB_;$=PXVB4rkN;{V^i+DhBB6xU9{r18M z?86ZeRmzucKF6Spt0}65o(v8%7ID{%!}5+FBg94 zY>S2xc*RoG`OWEo;{>msHNvx{fqA|!aHgVLJoN(ZIehcXZ9*d?$q_;_{6FNqc|6qZ z_dnjg*;+(nt-D1iWGpk5q=hJ>5~3)Dv1{zRN@y87*#?zmilnkdmP*DxvL$rNOwm6ijyB=fUr(@C1FmrY zv-$vjsG7|^r+;S7$15poEo zuMKshM^rge`7h5U0}rRNB-Swj*r-;h4Lz3zKdoF-$w8Mx-ecH;bnkL+!#^9D z%(Gg(N)`}_iR9{@4xZWhqE?@l>Y-55Tph8Q4v7y=fzsQn6&}@c6oRNpmUb0Sd@U86 z3~v$q5>gGy)&g*fR?H+c+Ko@mS_V7G_x4Mozm|1;L}_y)f6Xd0rk5iMT>t7C@qYqx zDLf^*!!Y3sqb1*g6D0yuj*@T=FuViFzHwiRjgGqVw@Qw&2uj7IkZ{2DvH6lcyw9JF z%OFA497nE(wSz(lM8Ti~_8{R!8)W|d60+1@9ICKN6ZDzgFZ107)@4ViV~PC*oik@# zcyVp87`p>E@>`hqvw@%NQI_-1w0A%V%wbi^APF?|^~%2>2|K8uo%~6tPc#`~Rh`V2 z9Cl?p7m+kvn3w@te~WX~TO@P;tkVq3%Yj3A+ngO3&n$DUY#$C|CHWTHO}Pcl(+p!C zzx?dQ*<9?~wnJ4_jGjv-5vfySx=pB~1BpYNdPL(V-#bN{Smfs;y4H&wONonEsQbnv zd`b#-MTN+h1EQSEi7sDj)>z&TVRm%kxlMSrSs#w^?n&aU?`}D!MSl8Tct?YhhmBV$ z+hS~aC6OC*24Z8m%B;>405x<+!w#m|dtItB@vV4s^llF)O|<jEoar%6a6LaX0Fh$LK0Hcf!lo^kqK@`o6 z+17XW^^kWJ#=3{$JW4)yHKjIC89hyxV^ZVsHx4(gcqHFxt<)N(5v!wR#|9)HrhlPw z!)Z0)+xKF9IAkJc_EcRd5zh{I6>M#of8M`hzox_{pa3JjRD`Q8%y>E?rNY<-n)5N3 z6Pcu;8(n+Eocwm}QLcN`gmiXcBETO$@yN?EU#n6@3SJFJ*{JlttO?D5+j6Ch_>u6> ztY&iHVYP}ZS6RHe&bJiZlmbR!$6>>@5Z!!FC)I@m_<~f9Z-=n}++>vPsPfS>Oa|S1 zXeZ>0(M2ECwA^m}_*)_5b9}TXm zl!ch!2%~mk(Z$Mj5M%E{8NEfcWs$L% z8IE|Xq$IG%wuICb;gG=RGsH11`tZoXGD!XJz9a;+)bTJ(v%Oa&A`|f{;>_^~iA@Ky z%Me{HAhCVz(VULiDpqIwvzrt<;+7Qd^y4=Zex$Bs9s$uhtQz)6+*SL^#Q5{zR^I$O zCYg`gBIA@hb14FNMY}@CVR}HP*uh3#ncDZzn#ehXV|4Yv>F%MN?mJjlaPI3dHsK{} zwi`QtwwudNqqwh(k3pupkuP9~W9lJJ>R{Pt%Bsb{s!=xpj@11UO%_kcv}PY4rS*OT zh5<|fr0m^cX&IjDPRLOi@S;FI0XJ}#nSl<5?rb+`gEtKizU*p_FV>avJZ_Dnc3pXP zavNa!G7RwB7_{NR9>i=_Yfo&nXaO)Jy{8JFV5a7VKe&Ds#HC#}l7b3^v7^}N-O5j# z<$bcG^xi#xcGdb@V*E9NW&24~oPQ@&QM9e-3E=Zn5NPiKpnV?PWR$q|3-~l|q>64c zurIIV8A4?iCmx&I&yT=b4DBV9W3Ttb0F<~@IzjAJQ*|KNcLaxqtL`$F*aFFFps z=Muh{vjoGpwIXLR1tCLWyLV>kcj~LA>yutL)65NV$lO5JmZz0}7O3GE9U6>CL`ykj zKIyzN7}1q<>++P&2?+8C*nuOi9O!&oWIPwvdt zcLkUV&4u76ozK!YI?mG)pJ_z!do0>FRWoJ}X~yI4W;gw?a#JQ%SZG1QdQ9I*KHlIg zu-E#}o5o$OuzcQ7f9TV@#^$;s=PyO~yvX(-fv50EtCQ5Gs z0txq1?;t;SfdaU*;IZYo+NDJ(LQ74TyS@t&fviZQ`)2j8=1+m)_vdro>Jc?QV6T+k z)_t_TDvi_hE)_6)Ve(wxZnx>->b>Nn%K7~JU`vSIMZ+>9LXl-cF${m|@qpcY z-|m)*Q&(wY?+weZ?FhQAzyf*>-wKL!&K%GciEN6JAgWhxSWsUOd*YvJG}`cr7a5PV z7d-h8dk%-{_wY~C2UOVzQN`Dj)g%j(h&DF#-rZu~=v#YJl>)<7n?{B?Uq06v#^AnS zqtPWss9e>c3OgIu9>n6pLFr9%C^Uu8P?%_Cmj0Wbk*lhpr@h4+4`7>JHkZ<}G|hgI z0LEsVCLV!~bo(T+O6c2h@8mW~61&RLJnNvv`N@x zR#289S@_*pO@Yj2nwiYX&@o_1qlzY>$ckcPT{{s8f5hwX?n&pHfl>&1H~Vo*<$*kF z?EERBM|uui>P+u9Yh{@hTMM>wU<1O=u~GaY|90@=kRxOVyJ55SgG502(Fd>=R%Apy z-9gh51kW4-wH`89A2{746$M4Ug8Z4TxBN%dHbOXdrfT9gHr0ppYQmcfHl^s=F_|9! zj;)+XheU#`7s&Af6F3YLff7M(Rw5l@Jv$O+#@ThD_yl~vElqY)vHPcu5Tj~AUU=gq zFCKM=*FbECB7XUKrIXB|5+7iNt<`w4S3~5yjCVkfq%)~|PJ-OXmoU_qW(1$_Qk}^OA6m3BcQx%Fq;!bp3gTOg)-iu!1WGp6Zj~ zvsTQ&nmj|F9zV=h4h$fTb8HaU2c1+F1to1X`I6g(48BH)aqxP*LoY+sIv=~AY=jsG zB%7$&Q=M6{fI>tysSBpXYi5Rass7K>TY^f z|9c|H%c8j~-qA0w(IYp5w+Uv;HY($7WECKrF+JWys;N6*Po(y&8PHW076f~f7te?) z2?5Ss-G^FAojLHMfu1^2QApv)g6>e3>xI59Q6x3h)h7Dl7)Og5kVdlfJx*yyZ`MTy zl*rIJB~@Aw{47OrPT-)bzQQA~&rNYlluR_eQa8w_XDX*c?7pZP+oFcP1S{@Fz2#2G`m$>vIEncbQs3 zk41LNZ*k|6nQx50Gc=MTlhf~SJ_myJfQJ1*q(QHFr?_l=sAfv?ab07jMX7P-zN4BL zHX1M$BZLz@s>}g4ZJPPT&2?RAVpL}4wM`OY7sQHQEv{s`?&qznO}%2n0r-KDzL;B+ zf0dC#HIFlaQks%e^V1~FR1|eSHz3tel?jSuO&4Dd-qhLk+D;;f{vb%u!$HCpw*lI~ zU-Rlf?cIRJ2UUg-%ay2@-!sI zgXd#{(R%LzuOB-I`RZg}0X6|lLOgaTMyrtb(xuId>!X8E6uepUE&&t>Swc-x21Ig# z1=wCdB&=9ROdb>;x=A>$3O5N-dyOttM3%)%=?XUQ*lzMO~8aSiI}Lu71Od zq5gM(T|n&wncq2#S5df78IBET4JQGzZJ=;Vt$2ZQ7O+oj6=BK)DL?z0;!hq-{XNZh zD>cj3s+R{28;X$%6WA;ofs`_}8`!8)V!Fo2i>A`vyZK+RQP13G~0(SCk1)8Kt!BJj?t=D!b3 zHO2q_xiHdPRf~^Q_yW{PmQpI40}3q?_!0(~MIy@p(gx`!96JL=xCYD?=Dq3E_9H!5KynO1(eBraZAUuODg1Q?;+|#YN9dT7P)(c(Y)6RV4 z;Ue=cblp7!0iM^^CB@{VV;N2y#iFFm~(2Ow}A`;J-t2%$N6HykjOpjlEF&1$U+!#W%MCAG( z$(ia=*R*5+0iz`5*$=Al^enn3Ux2B(yb`eli_a2Z^MsoJ$irX*2(vC&W z*(St|uli9mwhGRZShNOs273awLda8kMg}v(OVXHKE=vo{eT>xpVG%g<&hBQY&BT)T zkzkF9Y*!UllZHUdwwZNLy$YBiE5f_-0~RN zR>rTy_;OhJu)M{#RS27hRcR+778Kfp(-qG}gCQHM_}#>RT1}xZn=q(qC)`qm#xHn3 zsE1Q#QwIIXolo(uBFsniI1&rj{XPSNH8=welEy?MW;K%fVv3#Gzb_2#dx%W{T+u`m z;1g$w{Huy5i!h4#8vwSe=ctZqkV5BI9lZ#YH&eRz-WLHIWxWS&6h6^7ly`YG+PHy$ zo>AeX-z}OvaJE(kV~(3jxs`MNr=@tSwf}||PFa70iZFi2dW^c!S+c4uyMP)b=>av} zdy9a?`J*1pVkYJ0({8nIHG!@!MBrfajpD0;AxjGo?AnE#R>DR63W z<)B0_#h@%7sw*r%wgoA}tG*L70bGIcWe9tjMYzkpHYbc?CRH4;N!cgg3;(l6HMsn# zmiIQLs+x4xtO zN;BSNJ&7y%NzBu~xA2!V*g^-i1s~yUNX>BQS%Bp_bEFuN|C>P0jX#7@djWymq&^f` zfyS)MyC}qDU;`!>1-QX;Fxs z=M$Ef?Pm)UdyQ2yvOO2svLDh&9_h|LpZWQi|xvkuali3}+)r z7=`~As6ACoTfp> zh#tS7U5>$-RP{Y7zfl%AEX<^i5(qyQ*!nM$h-$ss6_jUTE3; zg=~g-tAv4!6=`s$2_zopO}-%2#Z<49&nP(JZ1{8Ae7Q>o8FpW`8cRhhb8%+`=8cU- zt5HWkP#~FyQ49cCY4QYn4R6qbf}ZjbXYOoI!u9Mqr{T=^mP&{VhQeF46uR6w($fE& z7Su8*j1%fW{)-yn)pMJ=hbeUxV=k-)W>$E<+l0{jxF+I%n$bD2#~;?^nJYbU(YSf= zjPiU#i_2FwOId>_^Y)wR1I6y%sM0Ew-E?oay2tvULvDkIUyfJxa7re6_V>#triAMD zzNu|o7j_1!oISX>6GH;BJAq`PE@%Og)UKM!aa9hUG5%(+_uOm!`LhNrqEK0x*j20k zxNH;4gc5`woH%lcSo@*Wv%lL~$<5CwHZf~wNlOZpXXbb!`2_~5hO|Q?P=E%3BZUaz z&`r_~%xMX%NKikOm^K_O6{FK&jf)&G$r`+cYHg=86M4)ARn3GSHJVGx$<_D*9<(NA zT+bgH$&CASHnmc%+6y%Oew^KTDn?tzG5bPt(vh;~1dt*};ec3>Hsvo&oSfg!(@^sm z2MR9Lu4+i6PYo6P^5f=FA{q^jlKc%S*-;rk2 z0YB|Ga7r2G->r2DO=fmfGPFB-gS0Wd+4zO%dfE|i4A2+wDuD#AKn!YLQ}r9RDtpNA zS*#X^sBm*NI<7(^DOYK0^Y=n}2b)s_%Y;w);XJkv5Up_H*g525;+F+#uCp=`tSZ&R zXY=u&JIeiiHG9gw|r9zeh{roji zI?cyM#y4nxt5ebP0C+;)(Zy&R{~A;RoFvx51xA{li@uf4er+gpDKX_lgS~TaNcRuz z+pa?0s*Xx#XvMdCrwk=aMt&p z(zF@uJd1a+mx_hQD|8LV)Qw*TovO_$POcaHGSgi}YLYEkzc`X<^8~8qj4<(hVQ4!n zQkdQ1d!%-)$ex#KVd%zBaNY>CRHB^ot9+iZutuSNlK941=@>^PR{zqG?Dn-Qn7VQ| z9L1{P;EXWs(pQ=faMe=xX*n3&P={L&Z%p2)?TIvQeR!0-_Jy*R{F zkHNaR-rUyv$tX&QO3Y^b2ZmVM7Lv!)E|&hsIykwHfgv!pNaI%1^3i9YrX)ICIq zxW*E>E2V9mDM&~@n0*eZDH`yb7@pq!)`KFX8KJbuF<8L3vRaRMz+JQY)UTBS#P>z; z)+1RQ{p*ttf!rWQDpYG7BViltC)Ef6uai^viqXS8e||O)#Fa)K$VRg-Y9G-Y$DB4SxNTj15)2VVm~XD3Y<^7HMvqt(0VXZUIKL({X@H zF+?AAP6%~|ssAbE0)QWO-9f?`z3PoyCT+OBj;66}=+8P{%JDqe@n}lQ<6GIt5#MTXEzbL+Y~ zBrC29lDLe8-*qTGb2Ma20)+|(l83cd9)<`Jfu7xPgNUt4)5&Er9HZ%U$Izcu${SJj9mnuz)TZ_wn__fAzq~U8t z8RX)F^kKGxCW_pZqeDMUD}itfy&~~XT|M09G^sPz|MXTz_I>?}B&Z&Dh&Z(U%FWUIvb-n>v7t~}oiTlHy_9AJxq(uf1833hsiz-sJ1$>-4+!%KkG zg{|v2s|AHGj1QK1;*xS^Z6-c`jQdTO8GS_Ur~UfV-?alme<{g=_VXPczw%A4gEV<( zn7#KLm+T5owW`BLyFanP%r?f8Bft`7O)WmskLx=Vx*OEv9Qz68Jc4$koh^H*QetBD zGA-&yIjQ|tc8q%<{Q100U@{G*)p#(zKPgtp_Ra5L9SfiXhn>A|Dxv{b(!4m8=tjJk2WSsP?(!X>LBKd6@8NL1_=3 zjl?G3hIPr=CQx=hpjiLJLL0TH;*OYhDP770_1VT#7r7gZE%NB9!do+hrmh{^dRqD0 zYJ8dH!qv47hMv)%m}@kuvsLp9y=7JP8lr;9-txZB0qE;YToo<*saw7_m5aDKGmWUT zyIvU=NKWBfIQ`C${vV@QH%YIkn@L4wPsH8vHG@A){52c}sjPg#A`8xe7XkV3;MLz` zmvut72!;)BT0B$6XAE8ByDpO=<05K3LfwS&q(5|7UqL8@W zx1R#ONdq@-9fhwJPx)5kr(|B|6Ra3Q8km})>bQ#vrJ!z1@7l7-(L68l~=hlq=`}$8u=|ggy>y3rs$Wv^BOi;atAFHCP&ytPvJfdHJP)n3#0JQ8iWO|PY zxZ90TL+uzm}zD_yPIxtmd2}hmI&;i{Lz!Ub80rqjulc!3^GV3Dkom^6p zUIN&$SNCgAx+?F&PMiatks*k}B0HkqLV82APMZ!@H_5p#fsfFD0 z)~gpJ>*0Qty{Yv~ z?k@#p-W5)%5oKG2^0Gqv5QwQM-!p_v;rVWvS@1}6uK7FrPxJ}_vS$Sh$6R~+ zhLZIm3-amC)Jl4*+XH2{({@XqayUlf1${28lJ2r-5=z#CYSD{!&%jca+LG^pEAd#L z1JWe{7n-y6#zGMEpsh>MTA<|pRq@>5tglFKjiV@*3-wu|6#n8onCOm6avdiBo>W9H z$qlVCg&>t$9ZLY;e{83JsfDu+V2PCKJ)DrY)Xe$>Y{)`Hrcujw8B$CtnW_8@yvGn{ zhzUWWx@NNtuS+YiL9=?vE!gGh6lda1bWDDOD#%!Ga!Ii75~U&5U|y9mRfGl4n|p)~ zX^0z!WVuOcxGuBopef~GT`5s(N}pf|<{nmkmn74z22x3J+NyVvcg>n1%`d>VMLE)0 z8Yoibrz`hc6e;&pCh_Kim0ldo2j;cA;Q9YleE=36D_K@rW6Vc<@YXTvB&aw-YU9=a|q>uh->=@DLsI-m*>;+ z6ZF-t{IS0vi4JjZ!E@sk>3Hu}3rC6TS0_Q$;_Cz8F*X=bpUzV*QQQN{qa>+roK-3| z;2ZJYF*lwaj?E14%|Gwy0R&X`r>NF1Ftj*@El2z#K|+^j&&CC7OQoLJE8bzW`7tqS z)2tDIc`A>@3Q5>+wjb_ve@;E-MI{3o5W#X`X2^69u^sRTU2g@GhfMzGcL&?+YTZEj zFEjOcW6Q4Q-34CwS;3dnnl*!rHFOIK?a+A%yK{tAB#2}DW()B@m9}~b!jv6VZ$BiG z8x2p8y=o5A_x?z4UWR}ah}641UVW10ttWtQ853NMsA}BE@oB-5z8}NrA`}3!hB9=B zOWER2SEe2tK*~)h290v4%#r-F)M)$HmXu^9JzR=0`W%k}G?_Vy3M|0KHfMkg#(mi_ zL3gS?F3vX;-WsmfXAlMN(REbP2byCkThLM20(ea+K!S24({Gl(Nz{nWRbmWjZN?=< zmU#o~BMZ3_K$6cbiqbkI`Mzxc8NxxTtrL3PxC9}@x8(>?P75@o z*c-2$Hbh~wvM@2YXkM78B4-L|o(6IE<5CFY)1V-6DGoxS>jCS^nt#ow0?eA*l|*N} z;gs`FDbPVb(?PftM-R^uC7lNXJXm;BwoXfQ;+Kz)>BL-qQJuiIXyX5DT*Ba)JFFJ8AsBuY{R)(H zu9T*mgo=~rJ|G4I@f9=Q2d{+K(f5wfG)qmghBm&=G69skF2{(a+k3`az$sORV7O8S zFy6UTan@8=2zkng72Oo$P63EFo0h;WB`RjDha2y2l)m+4A_w^^{&8%8TSE0KKb2$QH%$0}e{A*ZnfoSvC#%@b&7qG`j;TU+ zl?}@*7m7DhhCt4pBTB(M8MP$S!>l6}NW_;PaSG#nkkQ7G&6td_8p(Vk=UPs48dhPL z2N1(}t1*N)ZL8A`hydY%2%qy6(L&-TYgQiMMmVZm?q|u{L~OGp`uSv^kmX-Z#!n%u zdqnYk%f6@P@GRMh#-1${I9wuRUt&f(HHU_HZw={n9tCa|dt8nvsIXzPEoC{&4Ne5Ze$84(;^cGqu}6 z1EMsCf|!fx6^vI+(U!h%lglH@hH+cnf-TLyuUU@6hz|!A0JvEwxHA1KV{)yoZpp@U zfz3*L5a&MJ9R1UcBw*ne-GOWd#Ml!q8m^;Ky0SBog1R`ZoeMg|{CnM}v9L-ZQn${? zEZv!vu3tAc8T38JZ!2}Fhqbhh^b1{=Ilcjc(bhiy1!uz{&ktlW@)i=s-N%<%LKNj4 zh53dechweYKw?B$MxRu=gX_-o)YYxR zV-k)@1>cPoE!&l3UCU4XxrwSYJ#Wdqox1O|Zbxg_>^EIKd=AS*E$9@}92s0uX! zb}_0WQeyTy6rBYWuu+0|igVrD3g<1oZ%%F07ndg{g36mukd}l_2ZW(3B?P;^*B6Y1 z`Me?DYnX0m8w<0gx%5Q{8TF6gZHA=LLfD(prWc9dS{vhUT~(#V|qlAsD*B*|&XdCx=Kk1A7y?SK&>)9?#MP zZt&4G^eW!mL6ySP?b>AdzEHK~(C=^X#)t3#UheQN?s8xE@ z*HpwrYN#fe-KJ5=^~8Sa8?jJu-voxu2=rR)GAjK){EJHgm>J1}PH&KHbv+xp#M{2wWjbh14!v6Yf5k7{u;d`FB6>+R zT3!hxXrJj$u9D91vIsG+uof@tqwB$$K+8C)f!=!D_-vKzn0C;!A9~gKKmVdy9~X7F z71Yn#Ivf`4yFyD8OVS3H|AJdqxyU~N?(3oFpa1hOVsyY&f0V_$TMMaR4p6Gx-qjMJ z>ai+QGF<3_g{-Dg=rUOL@G3bU3~*E^cqiZ={4y1WAMsi9Pi6;6XO!2Z|NHtjTYP^@ z%dq?}tQHNjUP?%Sei#jxi3T@r&^6-v$Vl#N|K`N4CyXv8MbAAK#XuQuODB7M?w$4N zkucr7l-g_b)RJ^R%(nRxjDQcWu@oigM6Vm|^Fa7J+qXj3gV}5E`qx9HNlS4ePftOu zo1b&TFx&3xH*XI)f@B8D8@ZK-L6Xlf0vaiZJ2uC|6n{{<1=Inktl3@d`%6-D7c00- zUdm>z@6O(4U{__UF!pDt(zX<(7U-NN@XmB#0ETTTW|EovuFzK!Y=1^z>tKOk(|#Fm zW$AmMLyJ<`Crjq*hvbJW;t@O#&aITmi{PD3sV(fP_3S%3x1D{bQxEbXsA>0w2>0A8 z`Q9}gJ0_pm5-Wt!(pywqW&G41BJ;vH)v(<4P2*YjNgTmQsRx4aLkicD2d=IEEf@O^ zuxIJx6(y4D|RKUQ;NB|U~fb*!YS#I1`PyFZ7s zu#K_BWp_$Y)3Cwaz;*ss1jzS$l>cS3QsJkrgw=#K^6ZQt$ct+^#- z8yQz1%66|r?c_I|5sDY5?evZve%WOu39-;VDhpME&e1`)i=BRk0E*ol0*}A$NwwIn zbOoO)$yPKL^-NaNL5Ndr=U6iw8~R05%BHSskhe*5ns^3EX`#W!y?vf`y?|=k2=IF5-Vs}SgtvAm{1>6_a63{6v!)nYhp zA#m^Xim7Dl?osb`=G84^D>`d{RrQFEd@=3@5UixVRWA; zZ*;{7kY>Wyp-$z)Hv5(F#;cf=+fqhOdCQO|Tv-#G(Q``9yZVZfEBs5-5Pw-}Mc#K{U?s01mFcYikOjp^Q+DfFnKi`>aavMRt+ zuX~Ja6q}hILz$V&7W{BSl7>@TK%LiHi>BwoC(lUZ8w|ms`KgOOpoe=7pct?Y!U}zg z%)>zPgcf~s1P2!Vx!KXP$^P{N&$xd2|zqHY(Jxc$*0|NImxXf&n@2qlRSC^i{)>7Ix@bF z9wzOn6D1*g9!k4hHt>db^^mwu)$#9*m^OT-lLX-xtu&hphHs~iOdmmD84($dV8FA| z@0lce=)gjy)7exJ&%*=!#7%{o_N)W}3@B7=t>j3iim3Oq^zjIYo0+N7>7a}A&s|pt zj!x&q&2tWHlZ=lO@T|!>$+F$BZpBIvlmH>i8qTqwCt8^3)~!6g&AO=-#`W%;VC)2z zpj~`D$R-qoUfZbXB`u69VrmEZqVmj{2^{Cv>QdfpwYQtQQZwM$aX)*Up5)vXHX2c` zy+Qd8a-4buPS7wtNEyWY*Emv-@EnbqfQS1gWK}@Uw3lL}iU6Q0>g3S)b3jlp6ZDdsK!y{PgV^&{+(> zt|e02iY>|{Q7b)uwnTtQR_j~$vo|) z^neq=V_$XVYHuNueEt5M-hQNezqzuY-4qpcO-@6gdo02p71y5F?ePaq^0MA=4gRb; zbfvPz^2iJ3RM%4D!|}8OWuYA?*uuQtZU7-0o}F8BPMAab(TEyKP@*N&q%RqUb~1BM zdWE}s6sMWZ&a!2NV&1n+LAy$z?*gyU{P*44je0nLP)?JMcAB8a$9iN2b@kgbH@rEJ zR}pOvhR#Q&PU>IL|L&M{xDkWI6iM;GKRZklt(Tzca|+SxUpgDIW^~8*^gH7r=B5=d zgV%){sm#6Qs*^n307~!)b3;Va&w=3!YUM|fac9P_iIk7(JX?8^)Ip(>ET%AO9ss3U z8Ym@!Og4Qg6{-t#{N*EoTFd{5kOUTDc?*`<^hx+9P~2)u7z88)9i%2ZSCy+)WwlQXt^6 zS1yKeId!-NRXrBXcL6t)+2h-;BCC2$Y?=f2=(l7*%{FL`^C{*FwPKJ}g6fYdMrcjY z4orY%O@YMI?`3>I{I-04R2@?nTy^Als$*NFzTJ7(;LUJY)YY;~G+L65F+=JI*B@l? zrH%~QJM_?9B?Ull(yWpwjA9eTR@nQ>FflnGIQ)pTwbt7bMZtBkqx5cWl`ZSPl?BMN zay^FXK9^~djU%aL#y0WHJb=7b>LDp#-(4 ze89O`<%8#bi=-vV*G3A?R55H>Ik@|7cdu+<0oIikWO=mF^hp8@jNGwg)AU13kV3gn z6iE?^ar|k0{H`t@AW~h)uzdj_Dj){Xr8@^U9MSscRoU=Tc#MRz)C(lwbWHl5XQ0Qfmx3Mi1}W8aRgYSPQd-p=n=bC;Jen$jf?mP6CgdlO(KJ>*vt&PYsvz@g;F^lj>%k4iPTG})e)y2 zR#{!Su@XFlOvb?b%%*%1nwJ$`+{R%lAp;djm2;6&gdSDS_ehLSGz8;^U3_~>Gq7{N zekhcEJm&D8l#D*umu<^Y1()W`QFJ{f$}>%jU4o-+Z$L+t_!P%)cwrRl4F^X&+icS( zcry&Jiv^Wk3# zYczN+^azuL#wo_P^_{o&O6OMwx7P) zl~IE(S3K0E*3e|xgPM{&zH+4p#O%RJpN|2H^vw8JP89_Iw1tweDs9x!9&yVP;hE)4 z_jETWpc}Vs%GtG-G|~$b6pXmy&6uinY!3_DN-fC3o)xE+eJWPvG2d3tnuckL5@ez( zK`%J!p)zMO(BC31GnER1B@=E=_vA-y*GYQn-Ruf&KERj%hxuH<4oQhZ@x&2HVCq;( z(|Dl>)HYFoS^XA%q+SOjOe7{KMrH2_f;wO=<<;AwW5tP_ECt5(H*Fwm(}8x<{+Mk} zJ&>#Ont&?$#qs3fe%9#ExVSf`1^J5lGz-~Mrk_i0Ezj%ZywMItRyb=6W+QU zv(9T*@^J#@0O|fvST+$2-nF61MVLNrh841JNjLY_jZ{6p0mCPD4zor#uf(%!UJ(`z zpq%piRVB$%@Fomx$7P7g+~Y*bv@Gf;Y$Ch0`flAB<6Oeu0)*z?V|Gd*Sj+aCf!Up! zw4ELzjR>?mC8%~m%}2n?yo==eBp{dl$9DHPc6?)eOcFIc<|rsvw`gYQ3!?^lN%jH| zWEA8Z#MZvOcn4Q-0+;NsuEd`0n;h@m>qP%GXZ>$An3sQ6&G2l}MOg-RNQgCP+X%+w zsD3mowAcq#7`)zyXXR`-5H9s}L(#8Lv-BM+trP)*AKXt!JK&e=SXjwtsxy{Bk)sZZ z99?@Hshb|5d%qOH_d85!BMy=%je^a^Ir%lB<#6MH03huh|>9T3@cV^P6}l^|x7 zIlHLmS=(kEAJ`{(mw8%3RdF;zkTuiEq-CxCVuY{t=7EHf4p9sWPYJzf(RLJ$5xx!q z2Cd|8scKqYTT!NuE2VG%wGjBfgsI3do&k5rME|>xbfpua2s{`MNJ%YfT*~>&i%U{` zG4Hhh(K10f_N#xk%yTfP^tW%`1@})D5Qv##wII$76x1!0LjM;EJO{TKjXYF*&cz#6pz{Mth06p}PDKRuK**WWTXgHA;0xNQF?UmXO2f?=hS zbl17w>s6?``q4US-B0u_PV5iC*u^W)b!P zF8Kfwl1-@ta_n30Y^#4Lwv(MNXq7<@tl=}@sXuG-;_{;`iTi0~-$+16V2vWq<(7Fv>lV?0ktJ&q76rBO6#@Zj>TLTr_cq; z7t2h}YmASAok6y+>47zY`=LI;%W0ntg8yRPh=!BI!)@42z+VL~HQGRri&2(H9sG-j z;=yIEwZP{5lH;JJL~Q4pVn6EOVwd^y7mAUa^>Fh(Cl!6h_n~)UJlr5XVszmH^sJiE z{D#QuQ>a^QN%`ya?p`LVm7We zLs!v&LjY=#4P@l7JoLsNg*cFytb0dyigM}HYH=Z}Z$FI(r~@w(mSdzW1%C2Abi?0_ z*GB)(#^WVrDe+tGLzDjdcqe(v4^uCa{)Vergoz$0!VJi(oBu{izS1Jhe=}YuoEVoP z%!myCoAF});duIRA|XYX9@+3W;~l8@hwBq2cBWJ^A-B5C#Wq1AETpxFH7i6en&yc9 z4c3(D;_jymZ$m1$)%!*RU2{J8KVgC)?Rs`89Ik>1RoH+)HLiU;mzKk9@MMM+sMP(RMA~?!JEpAZ=YS_eXY4@xIWq!K6wt_DLCZ_pYMn# zom=&^hFOZX(0P%OSdY(|kWig>$6XX|k;MuchzR+KF zS?XmSBXlQR6AN%0`XA`8y6mEAF4M!6?!!+z{rdF+I^89I)hN^qpm|3H5e_AaWAPB@C- zOYUOd;{&M0z~B!3&E7^)7MH9kj3M9!&JO$!^f!CkZ+*Luq)UhFFYkU+^5=3-;=3tw zuEhqhhmCC;^w(i`%p#nDb|cId6Zt1>UfO4{Rj&eOhO!kp)O+Mr*}Pf=+%rFo$;Sp9 zY`2nm1O?(&RVt!K3kB%^3+IGw0=MLWDL9PqeVjlWf&_8Zf`SWsg0j8%_iG5kS~?V7 z{DL7YRc4|`7n2@Vl`2sNsHM6g=rzn?!L_3R#skcS1tF?VS-eC4Z+ox7S{ebni35!T z(zU?pmGg-&$i=qmEn*WzJb$u9S zCOe+-->wC;Vy|Pz=%0e3nb-dH&J3>->bNnT)R@k{A5DdT+zBeQX=`ioqWDzHOpV1v zEMUF3Kwju-?nk{p#`_G;kP;0BPA2dz*FC*w^BgkS|M1NE-KMKN&tiaTUqTQXzr3V16OMP|waWEca+e}Bx-1A(qs{0(Go|DYv^p~2y zhTsL1z@z&PB6x%p$>( zgTU#sgVTMsI@!@UQ+vHV7%|2vyt%2=-H>T74rwdv1ECGh* zO#-jjbjY?0GuD&T#V7YYNjOH9#mT=mRH4a1>8c$44Ni2@*6=};xE0ab0^XCxD$LmZKm#3X96vVN65wBpqV7I zv&U{17ZFW9XN>wVS5TSi(&{_EZzwEHBh(pAHFe#;Y;`pccASre2~ZE%4UXwkG2@_ zhSr@!i03M8v`SkRCwH?i7q=_pPSs6=Ucp$1YJ0)(W&AI9pfTG&;2e9ci$h7{6GRkA zLlH5aqqK3w?UPU^yXc-h`0(T=FTFe+m3+9aI)rRyDywaYP zI{!wEvE4@)4-B*+Ubw+<79o|o>`BWEBu^79v}d%L9yr7BV}DGa)U75#idnk*a(xaw zhjX#{myh<~rMuWWDi=S$9k5zzn`GBoCEzfZpvLkGnhrb z&(DKnS#iDn%qGoFDIL5izkBII!JD|(i(ek-D2=>go#k|hm*kXdw-x_x#Zvju0pNL( zGBMCnb|E&#yq8pp$6Z(TwNNv`2OI7bCR%)aDbUJ15+O4~mYMem{wbb2*(GD3wP%U9 zpIHZuSkO67Co=k#E6Fkhrh221bn+ffDebJ1RP#c{?Os zC%S%h*bj%he%r51C^wifoQk=&gea=u!SBp7XxwF}b|;h8nx2b7bjPUA!pRXd z-s@NoNU8}oz?}L9bflG|>jE(xw+}(KkJzEf*jDjVDwA$%K8Kktmn=4{u17TbO!5vu zt`fqgq|nniZ{cH})C`)7!YF6nE<;Hb`A_y>>zW^5incNjMRXggcyj#;Nsja0$?N$$ zEA>T4nRZ?P4&x7<+If>93+Avc?mRxUVA-4rJXvxe=x*TM|g;%3< z8J<>;(*SY)mghz<5kH{EZ?1D?vc{3bbGswZre%nYCmDa2bzBf^$krdV*Qf0F)OfMg z5l63YW&YCb)Vp|IHA3k8;1H*Z_q`GpNsVGeNv_-a-1u3U`R5?f@`zMk3E3t+hb$C@ zVMF(P71m`!E?I6Wc)hEWCu}Wr?CxILRf%EgVSW2(wEQGY%$=V$XT3x$yXjs3D_HZ| zEdf$i#vPUEgB;MMI)_ve7gN^yUfAo<zS--(*``&{rdld>tHBzEjAF;dn^?vrpQzW zJ={RbW-VEgr% zHksauU@(#EvA4qgFA0);0GET;>IIJP(A!6n!h&eweyHI*FGwquPQ^Sfc)^wAOP&zO z%rDU=%K0t+xH>SyCO}^2B)vjG<}#>VaT155m}4Z zvx|LyRh{%wbpjjBUl=OmoA;YymlgeMr6MIWA5;xlJSoTo1@YXEcv~DA68`>xFNU8vnb9OmQE;0ZEiLEdS6`T4*AE> zK2m6+QB9iVd+LbaIWFQba#V+@2fT%~MLL5NF7P_*cqsXi;QwLo&EuhN-~QpNh?J$0 zeHty6lqJS8BSWc3St@0(WH7ccL)l4+3NvNOI!HvJ5RnuLGlnr_2}NY=S(06r-}#}g zTXo&v`*%Oz=Xw76{^j*DpYuG9^H|=;aUSP36}toSiohD9oQYS?uMzt4(E2EPuT)>U zeBXl1w^5bexGev<(5NxBS4Ts+%yMcvMxafQHl3Ma9dH4+iM|Wm8NoI7|zAR}LnQ&>GS-WIs;4I_wC}enP?pUYh$g^QD~7d2yy< z=0mdM0?*yE)Pc41>5e7k9iQ@MdvPfZ=EFgIE4@9Fi`sc0zj?!4`%Nw&*I&wZ2lMXO zHY~Xcq2Fv{KDuL|)v&gX<#kPqqc?OxD0e=1Vk_mLWc#3*L7MDc+!E7Wz z_qa-sFUtr0(eB3q>r4YK^PGk@nA&{C;U^^?(XnI&4b#tI4fp*Y@jf>-qr{ve#BhKY zodte^BpTc?q&U-lR$ zPCkfX{{rnzfA;l;6jzBUE}=DSTrloCc;E}n1&^Pi#1z8DUpOQmsRNHRz_Q*U^2Fe? zM?+hG>FV8j{p=FKbP89J{JO>YDq**ahPqMPm_Q!COpm;51vy3V)n(z?P6tzNbY z3{m(lw?s1(Q}L1L;NkGgicGcqWby1~H7LrdIgJaWpNh})X_wRdD!}tg?4Z~-)K!Dd z2tI$k$mPfj9etJI1u4G(2NaX@)seK*C7JVwu0YQ~MBQxW>yBL4cW3`XZ(<^NTJ4)Q zcxeqwj?7hHlo|{v@jx+;7d&MF0Jr(k5GbLq^leiXfZMdIPqEdOdM}PJ!ibBYhXv8& zHPHG`RR>>tTa<&RD~!2v83=EE;(R{yhu}Ya4nss?e)K9}{z{9eC*`W#6V?DdhR2;f z9Dtu|jL#VLXus#JurSw%rwI8sj*guyjl2b%Wh{Pan5V2E1J!3rM>?v!H-Ij&{c#fI z5%1&&Qz7h&Z9d_U7dkn86-F!cByJ=dtYjqvZ-vq>7}BML+&@_7jwUpQjuoUuA{b?* zd186b9(H9=ulr(!FG$!v^WBF1Y&~>(RbrxgGWnwJ_G*L3jp5Hu6>I@drCMlx@(zDr zJJxI7Ct13()yxq~4i1-3OVUyGdcY8RlZt`4Y*%8wdb-`%&*4m!2gRdGGU|G>g1&#> zs^-%*G5@Bt6xxI>O(YXn|96sVj} zf~`PP`wph!tEJSYyAp|csOzNP-OY(D1h`SwB<#Cj@~xV&uhPyY z1U`t3(U>S6y^UHOs4wlDZn0a}?p6T3Ke#*$Y=z^?GYit=A$_B#6}!1mD|;GW>QLGe!a&DDmjG#F$+$F@98zJIlkDN3^g7RC`Goeh zPaK9z@252>UMPe2-@8}kNbzcFR&l)UwejF}i+i7KSLocz?o?`z9WZS`@YRs-gM7tM;|l@Qis_ftsAA^#FX@ufDK4(;6dH6W{F@_kC}w+f3o z+IN}Orv0#`+OUQ#J>#ear}0kQ8ZXjbaOA6R;5|lQGQr{MRMlJ*g~iA!(0V4 zzDR`r^ns<#=mMq3)a%_Nfg7NcvUs&H?NF}0LfQtGDYSLqNr%Q`&C3$%)ujvwRkQfi zYy`>wUTUM`%N~@5SDT;*MGCqV{_cItI{T8djOKUGzleA9OGFfdzxjN+wc8j4yq?Q{^YaGW?TGkN0HK+NsesgRA{1JAy-e;Yy>PM=_W z?^;oF`VOXho(7I2Iq1ZtjE1LX_jC__K1oWO(oZQby~$5K1D(p^JDj`tE#h9-II^(b zihC7CnCXlOqupZ9OdN3Gor;Bnm}0!CX093x1l+_Js?I{-CzTLh=xE`-`>y{F`=E=Y^ky-V58ls>h5X~LsOR`39h9rGJ;(O7G)VhFtB`%F`?ltNj%rVA`fH<(geRyz z=X>I*c2W3hIuxfiJkaTI&#DrVTz;)tZtxiwNEx485X9S(q3{hQ9DuaTOXHp}!!01+ zdKB5m*DYk;e=3~cTL{~E($M&1zgKGmraSX_I~>IAy*GM&4Jlr2Cz^i|hc|fVQMwc? z5kmJtuz(2ibbIW$9(dHOQYeiR6cJC#@pRY;&b=L-yutrnD6Q|LL5DZDf95-@(D$4M zRSwXBtSb41#ka>O18~~Feg-l>mNjc2vNNt3AzF4`-D>3}&mQpNae*3m*i=0pPni`& zGiQ57ms(I&qN1q{rMH6pDYFlq3K2&nEKbH8%vZ0WYB+5xcP_GwQ(Tp0B%@^p?hngxMBL zxIpY)wL)k?IZdyMwOkD5-!$^+B$Q2+Qk z)m+|dWc+ci>45YKx`0b*PcF`Uy}kpjFxi;Lt4g8bBub2iFvzVI>>*hMh%h`j$3cWN;+IOg`yJ!ThwgVv(^h*59a|+v$7T2 zLwzsJ#`m#F2A1ZNoWAPN?8NmDgtgYDl5x;F^N&Y)U=!+9%@|>Gegwh!Y2P0=tw5=GGP?w)Mi%+UjO6DP z^f8_D&$)*3u<3IBFxlr&Q zboh@q{Kp&q|MiB6oG?t7)U_YS`Z2mYpmhmw2b{cz_K!QibX^XZ?BPK-f zrVLfk@UKjY5cspjoFJe2mq|DvnktqL!|1Nb7RG`4wY`D^d1f3qUs+Om0{R=G4%2V!^|A)Z;=OS7Pm|A=~aH+eho;6KY3~{z! z)ApT2&-Ki$8{+bGXb&V$w>CAM#M*vKxkqRmTUx5y#KW)Si#&kr9C0meb~|#_bvnG} zHNVP%uYMb^G`*m%(i(h~Dfp~X{|HWEexfr(pyN`%yt|yE0fx@~!!Sm0c8>7&PVw78BP>%Wv2EH8016fzfX zqXrWqDWgc}vk^7g=EE}>hOT3&G(3S6e;K!9#667TT^O)725W}>1FBT}Cr(dyRcH4w zp}MQ!zxwPMg+9db27|QcDlBdNg5%x2pv%WBnY|1&!$9M7-KSSy`L7hDhWg{l z>~)6v8}2{Y@9^@n7Rl+r>)KBQsvp5VGR_n@jed5l`6M!DT44G~INNBdi$_=7wrNzV z&WJUj>+r^5q=8v(QR=0rBD6UDmSEJkIfPB5VSPak5OR&B(sVyAKj6^4v?8JL{!3P7 z+s-rO^>7=3TQzbUxdp+oUsO)71G12hC&=|hUw%;xxR(9P$ZZmiH4id;@!E^`UH=T8 zU>_6;+_+cRQYmT#mp^}WzGzh6!G+D~qI+9!G~0`3P%9QAw{|$T42>i%YVA*~rR-I8 zcB8i!W`f_IvKqNH!TT^lhK2#-Rt@JR-QzIMHAjHr++?z2k53^Jg~@xq$4Q#c!3t2l z#TM@X97M5X6rKacJncuFi*ZD!em6iV4vzwyTWrqkbwD%b#L4M$ow_q^eKYJmy#xz7 zXw=M_QFxj-p7f@$bRC&PWBz`NzzjpcjXwM$0%CEaky{cR`@F2MZXH=ZQMPYp|6Vu5 zQw)&f#-JvPhtyg7;agN25!l#gJfR5YCMjzLesTmqB~wj{uDMOJrD0#!j8(wgL}f34 zUoyZiNmSDT*O7Of6Pf4Izky!_WG%rjUxJz%JDAcPS@<;2=6MY+3gdim5~#b7#YC?M z&G;rx-n*cy7W`b9dfqffP6A!R&}*=@ZuaxAfX4Ktvg))^Vv6LT9s=hb&k zNOw37%Tg^ORUv63vSau7z&wx!k#fB=ix{_)4tc;OhD#mx4B;YG$yzRl0jDEJ{31!A ziEI1CF}lv#v4h^^>)dItk0V|9<$7OK%L}9^SL;xdug`uC8C6ZGJJK>xBw89yls5&v ze8IJSzGgavpLi)Bl_XoObHz-=9xpfO1-zHEJ~K5Pj!h~X%X%mZx=~4zs76&8Xlxs~ zs987i;-h@;CKJ6QXvV3KM4~x0lL~diU8r;ro(u10JTU6iNImLdOD3SU-w<0lM zs77DoQowlD_o7N+KS32$3TLT<{xI(9HiAZq3KOt;8zCbZJj_Kf6AAwqVwS+%Mg)Yt zvttoHsm z!=?PDL|ae)5zHV{vAUI>%9d7iIeB6HU_ov{GeD;gY#FF0R9pyYg9obj6xjx4KHK)$ zclzvDKvs4U^g%4>=rNVUSGK6EH5@q04n__++}Ft!z7T#H9pv|fFj^{D$ImK5RRFe6+> zhR>)RWZA+p9e@*tD(htWcI}OFmGzr@KB;kY&U~*MCOh^jTEBBA;hek_M_VLQg4%cp zRXtmd4{;0mQf>Yn25?wqU53B~r!moLT|^5ve+v*@~M zXUCpG6S8EsRtzXNovOsEXSaQB8*X#NBr;u|(A!HLHcTQBcV>6$pIaOCxVL9QoSRq6uji(>QV38z{6Lh$qSnhdFT%$c1G z6fj4nQ>Az#(|*?vt`E8V2w4DcuQYA#2Xg3>ZtITTKyyJkDz<-~@Ll{=b&&ue*%TaW zD^+~MaMO8BMAMy*`1h_M4db&OJplN7-Z&U}lLO|@@>tD$*O)j!SGRsbelT;2@HpJ2 znx;RqRx3GtiCT>UpFV%%5a|Ox9Zww7V{|Od)}ACG_M3jSxmN$8TJchj9jcHcAhlpV zZlLxef$O7%K&EXD)hiDPK2EL>QbvuBKAyNLRY|AJ``ts4#H(q#Uzu$hyXIz|+^fAw zKLa}VhMAFDBtrQ6+Ph~qXo}B-@8ip{M0s(1y1{fV=_~7j1z>HSav0^-F&|ajbi_Ay zsl|~WJ3H-8`wXeA1^=vzyN@^V1FWygf+tg=jrq!q94*n;)HR{UXgo8Y<1OKg)RSSA zk=&b9>$2CfD#Yc9Q)jP(rP3QXwyw*`1#NK%G&#d+}32r9v~tH zjPjLGxd)ddPF}fplBMA|%HNnSM3<4=^(O1_M6BudyLpnu2&x5JrtK#t{pCFwJ$=i% z`?Q=rgO(0wr@Y(#=)wSBvOleJg9eVtx&D&eYJ|pZd&!Q z_Y^O+H1ZfB25|f@?|l}POF+yCy}$jGma}#6tsVgtlhf0^(|t3w0$ziUVrFIP4qGZQ zL2Roqb;R?Vlb_PYybLeuzV6VssZw}GwqR9{J3CNhGRvqEQaTgV6y{C7$B!+fncCwP zXKR;;mlgQr$D}*FoNg)Pk#$*RyJj5I7o-EQ0Mt)&8X<;oM&{&)64>Vzt#i~%x+hZ! zHq$EWgiQ1$){4@ej$x!dfcfb@u~Z6z_ZJ=*+vNfpc6f= z(%W)a7j3C@mdM)#Ilv=+s-Awlu5(?UM$B4EHE;6m)`#n&+#raqdgA~`GXlqJ=?+AYWINp%MM9*WJWHIgLivaEUG=8Of z^7&FKD2e@o23G#bYyHO@Ut2y?sNO%{tK!`n-SF9;JR>0)xm0D9s!5UUA+oul{l7cj z8x8Fk9 z4HxRh2e@zJ%#`3DX*3K!Lnoey8V|~P6602i(?aWi1B@~*xsosnh`d-oW`$wvnPM05ZCw_jIV!U$ zEn>D#O6)QI5p#xl@U>?N9dJW94Eup8<^+Ah1r#F5n}I6tHi88hoZ-`;FLJyBu?>9k zo+;)CeL_4*VmdBK$QWj{)=oHMnjJ2(%J$6YDO`Vp>jmaezGOJIDWKV@_ZSfCY_w_3 zxm3~n#fMMq2$ZFck^r;3{kRB4h*?@?w3Cj6xLZbRh&kDD-za`wKPI9RnFNrHCl5~A_Nd}(IAdIXt@t*lgzDP%|-P*1asds>i&x~{6G5iilDqHq%k()!@% zX(T)Jv$58>Mi6hscG)TA=$gR-tc@UN5=JsK!AuO2x4l+?`g<%*Lg+%qO{=+r@C7eZ zG;luCPULYkBM_2zg^(Yig{ZrSKU3nWeJHa!%-HgM&kX+_Lm<-}YHK^BRJC0OcoJqy zjxt${k9Xi__T^uNGL+%gznnNC%p(j zr8KX4thrNSFOo2dQoa!EZ!M?5VUib1)LpE75pd$tN!uv=yjE;jC$bvNCu>x1^XDU&X9$(STN;MtAP2~en8+qFwRMBVNyKf`-W~U5ebm=&?Wu2r{=(K_} zN(}3Mt;;*XmWn1{G40UtQLcmTyITkhwJb)CzQ69z&nH^(=4P=8if zF;Mb;@vw{RQaX02DmTGD^hxS^;GrC@9kQ2MYVOcILMa0ppEI= z)nO-q82l{{2?j)Q(+N&QPHoSPN^A1%_6)^j6mm27XF2VY5JECCsI;c8uvnN#toG&5Mk5Il5PQf)Lo$}F)~U1ai0tV@=lVoyr&A@w_$^UY)# z#(kO&R*lG(7N9pXzh^HC@3VC=@tsKTSDLr@`+}M()h0OSWX2cz0y6wA=;tPixfIIt zy28B4OX=stM3-9SV(6Z8m+h_@WZ`#yANYD5mdgXvCPwLudqq_`DAut0=s9S)ybQ3g zslUQ>N9+5B@-Ai3zDr1+AtFXy;nH-6Q=PzG_8|#yxrlzBP(>qNXAtXCsCon)9zw$; z(&144c;eDnqIcf5Sn^?z$$^}L#8+q#0xaPy#OC4j?*=53nxcx?=74~GGyWF)qpg7u z&BJuoK<$@`KHLtU@Mu2#>CLzY+rt6eIsNhPpS&jMDm~rh0(kmKR~Y)-_A{X*io@K+ zvT9|6T+`_0A&5K|p=<$pQjamt*7Zmt%>`F4SlecM&ZBQ;3trt?ecJ~s-K~J@5S{m3 zVmZRYN^{~(lv*6WPjQluX@0kzwQ*6tWuSc1i{2NN^-icSxRswV;kh z0wAocj2h*aJVMNM=YLq_K0!~xe%%b*+m`)VtoUgQw021b(pd?A7aT$H|N55RyT0r@ z;i5wCZpf5d>$`Q$$$EN>`xQFxnDl}PJBI+%dpzeWX>xpS0*F=x;xnx znTFE5HDDG20Hcj*aqsh_T2UB^m4Fz;y^ke7VivZEIzA(Sz0R{ zqLc_XQ!pumxJb}0{Mg0W4I?-O`4^9-Us}vJcogHE9=ySU>CcPvrY^XA9#to`xd`8N!*SS%DB)4TLWdj(Vz!^>L>4~(&muqusqU~JCrbOOBWA*3AIQBwnAu>oV z1KzH;RN0HkmwzsNC%HnZjyY4nEEL>Yo?HSNyzWGf!?B;Te4TOm+*P2IsC%(~-yCv_ zH!Yfnp2-#gXgr%n7Kf3-$2_9mmgFeB>qG{^`$CqIl#e*s13cFEJTV3Dn^rHZ(w?@y z`|<2we^Fg^4j(*SbC(l)r8bMMWoy)MC#^!~J+nVX>ZZX%-7T)<1H|!+^Wm}Ni!zK9 zn(2&?Q97L3cf#g#v4~gA)@h{eE<}dc?L}iIdITp+f3(|s{*XQ|4*@ZXdpReph%z@P zpCsi@9Cw>WHj8zln zDWXCHbo;W$G7T*8Ibd@536qa_9?PEm_Lx`obszHHZ!gO{gZ(JwK}@ynzoXDiDcBxLkIIHS%GbN2<(-r`Bg+rn=CMU9z4x-@$! zqH<)o^%%!ONl&R`eBJfZZ4pfKH8r*rBhvGVv4KVJ$zys+8loue064)md zI+kk1SAF>}Oph6#u|;4qggRWvFK-jf!>4kZ?phCc=lD^EmPzb+%#KPQd@*LnmUxy= za!B3#U36{O6WcZ=M{|XUczqeV<+tp*Zm^WHf7x_974+lLg#4N6^lz-~+F3&5F?X86 zN**8cKW?v@F?OB&089ir@J^SnFm=6QXHJLYi-`x0^$%4E;H!9Fh*Q&yZTIiWpm`w} zL99l}@mKo{mj+3h7OYN`zEidWNX82)%~weB`k39VPT10j;nPgI3vF!RUMr){K`ZTx z5M3g$NlmVs{h0R zx|{|XLhn!H6Xm3>9t*s=5$v%gYe?e-yY@^7bDf|v3b3#dCfUYpbWy84ukuQWN3l@Q zlbA1<%VAp6AGhcAX_YMVMgJwAX_f1O=j1OFF_!~DVg=)C3_9M}SfUZo^YwekxLq8L z@zKY3UF+d@<~99a^gDDN_yrqpW|R-Dg;K29l5_=+&NGtgMG7Y;jFJb}MBO&+Ztu1W zlE-x-K_z5C{F*^s&4yYDZG-SuHY3D*YLyJZ^z)fL-p;x(Xi|F;jn1BoR+@8eiO;^7 z>=xT`V!!6caE=EO)I@DtQAL$eIM&s_sl-_~dU5Bocxw6BzSxdas&DzM-w$ZqwUC59 zNrF?wZQdst`R=-ZlX*e0gmS@q2+_-XS=jBb*f>UW&}Iing5zBt z3WBagr-Vwx+xfX>@9b+5^0pf$H}~V5)Ki1rnsgru#W3X&!BMy&h2HCGyXY<#m24#& zsTtHelWN)D*DK_T=;6=0!}h-uqo@g4?3t=TV#H$O?IONBV7A;`?rUra$5z1Xw>e~c zIa%jvC;=lGTOKX>P2ej!VAr3Ye(Ez(FZ0%1^{t@%t1zZx#XofWX{qwf)c7^}kQT{8 zfY4PkdL?A3@Yi)inL3gcXx}Q+;@T`*s#lI z%|!)++duycU;Z!R`rHX3^CNEU?U<$KENl+TeH~x!iw!&l&Ly^M`o`73*zT9ETk*u* zf{%2Fg8TLwS!!IpT%VZdPj%tl;UdN)eO!;pb(UVB!`vTTl=eGR(=?7Cg zJOEQJx5L_Y{p{-RfdIQGfU$2!e2akSKHaFjL+&PEr97IwI4qYt;*QVZHwS=9kQ)lM zw{kX$QFN2k8<~~<@EIQCSAVt=EI^7LR9G1U)YtN`%A=(kwL!vev^ai1PFTbsWO+ne zF!Op%+W*MYyJ_TTfEd%9&~baamkva>`Fis0D2Nj)dcOk0C9j6_AE{9DsAKoKRm6_| zE&-5JSqSdviBydht4;%(v?Ut-F_13Nf;*<(u+`k9ek$)qhIEOq;S+ zHf-HLa(9q8zBuqAz)0x|D{a}<3T0u}S47`{Y^X-chHd&sE4oh|GoS|jN$Z1cOV@no zTa&Bw6*qvoTQ;aInA8)ZBsf(gL--%YBr>~Ug72XKeUrjJ8TkvUY4D%yDJEAV{f-Rq zA+G%+tqJ7fIP&1p+rN8;-Y)Y2Pm|D%7><9W1>*CP7kSMe))5^kbPjBxzjQ(RpzS|N z-Wyg3P90jS{z;Gvv6y;{uc_qpdZSkxfYmEba0A)YoiGG9_=#_-mqK&zJb!3M_URj%hxC+=dfq#5^eoYE-r%oi-;OMQ?{VPVmg~W>4!1|D6z0#9 z7hHs@sBdo>9~{|yJ^0fah?+zC!M7Q#njmvp^BZ5%BoTt>UE+1VtgAq)T(4Q6@id+e zx@OFAtsCCQ0ssOU-tpz()t^0?sshv44Mwq8Ft@1i14F?(5kSQ;0cYwzV zz0ErCR1ANY01i@2BSSZ({f3n&-mcqSvDd*VtsheFIFs`%J=yACaCG&WEECxGHDu4P z?o5l>6tcBhTI`1=ayc(l{pz0(%L7?}Wp`sjDHoLoQsSPF*nbl9jtZrXD`IYAO8*NP z-(&6z_H;jg~aSPJM=QC?}6auH**Rvcu{su#c=?RGGmwUCo#_a)U z^shxUf{Wat`x|)UCCYa!K>Uu}+OdB?(Cip6>XSVu5dV(aPf1%)aKzm8|Bl+X;z@)% z$^UPNro2;uF~j40@Bc>1m(YYIHcP>OVUC>RODc;gzS5bG@Q;ML?*0~vf!Ut20A~9G zHx#Z(Ek|xBiCA$p$azYD^VFXeVvE8_G+(d&_w9)9!ay*Sy#j+Hx^ex?3H}$1Y_=BP z*6{KBEov9MPxxoD^S^NNEt&tmwpsBJgw`y@;}8!!{~z$MHuLPiZE=4oL=a|0Kkk85 zvlyL5HU2xGC96?gA~L#L82*-9LLiF+AS?Fj*mg0< z^HYC|ybw4pLg47pYFZKo%0!$0rL{i(g7cR&#}g6%0%fWRPAP}XwYG+@`=24A{somo z)zI6-wCSY$zwiQQ_5Zc;+yZ(3-(Vai5_2>pKTJyKIL~jQwo-}x7XbR>*Og#r)7$WS zfC2N3KP21>%_`pEh7K?Pv=ZUym4{UGY&74Pu&$8z_&NXillCt^tq|_%VXhGF`=#rj zdQH&*d$i6~Z`_-qx+i$wKea!?U!NpnSXJ@u+DZf|dMDO=gqp*v2f_N^VW=PHFXISQ zdll+~ZvR#JM|jTkEnYE&l1-%LR+L{T zqGzQaRB&)rgp&8Tu0UF`IaI5w5ETtx*=~$k6;?4L8*C(2q78m0%RpTu>Y3C9+gI3uG=;8Bq(-5)wSH1#e?W=B=I z0wiN_fKHY4cg`__qn}Np{}7OJK7>KwJl!RURdS3j()`K3Qzcq8PyFZ|6RqqARp1QY z32?;p?^r=7+C74%NP^v)z7`&DIU+ix|>kkA}(SDVOMdg0bq3%r+%Ky+|IXI~K zTZa)otK=b2+p+YN-BH(vTycTeVrOg@WT;tCHD+Vm}X=tdR za`~~ix+Ig!Z~jo^G_v>U3EP!KPBv1z;8_w4tiSCIB9uSl0T>Hzo&4`Kd8h7)uQR?I zwvC8&4B{?-#`~{7$322J)^+9P9w zM(Th!=rZ-0$R9K&(fXhNkh&mSdzAwT@iJ`uLft2msXxp&rI;!;A3`uSZMvW< z@zdf2-aIk~n|r!Nwu!P2hxPmg(g$$P^uKeCe6YJIGLHP&hD;2as=%}U!I+*8d1nCY z?R#goQLL~2^trg0OM6502o}lA@%v|UU|0VzS3jcR49~XQlyvZH(NDEF?+tXe0ZStm zlv$1E9XH1AqjO+Epk?nd-|sy%fs3Ynp;L=JQ7#`&ZCRzO8gKFz@Q%37nUKOw$_dp6 zL9QRpYEE_~ftATcJs&+mt`D25!Apxhma^p^?fu_H@^G>_ji;upGI<>|!vha;R$C#F z+R5!4_3g_1W@Jm!t_Bk)93`j=gW3SBkbeEPqgspS<*mbdI;*?^7;mnSS0#%`?HQ=0 z1lTimfHeLxEVl)`(sG1~7X$)}-ngUL^XnON?_&R9bkE0TnofhUvd2usn9fRiX8#^M zGR%UKemuCZ`JlwNQi>ZNNbU=+gRNDcx+@Xy&B&kcx0nzh^%}~ZS7i~3W~az2#}En< z)n=4S6zLw!{8-ZVm06HCd3t(q1Q(V)*7g^eJYBSWUJ;{=GH5orh+_-diP8BB3`O^~ zD?u?k@_y5+(GgxmmZ|Hb`Cp}BfX`3}*d8K&W?QP6lbXsE5V;d)>$-GfVUtKvGxGHP z7bd4lm$^YwOLt|%(f$gmQQ)Q`uCiXN>FjUPVO6$b7Ak|8!S_lkKenxVf-sg-;l*|9 zmN*A6y%(~(*DF`;_AaGONFMX9m-k!j98l~iRn|V ze_uB|MMtDWc$QMWr=P9jqU25zVQ^@)nT=IH!Aerub?~^3A zjn~-})P{Sj;_96nq_lNcq3$FysKhwk*zIjZI-&Y1#WFcPZ<|Fb6Yx|R7?FIqoP zln4x-nf~gjnbYKE5d-_59TzYt{C!Q`u0mK$Xamm&`0+hZT-$s8oW}8aer2|E*T%kt zYG7ZbHgRgko9hLnlrgQ#X5Jc=CT&<1s#35-!wK-VZ%TUdDRp6kr9F0`GjmS@Xp{yF z6U7Hi;R)Di;iZg*2SanT z#_jI4#TjjCP4)qaZ--XN3GDh+7OcG*^wsT)KwQWf+QcR&HREf*MjQ|4A^k`V?QaF% zb-4%SQzE#53K}cR?Nn`tH+LRkO}=oR3wlQ)42*v|ytX+6TI;o0Wi<7# zs8V0q@g}F5PVO)dV;5o+2OhL$={^50+m!f)LUG#&Ifv%y&_ks0L)M|=f_d_h*PyYe z+lC*jEqByhyTPPUIV3$|(QYCIBkWyLmQow%@gVx^96gT?4WO4tf<+Bc5$oVV5BTLKH>wrlfy9T?~4tHmnbp0UQ z>bc?XxXiaRiwU;fE|tviQ=4&GYEOFnn4cOhQt&Y@@9bOLk`vCgIj|)GAKI8{0&~PcI$#X4t z{ixDD;e?R6?V#m@0N;yCzErpzc6mqME5>%=s(%W}xA@a`tR?lO9i|EofWmLOCPChka z1F){sN93{&jCZ(*?zWS-erIeSb%{1cAh643T49kj$|d=mrc#m_25M5Y1rirI~XCJN4^<9U^s1QdjJcUUzPWq zL+jI)0VH{+caxI>+*-vcSW}h!`0HsQEda3W5WpUN3hm}-W2y}pth2?g-{)m7asU3; zmlrWHIdO1fhT@EUe?zm6tx;KaDTf2cs-$FM5O-|RlMDcVa?=GhgwPa55_DZhG2Hso zZ2Tk)NXbwYj&x4qg6aL}f@`*DZaIVNDjk*l=%;a?_D`nln(?(&>b7tB5^w+XcX60h z0C9NV*az5dXrAbH02`r|Plty0NdQqhv!I}DAV3^ClDEW7bu(@E+%J6Wo{f9Y)a81t zZ>z1yf%YwT%ZO2z@5MmYfQ;Hu%Qd91w5B$ntw$Elm5BRD6uhd*<}cK&)5R2JZz z&|i`3ddba7iHTiveT@&-LWsC++IFDEo%Bna=B_qnU&{VSCmmmvho^WD4Zp6~W3V7I zM)jykaPE_a3w&TZ6bBIZ$HRjXeeGQ@sGA>I%O)g%jo@21WiiyO^Cy3(@iE_Ir?g|) zt<_zgAd(hVx@1$#edp<^Y8UcWfqe1#*w()%EFX`4?B+BBWkxjOUf2Osxr~?%H~J7! zgIHKXqI@Xam^;Vd$r0jyPd{6w+{62vK5tdpze?QR)5vuJ%i=b>A)k=ViN&-!?q&>R z-x}EY{6|h8WsH)BK8K7K%J4BuGQ?bbouv!#`8uh=RJ^K2_UVBi+ICf{gR*={E_k3bMp z;&ht2!^|OJJhDE?_iCkR0z);Xgsb3Z1Wg1B@$reea~Jfx`Av+8e18BVdsFB;~eTLr2&Ly>nQkbCs2 z4``wFcAuRFzo8l18}0iqWWvMh_(FT>|?mV-xS^93*~8C7v}Bp>R;q8JhHzegDCX7_Tq1)mc-@L89Tvia}ok+zv`T(&UOwumIxyd#;QmD4_x& zZrvY%U9L3o7)v5zVrw)9j~C-|Muh#4n5Ce1KPNuoA-hvf6M4f*z65a_M=%9B0LKm6 z*^Y+reExM%TjMjv9FSGFj_z72iK2m3+>!fim2nu;@N${RJS%&c@k+T~T@-R zc{yU!Ag))x;fpcwNsaC3f%PxD>5jIk`250C|5a?UPomO%R=B=UG}gI2ndru_!5fO5*nuvsh+?;DK}qLA;McVXyVuFg9$yqp^k^WMohLzJU1>V%Y?C#qJ5 zW*pJ5KNSPPd6^cIgO)8ir?=B*k^U%PN^IZIo*~Zo3(5&3XuV`B*z|5m%H1E?4oy4Y zjgQr4-Y-ax4Im8DIO4@B&ENYoTFytjXNKa+9VTYBuFA9W8kyFwmj%_7{myf(!0nDh z`kXxQUH8*bBTw~oThywrTzQp&3klL7A zSHwS=UE_Jx+gs(ZTs~*f`d?-9W{h95u6t;u;`8X{*uor|v{!kfBnKiY_N)}w*~P;3 zFbm&)&fF$4*9rzx(E3R$Xtp^nOmb*@!yUvM0PKIe>@c{%x;J3V^Srb+C~_V;`>NP} z%X#eUs<%3CsfmacZ7%+z&A%Bvlk>*2uEBS_e`3%J%lJ#g!0YeHaLi0V=O)|w?x9;f zn;RRfj{KvdWQ-ZrS^Ktu8M^tR(mNJ~)wAddxMUv}REl{a98bRN z%i>nd=>hUR1t{M;`jQ_D_`1=v9V%Q1R~{u|`h(-=wvG9}tn*QZUjb!?kza;e&%9f> ztZne1d;%ltvorY4Nv$~uF!b?gYgbqtdB%hQE#7A25>AWZcsKQcOBB)fV5Q^+IEl^1l*P%CcV1ogLD zHcS-PPw4U!GYB1bz(26kSql(FR?D4Oc0Cauu=m_Qb76M)`;G8EwZB=oX(7V^C-82H zb1k!g4`&xKAUG-Yt+oeKqG4As41j_~Xduz4Xsm_e2LBmIz8@&&*VfZNEPWH0e_4g+ zd1~tnZ%9GY%q^V#YP0-;JJxo)449?k*dlN7J4s{Zg!-R#E9ZE!jDAPA6H%NGF!5_4 z7Aklxk9}3CyM2$BIV_f3lOqO|Mt@xc$c3ksFWWA-R`4f}=DR#X8=bidkyF{2`+9_;h%V*q^Hnj%fXS5vW45s;*o>4@l=~rE9>0 z9>kF^=A``DY8;+c`UihIzNzXjnSX6LD1y;h{|_wc2mV1w>vTzd0rK6?3e&H(`*q&r z`DLS9U0J?&!@sAstDO4-?6?B(m0v6Jc^{bFFLyxI&Q%rcFHR%IpJepA?+@ps{8_#Z zgi9{{K9cXH6n~qRu5zwhH^evpp-ZSJ-~!q4YF$byPwrhxyX^nW{zQkskCNrDqq*)) z9y$+Aa#xLJWS7X?y?}pjl=$G&5PXdgRxODf#BI=J*V< ze|Ff&LR#vNg{gXQtfMvxs=ur%YWeU@gt@N4ryxsI)~JD6Iw; zQ~tz<{Ok`|^B>=KkW98sK=Z<1SGZO$$2jxuU8qW~Fn#f?NTDB^Ps^*Up2EF>OHTj6 z6ve8f{yFlvN52fWFqG^5vO;w#n@U^w0#(9R4gaEb()pwc(^uI=`|Mi&Ao3Y5IsONc zW7$xP*=gA~2cgo(uSz{LFLpe;N<=eU>t2BZ*ssfo{NChOCCke#tCrtj zS^hnv_^a%qHJ#|ABEdiMuV2B;49xZYe;>-x#;ketpmAjFL2uQr1Je6JAo_K!wEh&4 zH2FJ=6`CdArk7?8YGn`mY6oe;ZrX_c;ctK(+j*mhB@phe7M>j(*qS+X633Yu=zrqI zp`4*V-8&Sm&ydUb72d$YWRY{v#dUGsWY7$gGZgp-{{B<50^ZirpdkI*+ar(u2|U0a z=6|<`{dc(3>VjX3-4Ndb>YKl!fy^{gs3gZ&;P`94`40TwwmI)Me`zGOK=rgbyvul!f2*S#)$d7pyJzrmmhZJ*Nu! znqF$@2nNZ~Dr9#SP`JV#Qj)C>JFfVr=!S9lKSX!7@-MhunLjsm3)dg9^SuvQ*W>p! zRvwN3qn_V3E?gYJojUjX0O4B}{}`azC@vUW9PsLQr$UR(o8J2kx+cKX*6sJG>f=hp z&?Q#^9Q4)r_-{}>yyLS0wf)zKkz#<>k1T=;LaS2__M1y@a0{a0;_yF=*$?2&JVyW5 zATWHeE8spCJ5u<#+8^?T(D}s|XcD^$oqcXkiQ1K|AyBqJn|gjtpVX(2s1c~Bu{xx4 zfBI%{e=#U$2+E3oP0#)hdv6{Nb@#@PKW!virIbBoDJf+aLq#N^RF;J7J6U4vl_FV7 z$kNCX30b2elFX1TO|ox|B}?{w{hl*p8+v*^-|Km<-#@?ae=gU1&biOMpL6c}by%Dt z7}v>z^w5IXTCYF)7E^Mg2A*ZCil=q~!|}MaI^4y~S*QVb_^Si?ATKyMXZ2npnhz1O@unFgj>@B5Q@4=!lvTkdIEE>=cc(#KIoerzlh&`Bj zx78!9wW>xHJ40H3+!Ze{|8?pm6H z^;)ur*yg(5tWo03WQ>El#=8-b)iTx)1NZAw6swN?vc`nHc;H57l`S7-o)AVmd#pN+ zVQ`AbT(^|UnHrLkyqNDe-u13b*PriAukh^#;`Hyg1GiOT{MWC39i8LCvg_$QG=E!= z@rNq6)4Ig&>vv|(Zl*Q618c>%&`&>=brQ;#qW{K;nysB%`-J^*W=g zZ^p5$b0?Gb-#(E<#$_vnGuD0_zYwxpJKyd!N~G za%M=^ZR{~LeMWbadf!n8b>Xw!N5dwJ;nxS_o6bJWzUTfJmaFSHM(E|U7|I6u9>+Wj zvpe9@OiQKo&bzY-7dxp!1?=;6RD|7uGw{D3K_&eIpzm|6Cxb87?X{tJX)N@$%e-yh z^Bki_+};rn>w4c^a3)xyd*~%b_9j$GK_U|9ffD5skJw}7vB%o4(XQ+f7lW?fDS=Z$S4K%V;H+dntNQ4vx8!!e@mUc{zXm`7aU*PI`E&kmQWm`~mE z?TWr2zhFvA@mSoFob*uBnZWUuD@~ag2L=orCR<=`SU$Xr{aFOQ_u&DY3YN<6s=@iV zO60YSt19USl7|+%n~Q^6NUqC)u=ev@BxF~AG^o7Z@D}avi$0zj=G&zO2;zjT0FU>> znP4gTnQ>ub3sD2vAbF0w&r-~0BRfDHO;i}Z(`IQ^XB-(jn|wbTdGToFkE@vExsWq# z;`ul^meR6!;#Y6qyJMp6;Qgp=J!fE9>ht1;kEhN(0!8>~h>F36mt!2B4)!t8SAWC| zaci8+8fv8KIRkqHo>$_(tKx6LInzZPzPY*417Q%ZuZUi3Xg{w(zINeNh>D+CxYw46Pwa)bUWzpM zaJKFfM1`Mz51KQsKf|lGEH8b@EHHZ91@6Pg01483&0SKU=mt51sTHh^#UScO)P?#) zOzaZq63MRJ65K7hmK%8(Iv4fV!<(!jJ512hIPL6*?tmNHh`A9v3=G*vGA-#tuH&Vc z>(4$LeJ*9wtQa2k9g@9(EG<2gXB2?S`w(TosTODQVo$Zgl5)r@Z)E-T(@MHU`G}ih zWv-()`0)di&#3QCrF@<4z>f zS^TtnlJmJS>ipORo|jJ#?mdXN(ML&}PuExBeDad7T3A0(v9#JnarvTrt%=y2Afi9C zuj;)8?(LRu-X@$&O3fDY5DlW+ajK&9jUzqszISeO#T4BCX6tm%|dG(JYB4vr$!rMq*zCfD^GBfIB7NBj^ z*DT0)?Sc;2*0jvp#v}tsaw;AF?Lp~GXl0>K0s?~X&`2%dUHENrEx9t9JI!&vu)Iw zZF@a+)NVVB#Yq>O45|ufzHoM2~_FO>oJ>&O||U>Or=-SEsGhs1I#r(a7E@z_&T-gvy#qk2W{I+OWL&GC*FQLf=U-xa?dxOOZcoT zZ}3D%{oF#hMe#$`@7FcoCxA9ieg0=WZ*Gi@zfR_?zxm#e?s#&eMZ-JE(3>P~LYp^Q zWK4Gs>I@<}1JTMflz{P2zkgYY3oUB`i4^bx>8=kypabv4pbPz{-3KCk4Otjt+34gF z5eh0Ma?;1r=utgZPg-~5I!pw^k@I8ztbCW$(!%DkY_nGBVm@oNt)JP@R!-qXiMHnZ zB0%98fm>mX24azbae?kJ=$MJ)leD$S@d6;BH2!+rXrx_uIfZA-vy5?|k>ACooj5i*nu%eM^q42*=$LF| z+mY0vK6m%WY`Vson5eCV>?dse`b&C@FXk^~-Z(V2g^w>187Vhp*c+L5+=;U`4kOuI z_*$ESr*~-YI)!kGP?V<{={e#Dtna1Jw{~ebYutpVf2i;hg(J`P8m=xIb2#eeY&sZ{Lx7EHk)!gw(8uWCARhiojm9t2*c zc92oAmz>)d>y7|uMs&8z7KM_cQyd%#(75Z%c^u;tKVbH*Y(!^JBWtMdE?y1OG2NyP zC8X#S9Wkuqucn{!*Ix$tH6=-&bAKED-l_?k#(6VmRNb+%t?hA#ikU#-q7SbEFd*$> zEBsT`@!_0wFv69P9ir^Ca34xdSa|l6`CiMN{w)lZRM1<{P6+c&tBl3EjRSnvZ+LCE zCF`l^KAqh;r_@?LHGSf}KB|>J*Ci{AX>k%zIWye*(l4)c$#%!s(8xQHo`NVJpb#=Y zUTY7FQvrjz=Png6#9xOSElT3#Lk^8`&GZcU@T9QNl9U@og_Uy^IFFCg=35A)Cb(r(wdP9Z7;zzRQ@#iWxCLra zGchk&eK8<^jv>!2 zi1(B{5%7%GyetYF89oVRQzN_kI2r?Pu3eq{hMb}PDxEdhi0js(7(XY7dVeF}t1EF}BcRR*w6(fsHf}3TUZx4E#7Oo8m z><~ONLJ1VSlxXOtM>U8UQ~5`_dn zjQ2rZxJ&+8Fu{P!Eow0G`r*K6gFxo+teWBKWQ@6MiN@UuD?yc^_6CLm9uLv)`rkBZ^XJP#vlo1lBqrrF3S_8;81%Lsz%;?3uBHdR+2K5}7}W zBw4%IyNHUUQU`qEwNdFJ!s{672$c>_RtB(Di$YLN5Y+@!#_%^PqgQ7#ebsf(&p7OU zv`@V57Ik^CQcHPEdv$+_(x%U^+V<3V)R`zRI-yuZMNBDOrbxc)@=SFWVsoz?b2san ziOH(Enx5cDu~VdFx~t)CSOV%bzfuT`i-8_4#xt<~~LxeVtr{tY>`$@(1Gc*F$rRFJR{*^Ns3B_n#Z+Q>g9*AxxRABe9bqDdyc7> zb1iCV9>!s?kh%py|FlI6pC)Zcc!2`7v^^8RkpNp$I$!#TwURueVm5O6 zF_t3n#&?`^_*_QIJZ~rRsKM1FgN(Bav`O`tnd;XhnUQA|(Z|e&ti{(#lN&*`94t>= zu{e&ZgOTNMiBG)S@md`4TZJ(3d{N-A#uzn61w<1*eL;WmxESQI5Pjm(5#1(|u@2W>>x=WtC*3JsMxfsK^5ETZvP?6&H1Ygc0b4~#tkkf*K&?dmT*nYPzUy2_M ziL`|aI19V0{N@t^m7g-F%R!`{w%0ynlRK#}Hd(iq;APANdKbO@6~~BVGvxue&NRqR(sTJqow@d8K9i_91rY?L%OX5=_~t2zMum`fT;~6avm@fBW;E0GfINR zC=o-4EWa=N5XbIF(WZ_4ICr7k;Ng|T?xz}xnFEiiQ%whps#mdw7f~UNQ|q^qu0<+6 zECa3rrjt}3Am2ecnVVP1%zOBQn*T`B1Zzh42B$=|R|XlyVb!C83t9dj!bhT8RG@4N z%9z*2q<0Gb8Rf{0Fxo}L@}lO8u7lD)k-y+}1#99zaD>b%ShEJ@rHxI)e&Nwk<$ZU}W#(NVF|fK4F(p{GfX9^S;EsccY!x z$4mY66Y;qg*b`_ZW2QcN?$?NBFu>JGf^otxK#wo75sor4U&$?DRLZ(~@2UFH@Dj@u z^npij7DP;Rz29W2W_~hWG=J(_w$hr7uC+fF1P{fKcbm~LlLvi9n_=pOMpW?103c@* z!BX1{ zDfjo@6T*-4ceiYL8*T^&dzg`=CNJTQ@=?3_f^=-cg zqZyl9?o?}26Yr`)Pgou{SQ3AtvUpDL@Js!`Ppfy@qKuzyN45A6!}GikY81^e<5=_1 zT#?z1TE9F@Rp1nGewvFe0nW8w;~A7t$qwAq{=}-S2)bXH<;ca;z(+ovoHxN;tAUu@ z?CmV)9|#>!NjhZL_DG}zlZgC_4b>f;hhm_vh1@$#c!k*bPNpTJ3DhL!9F8|*?aKAka~f*E|y;MeV_Dl zUStapufHT&g3~m`ZFUTmA4{r@co-^K7bWCo_^_S9gD&piB-6>2ifrLocM$dpbPt&U>Zpn zDh~y0mgQv@5T;g|#SQ7EaTn(1Tzvhc;fosDFD2Was`ps{z6BT_1c9!>OH02%4SZ_@ zH4h%r1{ZM>>LKS*iL)?fRwuY=q2E?SS=pz0(MVbHZ(chz7K{=S@d$Mc>I z%@&7Gc}9+nUW0|`iuG=tH@Jflu)fk^e!45~nAYr2isPBt-3-$)sC%ImL&uUCa=t6W zn?yFD^e}D+{}Pxsq~{JgP|tz4S#B?0(EE zRz%zfIR_}U3V9US!OfG$;#Bt3M~0~aY&t#`sM>+L9M51iVe&f@bk(ehQ#TT(n&Bnz zxvS}6jBxT1V1}^k<7-t*r;xTDKyjoMCfu@pIcBQBmwI1U8Jk`Me{!OJ@h8~SAw3W9 z6Qv;=w%wX-_|D!gU>gX@fC`{d5VZCPTJ*`3+Qn@oN%r)5RVwl320< z*pmnugQ6X%pyQ!5%^MMa4zmgOe{x2$t5f zN1a-n8C2)F!kM>Nw$LrSYwJc-`cCv&?VRQFT)a8-9ZtU;fVDNeJYe&zNqM9(_rz!g zCc%2 zjqt;ES$jtUbfDJj+@j!GPBKs|e^-mOAV?G_0Z*9XEA>s412+LrV6#yWwI37{wrIC= zxII~@d_tGa%Y0K9Y$N`X(e?5Km(cS2$!o`R+;PeoB~=z%;jiPaB$oUIWx0XS-8yj0 zg{vjM{+c!bQ7tk2DbW)IJAR!m;2q{-IsO;6%RiLKQ>*)6X%-bWJ{_?%M$cDtAOl?E z=aIw1NBWQRqpDc+SY~&OcliV^q)psCB%Lz=u|J&7PLWzKUZh`bcPK&{P@bYv^|hF5 z$f}rwC8sg`rUGXKf+CkLpV0+|=?YXiL+XLZt}5hoJP88lckp zL}=q8i%(n^nCtZIZKMW;r=sUFlmj;au3TbfP^1F_8#s8bgX1ugPO&w=htgSE{j{OV zVV)w02Ze~8J#cH0Lq~Q_lzyI5&d_d8hK3R|nqcIlup#&lG{x;*7Eqz&GZw_OH?m|v|eO0Y@3wVmh zGWzQ`G6j&WgVvP$&_DCd!M zm%92vGtuE_M%AL|Vl@bCKLjAxw*$vxAJ9P)^_L~4dos;FY(g4k_j9UIYxE9^3Dy{| z<~V)q{p#5(4PkQ(yQzU)_V4?3Rh|Ucr2^=cy9aya+z*B}DA;?TN3velbhOv7$yvl9 z0wdJl0%ZHZ0^mow_RM*ZQi}Q}$AO_C%2mJB1Hc_v@5h8QrVr0Mz(QYn<3p*#sPhop zIb8OUaWc4`)6g5%R<@}|%8<{5n?)o_ zL%uS4I@$OYOAesn(kGMoH-bImz@8hAU(E^|g%0#bEpi)dGs4swi(#AMReWCK+P9_C z%Gu0Kz8PYh-6Z0v>*WDVfKus;2{XSL^!)Zd!O0v5U~Tcjf~eEbaE_ytqvKhS{fLZ& zI(}@|v-eq{jWV#sm>l5dK2NHiZSybJ6oieox|wDI-K;=Ci_(U6L97G6v{Iyj(Bylc z&W=L`hl}U3d`(?oBXrK$NtwT>2{k$Nv8mOWGfl$K@M826WvPMTElbZw15G0=8b&W) z9Q{>OLfk@(Y~f_Z60h_!!lItfj#W;_#4-6g;_ZI@0GH7*D$Q|UC0NRH#2oj)D)loT zS^Qc2*y%y-1^?L{iQULh`IvlM>~HCRSc`1tymT9o9_%aU0(%c~Zur}1pp-L)*bMWl zbLaP=ds=6HFQ)@RLqC5EHXsccl(Zxit`kx+3qQaI42nZ`Q-jROZ$7n$P}LQ63N_t=^t1V7f=bDB5I=)gm3os$ zM5=-_7HjCcUL-oL(kY%X{d$TOj^l4D!7M?jJu}*I1&iiMq*jw}zd8ZYQv9kitJys9 z=JcbCI_^n`-&T-~Rxd4E3e9Kjb0*lg%YpT(qPFc8 zHA#mE5@@kfc#D<0qq-kjY@k>QooBALN3sDAw4Q)C00R$W)n+rXGx%AHw`Xb8%^8Ws z*zo^qvg~Ey%yo^(!iXTTR!buk(`P+ZWXcHZQgW={_1i&W4*G__+Q-A-uSv%sm+wpS zaBvQgq-RLJZnA zOsjYwY%!z}ecAD_olg{ik!ho9e6k}HnbN~x_E~-kI1s`wQ->bIgurjVT&=C$YcsJq za;(aD0iQfE3pn}>EPHi^!=mCpcI^B6c3JWF;> zZ_60cg9#l~jFd;8-UA=QewL`2zgT{LI#N1@+l3D7^SnZ);{^-jefQg_4u z*xcV{5QAGJDtUM|Z@OU8rzho-X`QJ+r5AIO@B8C$jf@@XrxIl;Va~9ag$)Svh?1R9 zG~`mmAb*Kip}M9*QF&G5BCl9DkHbBXUz2Ub{$OEHA@qfNQ_55!%R*z$CoReG!DfD3 z_mTPz|4q|J5OOW=TbN+nRwz^s+zYdror0)7*c!1Bl{e%$VEBEIFQweqP;b9?mvZ5T zQcg=z(0|pWsWg|$b%xst=8PI1nl!C9Ei!ck6h*Jrb(~66&|PYq0h*BkGHjSG?;Pa! zBh|H;R73ALhPqvv>p`l38r`;8QylCJ`^Sg-t^8I-)K-4q*}-orKFkl!zKlm5hc*EU zdIt|#cMHH9(EjY9J(#|9JU=fIV>P& zYvnPAbi81@hCJW9R8c*oMCdz*QUEoOoR6a?qFx8uC#H)ijDE8_>|oV8c@lb;9td19~sP4?48>_6;Ixss_>1N)$50%Ob#7k%g-JTWoXw zDDRdY-Igb3sLWJ3r)*aTw!Xjl?ar^yR{z#`Y6{c!-lb(>a)dM`KoQ}_l=fky*B${k z&hXc9IJPvY6$mL~<8lJk1ca^ns^^{UHU3SwTI2I}a06-w0LL?dA6i7QvU6;ab(<4@ zIP{_r96slqrIDjpE_+iE4N{j^0$!Vdv85tX2kd3Q%CFHjf+KkEN;#diNp@K5%8=IS z8WIY6V#cnjL4W+rv8Ck3oUntxO}pn6V;!%R3U#h{yF}S-zgqjq={kVs`BSn7|AD+!V=GmV)@p__^w-+XzKE71*@kHt6GRDv7QqS z8e)6<=Pw^Ppw#u>x<-^CzqN|hx=AX$RLxp}*xGGFnJBw;nWZKkfr$enlL}x_R#+>d zF9mGKR)2{HLX*lh3e;L zH5-SsO*4alwtraa@n%FtGlTWlqV(N=UIqr)5&#a{p0EO^{ck2b1(nG`QsJYj;JR)H zu(env{C%Da+phnIpIH{m?k$!62mYP`{B;5R_0ebe2mV@*ru-H6|Mh3QZ@Q5+Ay*FR z){*>K*$aWPw*qnfEwCMx&7em_Y?|5n?jIsk(57k*2;>iz{|8ejE6CsYrW)o^P?L)=k>4r^JiN?Tmk3g zah@Q@Swh-gEh>#|et`B9!Il3&d%;8STGRjX8H2SFX80eRn=Cja`+)E}zN(;{cyVN; zK-|owGJh5~d)tS6o3sDRXOto(x>H;KtQGvZ`AHyppI?nkLZmkQefg}MF5Hp-pbs8% zz!CU&(EQmEd`(^Oh!{>XTraDjlcKHbyzoCT=A{D)D&?XU#7?X3d+5$*ea=U? zr)|Q|30F#;pWy&j_yNwNL3d&LC!NIOXD+<$ld{g`V~pnq#Xs%fE{86tRDrERw9x8Y zV9DeuxD~IH3RX1}h}+5)^7O2qy$9|w2jOn#aLlTyMFFjUGw%iN1s71=FFZhjIV2y4 zo71nRu1~)wmNts*G52+U_%gPf0IxZ9a`dlD2X=XHgSF1f6Ah0GI|kye$M%@;38Dm} zR4)sI0<0f+ibMTCplJ7co?4yji`!#9^_4nDOfL z4}-@#7u!HxwAV<*jfk&$C&mHOIDrY2W(!@tfmQ#TBV($wG%NRIr%Mo}X-$ zUq?p`pyQ>qh+9A$-E*Q91!!m~>p!?>JeGwnID6&mMhLd4`l;qRyDO8k`26sZ_Je)b z6Ax`202j^P9m)5e30)+RvaA^I3_z*ETdv$a0Nz8KKW1^}2)x*6(t8z|3|Eb=`stan zr1Hr8ja|3TfxFXi3bUE>+xr;%^n~NVy$UHo)d(YQ_3|-%yN+0)cD+@@X|z!u4nFry zw;=9#hLXnoPk!T8jmg2#!B{uU9ZSscu?J`Ffx2pT3|_gw0d7s7)xnDligRqdsE#!v zIXCXC7IcUYAcDqFSCVxsKC%kkeZe*7M~NVzm&J_V!p` zKcb3$vy+qI9aHD4k78M2&SsP6Z-rECb}_Q5-L#f1A${OTd=G2T5VsJq`~uT)r7zE? z9ZPQhF1$D4+%`#lst7#`0WFR#O*ON%zIrDe&3FODZ3Q2UJ_3HjgC`wjul z>s@!!DdvNdMFdoOC6IS^0%unAG1E~U*caC!3IUN9s$3WS3rA~?a-PW>2J0W3;%l4w zF4nwNlOm5v+jHY@NPTxAbLiSFDRjoyHD&=`mtQ^lS*-|&t||_2k3dELxi~dyXO3dy zJQq;=c9k;aF3+z^Yc*L1@^>3HX@H-3oXlQ=NR_cW7xb^hsXkG*G-dR;%)TXR0Clx| z+W6uZlP8##2VF6h?@+eqBPD)P#yRal9{)MJ!PD_HK>^y4z`5di@&NFpYkw>+b0=Az z4i2w1aqRO%9OnI%ljd`ST|D&dCDM^vli{=uzNm(8`SwjQgAG;hQwJ~pY0&(Oe0+YD@%}rSeb5HJ?O`S)YZ*`^r%kWaa)p!B$zp!BH7tn>Vz+&XILnE&54)DD+ zG;_o&=OEoJ$4;oqf9&#{i5#DK4 zuNy3XwNu&tt^Ju!f)v7aWQ*p)w7q6RBFj^b4HqNHa`!rmDtoT;C)fS4)lt4(8-Y7q zJF+$0vyBqTy>?u`7pvoVnmuFV}lN%_Z;m zdQDV_C?>M|519j0$~sz|mK%c!o^R$n@?%9ow(iZnMbRHY{rqy0659*j2Sa%Wd|pRt zx7Q5KYRUiQy;qeyXtKm)BSA{FqoIR(opL;TJDCl678SG#DCi{#5VhW~p%3+jh`zrT zy`G`-)YqE9M$_*SC(5k^T{y(>CUl}qd4y~+4r;E*XKan+9e)so6OlQuM=0B*tL5%I zeaB3TRl=PD|4Ppr zYuZ(>eXvYj%$wzD=P|2DvOY%Jc~}~`q@x{^L1rsF`Y)3SPEbD*kc{3CE|BKN0=)^s7z{lW8{uxLC$ zrenGdXdXuoWR@ky(|_k$7ZK8HwG?wkqSnaP{mE(%!N19SuW~bOqITr1YHl~%u)=_m zWN3Yv^qOj7;px@R(4T@__SJWo7H$-gun=Qe;}q3ECf|y?7qJp`!>S_b0D!O~`}pQ0 z4~Jl&Ef;@?)6|OmoCO850xXMbPFzyuwK-VE_Mz2gT>k7j>G$;R%oh!YN}Xl8i6t_P zh8smmTl}Cf{TCfh^^!2xQFniGH6IPG@7QD-wNpY>UTX};YY57;DWqID%AC8m6oTO4 zNm%UBI%3l6m2U5ru&fM%&oBGL5IyD=5?Cw+d ziu@CO8`ioV?`2u7A)7C4>J@I4T;a%lWDC$E4xY zL6f<4iq7P!+pgq|R~h?(d`!ClJc4d`y>{<9vSBJQ7Wf(Y#4ve{o1g^eOv*&DnwtCa zkB}5DoFyx`c4})NWO~^#bTQe_8;;E#q&u}XqtI!&BA+^R`5X}LTC<r7vHv_Cuo^ zxU7;JG@mhKIXZlZ)dv|m4Id_K-H`QoHw&s$E7x$@%q({`m&Lcg+5YxkB}gWYh)U3i zQ^%}j=`YCA9qDVdc_i)EYHgnWup_bV;-mqOXv+(K!%NGdLn#N45x=i)jU$|@wX3mO zNw{5Fb=f_l-cDry*dp>3_tR<}_iOsC-o1l61G6=Y@qw2B!>fH@a>hjF{g2tzyJF=g z{VMNP79J#|lPl5j9eyKsv`q~Vr7yG?$$ZS-;kg1%N4}n@%QF)&uA2%SoO+P9oTNU^ z#?<-um@t7zSX|@ta{2h9tT>LKSTh&dlO@^5jY>J257_Zf0A5JY2vS^HeI#Prjc4Yt zKny(oAnc=U(mU{+1P3s(y;ACw<}Q_fABCJmhNY9W>Qz?%`kOMyl0-b6%U^)gm_@oH z%-*ih&4q@Le@4Adv&qO)(a8oQf5GFITc9n zrKxJdBeBerqlS0JT~)ESv6`zO6!m+pzF!9jGsd@U!Y(e|_vq^b(3c_|hm|YJ-`%Jj z<%#5Jf9%}>!B+opgq-V~7jWrlFz25S`FKZ$x^P=`*W=nP-4f#8?c*JB2Zu3jJc--T z@No=cm_2a1wQ^xTIzr;x3u z73x$^{Fx`aPf{v)W>Fj@y!u9rn?tmWEvoN(-`wD){)geNk9Fbh={o#*wXZgt?y)|H z(TP8hn3(nKd5&o*^-4*#G@U+tQH#Jkqw~lb%#Ka*+<*KdYe1HUVL#`mdM7*CVD8 ziRHQKbY;Xp4)&lx0~7NCpZ6K zx4ZRjuy)M}S>xXvC5CDvy7zds573?>VR!AHn8r?~QG5uz6Z=O)dvy0`JK_D!nvMn@ z#XO@@(rD6Mt={{mJ3>+bNX^78@F*0lPg}W|%?L3nuSafM#ww29yfj2ehdEK8 z^4aIxeiopgka%kNYab=P!f|>y%WU>7Y25WX?$Ab1<4Sm!bmyA@f*M?-?fz9D{gBEr z!Z-ft)YQvc%u1VECm2JqD>dZJlkl^YP z>snKFYLQ{EhUZ@Bk~B>8boiMvT-m<>d>q-#17Av0HT=D?kyYKYG848sbQ$hjRnN7y zni4O!)9g6veHb{(-h)A7nIUmyeM`i#xXa~8a%j5mAA7^eZ((qu3w3{#`6Vay#;URi zj$KDZx6r@&Oyq$g4mJ1~rWh2elWXIQsX^sakb&c(QNQq;c>66og}V7GgPga#V`B^= z|7PK|C-rDO`6ripudS?9%G43GH`SSM^f`(N?e46?J%EMX%a@)JWDLHB@dld;x9O}K z+Bg1nuBD^XyYk5-9qrOf*_Afw&#KE})wi9dS0$GbeBd#uDKm851C{qP>#3c>8(6-! zQuaWXQH``S5&Uvx*WLSOV)F1YvLCb0NV-nhFH!CjpX@Ul?d~e10c%8WhZ+D)FFY8XpgJ9 z6dGU5mZ>!vePcirHVpsbYS0rC70A(6l{OQjg!TF~dzb(=MQC3}+c_I1qL5eNy%ib^W#KT;$sL5j zPFGlZV?I_TGIB)^ocqYM^`*0%g`_{$|4CmV;H`qCfaBLH#N2f{FrZDpi1ub8H#M^V zBj8)Gx#Kl(sOb&g9oj{*$J$jxjMh)H zyngfaHUGE-e3Y=8~|DX;}D`Kz3&@;2Iz%7RUo-Y{Z$f35%mib+0!(M z-KeC<`=gsU(D&Xbitb>IzWsXp4-@|PGYZuFnaSsfB|x^n!3fCG$--Y!N)UyHujGkP z@U+jO5q_`IxTinVnux1QtHwqaJ$*R;7il7mFSDoiC;nDSm9WFa>y+{t>Z|VS(xyur z;wJ-@dI~y|o_{sr#Bv^aC29I3ciQ+D_Gi@9zQ7c9Crc}yco&}-T*WeK8&XNCMNY)@ z17LwE26f=W#gQsb&&gv_nsB3nsQ~I^awil0H{8DWXX72kCZu8~ln@Ss$HSV#4KO8X zN7SsZ5NU+pNj>08QVep;1yMS%E)?8bclUDxh&Irt33>_Kw zJ2QVZoyerwafd!>ekk*W6XH4-kibo132Y1ks1HxW5EI*g2xOrM=nEVCUMEm6zW*FW zJ8k31-XX8~3zZacpF6^H^oRH_sk=`|Nbl<>Nfq|q_OVs3srcAK%sa1uCl_^90WYecB7$S~>_Wx{HI|pAPpM$V}LbAI#CXyMzL~MDsb%QB3!3k;sIU z-j86GB!epBX_a8^x2qr41T7t$XkbisH&rdn#LV$dgRa?bHZW`Vtr!)NZyUdYzPmh2 zho_Ry1QDq8#vh|RPwn=f{^DM(qUas`)L^Xjuse}mIbNuj#?>X%*`(a6Mb^V&a6{hN z1zi2*zn~?Mm)1LeiF}Yd9UP>gay7y=7^@(4-_%5ayedh!yuP3YcFjRINa&dyO*(6C z0IZ-i73;|OBYlV;mYPP0}I0i``NxCn`lUKp2{0cLQ%6xWxbMC(WzR~iU>tjLK zXn}ah{hKS?-<>QEHBQB&14P+_(Ax|%zZc8p%5M;9p%0s)IV z3C5e_AnomoAcojQ( zyWicTT&(DJPge0naI~6z*!|%(Ds^d%M0C)W8O{Rczar4P4u$j4sU$z*J#jutMkE zMZ!iYAHZi`rfmhsp0}8%6?GTE&|e^*Y`{zzUh}a}Q$rm6Zp~VO_fAmLlt{*~3Nk1< zK}*$Bn9On%C6_sgkTUZPJZ|zuYYpq>81D76)NrE z8V6HP*CMaN?l!{_Q?N_W&rALR<#3GeF{g>MzzG5Im85@k({w|l_bE(+x&9xw;tf{GX3 zGWTjU`wBEw`e_m)ta8{Qnz5Ws!#0?XH7^97G^v@dfn|!#4Df|Q)=o8dD zTmo*2$8D%bUWLsXh9fyu_ab(-C|dz_ar`M7q?Pyn)A$)_zVF{>9eqe#pYmE5)%GSa z$4@*g=o!K8A4f9I?Rwu>2rl&xpW3QvwMl_eb7i42B4<|*HaZ&L*|s}Wwon|gIdP&~BwbP1kJ*b8bL z)X&Gud-u?I*p5I`o(!6Y*_`rKR3F-Duv{`MF(})z=sE*|zMJ2RUKkNsNmr2|4xHS9 z_birQAixDr+VNa>m%44A$VbeB0uA^W9);)$^nGlNravH(T5At|qzrRjT+b*;7E( zg<1ZCzP2-oaElTj(Rh4%?qEE#E$}cBp92WGa2L9D2h22Zfx4;7m>SFXJ$dq$^!;^C z2m`Kh8&-ORUuz>zV>FS*M3AvS*J4jqde=9e4$rg2VHT9rqCdBp^dXM)cvR~OXdDye z#x>e}BxVxlqo-lNJXXKdmT{50&@z#?c(L8HJ@o>zaf==DMQp# zU&oMN5Y-E_@C*ku?`#&=z!4E}So4M+z+IRqNR6Xte~C?I5c>#WJCm$ehXFu{9n4hf z#B>4BWzbkKBUtt|Uj;K!1*z@!V2kDy)9q1hqcFLH$cDXi;|&hOgKv4*4wm6iq|}FJ z*fok4YqP|+w1n5Nto>;)3gzE#ZUJUQh1XAe?&o>QI%mKmBDGFezfmS)Ox|4|*YtVW z88JvK7x+UvfDChQ`8lpS3Z08?o9OZhKW~+al~ETTV!l}Eb$_EHsHPHr*ptwy^UaV* z`#7me1`4FX{#XI_%j1v64EgTsBjps(mWkk0>Bez@P`LGKFpzZhtXmjgZlz?ND!lHm?0LdsqU;HkN=HcX%%n5hL3Ni`e^yZ?JY1S}2 z*R{v1M2zZ#M!Z11hs0BA4}Q%~O0)_qc>O#X9o59L>80_v)o{HaKLtM@Hy0bT1=V04 zcp3TV90EwBzpLk4c?I5(&rg5S{K~6^?mwT0^_y{|QRF9oww3#bYWj@OPrTDvT$Ol@ z`onoug#o?XJjb7pFPrMhbm*EM1>*8_|7jKe>=XYuBgb~{X@=r2?SC${6b(Pg9cl@M zM*QRg(b=CpDES+1hSK@NVNbu=oiqx|64~Z|obd*C42MjE(w`5m`^`S4`B;?5I=37L zzUwGy!GLt&lbr!ptIicUcj~nGavW#hhg(dz&1mrq%);wn$G*uXqet5=oD{8MyD1sF zs+LrN?Nc6uywZkkMx0@>7WXd``X`>2D*?JVyZ!OHFS6BDC@gm4t}hDwvQ66)R-Gx) zkfi}qF5lW%@5Pb8us>+gax(Uo{tS+IEDsfiK<&yWtImSJ0VV>CKE8{?nsOM2k^%_8ipSM zY)V230a)y0D-1e z)R=Iqt)u3^#C=FP_-fTbi`U)S4t7w98hH7yHaxB|kwBQ`{O}eR;@;Z9yz~_Ulpo^1 zB9e(6#9PL5jj*~^>~+4cKZ<+Fza-aE!T^7Ld`RHa{{?%dcF9fu7X&@fpT)i0^aKkCDJDpj%7ID~@^eZqekB5ZN2g zNWyrKk*iMJ&feF6xZ8NWAdCm}qC161M5jxH4mV;gRm+c9qDAvlbUTV)8IXq^);g+S=Q(|&wN@I=6p z3FrG292~*2ff*xRSN{D-T)}Mrf#(44@{5S}iy0Kj0mpkDAn_p4Q-AoOnf&wqEKc%wWTraX7eJUgV<2V)S0`<-u13xRd>? zzX=xQo4`JOyvREC@6D=w6MWzSAT_XkT8_di&dULux0F|?#>p#u@-J5VJ6|z!@*{Xn z0&E<}{&pQg!N!3Zb4vea9d?*uYh)0Fy?X}LEnWRqo_kJCK{P1-A`>Lp96(z4(lE0iST* z{{gG4xXI-!21)b?JDlY`#{Yd*A1D@FbhJyQWh}(zkEXZrT@uOPdCKKDFUei1pyW#Fsd>oD$WbTtD`ktm#(YNvTEDx8Bjrip({FZ+|0caBsfq}oyu86zFot+;s z!m}{U6Y|y%``6-!8`pnm#4Ry*!@XBzuBFA{S$-XMf$~&!b^-~PI-c|~kdzP=4jEn& z4{n(o7s{V{J$>)e1#ezy%2Mw<8;7W2ftuT&gI8rj1oRBT?jIJ!L)l6&#LYu8PF@P1t2VlO5$|@A* z0K7Pab|joH5-J2KW+&$+=I*r(xJ78ucY=2q_=)bUE^PtN=}C3>xXSGwP-0-#WlE)`Wjl_%6b#))q_kkKtvXQD&A#*DO~c8K{%xGf>9F|)$| zy?+_qHIaUy+O^-?fbZAxgkNFs+RHBUBiJqj2pty^lt0(ONhIedBP7x-6k0@``lXOQ;|b zGyoSFBH5(_z<5GY=oengc)F(U3ES|H?DMEFUnnk)PQ!Bu$G0*Ko#(j^0pLW!9@PfC z!W%jjsbX z3W>a8eu{c(s!ej~r_=UvU5ak>5m(J$158E9r90mxP~(m$H$(956boV1^&Jj^udlO8 zOn=XhffLheYI)`7wSBK-yrVoa7|o0rDETF@OgF6{su9vnYbItzpu2oHx5(1n-K@FF z2i_7g<{sVa-?uTF&b-@xIuLb}!gc3y-scocMFADEwa9c>aVnBJB&CF}eC7A%`bF*d zPC7qkQEUZRo;X7(w;3#FqQl^cgfKq^ilrKnh`XOF2q0^vw|zMu;p=syuAmGr#jpr+ ze;Js{3}cybi{3NM;%KlLR7rH)%GP&R8O@PT>g*5*t&Cr?ur5aMBpSRPWE{+@MP@B( z>gN$TD}=`LmW=GM_%j8?X8gFaTkmqVN;V8ogR%5m9g0*HL%Qw5ua;vI#Qh}zuq~l* zC1MdnFa%%)a}%oO5?_>MfhP!)q_c*8%-p_hge}YEm#Vx9FZX$$9Y#kgdQQ(7D39d+ zNQw_#u3E9VP*sQIg_qrQsY9O@ne%xh>8xT+x4nyM`A=XNzXU2H?Q-$YD3V=tAIr#y6tzelf{f|UbO|?5w7wl^Ckzon8m~&7d9gAKPtc8T0Am@U zAOcii`Sv0JbAjZuzi>`usEj(5CrM||yNEN1t_bzEZ~SJ6 z4CpTYRuEOb=wQ;ZCLA-Sy{&!S4qEZAv1T5_ju+rE)xch6;c@+~4~ zS_4~+CD>}9i!xu+oWnT(n|(<~>MxaMIur=!R|EXeg*L@r`vGH4gj1Deg>z9YqGCIE zmYLsck%&zUifjbU3V-ogQ1f$jvyJXoa(83tJ-!U{L zGpd*!IZ%SnZcme&x?5D&Gh=r{n=!r;hD-nPQ(^-$G&88G{c^^5CJU2 zv*rwrwA3J`W{hHf*fuQJE#}9DWt+ME5*RXsXDbEP^MGK;@|O)|k~>BGQ3bs@)`+c? zrkkO7KVgqM(w22j^>|Ob=u-f=^IO5I&tX#fU`gBHl0KqoBo~*&m3dj2@7)@WWmD{ zy6XSa-j#+Wl}2HFFkBk7#oVXGrfu-4)G#wlCrg{cY#Yt&NzxQIrqnWlvNX*yL2VhA z6iSPxGM6+fM0mhQ>6Ir9$wgD``t1C2xBGIYTGbJEHbt-l8GKNF5}T7!+Hyvd zyhFNBh_I;M43e~aA`kCk)UXHFcM6FA&LU{--12rpjc0)QLmJ>L8A4lwe zyNnsS&*|2I6cuT9N<3?GxQ7>KH)!lu8~b|9OLGc>Y6Tr&H#6>YD-h8wyjD&otsE`E z`Z~H`tyg#p%;{G_iH_-#Qp+|k&Kx3+Ik}ne3o9*S?aRO$7a*=|PewCeVYd z3BN6Cf<0-+yKZzq^OZ{JD+l>~Vp^60|FO9B6c?DK-;|I}-wIuDyI0fXmd{_s)mxHLUI#@BX4*t+H{4Y+tH-0-`$6n@L`a~TxdjJYkizV@)@+G`Ok%7 zw-sk)^}mP{Jnls>NxSBlub-r`*;M+1CEzskGY)yhb%YDZ!tyQ(nb&AiN(TpDdSJ{xRpotEO1ry-_uQBR@4KzZEY3a}(B@*-CD(@pfz&|7 z%bt<2Pv;3_r2s`vx`@4;swVK)kotlqEO~H!Sku$4QLj7H>hJ6Ne9m~1wiR^_`(fOU z#21=d)r1m&lXP3QKT4KeJ>#@EQy7k%7@$vN40KuRE|;xAZhv}ioP-zsql3eW`?fJ` z9;1W!8w42g?=~{ogc9S($V|78IM6Q218UD6h0leqgyRnf7hQ%B0ZYRE+Mz_J)STYD znd-|C%q{8w0*}iRiUqTe)pyd+T?^JxcXNlT>IrIChnaS>H9-cF?1TqL-*geW6XX1L zv?$g5$gm)A{ZJG7+cm~7B5;CNb_QqUUH5XnXvH>-^}%$+iZWRgd}jPpDwy^k-;{DT zYvrMpht^+^;!>?QXeh^#?=2J1eY~)D0>!gdVp@sCXz}CU$B!_AfhMb~g#zf0C#kc* zpy+QI*K{@fvMac$GpkqSrD5rdlHm^kyqrJgcB)>sKeyPb4`)U(><@Z@Vj?I4wP#O3 zh^d2n8KA}n_b7PyTMi6)h?F-|1**82vhFVfQv&`L>b8d`t&KcmLiuW>!% zD&EH1%$|dDCq0rmyRVKo+MFhdKAQXj^&e00a0~jGVx}HSY?^^a?ffem ob@UY87_~2prxRl$7QI&L{OIJ2dATKNJqrAOUb}Hk$!cQK-?H|avH$=8 From 439de61fd0279fce6352113d55eaf809416f69ae Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 14:00:11 -0700 Subject: [PATCH 158/230] [test] fixed a bug on ecb support --- .../tasks/basic_tests/k4_series/k4n4_ecb/config/task.conf | 2 +- ...gzBr_40nm.xml => k4_N4_ecb_tileable_TileOrgzBl_40nm.xml} | 6 +++--- 2 files changed, 4 insertions(+), 4 deletions(-) rename openfpga_flow/vpr_arch/{k4_N4_ecb_tileable_TileOrgzBr_40nm.xml => k4_N4_ecb_tileable_TileOrgzBl_40nm.xml} (99%) diff --git a/openfpga_flow/tasks/basic_tests/k4_series/k4n4_ecb/config/task.conf b/openfpga_flow/tasks/basic_tests/k4_series/k4n4_ecb/config/task.conf index 87f77596d..035b92ed7 100644 --- a/openfpga_flow/tasks/basic_tests/k4_series/k4n4_ecb/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/k4_series/k4n4_ecb/config/task.conf @@ -22,7 +22,7 @@ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulatio openfpga_vpr_device_layout=2x2 [ARCHITECTURES] -arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_ecb_tileable_TileOrgzBr_40nm.xml +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_ecb_tileable_TileOrgzBl_40nm.xml [BENCHMARKS] bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/and2/and2.blif diff --git a/openfpga_flow/vpr_arch/k4_N4_ecb_tileable_TileOrgzBr_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_ecb_tileable_TileOrgzBl_40nm.xml similarity index 99% rename from openfpga_flow/vpr_arch/k4_N4_ecb_tileable_TileOrgzBr_40nm.xml rename to openfpga_flow/vpr_arch/k4_N4_ecb_tileable_TileOrgzBl_40nm.xml index 4597207bb..c9899d852 100644 --- a/openfpga_flow/vpr_arch/k4_N4_ecb_tileable_TileOrgzBr_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_ecb_tileable_TileOrgzBl_40nm.xml @@ -65,10 +65,10 @@ - clb.clk - clb.I2[0:3] clb.I3[0:3] clb.O[2:3] + clb.clk + clb.I2[0:3] clb.I3[0:3] clb.O[2:3] - clb.I0[0:3] clb.I1[0:3] clb.O[0:1] + clb.I0[0:3] clb.I1[0:3] clb.O[0:1] From 4da5150a2631ab6b9ad89d98804233fb8342d9bd Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 14:20:26 -0700 Subject: [PATCH 159/230] [doc] update for bottom-left tile organization --- .../figures/tile_style_bottom_left.png | Bin 0 -> 91240 bytes .../manual/file_formats/tile_config_file.rst | 13 ++++++++++++- 2 files changed, 12 insertions(+), 1 deletion(-) create mode 100644 docs/source/manual/file_formats/figures/tile_style_bottom_left.png diff --git a/docs/source/manual/file_formats/figures/tile_style_bottom_left.png b/docs/source/manual/file_formats/figures/tile_style_bottom_left.png new file mode 100644 index 0000000000000000000000000000000000000000..393eab8621d1e73ec1270a6d2b448999870a96aa GIT binary patch literal 91240 zcmeFZcU05a7C-8YGvhE86c|wuxJnZh7?h#{i2{nDD&SxX89}89NG~DD9Sc=pBqB(Q zbU}qddP`=ci3pKqBsA$IkkAuIdnZApc%9$8Ki*pJt@YNrcR8^5o^$p-`|R@BpL2rG zn&@x+N$jT$8#Zi(ozXMfu;KfX4I92||M3U#n}w6REx|wExSQ$gY{+ihGYWqA&i177 z$qgHFBQ~?Heh+>YxOL{D`-TmN|Kk1soBh`=b!^zcO@ry3wD7SSXEW(H_2D161a2tt z5cIYq`ozW%}WzFTv%R#@i~)H z{v>C%8ZNIw;SEe*qZ@m@{w0l#JZ{%JvySQGWR5KLChTw6aPJ$b46>YI?MKNuI zcqUZBC0^FFm~r^IGG8YaFVEbPpDj&wJ8QD|80_pX4f4gpS@M}%9%3OM zQqP`nxX@2AFv^J=QLj^kMW$YQ{9#wPjY1UBx0JOsWXbZ7x17jmtlv#|okJEhBHP|3 z@-o<4HG|-7!s2o6OJk>{swXW**61G_y<^PVLPz1c0c@AD+2zQ`V~r_}O_8!DFSE5A@=~7 zD+RhF#P&JV&mq$GdzNLsp#PYsmdH0Jg72U5NO;urK{1&kGqJ6q{*(vY_}5QE12b73 z+K9KOuzpJ1$S=9MvHZ>Q3tH?Ht;Xgf*AH5wFK{17CVATCeL!|}K1x-pHDbkH6u|qpD=&@cryFa{a2ert2=B}PovIh!75W0 zNTSto$(?!_al%t<4R9n&2Itwj3q}nxoVe$SLwBEw)YK@c*e@Rp=1%oJF${Y#5N743aTrJ{2})KoLoutx#zy9ey^^} zLAefRx=E`;q`>@Tk}!Whvi9nap*PR##Ac7C9LzR#2PQWW?Ie4AUWF&%pnIZZ zGUVpw0%d#TY601QI)$IIyqU$*`0;Z(*85wn8tk?N=uE&aNj2mookg1Kvw7!c>fEw7 zleiwqeD?>vHNZMBsgLKYZg%)3tF&jx{~^IQleu$H;Vfl)|J8;KQMHIyaxNJJzDDyzF?smm%u_tMX_uS@F!Uyt(Npw<-JUN#Sn|AXXRW1^79I3$V$PyqW%@Hm zk`@b6T*3Eim4%$!wI!JRqg)A)Tx5UldRIhlIw}JuW31kkF6|%6toh#hcOh|sHcL&h z%DZERWbIg-KwFMPnC=&P;)>^VsqvlaPyY`{P6C@t9eXAbb`>mPLb8E) zcZ?KXvv*Ah@q7rQPGLJC)x5KE_us9*3+Bw*VHu~*vg$%vIbNy(0&UUxNL64-2u-m- zAiS8=>T|UeB=`I~StV_^@X{fj;D57pXTBB>Z2EWWD6@HvgaW-Z%l*rZm5{Cp%zglt zQ}{1;mVW|x(WB$Uzgj<47vBFTRU60)EBSY8LOneg4!yV!Y!*DJ>a@;WTX@YcU_-qk zhHQ1_9QFJE0M^}~vdZn!EBBv%Nk#FdIQH+RVE>t&`=f4zekZfAhL>};=>0ocQua5N zd(vFab++_roAYFvkT97YDe(& z-HcBDce1@a550U?c`QAZo{V=Lkpw%HkNbB*HoS*VhW`Hr%t~NMXRgS)slVT)>c={sb>Fl zo>mvg|4$qDm%eRvDZX0oUq;E*us~GEKONT9D8OnH{eOizGm|+^pR(0;&f?E?DH_Lu zSDxQR?YWe%J7GwcTq)0aAqprH8FLri3N%wjh}T<-+UBx<7KC- zH^Kf;hu=$ADPakI)oHIeM*38Bt~pIA=aQ|>TN@!yazBU^NGnJ+KH#vf`=iqWpH zVRFYML3s;`slpUaVG>0)EO6}#4NeN&$M5fkq^OLA_QAv?8wmLQR*H*go)&rN#%e8Q zijzTUc(00qKB!?v#6I% zdbJLpwpeV_5(Ks85bQI!c_^8Zf4|0g2VCCX+s;ZUnc`5y;E#7bWepmcD)hn+jHi!T z8n0}@^$AHf>dqQr&34-f5@|Q#h8Q>yTc&N`eoX^h<4C-Pg(_69@se1 zONWEC#9m5H`R>yMyEZM(L^fSgZVMmMS%r#P{6MY@#obKxyVSr3w62rj$W0vIy8k_D z0qa_dsp`-YSVlSNSYe?VyLr|2@K&6oJ0l?F2WKC9WVR5W;rXP%Dodi_-l_nUxg|f4 ztIQoFjHD7OXc?xm$Ubf}WsJz5KSA5APlZmdpk-^B6r>Na;i zmJnn@MbYH{6F&3TZ9sLg|_}=I^9(^%qHesivx{Mvim2llL z$CjOWFk39U-R!T%=jGNiuO;Gbq3hYJHH zK@LPNv5uaI=^7WtFot&p>UGhyX~*xM>a*G(4)n2;rw>r&Mt!M*_w>y)ycD*f7s;7( zWzE<`_h>Qu?r?wZZwNhJtAyE6<1=7$)GG)Hd{(}Tl{YQ$295E8_|Y*gC}u~T6*fSJ zw`qovsV~3WDL*Q@9u;$Kn<)HN+qhv-yf(70A9ptg!I3Cyu(E$l2c)e;}Pt*e`hc< zY1iJx?WiP(L9+$BF?f?L^j6*GDfgU)0_eQ^u24OANRu2@mKtmgqkP?X?AvWQ{fI_M z`aty6ebVI%?V{E%OX@3#729ndJT(MM)js!pJ1Q7*4k2sr(2e0n-{^5451g7&DyKdc zB}y*XaWbEZ_ROi@KbAAm^IK31l>IhzvS2%1gnn8j4gd9%Yc0j-QnnG2?nJZ9j8t>n zh=K)B*91L@Qm?3vh$8My*zCQ<{V?;H_jIl#y78WVf~)_0lei#P;*KEKj%j+TYayWL zuMc~zQXF-v?tf@Hoqx(xr&GH6(c;%d&Fs>IGMF)c{pbxnqP!b5Y_9yb4{v~9fhK+S zE}lSPQ!`73C!}hKC6gcWaEF5V$`%WDD&*)0~nM4H;}syD(*I z;T?tWH(?SVR#lkeWb7TR*zUAyV#%$h?=2fRBZIcRw%7bkTGV=9(6|Gmj448HK zgnEiosa%ydk$!UQ&itguAD~-tJxMM8yqpuppVFKhiV~)8 zbQc(*@c_XK&NL74CJf&BAPS3(Wk@(J#&0&Q7zkIQx#$Rd@g4&bcvu5^w)u&5V7D46>GqwfH##&@`(0m2uetCsb)?y{R z_tc(hBQZNBXvS~qcb%plDXnKg-k%*VD5BfPfZNr56xdY3%}A&UDmgui2ST z89BE5yURPdujHt~efLH-&z)=0L6VcOU0=1EqD;k-gm-GqB6~0#)2l-L&)pLbGqH~_ zteZdX&?G-8>`xrAlC^(h#jc_E+FCKr)|j5sp3Sn!3)ST0zV=ZZdshGl!OtoPa<)fR z8;AfwiGU_z!6z+N^W87s( z^V3Anqv$CSM94zl9Ol4Sgo~!5*ZBCL@|J!gfLhWGflsTqF5gZ!K{cz+wf8&6eqD=N zsd%78>oew4gE?IGc>FV~5LlR_Qi)K{OkD9$-@)7$#ev15rTVa}YR@A44(LV#_w6%V zM8(`qR_FNiCS^_A`KL!DIinej9&nzD4D%)EzhF#Zok!+QwG=d3X=tvXzfh91cfHuHSbK9e2ybxF&EI|saURMIjRMr^r0^RH_mo3VS8 z(bLx%-3a}vn1}^pELYqKJ)4KQO$VLa#XJH#qrIBwU;ThsHITJAC!SsD$Z*fEN4gY$ z6!CjunE$g=b48VQO@*11s#DxJiYZrS|FsNh_=74q9XIVmfa?}jY1(1s$=Wo_fi$952)}KjVei>^DpDR z=5;2I2N*cZw+5)5dT=ZKn*IlaNM`Dp=Peay%qAe5>Kr1cx`bFwtclAZvj|Tv%JOZv zuX`l%eBfUCE2lc=l^kud+S7`>>9ae#C8aB0losp1C>s%{J>67mDtolqG+3sCQ4%Rb zX0_H8j*7vux(Jx25=Q$js{fd*Ps8?o>IJ*|5=X=`>#bL>*dJ0PH?jG1qF)?Z=akuD z>3j@1&$hJ+s|$y-DzW@5KpIxSEFuy%K*cMG5X!#t8^SxVhK1Gsx7CGT;7yuZ5eab4UHPjo`};O$$Fduq zh4*^$Q&RGMWH1s`o0(p8*1Kw;5cJH#aK9QQXqSklUWZ3mekLWmqJMT-fSHA&yKXM4 zv-&=4r&*CL>*LQc<3}m+8E-iQAQY+T27PGyp+JJJM>^9Cv111M_4gPs7@>`oj*CH# zqTGsm*RYri7aV3DcVufte%!VcmmVX^gx{3FRz<_InDIxCw7GBOtzY(k_L^2lQthP4 z$;cpNildCZRJ`Xl?%h_xaj%B)P-r1%*!@b&ysx-ZlbTt!3!(q}49+uy6;rwOreO8> z%wO6SB}(TMqQUt5Ab<-cc`U4t0jDD!hOnKnSr0o+n5)fhp))pL`Ez~K>$xRiJY7B2 ztPAAgztruZBCmG4;2~dxF^5?)f@RD)w55Y%Otp)n!aT*?l*?l}O;#m7q9k8?sdt|X zuVVq^kLEWs^*|hk7&+fXlu@S`9IL_7dMQpjmeFHj8|4k>GNkE;Y1AVB?aX~JHQ)X+ zNC58lUMFHb(_d~!DEUWMK@XQK;&$Z6Lf}|ddpY*mX8c{Nxa1Ggr*I=`#+yG^tmVPR z8jB+42O5;inP?-2y9ocrdyHy&SUVJaF5q{lKEJB31usqe!)t!d%@odj3j{sr$NX()>`S42|`XW^-7& z6QqVysERiUrWN1y-}4E}z9>t*2O{FeIpfImalEPj&(Ikis;#{g9M|h=>XDPB-$@6IBpattLN5`uJ|yI+a5}nJ zKIG?Q+CTZ6VHVl9-A#2&HS-@Nay!PGZM%Ml-M>XYvIuo$Q#A6qcdk42 ziG}bg;nP77J;YNWxGZMAh^t!0c%1VWvGkczx!b&8PA~Db60M^5w)sebQq!;bFuD+Z z7u^8$F5h#)NyFG#eodm1(+rk&_P)XxcEH5QD?02m4%2QYD{8Td5!Q+BcocER}2X9 ziUH*}KzkzYA#VJAd%0pVHfMxmtgr^2Gmhxp*j>Ht(QpWJ{#D?3w97Hud6Z&s#4wyw zCGDxp+T9kmYlkD=8N_@u_I9u-f21n-jlx}OiKR63?36h|t`P&7pQ8Q_TTm@$;ML9{-I4@RaS z9|1L0=J}X5dDEB&W>u>uKtzt zCHsOV+KqzBsl1ZDjK0JCFsMPqGd^OpJ+)B`xpVSkX7_0eq&=Ed+KSy7GRAFpdRUF) zyb>Sh-TVHTCAX3g>RfyqvonNOi}Nb+dn1Ok!HWkPQdV4AL_ycUJj2L~w)3;IKBPe8 zSE{f7(}U!A=9#j3aX9=VhzHh3dkjtUC0#uvM31yKG&9bw7#yB*S7yBy3mz%_ZdO_n zD#yiYA1B6SL?RT~ zQ;QGc!yrqT3OMGOre@;HVO#b^2M?R2ik}a6;z;)$u6tonPVE+K=$^Wa` zLcNd)rDxHLwl@LK8+TvzI4oqq*_J2I?tBS<}EVqr1T0%*7sIhFTI72*7( zzA|(()Vzl^F8@)jx2u8Xr_U}?iZb`U_#7_}W%oep;E9Y{ zMdMp8J$+YxTt8Z3wob?9>Vpu3J2Mo-TQ;lZzPEvYnq&_TNg$ zmbTV=zVHEHcoH3$#Tw{aB}`*N25rW+XR70Z^1DE1ojI^>aZrs~n09#9n&Q#(cSDs! z1&3Suh}1G}%LRgQE8;%Z`W#znM<6wL%s|bD!i**`3?)5lrrPygwCJt2_N*-eZ33at zlZU0ajT)>Utc3U7XpK-$R?HIalN`1&SPdzGqhstvORSr4eRt*P5}B1;g+MVe4QPfe z{o`KleM6U66zA{2$x=ukIp}0=N`qZows^~WKwN&dH|{KZyNa||{>dE2vVE@p(xnPd>qE_1Y`r zv>6TDaFTbhW8bBa$9f$g=|YW;=pId46hm0*9GgY?`JI31pD>^b2Q=IV4pW-)lH)A; z_Sai2wQ}jhR6YooV$7?at0Ui^T%Dz%4qLp81I~9l%o*Ep{YlBKC93el{;G|bBV`|B zFHb!oe1Q~xD8QS#Zd&}s{M6RS@E5+ZpF#8f-i!y`w8DQ2OHv}ujcA9~hp*tF4})VM?yvbQ;~Y80yDy?GHZCoE=4{MqcKT{GTi zq0GM!#75*BMMJs=4GH~yZX@cb{LI|G8tYEGgXH*}j0w$!T(bRB8Sft4L6tfFkf=*eYn*GOE{kQ|v&Pl~DQB?!7o*C@^P1is5(tB5zHb&3&|n{)INW;%J0dx3dQ)3DRa zUAE_{_}HRi)W)_jBjPN3hOYduVqahMvhS_n7djJKSbZMP3r~Tg3}kg!m>vgdJGBM1*bspkoW->a zI~~TL;uz(|t}cXO?F;&1->ykKD3<`T=9vEUV4ag2^3sZ7OpoD^w9z~4+*w##!Si4j*!Q3lD*S9EjFbk_Ud zbQ09QCs8-xiPnqgE}i`nsv?Pb3WC%#L|2G~_8m7zoqaC!D*RTr?>b z@Q|t3wfS5l!_F3#wn1!S0bWbfWuZP#DFgh6@7C&lYG~inxDr5&tN9$pc)cff`c7<@ zg>49^Y~_kFR#Ngu-Ep3TGL77!S~NF+im>myP+P4YdaL&H_xu%H3reP3%(sI`nFVKe%$J0r`>vqT$QBJJHwopmdkoOJC zp2-6Q&B#~Rg2__K&XH)FYYNw6 zFJ3{UkysRK`X26xm>JW+3xdVyDr-pze-D@RYs+n~mf&8vR=(47gskMM zETXI@_0)yp)BVDw>O*h3JUoCd0-1|+w~eq{Q24JXbfLLrt`B3LP&|)ShS1Nv_0_QQ z+-(KAVwuX3Z&YTZZ9{oY4Jsb(tKQRd$^s#_d7@WTunBZ+wZ7=umX^Al22WzdlbCKg~TR!PTevY<}N9tW11L0H*$0 ziF4%A=Nxu@_fso?jNU`=A{EHk;6DMx<7wCaKJZV~S~MO%JFgml`Vpm?<<=Ux!U^L$-|tOupPweN58!vsFVr6ya|A?bA>@aGN{;6c8>XGRo+oIYCydLgpPNY|@GO^|qkHfDw zM1R?_29{jgYVW>R4a!o_^XX7#2PPKIdTaUHtNtZa`ISrU{8YEN($RZX6d}-_^-y+= z0A?EK>HpE2aDPe{C$Cti4Q)+SH2@b!%HB-WcHqkIr>hHjG zxmD}v(Qshdwj;fWJYi8hVN2%=b!EQ}ce_+(0bxm5H$THW*3JDx&&b@|{3Jc`Rxgke zig(S(p?kaNHpsohb@h|Y8COb04sz~~Wlx6^?J>=VLCGv*uLVwnvO%>aEsYRWEP66KV9!LIH)0!D>(+FYdGV2QzKs+tbT`)wD>nXS4~Tb_)Ne*B0lwgM$Jj60^&DsrRU^ zXNr1eByVbV(qGL?C0hEDWi8`%a$X35Ucp^pTX$037=!K9a~MFeeh{xs14+lB3|>Xt#0=qt_-K4R>B%x#l!9L+%ZbLyv^+aghbhm z`D&@lP?#`@*HI-WJ9-UX&OwilPs?iw&?Y`X`0p_xZc!ld$ZRMENMWf-v#M7ArkuYP zw1=W?0TvpTdR1{vmuJ}Bu^GRDQSvJ#9%GG(YBFhYwX(1Y1P5KYVCFz1>jRro7*xsnHnXa^L)b+ z?*%eKTw6EedQENsANqO+9zt# zVI0PAKV5DWAxsWZ_u@QfVn+F|?_i8}Tlihl%}<9UyFCgJHnhrf{;VTr<+HaKF<#fH zgAP*5aI|eRn)%krQWAD+(-NoWZBCcKU}x$o$`stlE0o0)O+d<=JWOlMu)0m!ve()? zZZ9=ByZzpoqb=I^oMcO+b4^HRvhE-fVa(wUn$O>v+^on|sr(ux_d<-k4ldk@PB_9O z5I=Gsa)zF%V_w~gvb#eLM}~loNTEWapONVmC64st4xhfm!Rr0?rlqHwXBP$^=R?ih zG$&Sj!gVG=Qt@~hM?PDEpUuE%Xo6fbW{+><^-A`y;|D%N%}jOw#b-S+sGfToA1lWD ziriBszzNrYwahgUId$U?B2e!$eeo^IM3ce=@EetG^R0G=z`dylpliqLfv*VW@0t)j z|IKFvE8gbmR!H@)k2&KmTzjvnBZ%LFA1s5-g#P~pHt%YVCUEF^?%93{-IZ#hh+uj} zu%l8hrv{w#cOVy*BxrR=^6v~?3(~%Id0kgvH97B7tK<4{4h#3{?(2nNxEeFmGBFrK zfTM@g1G(lb&}GS}*DxvE?L_P`6at{?t~VqOm74%xd~RvoA&LN$Ef*`#Ei_-@9Y??h zyDIa#5s~SY%EZFZn*RU~(0+|p1`x|NIqqsoqNENr0B4=4MdERl?)q~dTN3eply-)9 zhb&r?dCvBV`*9N%ExxBE9PlfKXbQo|bo`bi#a_(&W2TSUb@jwoNC};)aL|u%lAo7# z0$$EZD(obPMv-~L6LD+0OKVw%g7#fu(CV`nt^7zGD&I_ZML;0Tfly*Kfuw}-@3@#} zW2-Fh@I|klTvbrS(kh%&mO?g+&uB6Nl~cm_z27kEMY9EvrZS&$d5PF@WS1v)9gw`r zXY@LaAU8a5JRfdJm_o%cew6a-U0`^V2b01xe$ZW;t{!vd1S4wH@sw2Vt(ipuE}>%P z14s*_L5ZIVdYGxmXbUB<;akU$OCdP!pM-X4$-1)vo^rUn*AjADAYw9`A{BwbJ<|f+ zx?j520KUcHJYGu8Hu`lLmhP-t5ur)0%Pb z(8o0Mp1Jra%4xoOVafdu2jx}L8zR5H-Qy_5E3EE|qbEws?{Yn(p<0rBHV!S> zQ7IG9BthuQ)B8#lzhMXIOX0NpR9HwdMW(qQbbvn0LJPP&K9XytGGth%9CzMo@u6X~ zbv1wp&htv`L)8X+taj^=a1~);D(AO^J%E4Mb;FvZOblonW}jdiKSh7wtw)6rEpeP@ z|LCdhJbI|^I(lfYO6taME7D(hNSc=m$v$i~TrL|_@ zyBGfMsVxQ>xmVBSI8B_NK+R@QSmYTjHw{#;YgCMNOVehSk(1SAMQ>q{Ns%hI{;dJ`d`*a4|-8#Nc5$lImU257P7PApFQR z-N-j4-36Ovh~PIDJ8vT1~;&s@XTUmhHNE#1BxC!Ek#NyeS_F*ai zA9Ep!S-A4QP8tc?1JA_OWLO3uX>Uth^M9!?K9qP`WQvM9-$fe%ZR}h z?%NKCf2#9H+;%};$Ieq1u&R=Bh^$%yAbr*;SAOViAX;%@^++6YZ_PelMGcq%7xpd+ zp_1_i=2L$mIQ`==B2&OWLS7WYE^Gz`oqx>zndEd~atG$%;(L12Y-zRcYdSV-*xIOyIW{3MkUjbINH`JV*I$wm`8^zZ(rs8A-EZ-{`a9hVb1k4|Z1qm8Q^{1^cQV3Hw|SnYytP_|)y>R0MW zt>7L~T)TFE9_)b&;?sR|_#ART_cfDBRoFc}{NPOaY0_OdORHHOuf=TA>~=ej2B_%P zDRdC7>e9H&;d$oCSp7B4)74DMv@3Be-CLmo0lrgy!Q4sGLEy%_^?jyKSvGRU!fi6B zT*o4u8nUy*bjXjy9mu>8irQAIj2FrYz5sfcZ_PcEK|mY3S6o}oe3X7;1T*5Lf(E_S zt!1o0=RnT%O^8=(&R~7s-X6iJh&@EoR#|pst=ZR^q)vS~cs9XA)^g-eOih|bBZT`= z*Qd+B`L}af!lcunbm4KsRJ{2GAYE8Vi<{Lh)$KMv9vp!YB5*!Yz~e)7*_@$-+8fbV zZvAW}u0rrQJby|tC$PAvni-Wo)CQ$n`C|foxZEa?4=l7qJ@uzBp}xn_ESD_d{ojZs zH)NNH@?cQUEi;4da6{LY3Mm5Pr}7&IK(^4&{smWTrLkACZ~^_tT8Kh-;e9Q7w3YJ^ z&_wv0nnk@FdjP~PGyCm-Lc z_x}P_eIjb=Z?50*ALcZ*d^#>>EcHbvxR3q$K&E-?eB5guNa{Kk`kz=07;De>aPe+> zu5zMI&b@5h%OCkQg!m%s2cNhGycTj{RGB!`&_lNXDZmhOFZ#9&J;V5wdXJ#c8k`}{ z0HmN}M(1Qo%yC9ursAKo&qG@W`Iz)3Ru79|`KQTY?(gMxxztT)dVNNJx7BN+YdJuU zF^h@6E_Bc2#G(2GxvbZ7tu&dj8b0&%ZSAA<5gtFar>9px+h(>E!!K&;JT&?8Lh%e(YD^Wqb|!-DSstY*Z6 z)o_j~pyS=85NqltFISck{Fz1ZC}6e}2~|bbx}bhQ#1%3AR*M+Ze;Z_+6DAu;E5anRw=$q;xNu+5xDNPolVPZ8naL}ak|gP=;{9Md zl$?TFmT26T7b*35Gmi*baYa5v1S8k|26rr%^xL7s@1t$;QZl@Y^2R^&$O}2o_$<^f z`7X`7MsyD*EvA)nKivQcstcP;j9`6ZrO!4oO8^9T-VKn%2nFLdarB@x10qPf#0car z`dz9ug~^-?%!Y$jIG+idn}bIrq8KfLALj(R=$PV#&UWs?#yv+Adh3t$2$H?mK=AYe zUdmly-WtUto|tZ2+3v94Ata)JcQN76`>+cN&H%?mObT@G>qK#(Gimiwwa@{-`DvGPwd?5| z51&oc=M~{;^glWKO1UyXHpMBvvMkpqtLP$+rSn|jl6+l4%(#;98fC=`WkJ8kOwqaS z^Rwa@zjnwUb)gblcBc&Rg*K6kky~YZR3Ro|^~&lcVf$ ze8TheBx@!+7S$hR+gCn1t*MdVef%_VdM$&>Yf84`h{T2zv`l8B1zu~0{lskP2`G(K z{d5yY)JuC%DSj}|hw+Fd@E<-COMGtHo3%J*k@_|@X=NeA$Gkz$0yS-mVVdTJ;ud}~ z+Gpk28`xcjZep^JZ8k#E7g|dt-?kHo+=BpDJRf2McJX1#kt6* zm8-7gFj!)Qm`yG7TMM8qexF@IC;R=vRIkGu4^P_=|qWKo?17y^EKnB#C*vW{aOBM>`;1o%^W#Y zW|gH%E}w>bG%#R00JFB!_2`#`#>~O!ufXWd1w~;bx0TWB`xe>haoM$~+ch>Xks3RP zb(XKEbrkXsdzNU$CUCMp?a%x(sl`KbqI_0vygs13w*DG~OhO*oItSd5c^~s++DKk) z18jw&Yu|+jP|+>K6StcbsqLhs1=P*vPj@ql(s<0mU27+x^8iomeE@hOwHnj*EK@CI zlku_^7zcmo)9)zbo}^V1gi?x3-J<0=u7<*$9YrgI8c8ybJ!qY2H7j}MDcb324=A5! z3ATKU^O_C7IB^j(_7dR2Qy?IXW?Hlo?v9y0plu3Mv?X@PuSPK?9g)t7MwKch6_;12 zj%U>q@poQ%UN&`1e{XXQ%g(EY*@Ndw+XLY#*S=yw+aK5R5!H@s75h*k0N)&|O zht>WG?jeN(UU*n7{f>hObDW`{wUhqow#>yVgpGr3JDp-}Q(|~d0gw|euACb@U~os) zOuu);{kN`WvT#^iGN+DrA7DEuhwSKJ`@J5FB$|3HT_?N_;XXQxeqrp~9kz<+@F|Px zJC%6dcVW$@MXNIzU*}xv6|%^qHmnMem(~Mu2Gk7Pv6A0V^NQfB4sRmu`OIi!r;*$f55X%|)1du^pZ(puC1!>kl~V zXRCd{JpV2WHgI)Zow{7N%qksbq1{&Qv0OK51#cupzX7wVS4qZfb6eIVxM()xSYG?wKv-WAC>iHTv(${GB3BPympoGZZomAqKFDG%!mTZIkgy!0#qvZX2%VMy;;eeJ=Ak-EE=W8^H@%TX}sRvxe@;gHnIX zT=gN%Mb!GfeAA*-@-6SauE)dyS5wdn2M|ozX*$ScA{pp+7SHoY@L{^_SFgvoT<+Pp z0shRd2?=1`f+V!O1tM#O#VPRW7NrduG?Q8nI9)r|--q-Lrh)~fO|qXTjDoPUP>cO4 zKtg-NM<~}!)5XJFECK~Mz_@q2KO zE1u6UUf9Uv7YnbyZ0P-B;=~EhHGZ4?Qo0dgpLQC57KeIl5G@`2iE zS_^7k`-g_Yp|GnE`#)FmH6RWl5LUGUb`2SlOig$!v7-_$u8A)e6+)l#NhtDF+KoN# zu$@fJh_PtrAi6He^p7g!y=(!saw%2CuO6;6l|yp7hR(6cX9=KLJCQ=~;ik3I_b{&- z?GM1Ahfd|`Lt?Svp@d)~_lft)q&nv z9zeg7es85$v$li%CwO(_@aIs^9P>8OI?B7&A5>SZPU3tZDpn_z>~t>f3*N;Cvs$cK z&1VrHO8*>JE@gKpQUnUBOLcQ!sNJQnn!lmV6B+Qj-KSKP_!-l~-Ve>j_ZIBBGjvIa zboTivKB`AhZ3XYonZf|BSF`VN1fn0{&0oj$#5T(AUQQ6z%9&K|>^OLp73Kdfkw5>9%RCwt(-EM8N2ngWPTv(AOyz1bpSf^z+64&%l19f9 zT}Q+6h)~9MD^a^_Sx~?8ThP0mlxq&{o&ygKn&&S`pCjsk{9lUw#96i95ANjNYcJ}V zx^1<;4!r25L;t1F1vMV+$Ma;kDxVf@yMprqgC|HkO1-Rl20ScZY7J;zwR#q3H{LTAd4DpT3f+R zr0?-{GI)v;Xv394Xq&{qOFe;?x&{Bos|}xs#bRb!a3g`cN#{CbKb+?pySdzGGjI7l zTiRnn3)|T-vx1#m)|=UX@wvE)^n4%ARDh>dwF{u9k_+;2v&#QzQ=fKIw`0ELZJN9f zy@G50XZ^>=MD-m;}d;#hVvZ(}-fVdIeuy zq|=A@EpJe+4bx({5cid@Hje8Lnla37G_I&4E79*@`8Sv|y&W?GE zLgd+wG;&)EW8oS6>%;C|94*b1-8EmqZO(q@zgw(8^N|y@M5~&&8nrJ!TYgc+ss5cQ z>72N%cqv!zqRdYBJ40{J8pI(x&~A^(hq?-DtB8n+wea7XMowISU#WAJKmdwH$Ums+ z0^U3dbGmG*WV(=7qjFC13!Q#`XQo57wZ&5e`BT6c0k$*q^4lG;nsEm_sZNx->kf_w z3f1J$90$@rd|o)(;%RRB%Q%vo22WbZQ*g)-(S?>=sk9BVNf!HhSEtaaC4;hm=;2Mk z13F{|Rk5_&Hh2I<-O3&~T%e++8xf2nh=J#C)*ZOd5Ur>iF8i76-+$8B(O&n4&MAc; zVv`cDWRC)2n_AaE5v#k>kG%HMM~{c7oho5#)a`pAKeVMG&C~cgb?e-~;p)V!q#B^( zu&>97{DTw--1746t36ERiJuxWz`ML=PKyI>VK}w$;Pm@njW7J~^i|Stc5&jaJ(#ZQ zOgh#^Y8~oMH&rr!o7v%E#A3C@d|kz5t@P2-OTt^2-57gIJFB@sGQyd-N6#;unZK&FlTvG7HV%Um`trvN=v&5!vIH%_H*AmYQ!*I`g}r|3{G!=}hM@sFyYG<~_RbGM~UZGMm`rff`j>>nbURu+Es9P% z@hoBM!6A``f>Q-=EAXaDEd>Q*n!xI_MELnh>cp-CnOe$1^{u~3>GsrX33oUD$)jMR zjp$w6(RK;w!s3~uUyHSSqV5d6sw0s2PYc=~3NknZ@SY%$iePj6qGwTxUT0M5Y#ME(Sd zDY-3^*=6(L(y$-0%=4-_gwb?LZX($G&RCpds6!kw6sH(E5=(v|2DX868d(u}PHN;o ziuM;e{H>2Cd4Z?II>7tU&ye9TGfd8sq4%Dr&+(4T*vW z&mDJ%B^34_DT0@fJ~^Ekfre8Sn6YNt`eYR6xvSB<=)|1CY1ETD`Ki6nncsQKR0;c4 zY%Qqxsk&WGxXTQ}d&@|wUJ2WY!nTA$&0pFjWV|}REq1d!7c#?p-m>6+jY-D?U`?d? zlRW2SUuGTNAg_+SG;Gy@t+((-+t}U z=`Jf*LeX>Y9q6$|^IpLRkEz2V)19X5sbt~!P;l@QPsa|T>4I7ZAUNt@%@F8hK3%b0o|=8VB2W9^jUS2&6qaP0IvJ=$*ywC1%Q+2@yA0@x-y8L05^Q&wrD)#avDgJI} z9?UE^(eXX+77MOB2+>g3!2{s#G!}#7zifHww2l5I1z2;wp0ztpD4t8m!F#y~Euzv? zzy%a}a%GcM&b3w^ZH#=yGP&rXjB?lIUHb$~U5A_0BFX&q$LzB=5*Uk@Pw$Vd2G74x z$w|O z!8uB~10Ir%d~@<^UvCOY0FUth-}%(c;C3`s5q$IoSL7e&vySZO0n?mX$1eH$7gVj% z8>A8ZZJ6_yiNKkQ=``;1EOm|^!T(>hy?G$i-}gWM?%nqC zDin&W@5+)A$(FrH8f!&m2`^L(S+isrT1mE|Qe@wkAsJyXUS&(AF~rm`ly#7qWEss^ ze)lQMsL`kIAHV-+?(^Pr9_O6LIrrXkUp}Av+>jhaYF7KDu@1m6?g;dn2WS$-zXaPx zPZ6K!!ocS@$>N*u-6ZRLi@EzLCL?GSt$;e+jY(G&e&3FXYoIe)&=HnSEn89l!GM?G zF4&zo(36=6nJMFOw!90nULJSBXHO|If|&=A(9d?ySg6Jow>>yyu**8zy{ys1SMTq9@r zdYkY65^3JpeET6T&!FMBb%}~G0=OV~oI(98s!cQ`PE|w6GaUSX`Ql1^2tQCm3V@}}z zxtIc+Zv@*ZsL$xekD9BC76AnS7wxm>uXJ9k zZbs1AhNnAAL!9BNe>gzCCuiNxfe`0AobvjI>nl%mXem-9M)v*Ofe*yNd8ZX+%*Nmc z_i74X!~1{>fF8*_)>)Z(^bTdvNpi=Zt@uGYB^oz8dx@p?FU{HeuX2u-%xy`3KYyQ| z@kj`B6+OswNr}sM(!>YvjPAHbc%+R#u5{;cJgU_hNjJKz!J*Qy%V`|wXq>DkGYL#AR!^zp&T(3D}*7S3?FKa_KOPU2jW z<6jnh&+qj{fbFmY4f~PD{tS~BWE%F52>s!A%+E&o#jlgI5CU(W^!=e{e5Nnmpg$rk zT9)4WXD%uGl7*pG%%fhi&g+ffl=I0yL#na74wu-l%C;Z!vw(d+{QsbGxn3ij2ZHBd z!wg{F|7kxSWRRs>obqQburW<6X7>x-f&pG7Ydz_gCqf2JQ~5|rus46UT5%x}ui)ce z+F|!qT#Cr*pN>m|7(hO4_#`+vYOq-?>CYzNZ(%5<-K`+@xe|F(x|StQII+j_tVjSrS_?Wa?W$x^m^*?1A^+6#1<*E_$ z7|o<(>_VJ71-JC=k-0dZFmnp|u(rjd#WE0!-+W>(t4OJ)a8))&xb-Fj1^eBn_Ph`P z{*JJbfiE)axVXTO(9_?rRU~6~MYyh-b|vT9nJ0f0Z~cGx|Mv76d68K99UiW7_B-7F z4}Xl(=WG`Srv}^r?}gE?{@LP$Q^d1X(l{Nb@3YK%^*>x?b3l7tOS=B+5l7j&9cq zdoCYzTMEw0knODQwbE!On`OmE#L0)LJGMu6h$%(AggOb^s$Jy1BORG#%t z0W&>kF=KD2YXH<$Z24%;LW~*mw5i29)(m?PKaecXh8%S>*EmG`DE67CkgU>M>Q(z1 z_DDBY?N_ADpb6lziiM2U{rQ8M%T4GOr(BTV<-_Ko&qA^+e?od}OpYdH48O`T@nB7M zjK<7>hs1sz6JeDLjj5~!*b3;rCcAuHe<6ZQ4C&N7oW6g6DAh0sE&-39FuY6+Y4Mao zP&7{=n8-DE9J=Fb3!RmLdWJ1G?Oj~_>B~`m(e%tKV>|qIV&3<(x&r$oiC-nmd_Kdpyw*O%pIY!fS?@*Mdo-F>z(w zebB{XMJ>ho4qeNqloqNVX}%}_D<}Sa-~cHgIyq{LOWD-!l0n|_M@D)1V*l#Semm0a z%w*7LeQ)5tWqEn_7);|m*82%asY0#yl zVrebSc^NXC?OK$f7VQ1`J23LjM0d5qZk9{vj7_!2`zgw^3au<6bMeOs0zWHSt*VYa zzN5`Sw4C8Y+5+up4duvgz=)NtExvbRMpEpIaAVIzzLF+YzuDtwb$m5x*LRM@o~Jr5 zHzQocNy>Q#o2YU>e*=l>n&UzihVbBg{AEJ4F=HJMxJ=L;$^|{>t5@ovB3kZk>n3?~ zVW(;l#8NsLpnzE(-7I!6>K(YYVg~j*@5x54bbmh%ARc&;J?0E7$N>@@U0VR&tT*ckut;a>5oxSb8N!l!7CSp3c?nQ z0)b$ACl4(hoLhpVr%2ga3#0#{@dq9VdnY{#1tbPsEmwVoV&Y?*+@pzHj!^eM&~oYM(h18&Jcmc+jFDmsafl!b z(K5sHDt!sSd%OP%#rX~|dxu5K#p@vNB41`9zjSWS$b+_HRueQ|;#HFpvv#HGu0s5^8F z-0Le(KG_nu@uXdAmsXA#yy|D80}o8#i-9umdMD4$pT7ImyQJP6s^GjOa&}O#`Yw!| zFV=x~vWqvdX!v@->MVH6jY)`F*>-2KvHGG)N`}OAgY*R1uaTvbX^QBm#^GtryJBZl z;wznJnr9`2M+AQbOn^~ngL96I*4X@_m(N=+E}Bwvr^ewnI8VkynvF`1`WN!767Erb zxfqW5hoeh;;zZHq z9N^r-P77P~kYF%+BW!|6gbrPC#Bag#pw#y~Mw4o`a}YyWEOKOAFwSuuN4>`*yG0OD zUs)^?^LXobf}m%?1dxnd=0CE&v>7)WEgQKVdtVSH(_~uXwefeK9HpKxJmFnC=x1eN zcs+QE4mt|A{vm&r%8jZRGdM45y4$C{mCZsXG9S)4GG2*2jiAImiI@cMe&)k9@nQ&y z_8-r$Xz$1JJyC69YTshp&VwVHIW?0i^VCj+xVzSTqQ*l5&w-W?c}|Lzcf)dt!H1*M ze3__-@$Hj^P(X(h!BS}|@p|}MoclOl!cg~`hJ|ffDkX;Qe9Uw?--?*5RDgN@X@;W& z`?Pah|GzE8J1v!QGPueJjG3JN}w@Yp|8iI>eLnC-K7*egvFJ1fjp*$#H&=GEg0AZ3 z=gSjlQrT+1di@o<^9w2SE08Jm-#`AB_Vou*1v^FlNws{RX;OZd?AfZ?TpVT0@iRU6 z={=nP->M8hZ4&(^>0h}U^qUO$r>%t5Q@NiudR9+;e%_&5J&guW=P*|1S5F8Tw`rz7 z7zS=(ZiYtv;;^gbs}!%V-!Dc9(hp^Rxbk9aS}no9@^%-@=2nfYeDfL z;DPXr;5H|-0VdW9Mws79i~BD$n*Ju_M^qgX%r44ZVVfvg1QyF?RscsZ-d$dB`->uS z(E(fKQL?P4Gc5#3hMponExGgkBho|RY=4wN3;K6I<9ZDJ>=No&OYHA{W<3A1b11bI z-{1Z0{`}AMQ1UHizx&zy`JbtvBwLhz^D|3UXr3_)E#}{&G?ny*pb#?Q;8rB1(s6 zow)P{HQat!^W=W=k^dq;GVywgJJ+qfplAL8`lPa@tB%ekF+k^OEj|B$7sAse2<$pO9+F5_H9^ym9_%mUd}qUd~Ns%2kuP^y{nrrPCxRz^q&!CDWA;&FXn%+sE${ zCIs%E%Na{s;dReOz{zx{IDmC{xqW!LoGHVz2n(!b$*oB{DdSY5+;mY<->BT0$8N0C7<)YDbUn7e}9elO7Nca5RKR7P!#t{`71m>+? z!psQH`jFunx}Tgw0hgv2Iy+nvvIt%_WF~4>hv9vL1yZ``aLz_up~Z5v4Vw*!lYQp@ zu>qNmn*7~AVt3B&)Vb3h14&(8dw37B{C8M5e_O0dH`KXThkTIMr7u{y^8>6agR(KK5fkzBUJ(m`Se9VOQun4l?cVDn3uJcYD zem=oPRhj>TrlSZFsTqPe{ADAlSp1GER&r9Fp!RbLwG{ix$D7t#ndlglB;T;WPlS4w z)IzZ>7pDXp9?Cf7?uVyebBdd@>00sB!B4TP{Q*?CjibC8$I<8`&qjiVI=Y6>VG7^=Lzi=O0cG3_9KeVbbK6h%h_OMJ` z=Y24dl!5o2mvUI!F*9BIPS#Gq?F_5~%Z^!uL-Aj2F#V8#xgId>l_u=R8G z{ixWjNYD+cQ`o^ZVsOq|96WL^D@&V(WnqkE2*a#M%4byF+DDKI*l=dY9qm@T5j;N` z3EBZ2aGCK$B4~02(@e!Cf+@+RR(9T{3BGbr^O#pgV3c1LMzNVUxa^(l2HX06eB4v>iW9n<;`Gak-Db2rl2?f*d99cEpsh zaiC6?A-FJ_mW&$!_j1!?ah5KBiI&DdUmuY%o3la4mVqweM8+=R;J$hM&+AW{74-eI zNwicMQpHGat*6v1&YK`)|5HIr0$iWJ1kSeqP=FE_UxYk(i+x@VQM8bC3XS`~`xSL+ z_PQQc-Xj7<3dEUXdYg|&$$E|r3yiQDM<6;gM_x|PO2HRimW0{^h%QPXO;grx`JLWa z7V^Z=6lJ#WpTWsVAKy#edfkvjc=z{z@{cN48MW#uGi=AymTg&l%uIFz?I~lr$ia5V=E4Gu^0My{9ch_y72Sp@0q0okk(qSw<(%w2hU%HMZLq=Y+G>?HeI?Y z_yoAJbCY%-%p}pm9lIIyQT_nEm;h=H z4DUC&^u(Y04=B)-eR?49y%M9Treuq{G1hd62ckOo8!JH>N&^US|SGz>kbR)fa@9ax41|i#V$}ni+V-OFF|oe&%+=$g|~IE(Ec?%pn%YLVmi$Mp}c~WX;ynhd}>H;P|kZ zn%+rO?_h@_rFPr<>+X5&PlYey$C|AF{c^Ue#RW1b6x@LZCLnmfSTFL zMg~ZxkRY6OGfDB$a8NUGlELYZG(zMsXFc))YO-&kx&^I{VfzK#{|azzOkMCHD=cX8 z9s~%P?ZYH`Z#g6li`{EhZjX#+ARfT#s+rr#$Xd>hnOdHv4|zAb zCoce9$!ejTaY|wgyb{1TIGL$_axB0-7jjFz+>UOrRe{+9vHe(Hvm*QOpFY0!BvT`8 zeY?&(P*b?H`h+bU`m_kj5=Ud~M+rW?F@^c3Pj@8}54=!4cU}3!^f`zm8Xa-mhFsBz z6TaEwe@iim(FLaSKK?{@d9{uzU)KUz@!$6GDV~gPh5^UUrp459jiZAFr>?VrI`(L! z-n;g;N3p<3J&0)AW7fjh@Dgb31aplQ-(IIsNeKb&Jkg6{6j&I(6LND8!rH{luzxm! zoQc>A0Vu9CAPAMiXbXQgD4#LjYZhm}|0lWMU@mw4coy=kJoIl`EgCwxA7#7?bPyEy z!+)C!+YDA>_9PsZf1&y|W(}7e_X6ToT^c=!S%TOE-_7T zE1~vExq7Eq42cMVb#<-BqUO`nKbtcD^Ll9I zai8+t@*tL1r{TzsJk3b#0rZ=gL%$h7A6p3JC%hYx$|!@MmTC?41DR)FE4-)91Yq|6 zQl+I|I9;DlSDN#2v6_zeS14+&Kq*s-kbM-qACM|p`$24xig6$nP-;JYIeC>Y+g>lP zB2}@Fy&xkm*KlM->i@LTugq5(b#&Lm&hnadB~}~8kA#q+2mH7oh$Tzp%Ux>|Va%Ll zHz@goJ|#cMBcp>pB~BA9?P<7x4oH0T$r6jGn(Q=!jbJ;x`Uc%#c2HK8wi9qB4zuW$ zw%gBc8On@&rE@$2;?E?~LooI9TLJI-^c5@ud!L*KCWy-Z?kSQy0b2n8>(M0XNM>w_ zHx8I^+m2j>uB&#Skxg9PdBOMx5EkT*IBr6o{YgR}jx(K%^n^a)m?~J?)P;YaMz0IV&B3lBNwngQdxwwozLy&8cj(;s~j>;|Qw z&>l#nvRr1m{dj)3RnyWNg1|91F`q#BGlTBtb<_aFjsxQ@1gDhv+UNQ}oK)tBUXqiqLd zZwrsF073zpg8aw<=vn)c`DtpZm3vJw2H+A=qBh>%gdy{JZavR%4E+f+Sx&-eJnToD z@WjO)aSVgp&(cluYV8G*F@r$mf=%?{?X{d;9wQZ=fycClQ;?5vv7_pPnVZ}4nj953X&L?vbW<4^K0h-X0y zKkhr_Y|waW_VG!ctB$vKM4^l>TsEl08p@#GEqbn0yuyo0ifya+6ZGZ@k!w(d*^4^X z6uS$caN*@1U<_NL7OXK)2&uETMeh9RCzytBO%JY6;w11F84@a|*TB3$)Y-A?g+LI$ zHZ(CwlvO_fZ|;!$tEReR!dK` z0TOwS=>TSUB^EMx!FuwRVL27={e(v7zXI{O{V5HslwcEW=O0aDC>NZwf^Vi$gd0Vv$X5O}XNiW<7Kthm08LS+l=^FFO3$RCWflIenSA7oUQ0q6X ztH6*>CCO>52-DZtM?1j zP8y(LX9u={OTr-k?z#ke&HPG5@d0K_KtI~0@2b0ZJ!0}iWmI}G0jmcy(oh^+?28a? z?N-E`JkjfcFGJMt{o;2$uI}cSu|qII_;V~dTO@RV5^ouk#<5}|R(agau;p0wL$mc@ z8O==th6f*DlWXPd9awx}^icQar6&=qr)?^4Gox_yRF~$q8z@Mz5k?1I?1yuoK}hQN zU5+Ei55Z2s_lOY}8twt3zYf|m{Ysh~)oYRyIypo2T;o2G9pl{&f0O(`ksI5GZVque1jdmB|AGqFHwn;2 zEU+N@Z8fRC!mTwXSc(e7oz7j-bW_^DNd(_(ZbefO^+owc|SKw9CY~Y{D z5NWm(a#a1jYZ^iKG0JZBVAN}+;Aw<9e}PQmBq9%zjsQY*;`D2~X(h)id-flZg0b|a z#d&yILN~o-QJ9U%`X|cEPh9RwE5!K&c8B?P`M48JFhZ3JEMXt5lPUwDtuQZ+-_rOs z`Z)FZYfK=^zHB`P=`^(|9_r^A+j4q-kS7a+q*2y%{RmR;>>AY~qtixl1I_5VS$=an zr`Q3sWcE>pA>_vqLt-0?OLW0ncsUzGz*=O~gJrQm7{g%?SeympK;kVDE)L{j|3xl} zoGqmuEb<^|8EJ$dPd4r0+xnY-j6n!`B!g(GI1ZY&OgeHQ(%lI66E#~}sIHVQB*@JG zLwTu&AOrSs*J?U);_>r$1CpbJNikaC)I z68x@+>H?IB+xD<+k^Q`@AE9%viF%ErUUn2HH`vbJx=}qY_`$5@B*Nu?eJRVBex6O) zS;2ls9Rd!k)2r{zK?G!cq9#3?(pA1uraq?t1AEA0h_;OKLQ)3W&|Wi&3cZ%jcotf0 zW8}U{$!xx5{iF0XRpBPxpNsl2Fik#Mc8?ZaDRP>hAT>&LYJRR>pOZ*Z4}Y_(%P2~x znOd|@JFnz@e^U_ohSgCv+fhpGgrS)b!(dLD{>u7py7SUvm9`?B@T0%PCeJ*5$IV-= z^du&aQt^R$!?9~!=th5-$RPdWgMDpV9K7w@Nx^U@szVh6gP+y47) zn#+E9M5F5lSMn8+Y)Jm{kENWl;t@RqCo0<%*8!BzUHBIxbcP;q@k&;Vaja)st$xjb zaIyM*r%Br`>e{%ZQBXb?KE^QGOQnriAEQI(=BHLRmL5Jvx|^u!Ipp`Bh#ONFA$$De zwRDv;IB(95sEj-c;m}PdBzHTx`Z?!#vDpN*8@*>jn_)DaF3nbzJ4PvxI``WFkWJBF zW0TS2s{ir3YawO&l)~c7%h`RzviZH|NNAnqrZ&?O_mMH*n9w@6tZgw|(G6Q*a^i9gMErY7pbjnnK?wZ- zT#mu@<7xcegJ|(ANNKdUG4fo7!PES)8JMvbH%`x0lQ5B|yWH+j1udjtMs3CUvAu07 zygjGEdEsCN6E5E#dT>8i!+rMj`K9E1Z?5xs--t~f1YFN5Y)yD$%6teqf8G2PQqMg% z5;NSli+cW)0>d;mTZGEDCPqQ(K{^8U98v=6f#242=u-S-oijIZ3raqt-WOkd)O$vw zr=7bt+T-@J9C$S)-l9&tcRhfFmO<#(Dg%C7y~2bOC9^o!ORBiEpZ)I0T+= z)#;Ke3U|zYAHgudru`kpE_WQ}<=BvW7$5pw(KsK_D|*1)@LB3i*avELMvpLzeVC>v z+kiZ5TP}HLUxRSTWK;LfstnDujfm)==ZCBaha%emb2*ly=NScFZPtPL&A)3&_{==WNyH^eIgyk+_kF)i$_(f$DkZn& zQLgP}dkz*NR!W@@IL!y6JBBoRVne%i=@IV&7g-6>o?q?>R1tgs@+;Ivcws9YBj^RbQoLB+@DoM zv4;Ra*)%&D*CtGcA427fn3~^iyqX z@u-~Q4qSt8J7JVkKJ2Q5ou?zfrww6+OSU7&wA|RJ9?jLHJp2MJYYnfv$2Jm`WXTbQfQ>k<4nz${h?dC}P|VQcRPW}UN<9p7Ppd`?!w-$hD$JA(oiYMD!cROt>=e8T zf>$f`1ldm~DgrzV?jsw~t}&uZ4|?a#pryeiNpQ^MtY!+hkY2LUh_eHsXb^QDtj@jZ z3TWTSky>Q2K;j{=DW!ofttv(a9>6KP#1|d}m0$BHdFv>1Mf(cSTrmV!mcOjN-2zSE znRJY}D0=scff^}zi%^Bl<2ztz%QP8)6pg%vjI}aUvvVZ41b_i6d{|bwr#SeVpYD;4HYtf3o z-UNRg;gOjKJ|GDBHMJ_79dXInP2kjxe{4#&SV(5ToRQWKDYnHdUY~K6jqvzSj4-Ud zX!s~qX^tl;SRbIlQ)GYt_XGkz;>>Zg@ctGqPeiA|XBn>{s`}|4g0e>}o>TzFcRbhy zsL!HY$D<(n(_~2$DCG1V8rhC8`0;0bRhY`MoKTp>4s5{u(r$o*F$9d07#jSCdq%;n zJ+cSoH@;&hTrSqoNOnSa6vUvM1(eYi+m6qh8#u)TS9tz_L{>T>d2j(?f*TQP|Mxf7 zLf?!}23yj>4L?s<@G(h z1$GTQ{$%L_5`(c15`+Oaf;>$xNjMROwNGgJKeYGip)joHl|JMteIJd#xe9W~mbt`A zhc4rL~Bt~;aR)HT;T|9Ck;xfiL-)7tb=|pntM+EJ=>A;f-#epw_Wx0ae zTmsty3MNdyOqe=W(JmWqTubgtN!-Zw4Q6|6_-31tP+x!`)!K?epQ7LCS5BUc;u(?h zJZIr`^2m^hk8I@lb@1>NLB^$N#7+b&NlhW1|5uf+vcpwMXhtIGt|70CnHVa8mPOg= z)>YqiRR+e&q{TSGqTc75-f&(^{@7>vEUr%NY|*=x4|BWz zc|aQY&n^GvM>wVAiTB!NiEj`eifC%Hn3V4ZS(U=a=(+-DV=RpCDxDE*++Aq`lsT!t z3C&t+H*l^|l>4ac4%dS1Gn@Z#5)NPztXv*e?zqOOmm2;)vY!%8OWw5q_SmelA#u$$ z4K?^%`}ii`q0|oSfZDVkc{Oop0CAvGcX(hqUFoI`8#;` ztL0Vp;YP2-!gnA!%?uIeUm-b|BJ?7VD*M^WiN?~ZVCi*4pw-8A37bpHgWD9A@rhs$ zp|1wc0|1<}JdRDOj;_j++$7Th_?N(~O&3tl#h2zj4afeOM(+bD&V`APA`U;a{2&@WQ>)0m7Z``P5EA}ph=c(|&d81@ zwO{pICueu~QC)zv1*&-$C9lUV~X3%+>W_FP!B=zF^2sKfPOS?o5zMCn| z?t)nbvPzR80ypEpxbIrNHkoBCf}HTJq-zFVJoB=$b?yp5abqPpg56sA;C|r{iP@~Vz^tuFmz$ja zRgLrMZ#a;gXg_mm5u3T=vytj2X1?LLZT^Z^`TQ80JB!Vnlj=-t!hWwJRF=^a4F_Uj z;{vuxvAO485!sko!KOlC7;l8y24Wd^cgEDng|*HJaWLD2OiXIA?#@KnizowoRpje( z$_)PoZ135UbEgpB9J}h2tiRdK%t(DSo}mTBn}pVwWRY`vCv!g34+_#zcI zIjRgkKBrvsC~I;rk9)}tW>WYM;#%nB$+Og$#6_K#buM1y0J`s)-~MPdF)`xIqq&wNgGouh~C6vBfqnuk!EJ z)Uys!&yYK$9=-v%zkTJBZr*sb%$6TG$7Yw{acp8HPJw^=`}Eg@)ErxRaJyJ?hPZnu zb>gS7OFcwsw5@NMhi`Y_)^-asScDmZwhdZ<{p|aA(J1 zBbs5wrhy+fm$e^Z7IeNp5QbH^r6++qB7^HMMXdpXHNh#RFWTe7&)vf=)dv*M74{fU zn+m8QCi;g$9Q`9E`xIszUd?7GU?Q$V}^7zg=U9#ZEP+epeaOo`UPRjf7rY?N@gX52hXo`~US zX^ZRG-Z$JeJ1}&A%%$$@p)Y=&XQoZ{XQ!U^6dp6^&@4V4cFW=Blwh}CGR5uWY7+Yl zB-T?nl%!03rrdC~{i=CTN3#S(5zKC4XB(+Gw(lyoYxaD7xXGXeJCYt(5(( zuR^3xBDZwu`Wuc{N?u=0K;=L{=gw?{ScJBDj`a2o9Xj?FOMnag2AsjwYi=KkhPkGF zR2yfz&e0X}qwO`R21Rywf{-~!*Cs?{sLd+a3e5-DO1!WUien)Z;#G5flqiGnsg;S< zO^3cH7f6J4`n{9SeMzvX{aQUOgIs zU$R$Sp7>j1viK*SueTO=W3xtNT`FBiBE>@rTc{}e)lBe{PE^{c znFolX|Ef-MTdZ|P-fG*mq?lxVGogfgl?e4sgecQLw}-5i%G|8D0u*>%g>y>#H)e(h#zLvM)s*=hTo4*&g@~yP7BJ&TPUMlrPy|;M4YV{2Kv0pCe@}d8 z**M)wZVVC$*&|x`%B|IXR(_N3hThg5`l7T*T`dQIEw;ot#iSBUa zb9IzQO)ilwA3eIFKJ})Bl>fG%w|olmrx9c6e1&bhj*gIS&?2O9CTCyVEf-))r%b^e zi;N$H|1Ga;BqCw_GK#!3u<2WxOe~p|eU8+XYxJ&)rMe$kKav&T`es__$U*)0VTAW~ zVIp-$Z7tSolD(RkQP}gK&!*^)RG>!%Zi(t&8vBW9^~g;JVRn^o(GD#Ujt4wC%SJZm zl?W=ABwRz(^4Lho)}5AK#hHkli4qPu(S&HwQs~*lCH+bMQ`@vC{@hZ2@v7ppmqu}{ z+E_T{jG^l2!Oe+MofrYfhquk%YeRv#5E-=^LY@L0I>I*)$JTX>M(GYj7asU@CcFQm zYVxALiq(PUZ9sc9Fo*GNF2dGtq23hF!zcNE4JH8J=N$+wF=l)-@IWpL7xMH z&M2c6$8?9bEb#lQ=8nlSDnE?!@j2blze}PUXpSNOKE6(Rin>e36nruvtY(9{xx%n! z3HKvZg}^zLwa$;Y5BB>sdo1NXaMt}w9SQYz`Dz)y%`#pBn-f~JLxkTYp@Ob44)6`3>y z2eEV~YVK<8aQdez;a5Mrj&vBCxg1}9$4O-n$XHMB^Pn$3|XK7r zxm4^TMf`K^9iJ@=dVr;LFd(EUHU+?=$b@@r`o^m_<2^B|<%EEjmjR}IJ0gZQmR$Om z-nQG}nA6Z02y;1tv$(1rY|QB;Vq4fCW0J*~h8qK`3Tj-m^w9*Z+XURkiOS(y1uqk&a+h`5I3vQC-g86ap0eGHwqq?#6(CCW zHJL&426cg=*-Msb+zD>HL2s)jzr?r36cBGxB~Af)kK>ULcUDPas}j_ysc|5Io~=x~l8Y z1Bh;O+#9&Q)#QgEbv4CD@a{W;-H$)}kX74P=JjW~Qp z2=LQ8>j?bxXFFD*tf%&Z0^47jY>;60q5_s&($lP`%B}N+RgW#uqha(4MKs9+&Lx{fnz`>+u?hTc#}(TAPzX5 zv?rce%uCW_zXU*p0k9}#mwlZwjh&aDTUTzeO7+VKDLhfRrE6_tLkg~x!=YdzwTwyC z<~C>~z2B_s#zH=o#(}!HB$+2ZFUgUXJ`zE7*4X^$(FFM9TtC6ugTsl`37`DZ9mpk9 zG1tTX$zOsaTs(QwOg(n^*UVox3z*KqaXFIwr!#T(l}qz3dbHguu!okKYa6M`xfY^n z{d13^+O1r7we7+^bm#@y$C*R@Zyj?XF6Sz_{Z`%m6Mi7y@nll+Fb00Wlm@Jy7Ka#B zgb=yGY~P{=T2$QC=c+0PvgXMq;8lK|U#i?@>SK^I>__uO$jN5HbsBOS{_IwBlj=Rx zs^hZxHwlh2_W4X`b0Y9zZ{Eiw`6XP9BgbsN);8~Bpz4^h4YKmnJ)t@6;$bcN0Tng{ z@{`#@k>8Q#0j<phiL4bF=695JMdL+idsJT731$n+CB`m|1zi%(p2U zsD4SvFtjKx^X-=L{)~v}8n0q+jRdgm?liQj+~F8ZiX(55?l%tHX79f$x|9IA&Lrmo zj$VSk*|u?s&iw_Cw4eP5r8(8T3u(?|RR!&>Sv*oIaK+{Q^w2ee z7;+S(s;PwB17(r&CszTbmJtADD*^I0t*234c&3j?4PdLj z_$}95GF$C?v5RedS{XNSE=s?(z~w{8d~TJ0>hg4SR%KgczY>#rvN$NVJv_aE7^!+i z9W%Xkt#k3Mw*M}{LWeRpwYF7C2(fbmwt+L@d&SV4KW(miMnBrG*=nTo+zdAJa-%RH zpeHI%$MFYpuIAJv0qh8Ty(nqKiVV}!O_l1NPH<|;Xb|9Gqr#e zJ%qYdm#~_TNe4aHvo}55>QP@G(X>fO`qLTirm-ddR@~=OMQ?GS-|}N$k1Hte(fr`N zAEhmFa$jq>T8dN-PLvl^YKsG8@xK<|xphG3$Tv9;LJO<9sK57TQC1@F zF^={ft2zW>pc(W_K_e;309WRb%QDmmLp$C-&eMjMD-GEM|oPuq#6 z%La=~d}7;(6-%*I@jjQbnG;(C5}pmNZxtg4PBr1-9k8NzX<1u~V~bOz9CE9fMrwXY zbdua2fn!u`bqpE?-1l1Fx~1G6lcFk-Jem7#rhYEhcea(zz9~7{F5X>hqAyrb`9nlq zyk47LcW2g-;#4f~+$~t9-{1w`p#5`@gy~I*8@&+0I8^pc&@@lG86R{5+OcB;_X(0bZbVV{eV+vb|k+BD|0()sO(_ zm}ubZyuyj80bXT-HU2Y$WM+V5-i+gcZd#8h2$ctBLJ?>4!Wm z=i`|Vy=Q)C{M?sQ{vmPa|3#{$ET%y7Q_ciaHYH;yxvkL(Dnix$Ux&t?pE2~Ulbj|@ z*d-qHoIYFG9S^*_f1{7sXS_z3cwJkboEJ0PaBBhFU>bhxuMDZW_^~HMrsb!u_*x10 z+K$cus+H}({0ZOkELyemKlr<<2u+Xd{~$WuS!RyspXcz*U7*_kRGR2BIQl^zqM2-td=pmmcg4fn$cuD{i9g?2r=&P5?eOlS@UP{;+YksQ zDof(sNFw<=T*WpJhvFLS4lRFiVoE?tNh0l%*`&5x6MP*%n^?@;(K&Wb=N%T&<kdWm628PR(C58BA>JhTa_mberUV<%ttZXa51&ZXD4dp z=I*_YF5C`ybU5JA2p8MKmmmB({7z{2zrnZys^!b$A`kWkkZ_5o?W`tsHiuWMtnqL+ z6}_Fn<&=Hwo!JrJ+Y}Lu7|k*`tRFDvpLcW*?g0lMgn`gye4Upq#B9RFy=s z6-tfzI>p?9i)v=VA@Uv+S!q$II0&kxB>M!8U-fw%=u^|S35iLud$=rCdA0oB3neq+ zL3N+k#<;vF>{ao46Xf97FBBS9pv8w)5UbOe0K9m-GJH+r>0fEL$O*u3Zf{1nYL8be z6&Mk%ZR#pMjr%%peIAukwLLqLoh#pS#eH@*D7-Z&6|0nDIc@46PHqU1t}ziEzV$4ogX)@4C&lgtqsSn!eED*xeR&5F2yFhaU#Dihiy8=`jUMo6R8J4 zQnv$APqMqeDD}P4JDf|lay=JtpQ~n{LlS&D!+G{n4vmHlWl9Yc_Ek`x^{}NB^c}Ur ziG_>Q@%$K^%w%u`7~B@Jl%#>^#ld6i%|Hc=DxurH(|c;DB*56|1BS14V{&*`j2Km zQQ4q&bpNUGBZ&G(HkV}k3sb)9X8m#?;0t);C_Lmy2|6r6cacPh+qJnWC-c5(esEX0 zW30jDpCu-xXtVi5K-)~a-Uy>cW7>zd>Gw#`_aKa)w3p-BNKT4-Q*{+QHK@$Sw0*1O8n z?=aMV{+a>ji@R&|P6*3%8ZZ~z+{d}n`TG9jNQ9Xg&3 zpWmE1qfhq2Jz=sU5?Imr(PBlezVq53f8tOk9 zV~>D$&nv4EW;|ZQ0qi1eH_$Tcc*V&dRTuSWKgHQ^wQ zEQwZgR#5Sa-M|OjG!w_w35G+--*859sM`JTj_2L??^pVgkXNM_*)_V0U-QbB7j#e} zq%XPw&Hg`g1Kpxvxhi1fzg2A){jQOT@dy3lnDmjmbfJJLm)5p1=Nea^`Vc!~b%bK% z{sBacfH%Wt3C}(RyRGKsm|sIBJQ`Sx#|EO=dSA>^Mw~GbLc#^3^aGc0A!#6F zIb@)o#*s}Qo(}FP01?U7p1;#?aP&|FJfVEVBM;97mHKS-jHPO*8eKh2I29&hZvw*_ z1J@jS49l_TPC+^BX&gcF&0bA%u;7fT=j4TgntuJ#cu#9gMuM zhRV^!i73!KHU=i+1BE#7esu2(Ubu89YXW{b_4oS(*IWq z{_mv3sW6LH&cueqOjX0Xg0{)LJ24w+opo3H_x>90Y#^LZNR!%9nq-bHDs#Wa-{pgj zZ=&d=`%}EcqQ9WDfJZR+^7D90RkXs~4nmbT`Amg^|JRZd{-ai#+@xCdQYbuYiF`I+ z##O`DGXqV!9stcSkh)gVK=7i}=PB*iX9^YUn!T>gZpt}TeuKyO@#d0;)=*fyX0<>Ac zJs6x2!t?A6&Qx(cJ8^HHybwROLg+|TT@`gA@H+Ko)()rCNKz=_CQnaqX}yDcLfo%# zzYW2?a5MV!orE2W3?aJ!A{*rty2C^-E4KcsVnu&NbdH#+EizE=+x_ewt6`acQ~PtV z=Jc^jTRrLL{U-Gh%!&sbkb-MvNM~4lEtgCQGoZK%$)0zC-S-u!KchvW8f|K+@|6)=&qZx7o+;UaOlG@@HCB$slg z$ZK57$@37iO@K|{aCFp}>Ll})rlKQ@#x`*puSs_8aipkl9i4*;HXpzdompz&^fgUS z>X)ibt5t5m`A%VE#E}wrQrS|`la|B2)BDQAh)@=JDikX?Ixs8{2sWz6<)oQSClnl~ zi#qcr3G9^GEtP?XaxphM90$R%(%a176a|U6Rfe=Zd0_eM=O1v9PFfc-+@ zYzq(P)Uo)W$b|JvhWV!L@7vYB8r`SY*PQKirzZ!zoFjNxPlV8g)TW9j!qgp(A}@{r zi;s9=7P$3b2qc%;jEbKR8-raq;o{JDPCu>F^R(pu*wS~7?)`5!MSa$)b$)w6sG-Q9 z>>2HwX5_X=b#gebu`(?TGl)rkJ)`wXICl8_Gh~&x6f%6}@!f17jZ@{Mj$;GLtU{`r zmV6feEyot^@~FF4wyhbx(M69LQsnNsgAf)|p{BRa`BBW>zE~qi)yGLyf)z0iq9Av? zMiz>N|5yq9`Itgqy2{FaseB#;K?#O`8$wPzflJ&8UWnacyE(*?R zN%^d8GtmX7G-ORPDgEC=?>6|s^P{KGKwOPMe$`0%2r65r>78EDxoaNW2;oQ zkW{vioow~QAW5aj5|PKgB!=vTEXlsFlk8-yW0*1KciqDuj5f@lj`7*f()*<8#=7{g?<5?&9V> zR$MRVWY5p_o=ZJv^@L{@JoE>Z4>e+2YjK`?984x|6tlOJX}N}GK~h75D|EY#h&3f~ zK{a4-W6%m?9qyUI-Vk@)ZrO086GW0$c|l2%*qb?acc7VmMBPkl`R`)%*z6U+!I%$~ z($c#kyKOJKqHBFTP|u3g2ScA0@9GAP17QUsdJ|Zs2&go?MWC;2^zAV7I1Bf90LMiW z%RH&MgIhY7il@LwpQiGo^#a#ge9%X<;*WLhTlaltw_A)*xgaV*@K zXPgwlbiRDE5+@HZ8PDtaPG!{0Di_LCkz7#4Z}trPP;79V|IfWT<)=}8<$VJ@t(Sa4 z;C+Wfz|M;Y5h>feov{`Izt1g?$LKtD)5R<01hd|7e+tg1XY3ZYb1N)wV^L2o6PLLF zsKc$RJJ4F4TL&(!b?k9mF06Phxanrs?X@0=7XghAsWOAQdy$|rA|emQ-VBXxGW)St z67R9Kf4rG@cU)qi??=z2s$4<28CG@9ygn)%Cwo4?RaHlmpE|#B@DQj@w2H`n`0K&- z0o5~3P@zuO8?j>^BrGYnD-noyDXnYpF?v50>2Mi?e{+LJsX>q0K;5Gz)sM6*4}{7~ zZ}H^MoENz>nfA6aDbE5|qb^3dQrA9bULz|#>&=Mij0~r4y@kAuprY6F@C`*!z3xtS zZ!<@Oo%yM)*wWd7mnEEW+wsAzJx={+!fNcSj>g;Q^_U}eH4 zb!Lu1axTMo*g^EWxR~7VhfK=;)1_UL9SeILq+uju;Iihcb0nFhAgg_L)9A+|rvnDo}I>TQ8r-k1B zW9>Lp;%M9g)jXW}p_+&EW3S>?9_Z!7sd+g+6Qhe=wt^b2SAkI=CLdIRqaalxqvm7)SFExV^z-T&dl8#*#tOsCMXo>`wvkaKc^9MF0uys0&kK3zw#mG9l zf$Xf#z;*U}#Z2xd$a;CHuwFktSep`fMKr$eY68dnoV(UosDlhBw?&v|8Enp)V&Rs? zfU{cXx38Jnhq14F8F0h1Nfr!e-eMy-J-%4M6HoPA2*C$Kxd#h$-P)%+eY-jj_{dth z%9HlgSl*`U-()L36hIMWz@b`Q=GFy~u8r zT2xh!GKP~88`vJ7@+E(B%iUIQ3(4ojo>X{0fE(lU=x}E6^qBB9uzj6h#tZkL;?IaR zFUjN?8r&b(Xq{)|HWJLLf?!rDOJ;Rq!+2)%l(@$&ysT$f884fq9W!WHBl{Ur!-tRl z`6H?|b;$9IQx#AC19$;IRCTd3a~rFMaRb!rNNOG!MQFos7oX607t{#KLlqiR;v~DV z655RjlHKSF?Z(~I?Z#)d?}Ck$>d^OR;t`mOa6PP9f<;$sTT0a}&pbm~fppgWKDjE; zcw*Fzw@|+d$)hR4j}^Mcr-$0@@67HlIvy967lJK!K-?^OkAVl(NV!_n=I zr;`h_k;$D&1qCBNCUE<$*tkt#y3f^{56T$KBB+a5IQ{fQ;eqvFRiO(x7hb1t6TIrj z`%|<;E^GOAe-kQdilFNVX3`J82bHwU>kdM0QkyyxWaf77n1V-vB`|@&jQWW`*Y+$XYx0}k3y^P%fB;XdR8lb1} zS4}6tJRpDSaikQxZQUMqE(VHCw9e%CBZA(B!G|Qr!F=;bOlHo&9r_Lfs9phtrN@iG zP0xb>s}~Beys7XNpl@!Sx};EVu)4I!DTbGeI^@usaJY5AK6hk$u{pP|k?8~iyKX)v1VH_yyL#lAK-zb9=M zaUBY?si6qVPh0F&KBUf@_Lhv=Uqnr3OS+p%)xS#EO$_iM8`Au&>z06WX!V|3O-NJ^ zyS1sPD>vUtAbpEBttUEv_+hiiav9LMh&9&K@W~M=WDLI5E=fCo76)5~1SGM4(RDj7 zElbrG#`2bL^^|riir>1Bt%)yZYuHyj>Pp;p%~*`&Cr7FJ$I~@(pgtt^IOSxYg!;6u z+t7gF}j^PM+INZ(c;#9Un&k_3r#A;V(--kaXqv664b5Ih^gp{nH`#JoKNg! zRehYO5wAj$uADhdI|ytMMctmPPnV@B3TNAq{O8{J0T#}<(7X}L1h_wZKBce>+&)h| z7rpKSFH*mu=x2kuz1?;D4%e3L@I(D46|shXhR2Jj5Byc3Oq)eF5AFF;Fp$4U+CB(l&-k(sQ@AbcjuX z2mVD}A~y8MVcqx5j@_JFkAl_!uykC+x?{rY5PKwDL!s{Mo+o0UP_eQaGMf54f3sJ9 zyJ6#-Oyyz>I0d#)=Rvgz1rhVK=y!sF3AtbH&tQG=YN)w*v_4nWsoqCJ$Ne(k;?>%~l(|N#oFosd7f#(!6wB-WQa<(e`=R?Y zU$o356MUoZ+&jBiv8sJ4CgT&+kig-5u`N8XmtRWR9;v_pvK*!gn;p8o1eg>p3d(OO zo^=^V0ahT7F`k38>h^M*r{C@KCu3WZj2hOC0}R^a1xeR=uVk)iVRpOPJ93@)aT@xD zj#NC~o#U5h^EYQsyo0{dLHSZ}7+$vYrMlz5FN+7+vca?&Ji4Cnd!$eG-{x7^Q`H+) zmaY^}@2TsIrHK=p|DGy0chW~J@Qy;n?fR%>pHDryZga^>ES#Wjpd?f!pQ=r0Pt>0U zlgmu;G~xY1fE5@DlN2(u%o6XPsIKaBYZbNO-Wz2B(3o<@^HA|+BV67v)UPaEe;y3V zPSHRbO*B8euFln@Th4`|CEkWrY=MumLukp*mcYBqOom**rr@J;(wHd@^j8IRb1xl# zcDV#lZ!nd5GJINFx*GuA8$J5KfBy`zA&Gw{gGAevWBji^Bq?=P4H#HSjby`$0X934 zp#uE(&wchJarx~l&>N`!=WkG#0KGx_fBpuI?_)siKq8Hu#3G!}Nd_|+BZLVMQD?(Y zG8lp7piR`MrptDRu*ai3gvjStL3pJ>V$Hh3Mrgy-f!r%3I;vC~w6E9(4K7 zKY+L3D9CN18{RCJIERj7T7bu*#`MnhXUl$Z@2J=L&)r5jqaOO^H>Hu4+rDuw@U$1T zi@>XT{6|!>38`nt+!2hq6G5%>jAuLxsIyjju0IkKskNe&7feE>ae zNWUjz?hN^R+Tz6s%w*JWk2=i7j~x5vqNa!F3G8fNNi1^(r`o>^EX`%-T^ea5lE7kC zq z1P-_VLfe%=$I=c!$VEro5Wjq#Cb{i0sxdj|C!Jnw>ENQx%=U!{$uvUVq3Smuy8v1a zK+8GFc}O$;aAJx7ZaK1EICgarN4qmJngmVGE1?1P0^!4qf@bKDy7%v9dm*)c8tLy} zj1-n2_)YottdCh1KdU7m`~vmlx+1yfbL%#=DVaLo@uFhSCSIB=ygtt0QPY%m8p`jQ zSO7~!jfh08+q$8d)MLYPpa;wR7v7LPeEX z9E7!Z$U);K>>DtHb&ThCXXLbDc#+pj6CJ8g>xEufv*xCvyv*6li#c8Ib#?7~L;^;V zFv0tn3AoOs<7I%dBAY8ntM}3Y>u$0ytqq2Xo!)Wp>uyj_P$-v%DjM3d;TB9Cb8ADTGTTsIw{9-Pp>Pl`g?#t;+ewyAyYYOjHxP$o6&0SQ_vop1<%qru zHR!e|RwlxtRHPv+qa0h$b<mL;h%Wp1U)RThEO+^NYkWtBqB;LmQk zw`t}|c(i9CtaXabx%k)l2uF_hE;LV)6Vpn zhxaOFVV_>ot!~9UesTYn4$;GE291c#Rb{wdnE+RBF=)h>lOOu?s`kKI6P}a0I#Rk# zVlf6}#PYC?!rG>%jxBmW-dEepm!Y2q`@Qo-^hHfimV!amT(J`2Hd2!N4Yog+=ASFz zehkqOgsLW%F2@<1M- zXIajHEU)wbkxyg=C3#IF|LwLV2KO0uRp_-r^_OG?7`tV%-H%M)^;=Ts(yfshiA~4z zBwR1cLxyS;1O>ppo8dg5sm=}%sw|(66yid&tKPKPv3dR;P(?D!?eE&i@&|`~L^Up- zP=Wht^uv%!F}S0aT@Fo>aRFXrkyCDP9Jm2KRj&fbu zK|Bbu6J4EZN#Y$!$5Uhcfge$)7TwK`tqOiA9_=VQdf9hr>7{I*qK$1WZO3>@H) zC!D6R_FL2(R4*LBjj=9q3iOO_l)erN(~$0!b-B5v0TdirAcrXAy*Wh%I$*kN4Uc@I zCyj|H z&t8X18_KO2%5dFpC+ofT1{)tJr_VB4tW;ZGrwbrSv^0HWH)Q(#RcHno=q=6SoCBLW zpJV=paKu_KZ#OIHHZ7j*JuZdKPaQ3|?cT8K`3v+*#6_xr(+{#fkiR0~O50wn?~ohl zjM69^yr$0imrJ43QZ44>J6JhMn#QZPA#qAD<^1HP%**>hbGnSadfMh%y6l5CY=o6C z_MR~nt612i2Usi#zCIzmoZHSUDZ1f{&%;)`1yVC|`}IzKK|L8DN_R{o`W z(Rz~)Bxz{v#L>x&7d*1MLYt(}JoPSthP353s(EAMNzlwT@_eW1v~j$8>?Q-2rLW_K zP1~(iUfIzu9=Is+9-Y3KXk4T98|>zewwtBAKHEn4X&Ud6q=S*Y>bE|={>uKnHWx4j z9AYmNWV2Qn+D8JV)P9a-eSEz%_rp`M3$NW;J-D40d>M4;Hl=@g>e%Re1eCpD52gct z`hxEjfHAQRE+KYm{^(cCGoDnJbE1$P+=>u5ARt;-?OewSp2bf3EJ5BLVfpuNlM=`*Bd8lUL zqoiM<9OeDT1J*uI`;!FAo&}q3JCqe|DpdLI%hT+hj2Np2C{6w7^zeAswE}bm7UJ8b zuLHKd?BDVt+P%)2A&@zzirqh8Ihqz;u~{r`Z~4$qXWk_B0QpK98Kp5VHq+MrJj-nv z;I7-$^LJDAXWybX7BE?l_If#~5rebv=Vj^A*qGTx++(S!BJoG!ieuJ20J*dC+DHWSt8ol)R+C z>1PMM>7TGXC}zVjTK3Oh(woRgwK5GJ!MG6^n}1RBW7gM<$?B{Y;lW)+C*;3AeKP%! zalWDu?49RqM3$1Z?*aG&lCNZ`(P^uPBiN$^V6q@KxbKjQyHIgVBcRo->{yP<;{GGa zz*eHsjBruT%u|BvkvoKn-CFsXe7KL^s03s{;f@Dl=}4FF50(K~5>7GD<<5qJkk6W8ME{d%i(r{4-DsD{c1bn8!jSrB4EDdxkI(fsQna%Mh8=Y7o6 zlPr<_#qYl68>(;oT`@wF>z>8=tQoEL2gN}P6L`g~ye>zQR3YI1qh#+1Z!CT=FW%|2w!e) zX|k!4>Wr#I{Q2a*XcI$6oeVnRASTczq%IFF>+!W-P8qQfdZOxoQOmfL*)I3;=%10= zo7l}4S4hA$)7AccIo|nz4+F^tl6b{4K)+p6ZcX;p&AVMCc$>{4*p&bstI^}j=8cHB zD90xKPKPLVXX)RiJG}#+xd(^jUT#==;&!Qim%6-vJu&Z<=zZ-M8ZKHv$Zgwi)P<=$ zlGuScrEz4hF8`3X45JDfO>|Qf?crq^&j0SfgrxEL?uAJ#*e7Kc7Yr^!jkl40n}$ub zxWY#cz~ieMEIbfeBDlU!qu*YG8yiP-y~{PhmDQI^fq5>Rc5lWPtPSizyLJ_uhg?_o z#hQ?uRj3Lk*is=P(1)5ogo$%;48oXc+E(ZNwHO3Z^aYneX+yw}HvA@i zcgAq^#&+#_RyO%=50~z~AD*goPdj}7iLEINZj?}0d>+lG_1LHQWwp4o z?C-pU z00GlmfumYYc?d@~1^^o|?ISWba~m0mpZ09vcP>Du_|oaoWt9dqEz&M$nK z8){Sac6-$hd6#n;k$i8K{Tt6g>pXcFJTx*0=_DSSu-&yLFo|1O*xeaDbiuZG($4DTqBX%kJp%6mW0uXf1@WjJdTb2L>S4HCw(W#0iR;8 z=}PtoE*{_;z;OCfB*wC+7xQFYIT6Y6r<@g82+d_P5F{PlAEUj29WaJKQ4Ve9K_8Of zlc?CTFoOgjQv99@3vyVhTFiy(t@WQlrDrjI(PQHlMftH>Dvscpr}|15cPtCTK#r42Yi3gYt(*Gh^7-HWYX$4OT|a{_c3$CDAeYV z7}2665aQzXKqAbf*YF8hXczOtf;#!~%~?FI(t)jt#_3@)3z6uq(<<9OtpC|ZP`~VH ztf_9XdKd8@yEOB~l}&kSoEKkNrc}J#ycw$nvY-3^*=$%Ga*aO~J(ZEQ|3d{z8m$>weRzPEo#doiR2!nkcE7*ekmSvXtF;HIXSozkv_?GsIymeV z{b7ey{xa1wbQanOywtUO#MWb@8ULhi{F`*q-JEO0QYytm`6A=A9k^7yQ_7n ziS)Es5CqD5`%#bx9sE+WhkWu<98rLjbxRlUzv2|~F&Hnowgvm+1Q+86?!h|DSym+0N8OpZ`lQL%ydELxnpg-}Fw6ry$#{ zJM?^Xu17N7D%oDiW;hsGoXiB!;Y!s+G_9|gUV z5kOdo^P(t_aNse4KUn?1rfBDJt%kY`Z5J8F*K^}?d5_Tt!KrQMJfom~9HM1uxONc2 zi%~jg$(xTzF5t|*obNQ{&ML*DV&69zho2n8d!uQ#9xVj?xlUt??3{7ge+o^zwNg4U z$x}XIJg+Z0 zI(|JEq8YI50yQa)tFA4z z&0FAmNQ_CwP{;{jZprzBQL21(nfmO7KLX*X@8!9-5$q012#&CLO^ zCzIssbts+nWOuNpJAoaktm{jE$fI!mnW={0c%G#3ldS}r@Wv-ku|UD%lZeG=bW-bM zIK(FY8C&qV31M4=PN9WJ&{t=Af7&`ew~5xd}G1u>eMo>zMls$3EV_Xrr!YMozhPH6$IrRH4pMZG5NiUI^Q*Y z^TJF^{;ZD8*TAM5fE^38ZFzKvdM^{z19nyTa0wu>Qk`_v-3f3P;p9(Z@=men<_D#- zU1831CakO;8WK@%KkyZB*X~mUR!>LUL|n>2h;Q4&+1&ePY3?Zs;SE_vl?wgDyP)+0 zk93su2)B6E-~Cq*M722V4&YwLl@_?d4&^5U?Is-#@*yvIL%uD*3mW@72`-@|yhmT5 zKp1cs%OnC0HL(gU{%cskp$6!%c%O5lhpNdR`DDG!i{lV>!sp$nDf)&dL__uif97W0 z!8R2!LYHV@pD&*VmMg6tNQ;d*Y6z`zro9NDiX$zc?S|H`P#o}`-up>A0`STSg1nKQ ze1<~a-zxnBxCexTZ4nrH+LvK>KLQ8-SlDWX;zbdw(U0;Qt6aOqo_lMEC*$sHQ)q4~ zW&$4k4!KVjD3|$g7oXGDIkc(?fV4Aqneq6cn%+ZtAx|B;qf+C{^Q`wb>bLX z|77blYWPV~sBL`C{}O=t8HWZ! z-U?Jr1ENP+!uoTH$Hp3^j31}iMgwJm8!hZ`oxL(Q`yQwnF3!Nu#FZqlq-FH7e1L>s z_F&<5OUak6!H!1UXlLC|Ks*LqQLe(b)Upp001G^pN4Z*9&S`3%qlV3es5Ew zt}j8~=LRiA1PgQwS7F)UdO~R!@J1eTn32QlytbTIVm)OpZYGC%s3me8hw_9>`*BLq zE5igJAGn%{GZZJZ?>{4Gb}cFSq)absb^(yGqYZ_Op6n_AB~bK76uTcJ*TX7^Z%wtk zs+(ua)TkW^g)0I@&4ypgSAB5YXat;)rmfDyV#ltz6s&cHJ05TRI@{Gr2ZVMkBLMMH z@ecjS6n^hjI(Q*pBhWD8_hU)E=Xx&Giazylz@$zlOvs-RVmoF76|q&F(K}2)7mdgd z$F^M7LmrY@J@yI06(om7ZUCoivwF~U?g;0c4)bbfSJSr)@L1-|R$`CI(3|Iu6%``G z8c2^jz7t)Zn(`2#=c><9s4w5obsjpNyDXaRIve-@U99m#++cU(wsGMsgCN#z4co@W z63-&nSeRuR4^~!5Ptv00aUsi+sg+R}EZZ-PD z<|TjklWOxaK5NM{6Of{U;uGEY7~5P!E?7xd@#{Lt^qz&VR6!RPI#k@j|LNaymH`;sHfO^0-ifY{m?lH|4$?y!SdT4 z4HBr%Qq-!=^lAJ-H#VqmOV;50&CpXjU+@4MiYDz2yxRLYBadTA%15eXrIb&MnOl3! z{P&S)y|x973y9y$ql4eIL{DEgO2}mgP?Wk1BL>ejl~2j_CNv3Wo;mpy(^gkkFyT;O zl$Gy=9Nav&aHau~LDDA;%Y$GdwKPiKI>K%8mG$VbPweQFH#5=K7B9;{lakPz=dWGzCQh5suo3>GyoqW_z}O~uz-0h%;uq^z7O<<#(dX&%t(9=`}05pqdrpR9r^d)IFrWV|Cxh zVo-Eq1POx?0Bu~h>OChU{YlVO#N+1j?rSuz0}ka3s$5Rj^OMzt zn}I|!=9>X$5f*@d#}_nz3{JC{5I7Tuk{*#93%ws_Q%LYlOF?wjZOs@(^iw07+jQm9 zeD-0&N6iW7+{OBK8xs3HgFw+LJup_nH7nQ|&?EId8!?u>RCpl?Gs+(vze$2_TFP2% zw&R#>-O46ij-F2oOW0-8x8(y4Iixw1!aXH*NN_njZBsVGE|~^O+JOHDbeUw^bpk_{7Ei|Clyu%-dB#R z0vj*_F(B-e@mQijm_h*x1R`nYQ2%P8j-l*YzzLa$pK-lXm46Sf$89~4pqdnuH&?As z)AsT)30G$dg~Bw^;#SVnXcnubz>Y^c?EBB+n?ggQzC9vS>8^h|ds5FLh0OmCud zwSzKSE>UU}_R9lFjxU)dT<8ml@&Yi{@*bT2xm8piR1r3ZdzKhMXkRy3mTl8{tk2-r zoj-8U{3E-G7l;glgo_^&edWq)y(O2u9FqeGYu@l*rOqH0PYliJnq?L7Pz%d|LlT=^ zO@LkpkRIm`?HVltE(y?`Y{_T7u|RwLXmwAJD#cA_<<|6C2-TEhoy?lAX9i^Q%l;v=l*6su}@sRL!^r+E*VGk3pqEGyn3Kkf43Kf5ANnLOIS4lH10v7c2_ny>hEG;AG=P^fh+|TRAd(nR z>*2Yt%vZjl#t)La);MyWVLZ18ErS?lteFEEc|VJwQ0Pajw~L=wLN?*MJOqx>kG`Jq z*lU1l|0?Hw0E#0I70Uo=82%}7`dt+&tC-u2p{HUwKhh;XSD%0=u1@%el$f<#Fs+!= ze>M&W^4Wbr-CoBNk`(8Ddy))4{=fR+A_-SlM%yn{E9z>cgBiZND(x9*IdZJ?ltRgS zikrUH-)?CIdLsv$5HRkEx+kZyF~umk?pKz1)+13vl;i}+>gQ1EIt6@V{;?zP;cS*3 zggmiK>q*B&XLQutyVp)~zbyV;+cke1$fEHo_;ev*u8422~o3dc-CwPN*xZo8b#qZ+VZY0`u{P(S)Y zbbDTgywYJ`C#o`FU@gQv|)u|`FFQHvEu~2oIoyBP>rHoFccn0*6f*{yoC4Z1DC+RVBlHy?m$*%2;kWd!D{mJ^bAlh1MU8$4ZeLgY6L_C^qD5k0?EM_T+6LJ8BpEP*ZJ0Arf$gjgjAl5C5$UwVGYH zz`R-B=>8N-B)6mumpCeJ-Y;)K%<60{`YM6S1Co53YGFI4Wni zz_m_`?`#b!x|RCm_$>?7$x4M$I3;DEjuiMEQ;&9nSlCN~SXkx1#KOc$#KMjm=23sN zFO>Jn8*i67m!UHBUd<61E>A>-@M~ws-usej%NfUn7n^_F+6P1(VSTTjvmSTmCofe; z>0mp0n=X5>^OnhKMQp;~I;`T5ULfmiY2BQuYugrf!+wv%-C5>63YGd9cVf~xOSlAf zd^Z8XMa80SwX?bOIV&=nFcdyQ)eBx=W}JN|o<36-@4;1EeIf^4+ikIjaLc2fe3;13 z?FrSs!Xswo|1C#C;pj0EKU8RDoO&moHk0e#ltDvFK<M!?eDKgE~5kHu@Y;3pB6TE|a|L3`Bj|ICpx);qNI> z4BQM|n2OvKlB0IFf+jF+E&N!|m1KJ!Q2PoojaKtTR=C2Z0fGLmQ94ME5*+i;oh&3S zvM8Y_EoVhWjgQitBjCF%JpyvOpgZ41ZcEYcAD~N8h}&{JvvU;p+B-$blf5EHeu~Yx zPMjMO<72IMBBLWjVTaY*nZnxj@YxccdY1OnH3nC7bEaPv7VqR1fz$bYvU!Xrqhw=P z*+53sl44+<4z${!)CgoP%c)TydjI*_|B;-C1w^Gwx!p03rVzVJsK}FefwI1tCJgP^ z0%4`oC`4F!vN0uMHP^?ZP{6XJ|M1R%7w|~3lyDv@ajjA4yf56iL*Gk4*yJ(WYVI_h zoBnw!=0v2Rl>Q&cmw9{W8C*tn_xz z5MA=n3C0VC06oe#SZE%>uyHk(A$=ws0vrNF{Hm^~7T=rz0QPq7=fP{dcKmD-r-iT< zb$Q(<8a}N=C{az>7%5Um1xvTqeF^1k6Pb@gs>F}5AjPK`Eb@>~7&vNReWHPP+IGS( zbr3K+wdxcJJXa76k-q2f-7wcNu6X^bEaU~o*Eu*9Jm#IPP(EPHtQ#4{_ADrdw<_pU zNd&z4kF+IDG`uZ>CI1Q}axAx@ri{zAr`)5pcJ5F`P?MxPKOu{u54(x9=Y71mH%M_V?@aId9zY>wXENhPfV4hAeC+ z0_UGg!t{@Pro!I4eewpE5&5(IYo`kxpTt4;8|iIu)YSgI6Ee8t{5b6#9%J#G{{H-p zoX#@3^?dDV_@w>CymWL_uF5Ogqrr<5dy?m}&7EmrU%Ehct>&9QH|F5@Ela6E)%Voy zHr*UtT45`!UpJSl?Hr)Gy|dd{`|cYRV=mkLIgT0e^U`Ojw6UPIJqH%4M13nD`fYHH z)N7^u*eZn&)^abAcqYhdr=R8Nb^H?yP z|Kw3e9WAtBC(xbEBLIE5va04Q&~f^eIn2`CWB%KeKW2W(#BJmOU`$8~T8mFT+r^20 zT)YPTCu%#z^T>7)q9z!)@VCK0d<0Ps;23xp5R>~ZzGO%uB9X&_Q2v* zul`@9^N4F$(Ih`mUQ;R1b-=vA|-XkAASHkWeV3M;jD$^ zY0_OKYSniTt*tD0G>4(E`@%%Z!LFcG<&zOt=h)z{`x04_Z~_MfY%!scc@(MT&2k&J z0`+3Kc-iGEKp|fOxsb+2c7;XgJT|2TAj$+}=tpy{r(O5HAACL%%|Q^2QJj=VkV>k&J>mo|-%9|7-BP`cjaqMWpv6Jmqv&a#R|3-R(z6@}7g#`dLWDh4NQk02DXA_nB$F(}qTuo_!=uE0r4qBIZMyE< zlf_B~R}fBv=R!(kJzHyNP#pRZDSt`JP;ylrnvKw#YmvVBPQs5INe4%Eap4>xoT%Qv zVQLFlo?1qVwkitg)<`)UE-&zsl+Bgp4?y9s09cxzaorP>W7eISBeWsqsOC%*?T;fs zcspF+FUp+y+V2PMig^V|%OcE!ZzxV7{E0jKd1G}%p=m6gZa#8g$Vl$BPuJwlyc!^1$QO}pbez+&RW;;W-Avv z-rf$PjJs%EmtANcBibOW!X;8cW?~BV{j|Xc@oTX5OPT5{0oSaLC-EAcm)OINR-Mhr z3}CiIpm(*0MGCw+{m`8p_|Cu5dfxRD*CA=M*lSkKGr{fbaaBSRNe7%?oPYn=_lUg9 zyv0FRU&j|h))lyeWaAM(1F;3-X25?nZV(A8a<#u?OheKAe%fmQQ8inpDc7=37(`-_ z&vyI9iI__;752Z@s`}{IsNbJ{{9Uq=SW+yfeGiYv$i>=fipJEG_INLk#CoR~L;7q_ z;zC0}INWi|_w#W*^V%?pxjSzGd$r3C&iR^rwdfF6CRnAGFOZJ-=1+GbV0gRmTTs6P zsa=iwKim|Lq$fuOOT#gmyd?OGcQIklE<5hRa9X0B=i<{LBNOr z^%yc*-d|~<=Lf6K$H4)>v-=&k(eC<(Ik)xm#^~E2`5|1$j=Rfp)92W;g$_{kJQZ&b zl!l*LF$p0KuIDcK05k+=9^{ZO{3j0iudhr!)wmVIYJ9~Ue~#1!lb{DhoC1pUuGVGa zC(P@vy~m|3+}RjG+R7e2{}>_Kke^0n+1F8Y_>KDhdp(eKq?25s-&%D(%U~C6rpGW8 zF>_nm54-bx4^gtJ(1_l1@dzjYDo=v=;zY#N9pG|Oen^bs=*-+KJ%HDq^fd8;yPUh! z22Dzo9Rhoj7zrt*qC-s8`5}TyBOp8hWT4L=g6j<^PB-tR4JZBd=;15gJW)=~MS|Uu zp=ueGW|0)tf)6(FsF6<#cZ_aH9WF)Ct9*A1AH6tqgyL)ahqKw%HLVC3ysM|HIl#%)h3&1qBSYL|yiB{BR%YmvDgG*m86+f+upO%Dn z;BgS;ASQ3)eTF6T?u@wZwdA#6XxNwOd&FzCu_2%^TyB-%^w@2ujBkF!Qa_IOsePF%|iEGXqVIx$S;$~#l1RcEvr7{|!>Ve>-9lh;7-9g;B?8!w`!;?&2N zGdcvt=g7GA_~6!}ToATT>InxYIaS8m>v{^*q4&|PrRe>ZuV+Asgd5s7EC`G(K+$iE zHcRGoo$Z>O{%8{%7tLuSlAdpmHS&Pd0Z@o!x)1xs_!bdN*4UY_dWjz{vtnb8Pho#k zia=JP_83_$eG367=0?n(%F~07hp?jNCr%hJjJBvlO*(fnOoj*LzFK%<40JHr_A2%F z?5i%)v_ar__%_jz{9#WE1!*cJq`;h&=tCRw?#Yl3x8zW8fDKm`n?E^&GA$90zGppJ z^>9r7B6ek#c*=~%MZBo_P{|QG%0c_T<}HBGGeLp{^Rs$)B*@-4T7ms1oMMo?0zV|Q z0l3>KkR4pWP1La57z;Vw6<8H7F%w9`kRCm&bjSoBrh-R2{155~z-p4Qc{7Owom$3I zP&b`Z3~z;bZ9-r>s*7K*g3g#nJD_Wm1PV-KdMnZ2i;s!zkWd*D*&aAbhaYJ_o3vYYjcm;wU-7-H@7HWf_z9z25q0VBZox6X{8j~1F zgoO*rYVC(a7xC8*o&}2!Ig6qk-ZYHz>nDZA(bVZ1hAvCUZ^u)%?)P zkEIoys65bRTRnsh5KybSLeu#9n%d~*H6PiBs_a5^ao5(E#p|jVT<^K-H%xQ?i zmcNSck6S-{BPrf)!5Gm1IIU3EY_4n5f}h{LdJfrS_q8JRdRnrhmgVa21iwC( z-Wpl5VBFBZg?x@%A2sSNQJHJ5ZLkji(?TblzK+Qo=>D1q&u|}J`J6+G&q)P;w^p~F zbOydDUgbRItUG1ViEZp;Hd{GnOTsC_m^tXgVcKsdD=jYgC5)H$txDw06s*REUV$fVZ>U^6%$!$y!dyC(9B4ze3{fM z3onz=nI*9;=chfBzPJJ-;DPq}E8nzZ@l7+#wZqmX{q*^X3sZUr`a_@kZ{H@thwEs3Uhf=2Ys@ Date: Sun, 7 Jul 2024 14:57:05 -0700 Subject: [PATCH 160/230] [core] now support perimeter cb in programmable clock network arch --- .../src/annotation/append_clock_rr_graph.cpp | 48 +++++++++++++++---- 1 file changed, 39 insertions(+), 9 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index ffe49e146..e9e690d11 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -49,9 +49,16 @@ static size_t estimate_clock_rr_graph_num_nodes(const DeviceGrid& grids, const bool& through_channel, const ClockNetwork& clk_ntwk) { size_t num_nodes = 0; + vtr::Rect chanx_bb(1, 0, grids.width() - 1, grids.height() - 1); + if (perimeter_cb) { + chanx_bb.set_xmin(0); + chanx_bb.set_xmax(grids.width()); + chanx_bb.set_ymin(0); + chanx_bb.set_ymax(grids.height()); + } /* Check the number of CHANX nodes required */ - for (size_t iy = 0; iy < grids.height() - 1; ++iy) { - for (size_t ix = 1; ix < grids.width() - 1; ++ix) { + for (size_t iy = chanx_bb.ymin(); iy < chanx_bb.ymax(); ++iy) { + for (size_t ix = chanx_bb.xmin(); ix < chanx_bb.xmax(); ++ix) { vtr::Point chanx_coord(ix, iy); /* Bypass if the routing channel does not exist when through channels are * not allowed */ @@ -64,8 +71,16 @@ static size_t estimate_clock_rr_graph_num_nodes(const DeviceGrid& grids, } } - for (size_t ix = 0; ix < grids.width() - 1; ++ix) { - for (size_t iy = 1; iy < grids.height() - 1; ++iy) { + vtr::Rect chany_bb(0, 1, grids.width() - 1, grids.height() - 1); + if (perimeter_cb) { + chany_bb.set_xmin(0); + chany_bb.set_xmax(grids.width()); + chany_bb.set_ymin(0); + chany_bb.set_ymax(grids.height()); + } + + for (size_t ix = chany_bb.xmin(); ix < chany_bb.xmax(); ++ix) { + for (size_t iy = chany_bb.xmin(); iy < chany_bb.ymax(); ++iy) { vtr::Point chany_coord(ix, iy); /* Bypass if the routing channel does not exist when through channel are * not allowed */ @@ -159,9 +174,16 @@ static void add_rr_graph_clock_nodes( clk_ntwk.num_trees(), clk_ntwk.max_tree_depth(), clk_ntwk.max_tree_width()); + vtr::Rect chanx_bb(1, 0, grids.width() - 1, grids.height() - 1); + if (perimeter_cb) { + chanx_bb.set_xmin(0); + chanx_bb.set_xmax(grids.width()); + chanx_bb.set_ymin(0); + chanx_bb.set_ymax(grids.height()); + } /* Add X-direction clock nodes */ - for (size_t iy = 0; iy < grids.height() - 1; ++iy) { - for (size_t ix = 1; ix < grids.width() - 1; ++ix) { + for (size_t iy = chanx_bb.ymin(); iy < chanx_bb.ymax(); ++iy) { + for (size_t ix = chanx_bb.xmin(); ix < chanx_bb.xmax(); ++ix) { vtr::Point chanx_coord(ix, iy); /* Bypass if the routing channel does not exist when through channels are * not allowed */ @@ -182,8 +204,15 @@ static void add_rr_graph_clock_nodes( 1, 0, ClockTreeId(0), ClockLevelId(0), ClockTreePinId(0), Direction::INC))); /* Add Y-direction clock nodes */ - for (size_t ix = 0; ix < grids.width() - 1; ++ix) { - for (size_t iy = 1; iy < grids.height() - 1; ++iy) { + vtr::Rect chany_bb(0, 1, grids.width() - 1, grids.height() - 1); + if (perimeter_cb) { + chany_bb.set_xmin(0); + chany_bb.set_xmax(grids.width()); + chany_bb.set_ymin(0); + chany_bb.set_ymax(grids.height()); + } + for (size_t ix = chany_bb.xmin(); ix < chany_bb.xmax(); ++ix) { + for (size_t iy = chany_bb.xmin(); iy < chany_bb.ymax(); ++iy) { vtr::Point chany_coord(ix, iy); /* Bypass if the routing channel does not exist when through channel are * not allowed */ @@ -780,7 +809,8 @@ static void add_rr_graph_clock_edges( *******************************************************************/ int append_clock_rr_graph(DeviceContext& vpr_device_ctx, RRClockSpatialLookup& clk_rr_lookup, - const ClockNetwork& clk_ntwk, const bool& verbose) { + const ClockNetwork& clk_ntwk, + const bool& verbose) { vtr::ScopedStartFinishTimer timer( "Appending programmable clock network to routing resource graph"); From db12532eb8644f29e450f3fb8a8ed992e70cd3d9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 21:41:39 -0700 Subject: [PATCH 161/230] [test] typo --- .../homo_fabric_tile_ecb_2x2_preconfig/config/task.conf | 2 +- .../homo_fabric_tile_ecb_2x2_preconfig/config/tile_config.xml | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_ecb_2x2_preconfig/config/task.conf b/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_ecb_2x2_preconfig/config/task.conf index 8f1b5aa53..08aca9064 100644 --- a/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_ecb_2x2_preconfig/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_ecb_2x2_preconfig/config/task.conf @@ -27,7 +27,7 @@ openfpga_group_tile_config_file=${PATH:TASK_DIR}/config/tile_config.xml openfpga_verilog_testbench_options=--explicit_port_mapping [ARCHITECTURES] -arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_ecb_tileable_TileOrgzBr_40nm.xml +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_ecb_tileable_TileOrgzBl_40nm.xml [BENCHMARKS] bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/or2/or2.v diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_ecb_2x2_preconfig/config/tile_config.xml b/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_ecb_2x2_preconfig/config/tile_config.xml index 1a1f3f6e8..026c140be 100644 --- a/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_ecb_2x2_preconfig/config/tile_config.xml +++ b/openfpga_flow/tasks/basic_tests/tile_organization/homo_fabric_tile_ecb_2x2_preconfig/config/tile_config.xml @@ -1 +1 @@ - + From 1a5e2392fcc92aab0050cbb52ee8a13e12a9d861 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 22:32:13 -0700 Subject: [PATCH 162/230] [test] add a new testcase to validate clock network when perimeter cb is on --- ...nm_ClkNtwk_registerable_io_cc_openfpga.xml | 201 +++++++++ .../regression_test_scripts/basic_reg_test.sh | 1 + .../config/clk_arch_1clk_2layer.xml | 22 + .../config/task.conf | 42 ++ .../config/tile_config.xml | 1 + ...rimeterCb_ClkNtwk_registerable_io_40nm.xml | 411 ++++++++++++++++++ 6 files changed, 678 insertions(+) create mode 100644 openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml create mode 100644 openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml create mode 100644 openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/task.conf create mode 100644 openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/tile_config.xml create mode 100644 openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml diff --git a/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml b/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml new file mode 100644 index 000000000..cdb96e29b --- /dev/null +++ b/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml @@ -0,0 +1,201 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + + + + + 10e-12 5e-12 5e-12 + + + 10e-12 5e-12 5e-12 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index cdb93ee16..f4b872def 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -197,6 +197,7 @@ run-task basic_tests/tile_organization/homo_fabric_tile $@ run-task basic_tests/tile_organization/homo_fabric_tile_bl $@ echo -e "Testing tile grouping on a homogeneous FPGA fabric (Preconfigured testbench)"; run-task basic_tests/tile_organization/fabric_tile_global_tile_clock_io_subtile $@ +run-task basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile $@ run-task basic_tests/tile_organization/homo_fabric_tile_preconfig $@ run-task basic_tests/tile_organization/homo_fabric_tile_2x2_preconfig $@ run-task basic_tests/tile_organization/homo_fabric_tile_4x4_preconfig $@ diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml new file mode 100644 index 000000000..c45687e52 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml @@ -0,0 +1,22 @@ + + + + + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/task.conf b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/task.conf new file mode 100644 index 000000000..f7c94606f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/task.conf @@ -0,0 +1,42 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = false +spice_output=false +verilog_output=true +timeout_each_job = 20*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/group_tile_clkntwk_preconfig_testbench_example_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml +openfpga_vpr_extra_options= +openfpga_pb_pin_fixup_command= +openfpga_vpr_device=2x2 +openfpga_vpr_route_chan_width=20 +openfpga_group_tile_config_file=${PATH:TASK_DIR}/config/tile_config.xml +openfpga_verilog_testbench_options=--explicit_port_mapping +openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_2layer.xml + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/and2_pipelined/and2_pipelined.v + +[SYNTHESIS_PARAM] +bench_read_verilog_options_common = -nolatches +bench0_top = and2_pipelined + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= +vpr_fpga_verilog_formal_verification_top_netlist= diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/tile_config.xml b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/tile_config.xml new file mode 100644 index 000000000..1a1f3f6e8 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/tile_config.xml @@ -0,0 +1 @@ + diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml new file mode 100644 index 000000000..de6fe0ac8 --- /dev/null +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml @@ -0,0 +1,411 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + io.outpad[0:1] io.inpad[0:1] + + io.outpad[2:3] io.inpad[2:3] io.clk + io.outpad[4:5] io.inpad[4:5] + + + + + + + + + + + + + + + + io.outpad[0:0] io.inpad[0:0] io.clk + + io.outpad[1:1] io.inpad[1:1] + io.outpad[2:2] io.inpad[2:2] + + + + + + + + + + + + + + + + io.outpad[0:1] io.inpad[0:1] io.clk + + io.outpad[2:2] io.inpad[2:2] + io.outpad[3:4] io.inpad[3:4] + + + + + + + + + + + + + + + + io.outpad[0:1] io.inpad[0:1] io.clk + + io.outpad[2:3] io.inpad[2:3] + io.outpad[4:5] io.inpad[4:5] + + + + + + + + + + + + + + + + clb.I[0:4] clb.O[0:1] + clb.clk + clb.I[5:9] clb.O[2:3] + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 1 1 + 1 + + + + 1 1 1 1 1 + 1 1 1 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 261e-12 + 261e-12 + 261e-12 + 261e-12 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + From f784e583831f2114c682e903a31cc51f35abe680 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 22:33:45 -0700 Subject: [PATCH 163/230] [test] typo --- ..._tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml index de6fe0ac8..b028e180a 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml @@ -143,8 +143,8 @@ - - + + @@ -153,8 +153,8 @@ - - + + From 57a378ae59ccf4c9dffa5088181de30ae7c375e7 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 22:35:14 -0700 Subject: [PATCH 164/230] [test] typo --- .../k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml index b028e180a..0f086c0bc 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml @@ -94,7 +94,7 @@ io.outpad[0:1] io.inpad[0:1] io.clk io.outpad[2:2] io.inpad[2:2] - io.outpad[3:4] io.inpad[3:4] + io.outpad[3:3] io.inpad[3:3] From 686cd761b72ca015280827559d056835844d4e2b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 22:48:21 -0700 Subject: [PATCH 165/230] [test] debugging --- ...rimeterCb_ClkNtwk_registerable_io_40nm.xml | 37 ++++++++----------- 1 file changed, 16 insertions(+), 21 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml index 0f086c0bc..c026c93b3 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml @@ -34,15 +34,10 @@ - - - - - - + @@ -53,15 +48,15 @@ - io.outpad[0:1] io.inpad[0:1] + io_top[0:1].outpad[0:0] io_top[0:1].inpad[0:0] - io.outpad[2:3] io.inpad[2:3] io.clk - io.outpad[4:5] io.inpad[4:5] + io_top[2:3].outpad[0:0] io_top[2:3].inpad[0:0] io_top[0:5].clk[0:0] + io_top[4:5].outpad[0:0] io_top[4:5].inpad[0:0] - + @@ -72,15 +67,15 @@ - io.outpad[0:0] io.inpad[0:0] io.clk + io_right[0:0].outpad[0:0] io_right[0:0].inpad[0:0] io_right[0:2].clk[0:0] - io.outpad[1:1] io.inpad[1:1] - io.outpad[2:2] io.inpad[2:2] + io_right[1:1].outpad[0:0] io_right[1:1].inpad[0:0] + io_right[2:2].outpad[0:0] io_right[2:2].inpad[0:0] - + @@ -91,15 +86,15 @@ - io.outpad[0:1] io.inpad[0:1] io.clk + io_bottom[0:1].outpad[0:0] io_bottom[0:1].inpad[0:0] io_bottom[0:3].clk[0:0] - io.outpad[2:2] io.inpad[2:2] - io.outpad[3:3] io.inpad[3:3] + io_bottom[2:2].outpad[0:0] io_bottom[2:2].inpad[0:0] + io_bottom[3:3].outpad[0:0] io_bottom[3:3].inpad[0:0] - + @@ -110,10 +105,10 @@ - io.outpad[0:1] io.inpad[0:1] io.clk + io_left[0:1].outpad[0:0] io_left[0:1].inpad[0:0] io_left[0:5].clk[0:0] - io.outpad[2:3] io.inpad[2:3] - io.outpad[4:5] io.inpad[4:5] + io_left[2:3].outpad[0:0] io_left[2:3].inpad[0:0] + io_left[4:5].outpad[0:0] io_left[4:5].inpad[0:0] From b0851a62998b4756970ec33a8c57f6c1f7248b83 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 23:05:37 -0700 Subject: [PATCH 166/230] [test] debugging --- ...rimeterCb_ClkNtwk_registerable_io_40nm.xml | 37 ++++++++++--------- 1 file changed, 19 insertions(+), 18 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml index c026c93b3..6c1cb8d63 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml @@ -44,14 +44,14 @@ - + io_top[0:1].outpad[0:0] io_top[0:1].inpad[0:0] - io_top[2:3].outpad[0:0] io_top[2:3].inpad[0:0] io_top[0:5].clk[0:0] - io_top[4:5].outpad[0:0] io_top[4:5].inpad[0:0] + io_top[0:5].clk[0:0] + io_top[2:5].outpad[0:0] io_top[2:5].inpad[0:0] @@ -63,7 +63,7 @@ - + @@ -82,33 +82,33 @@ - + io_bottom[0:1].outpad[0:0] io_bottom[0:1].inpad[0:0] io_bottom[0:3].clk[0:0] - io_bottom[2:2].outpad[0:0] io_bottom[2:2].inpad[0:0] - io_bottom[3:3].outpad[0:0] io_bottom[3:3].inpad[0:0] + io_bottom[2:3].outpad[0:0] io_bottom[2:3].inpad[0:0] + - + - + - io_left[0:1].outpad[0:0] io_left[0:1].inpad[0:0] io_left[0:5].clk[0:0] + io_left[0:1].outpad[0:0] io_left[0:1].inpad[0:0] io_left[0:3].clk[0:0] - io_left[2:3].outpad[0:0] io_left[2:3].inpad[0:0] - io_left[4:5].outpad[0:0] io_left[4:5].inpad[0:0] + + io_left[2:3].outpad[0:0] io_left[2:3].inpad[0:0] @@ -120,14 +120,15 @@ - + - - clb.I[0:4] clb.O[0:1] - clb.clk - clb.I[5:9] clb.O[2:3] - + + clb.I[0:1] clb.O[0:0] + clb.I[2:3] clb.O[1:1] clb.clk + clb.I[4:6] clb.O[2:2] + clb.I[7:9] clb.O[3:3] + From ff56139a53e7f26811632cc2387b14f313611a10 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 23:07:51 -0700 Subject: [PATCH 167/230] [test] debugging --- .../k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml | 5 ++++- .../config/clk_arch_1clk_2layer.xml | 7 +++++-- .../fabric_tile_clkntwk_io_subtile/config/task.conf | 2 +- ...4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml | 4 ++-- 4 files changed, 12 insertions(+), 6 deletions(-) diff --git a/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml b/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml index cdb96e29b..e0c4966c5 100644 --- a/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml +++ b/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml @@ -175,7 +175,10 @@ - + + + + diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml index c45687e52..4c4c38bb8 100644 --- a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml @@ -4,7 +4,7 @@ - + @@ -16,7 +16,10 @@ - + + + + diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/task.conf b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/task.conf index f7c94606f..f9c6517ab 100644 --- a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/task.conf @@ -22,7 +22,7 @@ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulatio openfpga_vpr_extra_options= openfpga_pb_pin_fixup_command= openfpga_vpr_device=2x2 -openfpga_vpr_route_chan_width=20 +openfpga_vpr_route_chan_width=40 openfpga_group_tile_config_file=${PATH:TASK_DIR}/config/tile_config.xml openfpga_verilog_testbench_options=--explicit_port_mapping openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_2layer.xml diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml index 6c1cb8d63..09a0af616 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml @@ -206,12 +206,12 @@ - + 1 1 1 - + 1 1 1 1 1 1 1 1 1 From 7d9fcc1a7bb78260ec2f8f3e7e3e8005d5e7869f Mon Sep 17 00:00:00 2001 From: "dependabot[bot]" <49699333+dependabot[bot]@users.noreply.github.com> Date: Mon, 8 Jul 2024 06:12:43 +0000 Subject: [PATCH 168/230] Bump yosys from `a739e21` to `dac5bd1` Bumps [yosys](https://github.com/YosysHQ/yosys) from `a739e21` to `dac5bd1`. - [Release notes](https://github.com/YosysHQ/yosys/releases) - [Commits](https://github.com/YosysHQ/yosys/compare/a739e21a5f3b4fdd888d4c62f1578a1300c43f00...dac5bd1983a5078e3e7426df1e8ee48d300ead7d) --- updated-dependencies: - dependency-name: yosys dependency-type: direct:production ... Signed-off-by: dependabot[bot] --- yosys | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/yosys b/yosys index a739e21a5..dac5bd198 160000 --- a/yosys +++ b/yosys @@ -1 +1 @@ -Subproject commit a739e21a5f3b4fdd888d4c62f1578a1300c43f00 +Subproject commit dac5bd1983a5078e3e7426df1e8ee48d300ead7d From 8449da0143813cb01ffb47afa962fd1cbd67a6d2 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Sun, 7 Jul 2024 23:36:13 -0700 Subject: [PATCH 169/230] [core] typo --- openfpga/src/annotation/append_clock_rr_graph.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index e9e690d11..e60aaa50d 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -80,7 +80,7 @@ static size_t estimate_clock_rr_graph_num_nodes(const DeviceGrid& grids, } for (size_t ix = chany_bb.xmin(); ix < chany_bb.xmax(); ++ix) { - for (size_t iy = chany_bb.xmin(); iy < chany_bb.ymax(); ++iy) { + for (size_t iy = chany_bb.ymin(); iy < chany_bb.ymax(); ++iy) { vtr::Point chany_coord(ix, iy); /* Bypass if the routing channel does not exist when through channel are * not allowed */ @@ -212,7 +212,7 @@ static void add_rr_graph_clock_nodes( chany_bb.set_ymax(grids.height()); } for (size_t ix = chany_bb.xmin(); ix < chany_bb.xmax(); ++ix) { - for (size_t iy = chany_bb.xmin(); iy < chany_bb.ymax(); ++iy) { + for (size_t iy = chany_bb.ymin(); iy < chany_bb.ymax(); ++iy) { vtr::Point chany_coord(ix, iy); /* Bypass if the routing channel does not exist when through channel are * not allowed */ From cdd477ad80fbff3e749d378a7b5e8bbf5a14ea96 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 10:14:39 -0700 Subject: [PATCH 170/230] [core] remove restrictions on cb clock nodes --- openfpga/src/annotation/append_clock_rr_graph.cpp | 11 ----------- 1 file changed, 11 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index e60aaa50d..b7b82c269 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -194,15 +194,9 @@ static void add_rr_graph_clock_nodes( add_rr_graph_block_clock_nodes( rr_graph_builder, clk_rr_lookup, rr_graph_view, clk_ntwk, layer, chanx_coord, CHANX, CHANX_COST_INDEX_START, verbose); - VTR_ASSERT(rr_graph_view.valid_node( - clk_rr_lookup.find_node(1, 0, ClockTreeId(0), ClockLevelId(0), - ClockTreePinId(0), Direction::INC))); } } - VTR_ASSERT(rr_graph_view.valid_node(clk_rr_lookup.find_node( - 1, 0, ClockTreeId(0), ClockLevelId(0), ClockTreePinId(0), Direction::INC))); - /* Add Y-direction clock nodes */ vtr::Rect chany_bb(0, 1, grids.width() - 1, grids.height() - 1); if (perimeter_cb) { @@ -224,13 +218,8 @@ static void add_rr_graph_clock_nodes( rr_graph_builder, clk_rr_lookup, rr_graph_view, clk_ntwk, layer, chany_coord, CHANY, CHANX_COST_INDEX_START + rr_graph_view.num_rr_segments(), verbose); - VTR_ASSERT(rr_graph_view.valid_node( - clk_rr_lookup.find_node(1, 0, ClockTreeId(0), ClockLevelId(0), - ClockTreePinId(0), Direction::INC))); } } - VTR_ASSERT(rr_graph_view.valid_node(clk_rr_lookup.find_node( - 1, 0, ClockTreeId(0), ClockLevelId(0), ClockTreePinId(0), Direction::INC))); } /******************************************************************** From 5c9c4d93c5636d854dc01945919018eeabb1c48e Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 10:46:47 -0700 Subject: [PATCH 171/230] [core] typo --- openfpga/src/annotation/route_clock_rr_graph.cpp | 4 ++-- .../config/clk_arch_1clk_2layer.xml | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 0be7cdf84..207b83d0e 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -186,8 +186,6 @@ static int route_spine_taps( const std::map& tree2clk_pin_map, const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, const ClockSpineId& ispine, const ClockTreePinId& ipin, const bool& verbose) { - std::vector> spine_coords = - clk_ntwk.spine_coordinates(ispine); size_t spine_tap_cnt = 0; /* Route the spine-to-IPIN connections (only for the last level) */ if (clk_ntwk.is_last_level(ispine)) { @@ -195,6 +193,8 @@ static int route_spine_taps( "Routing clock taps of spine '%s' for pin '%d' of tree '%s'...\n", clk_ntwk.spine_name(ispine).c_str(), size_t(ipin), clk_ntwk.tree_name(clk_tree).c_str()); + std::vector> spine_coords = + clk_ntwk.spine_coordinates(ispine); /* Connect to any fan-out node which is IPIN */ for (size_t icoord = 0; icoord < spine_coords.size(); ++icoord) { vtr::Point src_coord = spine_coords[icoord]; diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml index 4c4c38bb8..453d0b5d0 100644 --- a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml @@ -8,8 +8,8 @@ - - + + From 48ae3691c429b58590ffb1ec89ae5d6901293f29 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 10:57:54 -0700 Subject: [PATCH 172/230] [test] typo --- .../k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml b/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml index e0c4966c5..f9d09c73e 100644 --- a/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml +++ b/openfpga_flow/openfpga_arch/k4_N4_40nm_ClkNtwk_registerable_io_cc_openfpga.xml @@ -173,7 +173,7 @@ - + From 6492d43a01148a6ef987c8283cd98f10419d5d60 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 11:29:20 -0700 Subject: [PATCH 173/230] [test] add a new test to validate perimeter cb using global tile clock --- ...erimeterCb_registerable_io_cc_openfpga.xml | 204 ++++++++++++++++++ .../regression_test_scripts/basic_reg_test.sh | 1 + .../config/task.conf | 41 ++++ .../config/tile_config.xml | 1 + 4 files changed, 247 insertions(+) create mode 100644 openfpga_flow/openfpga_arch/k4_N4_40nm_GlobalTileClk_PerimeterCb_registerable_io_cc_openfpga.xml create mode 100644 openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/task.conf create mode 100644 openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/tile_config.xml diff --git a/openfpga_flow/openfpga_arch/k4_N4_40nm_GlobalTileClk_PerimeterCb_registerable_io_cc_openfpga.xml b/openfpga_flow/openfpga_arch/k4_N4_40nm_GlobalTileClk_PerimeterCb_registerable_io_cc_openfpga.xml new file mode 100644 index 000000000..341bf52e2 --- /dev/null +++ b/openfpga_flow/openfpga_arch/k4_N4_40nm_GlobalTileClk_PerimeterCb_registerable_io_cc_openfpga.xml @@ -0,0 +1,204 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + 10e-12 + + + 10e-12 + + + + + + + + + + + + + 10e-12 5e-12 5e-12 + + + 10e-12 5e-12 5e-12 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index f4b872def..4e1f55602 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -197,6 +197,7 @@ run-task basic_tests/tile_organization/homo_fabric_tile $@ run-task basic_tests/tile_organization/homo_fabric_tile_bl $@ echo -e "Testing tile grouping on a homogeneous FPGA fabric (Preconfigured testbench)"; run-task basic_tests/tile_organization/fabric_tile_global_tile_clock_io_subtile $@ +run-task basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock_io_subtile $@ run-task basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile $@ run-task basic_tests/tile_organization/homo_fabric_tile_preconfig $@ run-task basic_tests/tile_organization/homo_fabric_tile_2x2_preconfig $@ diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/task.conf b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/task.conf new file mode 100644 index 000000000..20efcfa2d --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/task.conf @@ -0,0 +1,41 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = false +spice_output=false +verilog_output=true +timeout_each_job = 20*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/group_tile_preconfig_testbench_example_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_N4_40nm_GlobalTileClk_PerimeterCb_registerable_io_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml +openfpga_vpr_extra_options= +openfpga_pb_pin_fixup_command= +openfpga_vpr_device=2x2 +openfpga_vpr_route_chan_width=40 +openfpga_group_tile_config_file=${PATH:TASK_DIR}/config/tile_config.xml +openfpga_verilog_testbench_options=--explicit_port_mapping + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/and2_pipelined/and2_pipelined.v + +[SYNTHESIS_PARAM] +bench_read_verilog_options_common = -nolatches +bench0_top = and2_pipelined + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= +vpr_fpga_verilog_formal_verification_top_netlist= diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/tile_config.xml b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/tile_config.xml new file mode 100644 index 000000000..1a1f3f6e8 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/tile_config.xml @@ -0,0 +1 @@ + From 7bd60f5f7d6d42ee546f61937d0b4432f0854596 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 12:39:54 -0700 Subject: [PATCH 174/230] [core] support perimeter cb when identify pins of I/Os tiles --- openfpga/src/base/openfpga_pb_pin_fixup.cpp | 2 +- openfpga/src/fabric/build_device_module.cpp | 4 +++- openfpga/src/fabric/build_top_module.cpp | 8 +++++--- openfpga/src/fabric/build_top_module.h | 4 +++- ...d_top_module_child_fine_grained_instance.cpp | 6 ++++-- ...ild_top_module_child_fine_grained_instance.h | 4 +++- .../build_top_module_child_tile_instance.cpp | 17 +++++++++-------- .../build_top_module_child_tile_instance.h | 1 + .../src/fabric/build_top_module_connection.cpp | 17 ++++++++++------- .../src/fabric/build_top_module_connection.h | 3 ++- .../src/utils/openfpga_physical_tile_utils.cpp | 9 ++++++--- .../src/utils/openfpga_physical_tile_utils.h | 2 +- 12 files changed, 48 insertions(+), 29 deletions(-) diff --git a/openfpga/src/base/openfpga_pb_pin_fixup.cpp b/openfpga/src/base/openfpga_pb_pin_fixup.cpp index 22bff36c4..e96e4d218 100644 --- a/openfpga/src/base/openfpga_pb_pin_fixup.cpp +++ b/openfpga/src/base/openfpga_pb_pin_fixup.cpp @@ -60,7 +60,7 @@ static void update_cluster_pin_with_post_routing_results( rr_node_type = IPIN; } std::vector pin_sides = - find_physical_tile_pin_side(physical_tile, physical_pin, border_side); + find_physical_tile_pin_side(physical_tile, physical_pin, border_side, device_ctx.arch->perimeter_cb); /* As some grid has height/width offset, we may not have the pin on any side */ if (0 == pin_sides.size()) { diff --git a/openfpga/src/fabric/build_device_module.cpp b/openfpga/src/fabric/build_device_module.cpp index a04e9e015..3ffa869e8 100644 --- a/openfpga/src/fabric/build_device_module.cpp +++ b/openfpga/src/fabric/build_device_module.cpp @@ -142,7 +142,9 @@ int build_device_module_graph( openfpga_ctx.arch().arch_direct, openfpga_ctx.arch().config_protocol, sram_model, fabric_tile, name_module_using_index, frame_view, compress_routing, duplicate_grid_pin, fabric_key, - generate_random_fabric_key, group_config_block, verbose); + generate_random_fabric_key, group_config_block, + vpr_device_ctx.arch->perimeter_cb, + verbose); if (CMD_EXEC_FATAL_ERROR == status) { return status; diff --git a/openfpga/src/fabric/build_top_module.cpp b/openfpga/src/fabric/build_top_module.cpp index bce676019..54fd54702 100644 --- a/openfpga/src/fabric/build_top_module.cpp +++ b/openfpga/src/fabric/build_top_module.cpp @@ -58,7 +58,9 @@ int build_top_module( const bool& name_module_using_index, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, const bool& generate_random_fabric_key, - const bool& group_config_block, const bool& verbose) { + const bool& group_config_block, + const bool& perimeter_cb, + const bool& verbose) { vtr::ScopedStartFinishTimer timer("Build FPGA fabric module"); int status = CMD_EXEC_SUCCESS; @@ -79,7 +81,7 @@ int build_top_module( rr_clock_lookup, vpr_device_annotation, grids, layer, tile_annotation, rr_graph, device_rr_gsb, tile_direct, arch_direct, config_protocol, sram_model, frame_view, compact_routing_hierarchy, duplicate_grid_pin, - fabric_key, group_config_block, verbose); + fabric_key, group_config_block, perimeter_cb, verbose); } else { /* Build the tile instances under the top module */ status = build_top_module_tile_child_instances( @@ -87,7 +89,7 @@ int build_top_module( rr_clock_lookup, vpr_device_annotation, grids, layer, tile_annotation, rr_graph, device_rr_gsb, tile_direct, arch_direct, fabric_tile, config_protocol, sram_model, fabric_key, group_config_block, - name_module_using_index, frame_view, verbose); + name_module_using_index, perimeter_cb, frame_view, verbose); } if (status != CMD_EXEC_SUCCESS) { diff --git a/openfpga/src/fabric/build_top_module.h b/openfpga/src/fabric/build_top_module.h index ee9d346d2..75c3649eb 100644 --- a/openfpga/src/fabric/build_top_module.h +++ b/openfpga/src/fabric/build_top_module.h @@ -45,7 +45,9 @@ int build_top_module( const bool& name_module_using_index, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, const bool& generate_random_fabric_key, - const bool& group_config_block, const bool& verbose); + const bool& group_config_block, + const bool& perimeter_cb, + const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp index d25a9af72..7e3fcfa2f 100644 --- a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp +++ b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp @@ -454,7 +454,9 @@ int build_top_module_fine_grained_child_instances( const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, - const bool& group_config_block, const bool& verbose) { + const bool& group_config_block, + const bool& perimeter_cb, + const bool& verbose) { int status = CMD_EXEC_SUCCESS; std::map> cb_instance_ids; @@ -501,7 +503,7 @@ int build_top_module_fine_grained_child_instances( status = add_top_module_global_ports_from_grid_modules( module_manager, top_module, tile_annotation, vpr_device_annotation, grids, layer, rr_graph, device_rr_gsb, cb_instance_ids, grid_instance_ids, - clk_ntwk, rr_clock_lookup); + clk_ntwk, rr_clock_lookup, perimeter_cb); if (CMD_EXEC_FATAL_ERROR == status) { return status; } diff --git a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h index 604cce99b..394f7a2df 100644 --- a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h +++ b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h @@ -44,7 +44,9 @@ int build_top_module_fine_grained_child_instances( const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, - const bool& group_config_block, const bool& verbose); + const bool& group_config_block, + const bool& perimeter_cb, + const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/fabric/build_top_module_child_tile_instance.cpp b/openfpga/src/fabric/build_top_module_child_tile_instance.cpp index 0ad953f68..bf12dabc6 100644 --- a/openfpga/src/fabric/build_top_module_child_tile_instance.cpp +++ b/openfpga/src/fabric/build_top_module_child_tile_instance.cpp @@ -1295,7 +1295,7 @@ static int build_top_module_global_net_for_given_tile_module( const VprDeviceAnnotation& vpr_device_annotation, const DeviceGrid& grids, const size_t& layer, const vtr::Point& grid_coordinate, const e_side& border_side, const vtr::Matrix& tile_instance_ids, - const FabricTile& fabric_tile) { + const FabricTile& fabric_tile, const bool& perimeter_cb) { /* Get the tile module and instance */ FabricTileId curr_fabric_tile_id = fabric_tile.find_tile_by_pb_coordinate(grid_coordinate); @@ -1392,7 +1392,7 @@ static int build_top_module_global_net_for_given_tile_module( size_t grid_pin_height = physical_tile->pin_height_offset[grid_pin_index]; std::vector pin_sides = find_physical_tile_pin_side( - physical_tile, grid_pin_index, border_side); + physical_tile, grid_pin_index, border_side, perimeter_cb); BasicPort grid_pin_info = vpr_device_annotation.physical_tile_pin_port_info(physical_tile, @@ -1452,7 +1452,7 @@ static int build_top_module_global_net_from_tile_modules( const TileGlobalPortId& tile_global_port, const VprDeviceAnnotation& vpr_device_annotation, const DeviceGrid& grids, const size_t& layer, const vtr::Matrix& tile_instance_ids, - const FabricTile& fabric_tile) { + const FabricTile& fabric_tile, const bool& perimeter_cb) { int status = CMD_EXEC_SUCCESS; std::map>> io_coordinates = @@ -1531,7 +1531,7 @@ static int build_top_module_global_net_from_tile_modules( module_manager, top_module, top_module_port, tile_annotation, tile_global_port, tile_port, vpr_device_annotation, grids, layer, vtr::Point(ix, iy), NUM_SIDES, tile_instance_ids, - fabric_tile); + fabric_tile, perimeter_cb); if (CMD_EXEC_FATAL_ERROR == status) { return status; } @@ -1578,7 +1578,7 @@ static int build_top_module_global_net_from_tile_modules( status = build_top_module_global_net_for_given_tile_module( module_manager, top_module, top_module_port, tile_annotation, tile_global_port, tile_port, vpr_device_annotation, grids, layer, - io_coordinate, io_side, tile_instance_ids, fabric_tile); + io_coordinate, io_side, tile_instance_ids, fabric_tile, perimeter_cb); if (CMD_EXEC_FATAL_ERROR == status) { return status; } @@ -1600,7 +1600,7 @@ static int add_top_module_global_ports_from_tile_modules( const size_t& layer, const RRGraphView& rr_graph, const DeviceRRGSB& device_rr_gsb, const vtr::Matrix& tile_instance_ids, const FabricTile& fabric_tile, - const ClockNetwork& clk_ntwk, const RRClockSpatialLookup& rr_clock_lookup) { + const ClockNetwork& clk_ntwk, const RRClockSpatialLookup& rr_clock_lookup, const bool& perimeter_cb) { int status = CMD_EXEC_SUCCESS; /* Add the global ports which are NOT yet added to the top-level module @@ -1657,7 +1657,7 @@ static int add_top_module_global_ports_from_tile_modules( status = build_top_module_global_net_from_tile_modules( module_manager, top_module, top_module_port, tile_annotation, tile_global_port, vpr_device_annotation, grids, layer, - tile_instance_ids, fabric_tile); + tile_instance_ids, fabric_tile, perimeter_cb); } if (status == CMD_EXEC_FATAL_ERROR) { return status; @@ -1905,6 +1905,7 @@ int build_top_module_tile_child_instances( const FabricTile& fabric_tile, const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const FabricKey& fabric_key, const bool& group_config_block, const bool& name_module_using_index, + const bool& perimeter_cb, const bool& frame_view, const bool& verbose) { int status = CMD_EXEC_SUCCESS; vtr::Matrix tile_instance_ids; @@ -1942,7 +1943,7 @@ int build_top_module_tile_child_instances( status = add_top_module_global_ports_from_tile_modules( module_manager, top_module, tile_annotation, vpr_device_annotation, grids, layer, rr_graph, device_rr_gsb, tile_instance_ids, fabric_tile, clk_ntwk, - rr_clock_lookup); + rr_clock_lookup, perimeter_cb); if (CMD_EXEC_FATAL_ERROR == status) { return status; } diff --git a/openfpga/src/fabric/build_top_module_child_tile_instance.h b/openfpga/src/fabric/build_top_module_child_tile_instance.h index bec2a9fa1..74a8aea63 100644 --- a/openfpga/src/fabric/build_top_module_child_tile_instance.h +++ b/openfpga/src/fabric/build_top_module_child_tile_instance.h @@ -44,6 +44,7 @@ int build_top_module_tile_child_instances( const FabricTile& fabric_tile, const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const FabricKey& fabric_key, const bool& group_config_block, const bool& name_module_using_index, + const bool& perimeter_cb, const bool& frame_view, const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index 959532257..46907a1bb 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -956,7 +956,8 @@ static int build_top_module_global_net_for_given_grid_module( const BasicPort& tile_port_to_connect, const VprDeviceAnnotation& vpr_device_annotation, const DeviceGrid& grids, const size_t& layer, const vtr::Point& grid_coordinate, - const e_side& border_side, const vtr::Matrix& grid_instance_ids) { + const e_side& border_side, const vtr::Matrix& grid_instance_ids, + const bool& perimeter_cb) { t_physical_tile_type_ptr physical_tile = grids.get_physical_type( t_physical_tile_loc(grid_coordinate.x(), grid_coordinate.y(), layer)); /* Find the module name for this type of grid */ @@ -1033,7 +1034,7 @@ static int build_top_module_global_net_for_given_grid_module( size_t grid_pin_height = physical_tile->pin_height_offset[grid_pin_index]; std::vector pin_sides = find_physical_tile_pin_side( - physical_tile, grid_pin_index, border_side); + physical_tile, grid_pin_index, border_side, perimeter_cb); BasicPort grid_pin_info = vpr_device_annotation.physical_tile_pin_port_info(physical_tile, @@ -1091,7 +1092,8 @@ static int build_top_module_global_net_from_grid_modules( const ModulePortId& top_module_port, const TileAnnotation& tile_annotation, const TileGlobalPortId& tile_global_port, const VprDeviceAnnotation& vpr_device_annotation, const DeviceGrid& grids, - const size_t& layer, const vtr::Matrix& grid_instance_ids) { + const size_t& layer, const vtr::Matrix& grid_instance_ids, + const bool& perimeter_cb) { int status = CMD_EXEC_SUCCESS; std::map>> io_coordinates = @@ -1169,7 +1171,7 @@ static int build_top_module_global_net_from_grid_modules( status = build_top_module_global_net_for_given_grid_module( module_manager, top_module, top_module_port, tile_annotation, tile_global_port, tile_port, vpr_device_annotation, grids, layer, - vtr::Point(ix, iy), NUM_SIDES, grid_instance_ids); + vtr::Point(ix, iy), NUM_SIDES, grid_instance_ids, perimeter_cb); if (CMD_EXEC_FATAL_ERROR == status) { return status; } @@ -1216,7 +1218,7 @@ static int build_top_module_global_net_from_grid_modules( status = build_top_module_global_net_for_given_grid_module( module_manager, top_module, top_module_port, tile_annotation, tile_global_port, tile_port, vpr_device_annotation, grids, layer, - io_coordinate, io_side, grid_instance_ids); + io_coordinate, io_side, grid_instance_ids, perimeter_cb); if (CMD_EXEC_FATAL_ERROR == status) { return status; } @@ -1317,7 +1319,8 @@ int add_top_module_global_ports_from_grid_modules( const DeviceRRGSB& device_rr_gsb, const std::map>& cb_instance_ids, const vtr::Matrix& grid_instance_ids, const ClockNetwork& clk_ntwk, - const RRClockSpatialLookup& rr_clock_lookup) { + const RRClockSpatialLookup& rr_clock_lookup, + const bool& perimeter_cb) { int status = CMD_EXEC_SUCCESS; /* Add the global ports which are NOT yet added to the top-level module @@ -1382,7 +1385,7 @@ int add_top_module_global_ports_from_grid_modules( status = build_top_module_global_net_from_grid_modules( module_manager, top_module, top_module_port, tile_annotation, tile_global_port, vpr_device_annotation, grids, layer, - grid_instance_ids); + grid_instance_ids, perimeter_cb); } if (status == CMD_EXEC_FATAL_ERROR) { return status; diff --git a/openfpga/src/fabric/build_top_module_connection.h b/openfpga/src/fabric/build_top_module_connection.h index d4c18e7a7..08a642e07 100644 --- a/openfpga/src/fabric/build_top_module_connection.h +++ b/openfpga/src/fabric/build_top_module_connection.h @@ -42,7 +42,8 @@ int add_top_module_global_ports_from_grid_modules( const DeviceRRGSB& device_rr_gsb, const std::map>& cb_instance_ids, const vtr::Matrix& grid_instance_ids, const ClockNetwork& clk_ntwk, - const RRClockSpatialLookup& rr_clock_lookup); + const RRClockSpatialLookup& rr_clock_lookup, + const bool& perimeter_cb); void add_top_module_nets_prog_clock(ModuleManager& module_manager, const ModuleId& top_module, diff --git a/openfpga/src/utils/openfpga_physical_tile_utils.cpp b/openfpga/src/utils/openfpga_physical_tile_utils.cpp index 1f539ffcf..85768ff92 100644 --- a/openfpga/src/utils/openfpga_physical_tile_utils.cpp +++ b/openfpga/src/utils/openfpga_physical_tile_utils.cpp @@ -27,7 +27,7 @@ namespace openfpga { *******************************************************************/ std::vector find_physical_tile_pin_side( t_physical_tile_type_ptr physical_tile, const int& physical_pin, - const e_side& border_side) { + const e_side& border_side, const bool& perimeter_cb) { std::vector pin_sides; for (const e_side& side_cand : {TOP, RIGHT, BOTTOM, LEFT}) { int pin_width_offset = physical_tile->pin_width_offset[physical_pin]; @@ -40,17 +40,20 @@ std::vector find_physical_tile_pin_side( /* For regular grid, we should have pin only one side! * I/O grids: VPR creates the grid with duplicated pins on every side - * but the expected side (only used side) will be opposite side of the border + * - In regular cases: the expected side (only used side) will be on the opposite to the border * side! + * - When perimeter cb is on, the expected sides can be on any sides except the border side. But we only expect 1 side */ if (NUM_SIDES == border_side) { VTR_ASSERT(1 == pin_sides.size()); - } else { + } else if (!perimeter_cb) { SideManager side_manager(border_side); VTR_ASSERT(pin_sides.end() != std::find(pin_sides.begin(), pin_sides.end(), side_manager.get_opposite())); pin_sides.clear(); pin_sides.push_back(side_manager.get_opposite()); + } else { + VTR_ASSERT(1 == pin_sides.size() && pin_sides[0] != border_side); } return pin_sides; diff --git a/openfpga/src/utils/openfpga_physical_tile_utils.h b/openfpga/src/utils/openfpga_physical_tile_utils.h index 1ac98f321..68cb3972e 100644 --- a/openfpga/src/utils/openfpga_physical_tile_utils.h +++ b/openfpga/src/utils/openfpga_physical_tile_utils.h @@ -20,7 +20,7 @@ namespace openfpga { std::vector find_physical_tile_pin_side( t_physical_tile_type_ptr physical_tile, const int& physical_pin, - const e_side& border_side); + const e_side& border_side, const bool& perimeter_cb); float find_physical_tile_pin_Fc(t_physical_tile_type_ptr type, const int& pin); From ab454be831c8f3143acb8883e4131c803ea73ee5 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 13:32:54 -0700 Subject: [PATCH 175/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index d2be1c8b2..5ca82e54c 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit d2be1c8b2629069479863826695ed317bf88618a +Subproject commit 5ca82e54c4a2fa007fa7c43e9e91e582b7cf03fe From 549dc6e7e60e5523618eda2fc0f9ada3a6ec6450 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 13:39:55 -0700 Subject: [PATCH 176/230] [lib] update vtr --- vtr-verilog-to-routing | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/vtr-verilog-to-routing b/vtr-verilog-to-routing index 5ca82e54c..ddc3ac408 160000 --- a/vtr-verilog-to-routing +++ b/vtr-verilog-to-routing @@ -1 +1 @@ -Subproject commit 5ca82e54c4a2fa007fa7c43e9e91e582b7cf03fe +Subproject commit ddc3ac408aa123dc8d4da1685c6dec7e65038646 From b50acacfbaaba260473dd3e30dc54d106bb33088 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 15:09:31 -0700 Subject: [PATCH 177/230] [test] fixed some bug in pin loc; Outputs are not recommend on the fringe I/O tiles --- .../config/task.conf | 2 +- ...rimeterCb_ClkNtwk_registerable_io_40nm.xml | 28 +++++++++---------- 2 files changed, 15 insertions(+), 15 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/task.conf b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/task.conf index 20efcfa2d..99a16d52e 100644 --- a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock/config/task.conf @@ -22,7 +22,7 @@ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulatio openfpga_vpr_extra_options= openfpga_pb_pin_fixup_command= openfpga_vpr_device=2x2 -openfpga_vpr_route_chan_width=40 +openfpga_vpr_route_chan_width=20 openfpga_group_tile_config_file=${PATH:TASK_DIR}/config/tile_config.xml openfpga_verilog_testbench_options=--explicit_port_mapping diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml index 09a0af616..83d977423 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml @@ -48,10 +48,10 @@ - io_top[0:1].outpad[0:0] io_top[0:1].inpad[0:0] + io_top[0:1].outpad[0:0] - io_top[0:5].clk[0:0] - io_top[2:5].outpad[0:0] io_top[2:5].inpad[0:0] + io_top[2:3].outpad io_top[0:5].clk[0:0] + io_top[4:5].outpad[0:0] io_top[0:5].inpad[0:0] @@ -67,10 +67,10 @@ - io_right[0:0].outpad[0:0] io_right[0:0].inpad[0:0] io_right[0:2].clk[0:0] + io_right[0:0].outpad[0:0] io_right[0:2].inpad[0:0] io_right[0:2].clk[0:0] - io_right[1:1].outpad[0:0] io_right[1:1].inpad[0:0] - io_right[2:2].outpad[0:0] io_right[2:2].inpad[0:0] + io_right[1:1].outpad[0:0] + io_right[2:2].outpad[0:0] @@ -86,10 +86,10 @@ - io_bottom[0:1].outpad[0:0] io_bottom[0:1].inpad[0:0] io_bottom[0:3].clk[0:0] + io_bottom[0:1].outpad[0:0] io_bottom[0:3].clk[0:0] - io_bottom[2:3].outpad[0:0] io_bottom[2:3].inpad[0:0] - + io_bottom[2:2].outpad[0:0] io_bottom[0:3].inpad[0:0] + io_bottom[3:3].outpad[0:0] @@ -105,10 +105,10 @@ - io_left[0:1].outpad[0:0] io_left[0:1].inpad[0:0] io_left[0:3].clk[0:0] + io_left[0:1].outpad[0:0] io_left[0:3].inpad[0:0] io_left[0:3].clk[0:0] - - io_left[2:3].outpad[0:0] io_left[2:3].inpad[0:0] + io_left[2:2].outpad[0:0] + io_left[3:3].outpad[0:0] @@ -206,12 +206,12 @@ - + 1 1 1 - + 1 1 1 1 1 1 1 1 1 From 8bca3d79be5fdc284cb25bd8bce565982a385fe2 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 15:17:24 -0700 Subject: [PATCH 178/230] [core] fixed a bug where tap points of clock network cannot reach perimeter cb --- .../src/annotation/append_clock_rr_graph.cpp | 22 +++++++++++++++---- 1 file changed, 18 insertions(+), 4 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index b7b82c269..0837e1fcd 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -751,9 +751,16 @@ static void add_rr_graph_clock_edges( const DeviceGrid& grids, const size_t& layer, const bool& perimeter_cb, const bool& through_channel, const ClockNetwork& clk_ntwk, const bool& verbose) { + vtr::Rect chanx_bb(1, 0, grids.width() - 1, grids.height() - 1); + if (perimeter_cb) { + chanx_bb.set_xmin(0); + chanx_bb.set_xmax(grids.width()); + chanx_bb.set_ymin(0); + chanx_bb.set_ymax(grids.height()); + } /* Add edges which is driven by X-direction clock routing tracks */ - for (size_t iy = 0; iy < grids.height() - 1; ++iy) { - for (size_t ix = 1; ix < grids.width() - 1; ++ix) { + for (size_t iy = chanx_bb.ymin(); iy < chanx_bb.ymax(); ++iy) { + for (size_t ix = chanx_bb.xmin(); ix < chanx_bb.xmax(); ++ix) { vtr::Point chanx_coord(ix, iy); /* Bypass if the routing channel does not exist when through channels are * not allowed */ @@ -768,8 +775,15 @@ static void add_rr_graph_clock_edges( } /* Add edges which is driven by Y-direction clock routing tracks */ - for (size_t ix = 0; ix < grids.width() - 1; ++ix) { - for (size_t iy = 1; iy < grids.height() - 1; ++iy) { + vtr::Rect chany_bb(0, 1, grids.width() - 1, grids.height() - 1); + if (perimeter_cb) { + chany_bb.set_xmin(0); + chany_bb.set_xmax(grids.width()); + chany_bb.set_ymin(0); + chany_bb.set_ymax(grids.height()); + } + for (size_t ix = chany_bb.xmin(); ix < chany_bb.xmax(); ++ix) { + for (size_t iy = chany_bb.ymin(); iy < chany_bb.ymax(); ++iy) { vtr::Point chany_coord(ix, iy); /* Bypass if the routing channel does not exist when through channel are * not allowed */ From c30eafac9f7c511c95452a1435edd9d7b9ddd6a0 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 15:26:16 -0700 Subject: [PATCH 179/230] [test] fixed a bug on clk ntwk arch where some io clocks are not tapped --- .../config/clk_arch_1clk_2layer.xml | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml index 453d0b5d0..2b85f88cd 100644 --- a/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml +++ b/openfpga_flow/tasks/basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile/config/clk_arch_1clk_2layer.xml @@ -16,10 +16,10 @@ - - - - + + + + From 6dde383a7fbc0f4b049ee214553885d968598501 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 16:00:18 -0700 Subject: [PATCH 180/230] [core] debugging --- .../src/annotation/append_clock_rr_graph.cpp | 3 +++ .../utils/openfpga_physical_tile_utils.cpp | 20 +++++++++---------- 2 files changed, 12 insertions(+), 11 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index 0837e1fcd..f9aadfc74 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -420,14 +420,17 @@ static void try_find_and_add_clock_track2ipin_node( t_physical_tile_loc(grid_coord.x(), grid_coord.y(), layer)); for (std::string tap_pin_name : clk_ntwk.tree_flatten_tap_to_ports(clk_tree, clk_pin, grid_coord)) { + VTR_LOG("Checking tap pin name: %s\n", tap_pin_name.c_str()); /* tap pin name could be 'io[5:5].a2f[0]' */ int grid_pin_idx = find_physical_tile_pin_index(grid_type, tap_pin_name); if (grid_pin_idx == grid_type->num_pins) { continue; } + VTR_LOG("Found a valid pin in physical tile\n"); RRNodeId des_node = rr_graph_view.node_lookup().find_node( layer, grid_coord.x(), grid_coord.y(), IPIN, grid_pin_idx, pin_side); if (rr_graph_view.valid_node(des_node)) { + VTR_LOG("Found a valid pin in rr graph\n"); des_nodes.push_back(des_node); } } diff --git a/openfpga/src/utils/openfpga_physical_tile_utils.cpp b/openfpga/src/utils/openfpga_physical_tile_utils.cpp index 85768ff92..1cce2eeee 100644 --- a/openfpga/src/utils/openfpga_physical_tile_utils.cpp +++ b/openfpga/src/utils/openfpga_physical_tile_utils.cpp @@ -144,9 +144,6 @@ int find_physical_tile_pin_index(t_physical_tile_type_ptr physical_tile, } PortParser tile_parser(pin_tokens[0]); BasicPort tile_info = tile_parser.port(); - if (tile_info.get_name() != std::string(physical_tile->name)) { - return pin_idx; - } if (!tile_info.is_valid()) { VTR_LOG_ERROR( "Invalid pin name '%s' whose subtile index is not valid, expect [0, " @@ -162,13 +159,6 @@ int find_physical_tile_pin_index(t_physical_tile_type_ptr physical_tile, pin_name.c_str()); exit(1); } - if (tile_info.get_msb() > size_t(physical_tile->capacity) - 1) { - VTR_LOG_ERROR( - "Invalid pin name '%s' whose subtile index is out of range, expect [0, " - "%lu]\n", - pin_name.c_str(), physical_tile->capacity - 1); - exit(1); - } /* precheck: return unfound pin if the pin index does not match */ PortParser pin_parser(pin_tokens[1]); BasicPort pin_info = pin_parser.port(); @@ -183,9 +173,17 @@ int find_physical_tile_pin_index(t_physical_tile_type_ptr physical_tile, /* Spot the subtile by using the index */ for (const t_sub_tile& sub_tile : physical_tile->sub_tiles) { - if (!sub_tile.capacity.is_in_range(tile_info.get_lsb())) { + /* Bypass unmatched subtiles*/ + if (tile_info.get_name() != std::string(sub_tile.name)) { continue; } + if (!sub_tile.capacity.is_in_range(tile_info.get_lsb())) { + VTR_LOG_ERROR( + "Invalid pin name '%s' whose subtile index is out of range, expect [%lu, " + "%lu]\n", + pin_name.c_str(), sub_tile.capacity.low, sub_tile.capacity.high); + exit(1); + } for (const t_physical_tile_port& sub_tile_port : sub_tile.ports) { if (std::string(sub_tile_port.name) != pin_info.get_name()) { continue; From e8f9deeeaf0730ffb964940e76acff3161ec9697 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 16:12:20 -0700 Subject: [PATCH 181/230] [core] fixed a critical bug on computing pin index for subtile in clock taps --- openfpga/src/utils/openfpga_physical_tile_utils.cpp | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/openfpga/src/utils/openfpga_physical_tile_utils.cpp b/openfpga/src/utils/openfpga_physical_tile_utils.cpp index 1cce2eeee..ee3b3b5db 100644 --- a/openfpga/src/utils/openfpga_physical_tile_utils.cpp +++ b/openfpga/src/utils/openfpga_physical_tile_utils.cpp @@ -205,8 +205,9 @@ int find_physical_tile_pin_index(t_physical_tile_type_ptr physical_tile, /* Reach here, we get the port we want, return the accumulated index */ size_t accumulated_pin_idx = sub_tile_port.absolute_first_pin_index + - sub_tile.num_phy_pins * (tile_info.get_lsb() - sub_tile.capacity.low) + + (sub_tile.num_phy_pins / sub_tile.capacity.total()) * (tile_info.get_lsb() - sub_tile.capacity.low) + pin_info.get_lsb(); + VTR_LOG("Pin index: %lu\n", accumulated_pin_idx); return accumulated_pin_idx; } } From db459b0e87df04f824c90420b6b8a983c4933d62 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 16:18:32 -0700 Subject: [PATCH 182/230] [core] add verbose outputs --- .../src/annotation/append_clock_rr_graph.cpp | 21 ++++++++++--------- .../utils/openfpga_physical_tile_utils.cpp | 1 - 2 files changed, 11 insertions(+), 11 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index f9aadfc74..d54c018a6 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -415,22 +415,22 @@ static void try_find_and_add_clock_track2ipin_node( const RRGraphView& rr_graph_view, const size_t& layer, const vtr::Point& grid_coord, const e_side& pin_side, const ClockNetwork& clk_ntwk, const ClockTreeId& clk_tree, - const ClockTreePinId& clk_pin) { + const ClockTreePinId& clk_pin, const bool& verbose) { t_physical_tile_type_ptr grid_type = grids.get_physical_type( t_physical_tile_loc(grid_coord.x(), grid_coord.y(), layer)); for (std::string tap_pin_name : clk_ntwk.tree_flatten_tap_to_ports(clk_tree, clk_pin, grid_coord)) { - VTR_LOG("Checking tap pin name: %s\n", tap_pin_name.c_str()); + VTR_LOGV(verbose, "Checking tap pin name: %s\n", tap_pin_name.c_str()); /* tap pin name could be 'io[5:5].a2f[0]' */ int grid_pin_idx = find_physical_tile_pin_index(grid_type, tap_pin_name); if (grid_pin_idx == grid_type->num_pins) { continue; } - VTR_LOG("Found a valid pin in physical tile\n"); + VTR_LOGV(verbose, "Found a valid pin (index=%d) in physical tile\n", grid_pin_idx); RRNodeId des_node = rr_graph_view.node_lookup().find_node( layer, grid_coord.x(), grid_coord.y(), IPIN, grid_pin_idx, pin_side); if (rr_graph_view.valid_node(des_node)) { - VTR_LOG("Found a valid pin in rr graph\n"); + VTR_LOGV(verbose, "Found a valid pin in rr graph\n"); des_nodes.push_back(des_node); } } @@ -466,7 +466,8 @@ static std::vector find_clock_track2ipin_node( const DeviceGrid& grids, const RRGraphView& rr_graph_view, const t_rr_type& chan_type, const size_t& layer, const vtr::Point& chan_coord, const ClockNetwork& clk_ntwk, - const ClockTreeId& clk_tree, const ClockTreePinId& clk_pin) { + const ClockTreeId& clk_tree, const ClockTreePinId& clk_pin, + const bool& verbose) { std::vector des_nodes; if (chan_type == CHANX) { @@ -474,26 +475,26 @@ static std::vector find_clock_track2ipin_node( vtr::Point bot_grid_coord(chan_coord.x(), chan_coord.y() + 1); try_find_and_add_clock_track2ipin_node(des_nodes, grids, rr_graph_view, layer, bot_grid_coord, BOTTOM, - clk_ntwk, clk_tree, clk_pin); + clk_ntwk, clk_tree, clk_pin, verbose); /* Get the clock IPINs at the TOP side of adjacent grids [x][y] */ vtr::Point top_grid_coord(chan_coord.x(), chan_coord.y()); try_find_and_add_clock_track2ipin_node(des_nodes, grids, rr_graph_view, layer, top_grid_coord, TOP, clk_ntwk, - clk_tree, clk_pin); + clk_tree, clk_pin, verbose); } else { VTR_ASSERT(chan_type == CHANY); /* Get the clock IPINs at the LEFT side of adjacent grids [x][y+1] */ vtr::Point left_grid_coord(chan_coord.x() + 1, chan_coord.y()); try_find_and_add_clock_track2ipin_node(des_nodes, grids, rr_graph_view, layer, left_grid_coord, LEFT, - clk_ntwk, clk_tree, clk_pin); + clk_ntwk, clk_tree, clk_pin, verbose); /* Get the clock IPINs at the RIGHT side of adjacent grids [x][y] */ vtr::Point right_grid_coord(chan_coord.x(), chan_coord.y()); try_find_and_add_clock_track2ipin_node(des_nodes, grids, rr_graph_view, layer, right_grid_coord, RIGHT, - clk_ntwk, clk_tree, clk_pin); + clk_ntwk, clk_tree, clk_pin, verbose); } return des_nodes; @@ -560,7 +561,7 @@ static void add_rr_graph_block_clock_edges( size_t curr_edge_count = edge_count; for (RRNodeId des_node : find_clock_track2ipin_node( grids, rr_graph_view, chan_type, layer, chan_coord, clk_ntwk, - itree, ClockTreePinId(ipin))) { + itree, ClockTreePinId(ipin), verbose)) { /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); rr_graph_builder.create_edge( diff --git a/openfpga/src/utils/openfpga_physical_tile_utils.cpp b/openfpga/src/utils/openfpga_physical_tile_utils.cpp index ee3b3b5db..652e7ecc7 100644 --- a/openfpga/src/utils/openfpga_physical_tile_utils.cpp +++ b/openfpga/src/utils/openfpga_physical_tile_utils.cpp @@ -207,7 +207,6 @@ int find_physical_tile_pin_index(t_physical_tile_type_ptr physical_tile, sub_tile_port.absolute_first_pin_index + (sub_tile.num_phy_pins / sub_tile.capacity.total()) * (tile_info.get_lsb() - sub_tile.capacity.low) + pin_info.get_lsb(); - VTR_LOG("Pin index: %lu\n", accumulated_pin_idx); return accumulated_pin_idx; } } From fe06c2f2b17641208b9923883e7215427a784a78 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 16:18:58 -0700 Subject: [PATCH 183/230] [core] code format --- .../src/annotation/append_clock_rr_graph.cpp | 24 +++++++++---------- openfpga/src/base/openfpga_pb_pin_fixup.cpp | 4 ++-- openfpga/src/fabric/build_device_module.cpp | 3 +-- openfpga/src/fabric/build_top_module.cpp | 3 +-- openfpga/src/fabric/build_top_module.h | 3 +-- ...top_module_child_fine_grained_instance.cpp | 3 +-- ...d_top_module_child_fine_grained_instance.h | 3 +-- .../build_top_module_child_tile_instance.cpp | 10 ++++---- .../build_top_module_child_tile_instance.h | 3 +-- .../fabric/build_top_module_connection.cpp | 6 ++--- .../src/fabric/build_top_module_connection.h | 3 +-- .../utils/openfpga_physical_tile_utils.cpp | 13 ++++++---- 12 files changed, 37 insertions(+), 41 deletions(-) diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index d54c018a6..d051634db 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -426,7 +426,8 @@ static void try_find_and_add_clock_track2ipin_node( if (grid_pin_idx == grid_type->num_pins) { continue; } - VTR_LOGV(verbose, "Found a valid pin (index=%d) in physical tile\n", grid_pin_idx); + VTR_LOGV(verbose, "Found a valid pin (index=%d) in physical tile\n", + grid_pin_idx); RRNodeId des_node = rr_graph_view.node_lookup().find_node( layer, grid_coord.x(), grid_coord.y(), IPIN, grid_pin_idx, pin_side); if (rr_graph_view.valid_node(des_node)) { @@ -473,9 +474,9 @@ static std::vector find_clock_track2ipin_node( if (chan_type == CHANX) { /* Get the clock IPINs at the BOTTOM side of adjacent grids [x][y+1] */ vtr::Point bot_grid_coord(chan_coord.x(), chan_coord.y() + 1); - try_find_and_add_clock_track2ipin_node(des_nodes, grids, rr_graph_view, - layer, bot_grid_coord, BOTTOM, - clk_ntwk, clk_tree, clk_pin, verbose); + try_find_and_add_clock_track2ipin_node( + des_nodes, grids, rr_graph_view, layer, bot_grid_coord, BOTTOM, clk_ntwk, + clk_tree, clk_pin, verbose); /* Get the clock IPINs at the TOP side of adjacent grids [x][y] */ vtr::Point top_grid_coord(chan_coord.x(), chan_coord.y()); @@ -486,15 +487,15 @@ static std::vector find_clock_track2ipin_node( VTR_ASSERT(chan_type == CHANY); /* Get the clock IPINs at the LEFT side of adjacent grids [x][y+1] */ vtr::Point left_grid_coord(chan_coord.x() + 1, chan_coord.y()); - try_find_and_add_clock_track2ipin_node(des_nodes, grids, rr_graph_view, - layer, left_grid_coord, LEFT, - clk_ntwk, clk_tree, clk_pin, verbose); + try_find_and_add_clock_track2ipin_node( + des_nodes, grids, rr_graph_view, layer, left_grid_coord, LEFT, clk_ntwk, + clk_tree, clk_pin, verbose); /* Get the clock IPINs at the RIGHT side of adjacent grids [x][y] */ vtr::Point right_grid_coord(chan_coord.x(), chan_coord.y()); - try_find_and_add_clock_track2ipin_node(des_nodes, grids, rr_graph_view, - layer, right_grid_coord, RIGHT, - clk_ntwk, clk_tree, clk_pin, verbose); + try_find_and_add_clock_track2ipin_node( + des_nodes, grids, rr_graph_view, layer, right_grid_coord, RIGHT, clk_ntwk, + clk_tree, clk_pin, verbose); } return des_nodes; @@ -816,8 +817,7 @@ static void add_rr_graph_clock_edges( *******************************************************************/ int append_clock_rr_graph(DeviceContext& vpr_device_ctx, RRClockSpatialLookup& clk_rr_lookup, - const ClockNetwork& clk_ntwk, - const bool& verbose) { + const ClockNetwork& clk_ntwk, const bool& verbose) { vtr::ScopedStartFinishTimer timer( "Appending programmable clock network to routing resource graph"); diff --git a/openfpga/src/base/openfpga_pb_pin_fixup.cpp b/openfpga/src/base/openfpga_pb_pin_fixup.cpp index e96e4d218..4d7e1cfd4 100644 --- a/openfpga/src/base/openfpga_pb_pin_fixup.cpp +++ b/openfpga/src/base/openfpga_pb_pin_fixup.cpp @@ -59,8 +59,8 @@ static void update_cluster_pin_with_post_routing_results( VTR_ASSERT(class_inf.type == RECEIVER); rr_node_type = IPIN; } - std::vector pin_sides = - find_physical_tile_pin_side(physical_tile, physical_pin, border_side, device_ctx.arch->perimeter_cb); + std::vector pin_sides = find_physical_tile_pin_side( + physical_tile, physical_pin, border_side, device_ctx.arch->perimeter_cb); /* As some grid has height/width offset, we may not have the pin on any side */ if (0 == pin_sides.size()) { diff --git a/openfpga/src/fabric/build_device_module.cpp b/openfpga/src/fabric/build_device_module.cpp index 3ffa869e8..d517002a7 100644 --- a/openfpga/src/fabric/build_device_module.cpp +++ b/openfpga/src/fabric/build_device_module.cpp @@ -143,8 +143,7 @@ int build_device_module_graph( sram_model, fabric_tile, name_module_using_index, frame_view, compress_routing, duplicate_grid_pin, fabric_key, generate_random_fabric_key, group_config_block, - vpr_device_ctx.arch->perimeter_cb, - verbose); + vpr_device_ctx.arch->perimeter_cb, verbose); if (CMD_EXEC_FATAL_ERROR == status) { return status; diff --git a/openfpga/src/fabric/build_top_module.cpp b/openfpga/src/fabric/build_top_module.cpp index 54fd54702..fc2831d95 100644 --- a/openfpga/src/fabric/build_top_module.cpp +++ b/openfpga/src/fabric/build_top_module.cpp @@ -58,8 +58,7 @@ int build_top_module( const bool& name_module_using_index, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, const bool& generate_random_fabric_key, - const bool& group_config_block, - const bool& perimeter_cb, + const bool& group_config_block, const bool& perimeter_cb, const bool& verbose) { vtr::ScopedStartFinishTimer timer("Build FPGA fabric module"); diff --git a/openfpga/src/fabric/build_top_module.h b/openfpga/src/fabric/build_top_module.h index 75c3649eb..4e560d4f3 100644 --- a/openfpga/src/fabric/build_top_module.h +++ b/openfpga/src/fabric/build_top_module.h @@ -45,8 +45,7 @@ int build_top_module( const bool& name_module_using_index, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, const bool& generate_random_fabric_key, - const bool& group_config_block, - const bool& perimeter_cb, + const bool& group_config_block, const bool& perimeter_cb, const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp index 7e3fcfa2f..914e384e1 100644 --- a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp +++ b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.cpp @@ -454,8 +454,7 @@ int build_top_module_fine_grained_child_instances( const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, - const bool& group_config_block, - const bool& perimeter_cb, + const bool& group_config_block, const bool& perimeter_cb, const bool& verbose) { int status = CMD_EXEC_SUCCESS; std::map> cb_instance_ids; diff --git a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h index 394f7a2df..b4d1677d8 100644 --- a/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h +++ b/openfpga/src/fabric/build_top_module_child_fine_grained_instance.h @@ -44,8 +44,7 @@ int build_top_module_fine_grained_child_instances( const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const bool& frame_view, const bool& compact_routing_hierarchy, const bool& duplicate_grid_pin, const FabricKey& fabric_key, - const bool& group_config_block, - const bool& perimeter_cb, + const bool& group_config_block, const bool& perimeter_cb, const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/fabric/build_top_module_child_tile_instance.cpp b/openfpga/src/fabric/build_top_module_child_tile_instance.cpp index bf12dabc6..05f1f9b51 100644 --- a/openfpga/src/fabric/build_top_module_child_tile_instance.cpp +++ b/openfpga/src/fabric/build_top_module_child_tile_instance.cpp @@ -1530,8 +1530,8 @@ static int build_top_module_global_net_from_tile_modules( status = build_top_module_global_net_for_given_tile_module( module_manager, top_module, top_module_port, tile_annotation, tile_global_port, tile_port, vpr_device_annotation, grids, layer, - vtr::Point(ix, iy), NUM_SIDES, tile_instance_ids, - fabric_tile, perimeter_cb); + vtr::Point(ix, iy), NUM_SIDES, tile_instance_ids, fabric_tile, + perimeter_cb); if (CMD_EXEC_FATAL_ERROR == status) { return status; } @@ -1600,7 +1600,8 @@ static int add_top_module_global_ports_from_tile_modules( const size_t& layer, const RRGraphView& rr_graph, const DeviceRRGSB& device_rr_gsb, const vtr::Matrix& tile_instance_ids, const FabricTile& fabric_tile, - const ClockNetwork& clk_ntwk, const RRClockSpatialLookup& rr_clock_lookup, const bool& perimeter_cb) { + const ClockNetwork& clk_ntwk, const RRClockSpatialLookup& rr_clock_lookup, + const bool& perimeter_cb) { int status = CMD_EXEC_SUCCESS; /* Add the global ports which are NOT yet added to the top-level module @@ -1905,8 +1906,7 @@ int build_top_module_tile_child_instances( const FabricTile& fabric_tile, const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const FabricKey& fabric_key, const bool& group_config_block, const bool& name_module_using_index, - const bool& perimeter_cb, - const bool& frame_view, const bool& verbose) { + const bool& perimeter_cb, const bool& frame_view, const bool& verbose) { int status = CMD_EXEC_SUCCESS; vtr::Matrix tile_instance_ids; status = add_top_module_tile_instances(module_manager, top_module, diff --git a/openfpga/src/fabric/build_top_module_child_tile_instance.h b/openfpga/src/fabric/build_top_module_child_tile_instance.h index 74a8aea63..05b44d017 100644 --- a/openfpga/src/fabric/build_top_module_child_tile_instance.h +++ b/openfpga/src/fabric/build_top_module_child_tile_instance.h @@ -44,8 +44,7 @@ int build_top_module_tile_child_instances( const FabricTile& fabric_tile, const ConfigProtocol& config_protocol, const CircuitModelId& sram_model, const FabricKey& fabric_key, const bool& group_config_block, const bool& name_module_using_index, - const bool& perimeter_cb, - const bool& frame_view, const bool& verbose); + const bool& perimeter_cb, const bool& frame_view, const bool& verbose); } /* end namespace openfpga */ diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index 46907a1bb..0a754f352 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -1171,7 +1171,8 @@ static int build_top_module_global_net_from_grid_modules( status = build_top_module_global_net_for_given_grid_module( module_manager, top_module, top_module_port, tile_annotation, tile_global_port, tile_port, vpr_device_annotation, grids, layer, - vtr::Point(ix, iy), NUM_SIDES, grid_instance_ids, perimeter_cb); + vtr::Point(ix, iy), NUM_SIDES, grid_instance_ids, + perimeter_cb); if (CMD_EXEC_FATAL_ERROR == status) { return status; } @@ -1319,8 +1320,7 @@ int add_top_module_global_ports_from_grid_modules( const DeviceRRGSB& device_rr_gsb, const std::map>& cb_instance_ids, const vtr::Matrix& grid_instance_ids, const ClockNetwork& clk_ntwk, - const RRClockSpatialLookup& rr_clock_lookup, - const bool& perimeter_cb) { + const RRClockSpatialLookup& rr_clock_lookup, const bool& perimeter_cb) { int status = CMD_EXEC_SUCCESS; /* Add the global ports which are NOT yet added to the top-level module diff --git a/openfpga/src/fabric/build_top_module_connection.h b/openfpga/src/fabric/build_top_module_connection.h index 08a642e07..1c04d0e25 100644 --- a/openfpga/src/fabric/build_top_module_connection.h +++ b/openfpga/src/fabric/build_top_module_connection.h @@ -42,8 +42,7 @@ int add_top_module_global_ports_from_grid_modules( const DeviceRRGSB& device_rr_gsb, const std::map>& cb_instance_ids, const vtr::Matrix& grid_instance_ids, const ClockNetwork& clk_ntwk, - const RRClockSpatialLookup& rr_clock_lookup, - const bool& perimeter_cb); + const RRClockSpatialLookup& rr_clock_lookup, const bool& perimeter_cb); void add_top_module_nets_prog_clock(ModuleManager& module_manager, const ModuleId& top_module, diff --git a/openfpga/src/utils/openfpga_physical_tile_utils.cpp b/openfpga/src/utils/openfpga_physical_tile_utils.cpp index 652e7ecc7..fd77cc072 100644 --- a/openfpga/src/utils/openfpga_physical_tile_utils.cpp +++ b/openfpga/src/utils/openfpga_physical_tile_utils.cpp @@ -40,9 +40,10 @@ std::vector find_physical_tile_pin_side( /* For regular grid, we should have pin only one side! * I/O grids: VPR creates the grid with duplicated pins on every side - * - In regular cases: the expected side (only used side) will be on the opposite to the border - * side! - * - When perimeter cb is on, the expected sides can be on any sides except the border side. But we only expect 1 side + * - In regular cases: the expected side (only used side) will be on the + * opposite to the border side! + * - When perimeter cb is on, the expected sides can be on any sides except + * the border side. But we only expect 1 side */ if (NUM_SIDES == border_side) { VTR_ASSERT(1 == pin_sides.size()); @@ -179,7 +180,8 @@ int find_physical_tile_pin_index(t_physical_tile_type_ptr physical_tile, } if (!sub_tile.capacity.is_in_range(tile_info.get_lsb())) { VTR_LOG_ERROR( - "Invalid pin name '%s' whose subtile index is out of range, expect [%lu, " + "Invalid pin name '%s' whose subtile index is out of range, expect " + "[%lu, " "%lu]\n", pin_name.c_str(), sub_tile.capacity.low, sub_tile.capacity.high); exit(1); @@ -205,7 +207,8 @@ int find_physical_tile_pin_index(t_physical_tile_type_ptr physical_tile, /* Reach here, we get the port we want, return the accumulated index */ size_t accumulated_pin_idx = sub_tile_port.absolute_first_pin_index + - (sub_tile.num_phy_pins / sub_tile.capacity.total()) * (tile_info.get_lsb() - sub_tile.capacity.low) + + (sub_tile.num_phy_pins / sub_tile.capacity.total()) * + (tile_info.get_lsb() - sub_tile.capacity.low) + pin_info.get_lsb(); return accumulated_pin_idx; } From ad053cddca71ad7c4dc03c47b259f82a277b8a1d Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 18:02:30 -0700 Subject: [PATCH 184/230] [test] code format --- ..._tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml index 83d977423..fe403bd62 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_PerimeterCb_ClkNtwk_registerable_io_40nm.xml @@ -49,7 +49,7 @@ io_top[0:1].outpad[0:0] - + io_top[2:3].outpad io_top[0:5].clk[0:0] io_top[4:5].outpad[0:0] io_top[0:5].inpad[0:0] @@ -68,7 +68,7 @@ io_right[0:0].outpad[0:0] io_right[0:2].inpad[0:0] io_right[0:2].clk[0:0] - + io_right[1:1].outpad[0:0] io_right[2:2].outpad[0:0] @@ -87,7 +87,7 @@ io_bottom[0:1].outpad[0:0] io_bottom[0:3].clk[0:0] - + io_bottom[2:2].outpad[0:0] io_bottom[0:3].inpad[0:0] io_bottom[3:3].outpad[0:0] @@ -106,7 +106,7 @@ io_left[0:1].outpad[0:0] io_left[0:3].inpad[0:0] io_left[0:3].clk[0:0] - + io_left[2:2].outpad[0:0] io_left[3:3].outpad[0:0] From c7d6c3ab6169329701395574c3c3ac5208d0b159 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 18:34:13 -0700 Subject: [PATCH 185/230] [arch] now all the outputs of I/O can only on 1 side --- ...k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml index e25a5a686..19caea0ac 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml @@ -67,8 +67,8 @@ - fpga_input[0:1].inpad - fpga_input[2:3].inpad + + fpga_input[0:3].inpad @@ -93,9 +93,9 @@ - fpga_input[0:1].inpad + fpga_input[0:3].inpad - fpga_input[2:3].inpad + @@ -122,8 +122,8 @@ - fpga_input[0:1].inpad - fpga_input[2:3].inpad + + fpga_input[0:3].inpad @@ -150,9 +150,9 @@ - fpga_input[0:1].inpad + fpga_input[0:3].inpad - fpga_input[2:3].inpad + From 03c1c6f9173e1bfd54be7b76582b8a991c505898 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 18:35:23 -0700 Subject: [PATCH 186/230] [test] code format --- .../k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml index 19caea0ac..142784a73 100644 --- a/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_N4_tileable_IoSubtile_PerimeterCb_40nm.xml @@ -67,7 +67,7 @@ - + fpga_input[0:3].inpad @@ -95,7 +95,7 @@ fpga_input[0:3].inpad - + @@ -122,7 +122,7 @@ - + fpga_input[0:3].inpad @@ -152,7 +152,7 @@ fpga_input[0:3].inpad - + From 8a5c33b1d642cd569c58084f9118e1637960bbf1 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 19:01:16 -0700 Subject: [PATCH 187/230] [doc] new option for perimeter cb --- .../manual/arch_lang/addon_vpr_syntax.rst | 17 +++++++++++++++++ .../manual/arch_lang/figures/perimeter_cb.png | Bin 0 -> 5525581 bytes 2 files changed, 17 insertions(+) create mode 100644 docs/source/manual/arch_lang/figures/perimeter_cb.png diff --git a/docs/source/manual/arch_lang/addon_vpr_syntax.rst b/docs/source/manual/arch_lang/addon_vpr_syntax.rst index b2c88992c..95a8eec64 100644 --- a/docs/source/manual/arch_lang/addon_vpr_syntax.rst +++ b/docs/source/manual/arch_lang/addon_vpr_syntax.rst @@ -88,6 +88,23 @@ Layout .. warning:: Do NOT enable ``shrink_boundary`` if you are not using the tileable routing resource graph generator! +.. option:: perimeter_cb="" + + Allow connection blocks to appear around the perimeter programmable block (mainly I/Os). This is designed to enhance routability of I/Os on perimeter. Also strongly recommended when programmable clock network is required to touch clock pins on I/Os. As illustrated in :numref:`fig_perimeter_cb`, routing tracks can access three sides of each I/O when perimeter connection blocks are created. + By default, it is ``false``. + +.. warning:: When enabled, please only place outputs at one side of I/Os. For example, outputs of an I/O on the top side can only occur on the bottom side of the I/O tile. Otherwise, routability loss may be expected, leading to some pins cannot be reachable. Enable the ``opin2all_sides`` to recover routability loss. + + .. _fig_perimeter_cb: + + .. figure:: ./figures/perimeter_cb.png + :width: 100% + :alt: Impact of perimeter_cb + + Impact on routing architecture when perimeter connection blocks are : (a) disabled; (b) enabled. + + .. warning:: Do NOT enable ``perimeter_cb`` if you are not using the tileable routing resource graph generator! + .. option:: opin2all_sides="" Allow each output pin of a programmable block to drive the routing tracks on all the sides of its adjacent switch block (see an illustrative example in :numref:`fig_opin2all_sides`). This can improve the routability of an FPGA fabric with an increase in the sizes of routing multiplexers in each switch block. diff --git a/docs/source/manual/arch_lang/figures/perimeter_cb.png b/docs/source/manual/arch_lang/figures/perimeter_cb.png new file mode 100644 index 0000000000000000000000000000000000000000..e3a65833866e5c744504e5d357e786b8250578c1 GIT binary patch literal 5525581 zcmeEu=U-FXwl)G%M2esyh9X@A1x0D0sDOY7sO&8u(xe0>G(!hwGsaBhLt{P8Qx{J$ zFfec$=<7UYVBiA&*lx420G})t=)MG=PQV`P-DN1k3N8U}m?3wJ?l3Tv#&J;XP6F@Q zJ@hSL3=B6~j{i9 zj1;|py2$yDo9x+L&Nm~Su78{k=D3{AcIwJ{O%Mm;shUfdvo2?S=UQLwJKe58QJ^pq zZKlS&KS5o>UgXwCjWoM!N{38X{h7b+v#vqR{3~$znp7yyT{h8wd-$HJF#d!mv|8@p zKKuKW?{5^wr4nwNOaIUFdG1D>W@~pndFOTS|9L=+J=9z5|GL(H2KgWB{$K0(pXK_$ zR^>m|^}oIJKhF4{u<}2m>iphp37x45zSQ9Oe;rVYXKqtFb9~l`!SQjZtXWZofT9zwBa+XxEtFm9 z#ZL*8y5F|XO!I-D-$EJ$+WGF>6H7}_W-D}MxNvxKvdKzKO|8wn?;;4amf<_uy-0O$ z*b2LK^QO6rOF^M!HP#86UQ5GaL;|TAn8S@c$zzHMMx;T-?xAAfimRwpN)x2*Rm1Zxr3um@lH@ruMN zGBTwp*8X2DF`8Q)d{rJZcV*?}ebw&NxkX^A)A()RrDH^!65Alx$p$~l!CRgHsc5@s z{vDS?Wtw{9h92f*7kd{+7pKV~j#r3JK>C7wT>xDbwotPbdp)gGU~F$3Hc(4ASWA*I ztvc$j*4Yp>rXuW2O9F5V&>&XOnXAfLnU9gbdS^SMGBWTMwVp_*;iE@`d#~E7y!Xwa z3(LO?GB|g=K7&Xnp^8Kd7DnGWFg-jdrBw(l$P_p3eMEu0yyd1mS`3fas8_x` zhv<#`dV~w4d1c)%lt&B|I`eN9*Lsf@E`r1vYwZ33-fUr7qf8w&(dMMJO0~IE9qM-$ z2iv(+rWwWpk7#RTLR|HuoO`v*I@}<_D11Zmqr}6fPhWCtlC!`^+{jHP-`E|}(N4Y= zV&p(CPS_Qs?B<16A3ltV$EiPW zKInH}4cDT60w1-qiUe#-X}8*bV-t}W zF_`8iL5vsN8k?dIbEz$6Wn>|Ff<%5$e!U_L7vZlWZiKaw}rn02YNlDtk@hacY(V!c)8u1X+D(S z#YjhufIY9~`HfHE!pot7MAILlH0$iyji~MR_4v#Dh%81Wv8QfG_0GKar(dsCj^N|< zglikeOYQ(3ECLU2q_m6BZ3i?d-^v}6#`=|~R-uAZ_NMh}$p?&)0l0@IkUG04gHS;w zXsQrmXArERMS5R8pgE=y9jwa0{-Jup2ZajoBAPE)#AzOWG5o&kl?QU&0E32P^3^tRHNZyv}Xe4K)$tnmjlc^&jYH0^1WeP8!$vsa%;z!P?O_s6_OU5Xo@y_)Kk zZ*I^KRj^X#RRk<0A$K!l11`M>U)tU{qHp-Lp5(8o_Dc|?v@mhk%!Sqfqe*KW7^Fa3ueoX8;$$18a8zbT0-itO9Cvv9OHb)`lVF+v!`dSa)|1P+0ouj zg;ZX1xj&psZn6MxPhUAwZSoqPq3^4wN7S5f2|Q9gT2O2v%*4UFd!zg*s8u!o}S?ce1wm0WHHV}T( z1)K9{uf{p6c79;>C%@*J58BXbRIdrNG0ynFit!74SfLJAJ)p!Uhs)Pa$G-`mwhU#yMh7^!KSLdD0WPtn>U>6Ba`(YYh5XNhkiGLD5U)u@-|>5 z77E7XEpY^OB28l%N{zwi>};iYiXo`|)rGNmIW272(eb&!(d>pgm$)p&fY8{by@-LF z`7>AZ;bSk?Rlio@k0uAa$skwO30LJsLaz+oZMH`opLrvQl#?RQ-7}C8S@w~etU zFj&1y99-4gDekhojWM`f@4dC~q_F4IAh1{!(zutJ`_20>A^O_b37s(`e?Q=%LfvQB z?Pss}%j%)AcSq?1H{RCm?Z+55xw4Du@B-24pX@n;G5F*PZGUQGlDXSyyBM+NvbW|Q zI3~&FZ$~-`JlYQ&3#5$%)>glWhkr+%quou>Rpu~~my4i1Pw8Bp@SSpPCU?rOf~Ls# zs<9>s!ck7=M;k=HnxHk0(=7edqAwCdHJVi**G2L{Q>7}>kLEl0OuRt5U~scM|KvN} zSK_h4J!_>HVOXRr-JJJ~8aTOHbW7<5@1DnHuRN5zsUS~-=+a!G$@<=bAMBj_^S03z zO92D?!PF6L3TJ;NP>vmJX6^UcP;=Ax6V{6<{eDB|&PX%eJB7IK-DUapHG$v#RA=ed zFH@7+IelL9V}~j4wKq_cC{50d`64d+7w9g6i3@~((2S&2q0u_yH6)S{d&V!0H33Xt z#fzbF%iF3>5X^Si^@KYM{iy1R)?Xjon)*F9#z|PLNgGy+zQvs~y*wZvic_?MH_jy( zK1lLRM}8^znxg<&%y>bmRij}yxz1O)e81U0Ty0-Sx9WF2X%(KAV`UG+}Z(R83 zQm3x9yD)GBIP*`9FAU)CEPTB8)5^CrTno$XUoc6hdjtotoq$zgz3hd1e0LJh$v&y^ zpP}~2`uZRj@*6}G{qp}2ds(6Ta>3ipo_W5F3gl_Gy&8pPuwkLI3tx%k7Q)@uhfkOFUMmk+uf=pq zylJK?HxmPptb&*=IQAey-UB(@opUed)yVv(r;970P0V5!T50H zSAj_}^4#$INvv({?d0hGMD@j$<$_|LE%6><5k`R~#^e0FYxzRCk;RlOrXPTbR)*`8$ zHmk0cB7yZ~zoVP2MqhSKsaW>6$JW~y(8w#Ux6nDD<|80sd>Ke5p{-Ug*3YeR1(s?j zvHGx6m~pbJ=jU5DN+Icdl&}l}>5E32@N@pbRtja16BJa~;$#cX-eVgJj%nqxhxs@a z2qf<7SoZHu6&H408{#6<-tt>7E~u#|C6HcC3F=wq^bfi@hg9em5%z6>LyC z;%*kURgWlQO)XHw5J_0*ErL6w3Ln=TZG)ulR$W9i6gpAY`kp9`K&W#~7fb}q1Z)H# z0`3C70)YbI0&xN<0%(E!oV^Io^zG^W=nW**ah!OVJ`$HdDf~&^-(IVRd!4nujb;Za zQGS2ZG6u0%b+Nu5l4Jy_QhTbDhJR_Jlrj2J6M`0UB#b0cSwt9DjP&2!6Z1kZ5fvA>>TJCBxwS}>F^cFqLD-e&3lLFTgaG-zYNvc~QP*`6=3HeWp4Fqa@~ zwhjg>hQU@>sdDL_Jtgn=>O5D0SkP+1@K#roV>x3fjOkq`1&iHiCQwx>J=CUuwZ(@r zaS(g4v7Mf}(=5_Ye7)Ldf|%I!LbSAEql>U{d?$$l#%I&jR36m9n(D<$z+Z z1n;K-Y^XIp*iB`}qr{tN9_+4S;PGg zfvPk`h#IS2;NIC1Rs{^SIS!1f$=n%Ft>R{TC&DY2D|QHe4YhTQrm8y7uh<6Yc< zX(uj{XE8!uE{vio@j%GNV6kzgA3ftpH?TYF6JwH(kkd>eCE~FvZK_3{RfO>_y9`6S z9D!I5Ixk#wGYIi{m2J<<#|C$Hm3NQb^7g1LNKICm{(PV9fh~v66Ss7cv0y}J@}vB| z@Dk4nRe;L0azHOv-85ESPT2UuuXOjPQ(XXZJ>wFaYnb0D(zyi|;G|j>_luDAL7|D% zUY@?iW$LfAqgYb8C*MTs)S1in#`8pXD@p-8?Dxi zfwZ^3etnq-b>5=AJh@Y=l_|Z6l(A96x&6)1La*#h0xMbY^>h#x(pqbwNK&{eoa?>W z%39AE20ibfDBI0%bNDl5yj^1J`t*k5)xf<`$QXY!Sr@#JYCoQ5V0#sge~~}R-?Vvh zpFv`HrsZSG(Zas^W+aYd#e8FG28Io{i6jy14*?dtUexQPuXI0~8|2}qa@MiEopBK5 zeSKx~nAje(52EbhX1ErVRnX&&EQorQtb^0(4JqWI_d_~@Hi?@hlER^Hn@<4l;-zH2 z%_ArLGD1FRWx7|)05*l#_vS9?@NIE2RDxszKv4zoO&q{Omv{wgwBT3NUq@32pA5+` zvY-ePcX4+5>+fe#enF{>+$OUm#Q}9#zzs2!$ri$seoT+8;y9DWmARu2w^N!|m8iUN zw@s)wDny09cjOsvnB=%_l}O=F(dDS;E6%}RByn(;v{i>q1((?oq+K14X#2iM_Ko&S zXTq!6m?R@(9w~P)(f6vGRjrVF&p zbcmVz(Z&%?i$dE7+@NaQJ{`*X^$}8iF@8Wb8Rl^f+g)4BGSW8CQK>4;?U1A4bjndz zWQ+TyJxqS>0T9>k2quNoZy*(76*3vFzYxyCsPwkGj=tyWkcrjUGvB9_W7T>O-Ubhc`F5%cxn5Lf=290mmYQlm$fF>5-? z$RqiqZF#qJ8kMN!eypsFXR@@=ZNo2f3+qH97+246m*=UM*y9wtY*s-&v0ME0;Tq4W zoj}+xJt2|F6VfGYr&XGRGhMx+#2gUX*tz~Zu(TbQo-w-Y4kv&n%6>ls3H^{Xwd~h` zZQQnaCwc9Pu|@QU8Vy18FV~k!iQl>hnYZb&q!$_w;m=| z{s~z*k#I3*<;3b4*sFyFAlXkHQ^Y{h7yF)&6lT8^YOdE1#y14=;Q$8?1QZ&wk7fU) zjC+A!iPkZIe5}0?yHHu3Q2crZ~{nzlOPcuy%N0< zO^V)+raVKFZe(Wj@0|eO@v~Jf5gBLQ3%zw|KRly#^7gMMeTfC`zAfhPw6mcChQYd)6*!@&gZbet+T|Qp7hC zE8$Pyhe3UH>OM=1)H%MQ7A;m45CgR^K6*Dav}TKgOl5``qIkpvru#76M(Fj}!d-ja z!wWw@INYcL40cZhquQE$%vrpReHfJ_lFu-=4jPrDFx?`U@mym};XdjgF=<8T? zNKA%AJs?wAufvo$wr%er2c$H4Sx0Yv2MLT$f7cGj$-SGJ(-t{=KW09lmSB|GU=;#` zFPoxYfoPkwlBEwZk#KtL00JusFBx_il4XAnk3D)D6F~MicnDtpk~2<(aybyh-z#)W zJ<^1fUqz_|^_NkV(d8%JGaC+NN1OE!WD9Qe>60&BQVS)!l)6B?Ycktu9a5W{h>b%Zd@j7a0-r< zvQv7z|3EIaOp@1iO6&^R#a{Jx=9j{8qN9{jz! zP1X4}sh2%TRkNS0bJ`Fm0>8?_qsSL%sy_$%A#jZQ7uha0YAqlJ>{vMfOPAyNvR4BOsd;*?79UW>J{m=IlN^5Z$Jr3fYDj zGE+(ROjd&-3x2|m-V~Z>Nw;=tx)wCGMOm*pw{u$r7-#3v&hAvc2>dIVU3o^WYXpnNbto=!pr2oL5o~-zFTHSKO|SlWfx)Fqcis-<+dOMZO%Al8alGyK z1A{q-hFMtGt>=5cLh=;wW-S8HVwF-_VkR=n_@=vNp$%= z2ci4*c1?3@XGxdw;I-6)b0j%U$fWL3R`V>_&bo`(H?){|%u%LccAA)m*z2M64tSiO z$h=PQ^}k6|1nX|p|a|EkNBAF0`KWd=D{KALw*eVq3anRK(oy`;{b4-0AX88(-I=x zqG@T%3uApniwi{SiQiX;{K7_J>=h-)>K@6bht%GFme!0`E&rQ=#r>|;hxVh*Wo%_7!Myc4k#Qspt(U^`dXE8~3 zcet^b!_D}g?qQNaozjnu(VB>WyM{O86RMLo7g#ijaHx+%jG@?PlV^6O_P}}4Mep^y zogi>w=>1(3q_Jc)rEDuQsllq)bBI*g8bTX#di$xYLWjp#}-|IZWNF`kpq}jKZ-z(J)AfCiwqO6G?a4%=A{vFXZ%UQm5qOZJ*0%eFMu#DaiZuh^E20 z(>+<>$Rr6XFUJCKz~Y@}VvfF0Z%AYkP7PDqIi*Qu3l0E_mkG!iCJ7D!lY#K)E@-^sgt0 z`T~%GoJiS<6)($v;!c zJv_Y-2Auor93q9X2B_)w?1w|{7s6{yxJR}KY;A!7WTEDbb#kxe0+notvVV}pxjn41 zz}O%f)12g4^wve3-aIJoFY;(cm`2-{y47_T|6UqFjak2Lr+FI;%(!W*3uM(it1j59 zee=gq%8SF&rp#Ja-Vg9HP{*hox2HnaauNO%udD2iX_tAIO&54Fg4k^0&N^7jL9!i| z7hGBT*7qZg-iIJM#Uu%55y}3xlP#}1 zkC#mIdcuuGW3kU7#f=Kb$agyZu985Dpet}z2!o(?Yy2)r_lg)EwJhPfT# zJVv=Y-0sg~E&$Iy@BETXayyU*;mX&qt;)qG+~Pi_1Ur&l+-UvohPYSR6FO^VzL8Ro ze}*OL%Y^Flw&EoIMFtqmCB!pYXD*cB zCKV`0;x%r)2ZGq}Os>>s?}7@WhDznAbE>Q;Id}-&%*>m8$f%TC{)zx|cQ1S7_A5$+ zB!C`Tigk-7K5JH_O%}TeqB$mNx{4ONynlA*yQMc5ykZ))IA#?NcmLU*6QR?l7RTw| z&>F(&3ssZ211vqry?N)ed~L3Ql1idO`^N+cUM0=4+7B_&nx5}tT7a7C(YjJ#S$sT< zpePy?>%gTnTN){1!-YbR>h#>AjfI;Bl+`Bm*F(=s&cqvKeltvwvnWH5G~7QrBr3fJ z0{9;WZ4xNkp#DsNOOR~k&^#EF1Uy)hXrFj>#Z~D+!jHDDc2g6L->yB58OAplQ4WKN z{eLaShy>?q9Qj<9hkpDF#eLU*e#t%GpAT-Eb>7rbNPd^0;u>*zaVnq_^$F zu4Go$owiaUklg>BoYS-w<6aYLGQ8dJyW9PulcKDF&$vi88*)eiWiy)EPlSfe$JoNL zW8d`UPAYLzaygaWA1Zs5jiz$u{9@{UM{cRPhDvM(3gBP_t}i<7l*TC40CYD{$%mrM zGFXK>d;hrvYQ-Qrr*PUV=NXO+e!Xd1{piBclOsCK%2ISIm=jZRPN}^t4V7yMf8{&r zmtO#)K8N1aU{fYAU^sP5Wof0{RD??`4m`~DZ z@n5nA{+f1uHd=r2d#|@{7~DuTd9HgQE}ID9D?N&B>d$jNyoA~A`nb5TvvWh+Op_=N z`aC-RK6kwIg53^(=ww3z~=-} zoP$ZAq-WS1t5?et#cLiSlTS>gm#P3GE&`~4fM*rn{o_#e$Ml)Sur#fQ;y zNIj(sP?5;#NMhnifpF?rF`(ofR=9v{ zEOdI1B}5KC`Ff@S$KMlDbq|%ZHpucKs=@%D=gwMTfxosEws!}0&jzpJnnB50qcDqT z?ngdMYdLs%(r zo`mgzg!uAJAPrebqfFaf+>x|_RHS{euHg1!JY-<^jGmt;{f&FJAlo-}K}&x-s)%n< ze9%4z#($__0PFMBSmga3L+99WhqAH1(`4t2%mhW^UoPZJ_`DMCI?LNl=A81q5%MBIRBd(5NAvJzAmR9d~%S8w(di)hC}MM(YWAITfV2JhC3=C&)Oq9soG@ zI60cLLbuBiuRyp-xaBxN?pHAuIA$>U848n%>!#J|DO*WCApnKRl6U2zv?6}6B6vl8 zx}=lux!!_3S>>A#Z`@{220(Sk&Zrmf<2(AYCl9!t{vj~aWIzW?xKx`D;2avGkJ8ExY0on|RWJ=v2mJQun)ntRqm}!HQj4#&!-g-*u0&nQ|&(k;v6K9|&y?iwKhJEJUgU$()CW#5B4w{sW*hHQD$t)P&yNX~AEypzI7@+YgCG zO4D5h1_5@UzaNMYHu)-{FvU_rMDi}-#V0M1VfXY*wN{C#1c)g5x|(LIBCdx z!OnY+u>)Z)@JG*5C{kZJ5x9o+L`ABT`3^+8!33M-8qd=y<(p8C+q{2Jaw55^jpgBF z<|3aA&B?sy)!g^^F=gZO*5nwBMCCo;l_C!fqxVufgtu4S&= zWw6pNLAs zL;TyO_S89wyqZp&Hjr|X4G}|ORM4lzqeqQA8?p;i73_Gf-4;N_Q>9TW9GmP{?MaGj zVO3Zb*DlG}nZsXJJ1W?2+AEu#0O}7x!zt!vxxe_2IN>HXj@q|S6{?veuisBDaO<q0bD_oT-0xZ`O6&nikV)Dmc9?GuOD_RO6j;T$#XEV6!B1)k0H_q6Lu#b$^ zeGM}OtfHCrPJ;7jSiVa%LGd#8s`*XTkn3-*3)Sm^9jPtCKee0-Bw`e-f3TFIagXqt zKEI_Qw(h0%6#=Uy0GsN0GNcE{WVKQw_gKBUe?5T>jDsJZ*Yy1S_ozYDzbig`DU^yY zQ?xW<Q5 zfh`@CZ{pc0{zc6%j3LvwEb%yfi@XKs=g*)upar^Io9p1kK6H0Kr0Uh$tvzgy5Vr=y z!v43~)R4{L7VI!n!&SvP;TGZRCQfd~8i%_KggaguE#sN0A_yR_$e{mV;yta(y*BVS zC37zTLNYInkCYj@fsiHE>!;hCR<{XByvpf=Hnv^?}-doXvV+t#r=(f zMhP;+Jh>qtodP&Mps;vWeH=i{e&&zu44>wc+4^(3eA;|Cf-b7wmr`O{0a|#Z8K1Bf z=K0<;$S(z8eZFlC(FX$dpMjSnZLj;x+ZwRak8e!bf6E0>u%Y#aseW#*k%vizV}jR* zWA1mVHC6Us-0zaPATNV$P+wch$s4f>f`W3-Jw5UO!jjy6)9e4Kxlcaj2XhYI#Yj3&wq)*xKv| ze#qL?d0Kgid*~`e^nYa@px!(EH%LGD@BU$ZbCOK_AV zJX|3u)6BcLy%w$pLDh-oUfUya%bgdrX=2f;x6fukdFGYgTuAY{zeAm}6*2h}ek=J+~7S8X%Y6b zJ<+gN#f$y8I~0QX;l=p`Yo}x0sX=>7$t(1Ln>mIdqr0O)J9lC~ZzvY!m_1AL%TSfx z#WrR!&EG}MPQ}CoX}TI!d4uR}e+27V1|&A_9X1Q!jaNUQKIqv1MMRSy>VAwNy5uvE=@KpWkc2vf= z7l~6TVDGf=Hwdbd#on^lhyCV##2L70Rc%L}QQ*(8DUU+1vYO67Ojg0j0iCi@<=D%w z+L*0Qs{kw^<9_ZRVvdjbWWLJwWO@$74S+#`kwVv%e0+gIrr^jZd1Z}@7BhEtHXuoo z)-J6m3RGrdr*5!jtHWd(47lyq4e#>$E9%|O-VY()(7s@bE7lpyddAb00~8yY;Fh0+ z6t%MYfJFL5Kp#~E32uH#-puhEif zWa8Ts>!Iy^IZ5^EMmJ z?dF5h!hW}U({{(I#~w+KJ-+Vc4E?L}pcq>TUKjlBYDo(&|NXT(KLFT8@j<`4CR^ve zLk>IW zO5U6q%eZwg9Mh?4vJXDquQ6m`@ry61(<0tv!UH+|9=`eMx(|K{QRurNzWP@|aYbho zzlEV;0Y#XoGp<@D^Gjf)YfGEqgdTtFDW&t%sqF^w?h_tu)2G`H^jcVmr@Ms@e#Pu{ zR4(PKbWGOPvfKTWVJA6h@No@RO$l zRv*%4(qH`UU&Rh`3JXW^;HSY&KWBMmS5{mK8=fbAfR5XC zO0G;y#ekwFB_$=lZroQs$9nk3Q4p2B4P`YWzjN6j{OAE)pG<=yqTwFk;assu3-`(Z<~&tdJE zBcb`(4V3+o_C!X#*ApkItDBowRh~wP1@19jNy(MHYkNCu+{dk)?5pc&p@4Qmn=Ipc zdivL4?nBua(XqIzSIc_->i=r5jXDsvyvXL0wDI(*!2`)!*PJ04pot^+OL$e@zx$J( z!os`U|8Ck#lQ81(vi;O^R|LZtUZ2!5_+k57b51du=91G|8>pI0NZjPvaYcQt!DZ z-~ri9rV2VA~K0NyhiB`E2Aj$>mQu?O5A_6 zq;cWSts0Kg91Snaw`5{J-WoDi-(rUJvMrnzxY2Pk;*v<6A4H49!+3`ooQhtp-)LHA z-(@yeuN?+jQhp4NPLCGbXz?$KGUx>4WcY1=MsW*oy*a&*-lvg9JlOktH~*m3zqi00 zB^{__v;gmE5otVsZuV`Q|n(57*Kj$dzGst)5OPbpGq|^ zCmv2uUYrz%$KGUZ9;>?A&YXK&Waky;>3;8NJU-Zd@KdCVa$TTVlG*6;pCH%HGVdy{ zt&5gb4l#{Y@Qg;9Q)Ho)m0c(3<+t1~!?deUkKAM?AG$~eLCwuv3noV$ii^!f1nVcC zG&Oc3s$bZLd`SLPAJ|N(`BnNo%=^#v{o-PrZEs4Ecks2PSxxS_m=Pu1vTx7$ty;i( zX$Os1mnR>_K(gEx%lBF}!0XRaZ(r?_x8P z&;ITd1mR|;&9Ti+zZa%)&wVG7t|E#xc74uU(y*4FtB|ga%Ys23G+%T;c%ik(;?^(- z6kIMLg z&P-|$d~ty(s8d%`$zhX?vfRW9FxX8=iX*z5abm$dVDW1&q;^J~PzViJt4xtq&U}8q zEZbL#^2V*G8iV;LiRIgsJuKqDPpdNa=ZVGC^6a0pw zRmG&qE2oez*WQ%5?#!wk*Zr3Mc6EinL9bR=>Ew3a1222)2b*DjQOC7z9Vup5WU%P* z4rHJg^87vtOB5-!+#)TjLsPe=5_b$l0+zXUI5(7Y1ZN|Lq6Sowm6`$Y zD!M~?2R0KNua5ieuVty0@j+mJ#y%K46w{oREYKZeSzVdH*cSL%voB(AnwppuSMN_%^N?fi5wy{`m*GwQ*pqBMlDox&1 zKeB;)1}Z-(7rt=)dFU~s3%C?~IF8k#Ix}aYYsF;#@dF1DjEoQ#_8_?qi_PuitOF7; zd}={Co;RE==!uv8U%{r%z#creAnw{>PGU^Bu4a@MnkOP8(w03|U^A%u8GD^KwDZiU z9Y{HOR47MkY$p7f?bH#ve3eRat1??CEE!e`ju9IEmF}PIRwVJ%P34w;XshVG+L@Ae z)sX)JLCavbCXJbrS=Y1%$Fmb2nWZOAvYy>k2CV+?`-T~po z0M1xFs_VFdmPG$`4q%1=0vcdyOqv zVb8_4J|nKCP}3K+6ybpMfQiRgB;$EzB>YW87Nht5H=T*{6V5BlSJ;ZOKzFzovJ3$F zt#QU#;!CJop9cFMYxfoI9cPhmjTBNYUpW4u0H6~2(d0O?&A}ueOKHXPTGd>0G_L;? z4Nm-GlMm+gjm3seQRdhV6ezZ=m43Huz4lbDFoeaUj%J za$PV3XgirJOel2iA0A!@)Dd3lHI*>J(=in!B;gRTK#UU}A&AF=r8=bP-xUXRVV<*2Ie|A(5L7sznv1HlmmhJo;g}vZ?rhBap+Lk zHzfnUq9VggnCh{3~A%o!zB;!PK)UJ#&mCH)o=Iw8#4TI>5#@a4SWor zzc}DR^)3ZK`^o*>J|i(n?-uW`;01vtf_GhR9L>sLd@F-}gw+eukcEDzlr(?N?Agr1 zm!#{YR|yrbWGW_rp9iRK!cyffn)O1`wubd*<8U9p6s{!qpK=|qcXcB2Y8+wbCy0~3 z?ZH)LdXXCPYgOABy!GB4<$Egxx5`YdnqhyMvvZHs^K!=e3lQ{d$7tKM%IXAr&>Gco zV=Ut;?avMHYKOSnC>^Cj>GK}nn&9!}l2}mp{0aWC1kq~1a&gSXL843fGY$I@vIQ3c zkA$OtTNSe=>09LfS7ONa$b;FwNdMG-{Zhmm+jv#m7KZ9O46GOdNcxN*hg|kCM3Ll= zAu5C^?5#rG3{Q)NcFTDp>)cc2bY)@2CY1nB>uH6yuWtz5;1&xn3;jw zXx`6L0gLpM)t$t3j}wgrEDy>$Im|2#T@ooxUuCKdP9>q-O)8WHFRA!?DrH<)?=@`WpPR(lKTC{K7L!cPA`#=bkA>c0QKP^gS3vJ;Z5sLrt^ zGDA^hla-uf?-`0@WhHwgl)a9NCJ1ovg{eI7y$HN}doM+5mu zL-2EDYVK#oK0NLsd4*7vrBZbdqldndzRb39NBcSJ<9=@&TODBt(a&2mM$642oh8r{KxUc37jw@0 z5BJ)%Dy|IgYWqhtE2gG)cJY1zq;Ibj6#>+|4%J= z+x4gqUzoElJ@VVJnyV-()c&9$DS30w#|HgO#lo^GzwQH%qZ+0A{sj0;5F?wb)bWhwAwyIeN;R!p1n+IdY4r_cY!`n5))#BNm@hgIm*l&k% zb{jW@r*<$)EdlK4qIBxPwJFZUh>On*F|SV40!vf zMR_nkR$gQ9wZvLH2KUwWTLldl#|U)`J}ZnAnWl*vyYD9~BFXmf%w^CqCv&1jCI{UK zP(k$g*>Cqp766;fpQKux>YOL!bgvn^Tfr#|(b;wrCKcN`ri-sXokc5?Rd(@|Sru`A zJWg9Z%Ux~xvgMGD!`)Tonz6|^#svtZW`Zd=-k=H}T{GTh8w4V@(1PK?9(6tEdY(PZ zuvdIlt&)hz`(bgHm`M0nD=hfYKl6_eZdj1k!ctOjM3Zrbs^E%th5X;>yT27}XlR(n z9f!qd>ZER+({M3KLbO?q`?FrbfwYlX+d%x8INM1&a-$nVOnf-q&u-b26v0jQN_AQ@p!Af~c^JH^b+39?D_-`8uf7!Z)aV>`cWf zdS(&rrCd%}rF-}4&T@gAAhqN0=-w>fc==46E>uUnHK)eqD@ir1VBf>EJo)(QGWX6l z$$%Kc&;pn1&*~`f5vI|S+nv_O9S^5bul=Y|6CpeW71D|&*f^UvhXYZb7J562Axj_Y zJBtk@k%ZWqmmxt~vpE+%gBQQoZ+8}28|slPo97HWFBsABJEhXqcsihx>rhA5P!D}a zH}53e#~h5z4!&-BBb2|wKVsImeVnnI%)PrAQ>2aI$~ixqP$VTkod}vE^lbMh9hD!> zGx0Oijn%o`{Vxcuw-Lf`Smj|Hp3Yb6K9RK-n&*6k;qxXK)#oHIqO+nQ8(-5UT_Xz2 z9@9mhUq!6NaHgStnx_qN*C#sK)W4srGgXEii!Gy;yt%9H?C4wtjouFny4|>-vY7{_ zGfuMbI7M`{$lfTY7q`wL%=)-y_$8sow$c7#I%yG;$4Zn#_nDHi&fTN#{M-h{L~iFB zdFvC%wh|d+<@4 zu&FrcfoVVNqimVI);yYxjSfB;6O^*f;AnWM6W=g8Ir!3P7ka2&ArvlEkEp#=>)Q)xY%4Cu5d_|nf)c8 zSWY-RCT1@w8*UE)=8LAY3rRjpddE|eTc3Pf2;A41IkDPH)wy4CLPW*1zS+~!X~t*k z6Hx$>bx7cZAyY!UCs<1c{RHUN9=d4(eK5Hz1ovlH1w(%jzRFL(ZQ4;l zsU*-nI0)Q}nGmDz@6^s&QtFLl&*%_{Z&vS%XQ!9IoX?#GK;7`G5_l^ZR;O2G`1{>YCE_J$m~> zVeI$hPSo0Ga~~C@V*EnVD1vbRMS=dTl!9JBY}o7%&1@HV?$?SBnx$_SPjOPc!G7yU z*%~RNfNUD>7p5F^mm(>ZMY^Xu;ukzV;l73gp!-f5VT)^Qhu#on9b4WF8IK7#ZMjU- zPuO{TykDh~SvwZ;UF1^LjoZ`rJUR%+BDx)Rlyma& zw<~I^*Y!M$e5!4e8MfCK;zWfwi_GR6Zf1IOA8x*2Pp21eaXFln-#7l#+EB#3=lsKJ;PnKB?Cm#} z-`Iza3*Myy9MA5IlN*FCHoy6F>!!eI5do>a?Ufa(MlPgADP@wu9|I$y3}=AdO2l<1 zsXSIarMV0XfyX>G&tJI%OPEzTh#b1OH56zHyvMc-lR@*osy?jBabmN+x0z-x1Yi2@ zcQO`UJLT7Nc9>{>RI1_hnS&r<8PNB#(@P07yXg})G5TxZAR77&u}5C`@g%D9se#nq zB(S5pRZrkc6=WBi0@q?+h|ooaf+NxW!zAj-&tu@!iXfqPD!Uvt>CmI{q_U7nOL^3< zmb!eYYI}sIwsxrYk3kRx{slPXDAtF~QO*31? zrNEP%Hv`Hx+jGAE+3PB$PAg4>Kd~p2RS+?&vVw zM}{$+ph89XE5HsUb5SgY)6)-283*EbzGphL*XC~(GMb`Bjy+zvuP?|9I?r4v=G(5+ zR~A9^GP>GHEUxM@R<5wQjxG-_V+&2IEE*rgW>?`eSy#Y^`)LE2+G*tcgdqhP3ZJ#Zl5mr9lfN&4Es%22#+h%1~NpRm9v>=s^zDOM-?pfVKcZGd? z8SZ$_|8=_;_mria^&9TE8lF6j^`-IiD|tR!$C2(^s{5Gjn$7bSqn#{EpeIU&sp+fs zEIol3522hP&fik*QDK&#;&6B6^6%9LZx|7sGh~Qx4{NU=TE0eizd%7bz1TE)A~z53;WLoPdwD%PofQO_T#E{{e2=EbTNpPZ)$M zhp{U$0|A?=&{sO82nF7%2C=Qx-kx@sO`$e6H>Vl59G}X^032C0;R<8#3W5f$_RGWx zo=^+l0Ic08D?K`gJA zUsS|3;wi%a2wCE|19uw=_t4S{z|_d?VzX4g#&_ocfeRObj$P=5&wA0V1I95yKt;tnqaB07mYz^ zNV?mC8v^nBR>R3@o`pbk3=2;!wp^7Q6X^6MSU-|JJJFKxZ7D$Ekmp-Ho3iQ+ftZKvg56Rmd%9AxON55I2-P0 z;fcPonS=%8h52Ydp{}DBO|>H2%OegYPFm=pUKj?U*`-{9Dz&EcDLd-);opDMMMj#3 zv8=&>4oe`m*jS;*b@2)0b4tqf7vgYvkg9bWLJDHqkbRTRV?+ zr+Qcu@5==bI!998kp#6TPYBm1&_9pzKi5^VwKLkwpfRe%0ULvUj4oZ-KC`W?g!~Rr zNZM9hH|a!h=Z7u_ks{%(@ZCdE-PukN*(HTD+Xc zGRfzArRZG-sp3vC(%&LbB2F5Qm>bAVJdYYbc%{AIyN;BsjXEP7%uD}_;Inn*WGe?l z9|@u_sLic)MNgCG2su1bJ2&WBPN$6(z2P*McwA)?EVp+q(f0zst>v z0wo`5DR)@vz7vxDg_6MXuHN#o5D+??reT&^t0P`wSQjuQzBC&Yv3lR?t@*?b`>lFP zEC&=%w%(V-w!=<;(cv`W<9_Rhom02AB7y=4w(|=G_6O-_pxhEU_Uj!U)64|T#QKlY z2{ecxr8QM;b#}O8imPUvcq=*1 zk740U?aL&ZU-&azmCNj8QjC#T$fIQCMDOPqj#j%*v>IOk(h|~LzB2|QPp?e{uYt_e z698Q{b&|WKmjNE-_W=0DY(+mjVt=&^V0Dp|%60AI@21y2m#{G~d=V9SmindhVSa+B z=Qw)Gv3k8`tM;R7mf=FMQKFr2q@r9EAOC~LtF-(3;zzU_=^;4bp{2t}Vn_bdOzgRu zH^C@dr`4?@Lvw|$L|Pw**mBS5OLJ2{7?RyjFD2%40GFD`hw2LlJfIxNX#>62BfH8! zNNXIr{rWA%-_dRFH|!F&abF2WG~&j88e6yD7g7(t&nqDN3}y?bXCnxyvLGPP_*ppH z0d!7YH=y3#0`X@_Xea5<=$2>;f9`G{pz+$}Gi*ZTD(@CEcubBtG}dy)6*9`mSKHUG zN{%x5QAtqJGZ37uQWYI2XYQrxqDDRQzD+cY8mg7Pv~q9E5;R$ta_N+=#c2tQzp%tV zd%?=VBH`m=6()^{lYG$;W17^dhNUFsz8A#x#q z&q7qU-DYT$O}BnGM^Q_!+O6#T+59r!tLG&oMkb>zhcj+Z*R=UKf5)GazDl}}3&JG| zCH|X#-(2j3wCp??MV8NPg9&V??EOw{vB=%xNQs&&FZGUE#I`z*?_ZnZg)LV;@qE}l zMsd-WO~ULm4;@I2y`AN_@=1IDIQMu@{+4b?5%=cZu@WlKnr}VYaa2$+yp{90#ZjG7 zJjM7gc3#+Loio&CTb7@aL)G&Tb2&d!S@iCp%huu;?kw%MC&dRLKJ+s*JA>vp_DB9* zV`}~3@;-+#Y$w@tHB(85$D*XevU5#I3D%kA;Dez2Jm?JlOVYEm6~FjVluEU1e~@#0 zvvsD(6M6llX5kS0#dEkpC+y+xs(`No+E#e)lU}XAe#4$vXw_hFafYDfgO#W!oT^a( z{;bz^*s2=b{06<)dpCo1TS%Htk(yDQwz{w?+7VbfQOKbSr9Y<-#arC0tcb7<2`U`4 zHNp6%kob3z%#baSq%)F=#~lg~b(74fRNGCUVK1-N8MZ`lC_y2gN82RQ-R7njF8E#Z z5*is11bM+F5@*?CKxD=#!drFckW_JP0$Xzff!u!(lCjrHaaud#mRlpMQMF`KjlO&k zg7J-};3TM_<4~2cY94-Ib1#j<=9?&8D+h;`9#z=jJ7Xnib!Ul1F9S|V)28|{_ZIYf zlttUXL2-1`#f;~=O$Wj41Z~@E*>qFV;-B03WDIQSm)@`7P6;zV^M#Vj1b>7gwx{E! zqP4OU2uWvbM8ZE}m^z2p*fD=Fx1GKPo4Em-br-y<9nmB z`d;6^MI`ZjtC^q|-+iBq{D>E1Rbau7GE+U{l5>3(dt>l8G}A_*BWF z2eMvX)zh*&_L`(_Z)(3IYZ*I{H3hqep?4KVy^_v*`IPQ|PuEw^&+X`F`CzY=QqC;b zMZ!StZP(^Mm)jO%Y>rE;&gc9yJ{pj>+kaP4$ce4ed2%Q~VtbTDBkX(q!r@~hUQ#Ww z7Uj)-=Z&T54C|eH(`i8~`a1v2i~1t#Fr%&jBYrf!;gv_}WjuxOy5l66ZM2T-aPd~7 zd-bdxi?y#2lU~}+&^UO&AIaQ1HmSVoe5z`;a|64!CVS1e4UB>FP4!o90lEXK+m%bR z?*^pkqBzv@n(bBe&9wxMK$x^)h`5RP+$yCyFr1bonnx9BB{4NH zByuN8A1q+EOJUojs> z(O$ilUrIipsW6&VeTb))g@_o4d6t5p+j`_98gA$c>a|pamK2of27%pr-5a-g-`f|5 zyVyy^Ru+YsrTFWjm-n5-kksP+$ui)yT31rOs!tBCW?bZ%FsN=JS#`Kn@y@-m<2lfv zqx38TdO}*??AX-hNH5_zKv$-ybYq?4$VTz)TikeowpDSF>xV|Il!F?sWsWBQZzReu z?4=aD-YG7g>mM;<`T+2954EJB5E|uZeq&cy>%N06+lc8DM#NHGiWlWUTS zbYr!edP^-e4HI=8%A(`W)UY$NA-7H1P`YVi{U11!gI^sfs*E!G(T{JeO*m0daLkdh zl7+jyvS8)EmFAkqVLkKxgOuS})U`x6+RGz%gdCT(w}o-Vw15-j2LaZ}5lHHs=VM%x zSJqc5*WvG-Z@4@*=@z7%bUA>VE4TA%mLSkOO--27;sUjE4}?D-c3XID zQQ}Va(Wn8vQTsh%Dd==Un}lc^9CsWH9-i70lJgfc3z<}%-qdwO8{iO(mb&`N7-(V| zhV=giFV3Dfp+Co!p}{qts-OI>LGV=p_YoQwUD$|6KZCD?cO1JhVZ$uf4_A=98syar z%SYcWR;x-vf1P=%)xL07O`U3XvL=^|b$N$NzG%oQc*mx`EPUJMe1jg{u+_ZNaAgk^ zr%jIYkDxQAB0N+F;^^5-IU235(|I~_4^vsm8}#t_1e$50qSKRd6F)T!tM{MT_h%ph zvYgBN@8TOro;&8(9POhf3c0Ga^D-kYFLBG+3Ljm63|-_JFd-!;bXP~5oQh$#zt!KW zKkILJtgM`CYNeUqfp025I>oObc+aYUdq^0>6G&U`-7=QOd!#ZV+kDn(L{=`o`|SFW zbjxT=p4s~KZRr;G>IfGW+QYlVmZ?USv10D!3LBEVkc1x3@HJ_9w^i4bs*(kB_x-Km z5vZ3-2(H;Ev7CQ5shaqT{BjughI9+VR5I=z_+b$S{s6iZ6a^9D2}^Jr${-V$*Y(h6 zm;43!mj)&bOUuGGpekD+h`f)@mT%e*H;E{H{Yqf z_FDGrh7MEKL+|XyWqhSW*6S)1ZWFv6o+~1z3EmCE${nnEz+H0k?aCb%HBBv{yd#T? zx%*;yP5Dg<6dcvcKdNWx+Ja6t6gWiwEtz670ar$wXXH~K0u0gk77TT3p)WJawe=dE zrnBm$@?=6|hRrb}ty#Kzu_i3eAZAs&j8Ew&l~~OnWPsSBh`NQ~a26A&jEe^+j)cL| zk59t|mv@GFL|{B_`sS@4sLXRMN`fpciuNt5uu4K>tWaqkp-~mB(idY6;&wT$feWV( zKW-^$rSizB(Su}5g%4+1?tq4x7#@Qlf#I>!%#V99h&viGI(~f?8O1Z#MNMbo-4hhj4wj;8iaC@YT2Ih)@fvnUvL!Qhf8d}* zw&R|^VhM`1k2QSKKSl3j{ZCHdZ9AhZLGWY@(|U=u;9u$@+&MWQISS5Q17;H_=V=tH z1)s^@s&D69#YrRZ-^d=SR<1k^w?csj<8fkPlM3#(Rd zb0X$R`j#tv5oe=LQ8-qzI#Vo#3)t|JNZk*+IrxnuF`hPICN>+j3}Xcb~F+TvOGM^LLzm%A$Ae`mx71|`n_{gj2c7JvJQ+nKofdgz6Eo=2edOTBd_x#={kHb;wsFh? z|GItSCJk2hYZ4C){)?H7c0fQsDZM^$Jvuz!zd>m}+=y`TBDUw~%Qo&Ej-VNs;-%}A zb4aPYvVVn;MqJi+T~7N~!-aDK{{fPJ9`ItNnZDgd^F>v>-%5GzP&D@2-6~x<`BbUd z)(zWfCDfS_BiV{H#c8*LY_dfT#GM^2bxqU0*39gUT@@`MvoDGs`US(D*h9$F7mlsH z*j#W9Z5q=W6F3#_3qE2bI`p$AT)*2A$d@RXb1XIhY3=0Qf!epwzAF(axc;0cNNktu zHk!h+Cat}@|CuEJ2%iQ2(XE`MSllIyw)kgRly@Wlc^DOzODR+!J%n{PEJ3!+q%G#j zwW*EgnLIfT%?v>dL0}y6VMViBPa4tcU%bzXzsKV)P^CAckhlLzRSz`5QQNF4Vmd~d z%NHm)sH4hWnNA?2&0mmgOv)AT&Aew-c1uik?}q+ka+>JBCa>rroDf^%kx~Sc=O?jP zw{x+TW*FUEw|(2HBtKr>4av?@tg))>L5AfQN3uvxJv9ru>+rn0h6B&K3m+hy`%E%( zNH$((6_>k24tB7bEw$+-T3@`vo~JOfZ1(|Z55LWV!O0++JEW{<8-C0y$k^JiiIm1@`JI9ES+D5U2-Oc=KZD6f08 zcv=ej;ZiM|Pu146{j;6*gR&FzMj*T+F#A5Qglw;P_=ou6@P_}-%lFU^A-C(Ir`YQm z4)X8^_LW6<9M(TmkLN04lDS>i9-Hv=qtK%i98m5dBXdSg!^{;;_*7vF+`OH1I7TzZ z=Bs-7j`?j!&xmL*oB~ZFbnD0|Lwz2a=n^_qi@jkx(_!x)=5a99u9@_271da1mr(%+ zm8XIflTL}*g(AY1mhc6BTQUo_gewQxPU+v+9_3UTevW*2Gk@A%ILsA|K$Kwz`lbg= zx}hmcQJ(7>Jgr2+j_g|*zopZ{=#WC6)M16-}pxv zM{R9%?emy7kn`$smjs;G#-6QxEAGa3?+O6FG|0N(i(l`V=~FaRvKctLpODE^S;_YRV)00WOFHn8sAN2NHkuZ3M)Nnn3@s>NKDnM?I+3wD9tQq zFAT12%G14UM@C;{@;ad&iZ70ksZ7 z!gQ!kv|_PZaaPMs-Hg1oL9ck7CkBz6oWpcU=A19#3OYYs7h$B>hjXoGFb_1kM{Wp< zm$Yh?odHHSM!8;+WIg2IlYdAUarsNvCmc#4nn8y)?p2Q9)eEiRDNmxDlBk-*BrfDC z)TF>uqPC-^%iB`?BaF|t!rFbzVSVwLu%1B0e(i1;#{0OK@Yozi+LFaXV=T>}Vp1Ld zpr*5Ur+m#rW&YxDhPvG*wuvEDaV(~RWtZ*^YaqyDEwfGmn^y91r4z6x+r1h#z7!mV ziL!HWj;YBe3T*DDLlNq4o2Q4vK_HZ*cJxA5x?F>S$WPn%+95UZ;8TjK8EL7(^Rvf} zV=ye%kH>?}W||Q@{QhVzY)2TssbR1*gNtzDYeBm4eY~z|Id9CM%RT>y2PX{_P6cu1 zHx(rNI_#Ae%W9Ok(4Rlo`&$ZHUYD~h0OqzRX(u%0j41< z9T1BoX8qII6idt;M%-bCZCdB6-pMBw1^NsBiTGF7N$oc_^&M%*fPIk2;X_T3eNev| z#zcz~q1lS2%5*7ifpnl=(9OW?B$Oc(2Byxg#(O}RhHIlASG*c-cP)D}V8Yns)*%@` zEp(6Ja*lue1EKRD!4fDiWc?3sP%rURoMlZU>uq4*Wx?yMcKkIEq!>jVW-?G95&HhuyluE~LPCg%b%Fo{g_Rpi=+zDuK6CifHKI>C>MlQxf zu0K1utS&|~Hmf>qq$Mf>5pF+ou}f z=@PL*PqZnupuBl%OHb}&^g-jU@-44_`FxU?^G9>W@%Ldmlvu*KSVj<=v~%*NISi=D zgx@}aRa$%t9zF*TnMUKVf*M<15RV5aojf@R#axSmakEA>{!a~6OEmkMIx2AcNCWrh z3bq@s?3(u}#nXeXoBatPf#JeV`gefPkITq9?fpY9RWHDCkW%FfaE;U78@!Yg@I+0m z{LB#`&Po=L*Mx}6^ItUaNtTVh_?BPmEt*(<7m}h?Xq#7=KX319(NVb7Kp767#=i}l zgDb$7W{cGzpW0r&dpSh8OpB(q*-GPTd4oVAPva@U4w8n5YN@HIg=fgHGxd)d`hu!& z;o|;RUn|}aSXlc)H;^hi_NYNZ08Hd18fVil!5;y*>XELl#rjOAqv3h?kEe)m4qd(* zI7gmU78q*4%R&*s{I*$dQEUsZNu&aQ&9R z$b<^4m_cimR2e@Aq0(v$>!stML*r}Fp)lG6&}c_}-W$}@EJa{gUBA>4R^|m1T{|#& zuwccyE&7FH+seloKJ0`mn4WRJ=&ee?Y-UbCX?83&%ZmdQyLJB2pa+J#uwgDS!2*kb zz7GSMo4zkIoeIA}+Od-q_ujWFK(rLif?Th}D_nZK*2KtMP4)vS`bRAT&7D8)q6Ja| zh*zqTo8)LsUoGsqT*6SLQz6{V;L~MsEm*AXh|$x9uR|;OpIv&^6PP`eT1&_N&L_+U z{-(U)5+iO%utw5Y7zFkpd12Q4PU+@9vTg- z#hES<@L`kK7RVqACH`=S=y0&`){Tmd-lMI>$R2q8;RChXB}fDeJsu`ZD@<$qL3VE8 zLK7LO06h$3)aKXu5LVp7Q#wZv6;J{&URn~}0FwANz=dZT1i|_=V3?HXFnF!B`vd35 zw2sNAIorhC(akLBD?2J6>Zy9|*Y`;2 z+HaKDc8U(1;gXgA{D&uVeChNQ!fEhYg~`rU;iIS~)R(4X^s>MTL`%%3EK-@Lq#QaQ zr(-eH|AHjDOfJ=E#4G-@MLt=Z0?~7F5$0NCnrO9Y&MS)($jIK15$d*M1>9(O{GBX$ zA1sGoC>IHOJ00$A7UK3!!6Ba$an<94Gt$5wp!*R0&+)Gy)61BOiaeM*HkL62M4oI1P-x z?CejaGgQL@URECWa_sA!CzwZttw!%*0EZWEm|=;n?pU2<809L*fm#0ZYEQI{Bz;Nq zb3G0-x9U81UoosNeS$wf_E!^1?xm%^tLbhwPn^fP`US5iO`7aA$^6O8R*sqkYPCvx4O{dS}UD?p1ZziB}I?vSA zIkD#nVH3(>1GD)k`M~wrdskoI^Cb%;mo-?Y(O1vowcd{6POf})sv(QoWKhmsxq!@b zLvri0@{(cH!T576p_gC!Ix>opjfnvYO)!Yz+qMx^q>Cn^C-ue*5RZGwZS?;;_iLAb$J>iXZo@IReQI9Y&~%UH~i zu>0G!c2kPCH4yvPN=0O7Z{-5OR2-G#kh=$Z9SYHX$;v-VJOb_(Lp5WIpP9QB_ElXj z+LnvWGJ5DA+0IoMGfo?IMFp#t7$wzG%D=wEwdXGO+FaFg_P*%#&C8vH`Q6TD_TBn3lNP{I-ntG*7g%?Pq+-L;w^EDh%WqG zrx(Vml|4Av;~S)vbx_u>wsy}yQi-GZD>QS+BLUzAB{l4a^n+9__{qxMh#Q>Pj)Mah zrlkw313?{wJFT8FxUP_Y)&2B=KiWFMTH4btW-5n^Q3nU=8G?yD_?L$-_*;d--4P3DWt*l(0R~fPGE8v!_xJQoDp_E*aiJu4@ z!<|GkGdaOt3c9$!o#1}e0tZJAUkeQ80 z>4)>!H~{1?ZR3Qi|8Z!d;7v}TO@wr|(Xr4y5vo8QB+=C|PA3rziHJRm=QK!IFi^c} z*(wd4ZX~e$Sb}R#KppyLvyX5n|2cU0+9qAsC$Ttf*^TlM&-uEVNZu-PPdJ*?ex_L_ zI&^0&=V?u&rcewlb~ULNVy@HkqPVm7+0<+K%mh_KEeJf{ck67XWo`*~(CSP}+77<~ zEcgSBee7!oUs5s1xxmN0mSUUN%DieG;E6y|0B|SD&igtwPVM^=U}j`rNgfT&~N0ylQ+O`xc=G zqRq>AZu9>8pqK!dXK0Ro$Q4iLQrDrs=7iWz&=(Osmi;%ihs6D>0S@3`(oT0KGI_*e zrR3?a5olUdB+)4`<3YH7G7prGRj%fJuK^pMU|@-+O?m$8^=IP@IloGxL`k-mH4CEaFr5`#lQ=Vf2Por;?C~xWsCNY=5uS*qegJtP#gqGh^&t4_z;=ZN9KyHXu76bc za{=97Tq_RikKcI~qddAM7R&k;`--> zse|OoNPZ^E)Ij;L7A~Ez)Yt*9>N#eQQA4^D^ar$AbAP#1RJMSIw+F0!dQsC+d?NEu zNSzFdAhJh_1PXA&*#`Iuw~1Ws^CCC3vYs2ixvWUiGUL^U@Mv-2^sE{Z&5A5hGr5gK zF{~n56oxF<*yMh+E{qv|dgX4=-dK9SQp*wyw;;Dj69?R1x_^oB_iP&@(kr)W;pDY- zpwBWD_~pr`n>p3;;lz_~z#M2HKw#BRp4Yg0`j;{B6>o=b9JN58)y+|-HBu|8VO+n_ zJ7Af=6-wvnc=zF=c-7P8C`qSRFgfi!pXz85=R8A49;tmZU_0rw3Bdu#Ykk>6uI5FDqU5hlmICUGc%w*d3v{g+oC_9N*}C)QwZ@8}{7Sirb7 z^IFd8@^=Rd+Lgv1wF)nW`puxH+avom2lbz>LxhDZ09%0pZEN4tK0)CHMon_tkzwYM z6Z4OK^K%CusWU^P@wg4gZ8a;~^Gvl6vJQ$Zo4V z?Oa_&jH_^VQGa74?k4`7m2f8(XQgxfVjiaSGkRR1#r}XSS+^8IS1DIeYbnok&Fsvu z84hzkz6>zu6ehAH7Am=v4zO-lJHDIHR>OoOXtS=E*4yT5;U*n~a1(({h%N6$4h!`y z&wC~g+t$5^h0N1v?T*W5YN#8MT$XU|JKMB&Yk;2Z$zJ)Idyo{~d|1QjJyH9`nh=l) zbYIjOjutY!d-%TL48)02auYj)_FDin2ERq9hy>H9gcI0&LWa~@BnL#le}vB9{EHLZ z1l*CqTaHO9d>!4_Iq)reZ2k}}DWs<6%yzcLUun(YMANO)BLlR9YqasTVrXqS@ekiE zzR}3Vq!Q}px#qf|mJb%5gW~HYO4-!`l9-@-0d@{5qA{GWJBcTo1R4flzy;x z<1n7zkf-@$7;o$Y5Y@A4iM%=f6R3A=;lan!Ox5Yi=}fVTtH!?iGc@V5;fw2nlkX+9 z_4E%6v{!8};aW`)82^v3=rvK`#|@<5m^d>N#2)G&vDA*R*9x#j1kK0yhPp7afiTT0?f5KdTMUqbht&G7~BW)v#6Q{y-hW zSgMFJs0yTr5CO?689Zm)*jV7Fu2zvm6 z)rY37_gKo4Wq|Qf^uZK+$@6|`aVA^bnZa;5=Z9YFYDcqMM9BWk|dfyP)C_7>%j`TZ@HV=bA2qsAhqTf5UCr;4uU=)6T5&-P9im zRs43ZoPfBj0K~2SVe*WuEm0iXNEgk*-@%vjD5U82JP)P12Shy~d9sh-7DmgRg~PvMb-gz|4O!ZF_W;f3YSmobza ztyCY%4CzYlmitki+-5K#yxyr%{I4FqEvF8_nbNh-qTRkP0uoLPQDimT?lFpp2paf# zrkB6eG7bB=FX|hZzi92B-=Q|{@9&3Uvbb-g)5h*KoU8a5Lb;Sqc%h)v z?Oo6wQ$E=lcuA*3)8M26`(T=XY?ih4r#?%0w#WS?1bb6gLx1eE(5(Dy-(QWWmR=*Y z?Dy8*pZ>x`W_Sv5wxa&o5Xe%A8j}1&SE=d{n9K^jJ+i}hhI!CCX!hU1ezt9S9e%xJ zrPAw5KlIKI_w2hu-Uyv=Fl_FLTOCe~S84^mi3B=dS{eusnCwZLmNr$`t*|)JN^>;MfHExnN`%K%cQgP1{&0-sNNd+g#)1#Q0!r#lv zdCp>MYUuR3f~3wO?UaEYxBKI1#M{^B^%8YKkdIWXuw%}CJnoeSR?U+UAXZ9#?+iR) ztFx-haW|;MY?g6pVUSAvD8&`%0cjlbql+Y_lV=}9KbU#=K47(^Ec$f?WP3YOFmrLO zM%a;64Wzo%=yGPD)kC$481w4g#JJ;OY=Ow!3WGaP^4^XDzn z0+S?$6gtpABqeCGCQ85IJ3YIQWjo0YVg8*GB%6R+E}{ zDFeb9n2GndI2?KnJWE#GCQ2MMvCNQZuV{qR(MzpE8~-=YZ{DAog zBqV~srfYFVVtGD>Aq`w7I$oEWFXst`=+Yu^JsusA(fH1cf1JQ72NY>SKfC)d_2C(a zu=kodLS0wafcjw91mjs#xR_3OIGA(As;1q|BD$(f-zPg>2S%4hr7_nQq*iTJ__SJe zZse4X)?;(6d2d?-bI0}YfvUp9S7*GK@bn@uxQ$MD7jOs*ZwUiPfx1Z~|B23v{A8H@ zv)L${(XB(aFzUaCN^*wDL6TojCa9u^|+4GqV*YDMb zeF2Ml#~ffSbLzIErv)YN70b_Lk(v`J*Ud9t)sO$+gERpAH%o6ew{WjUA zf+B$9>>?BXwmYQ_1HN(y&8_LZy$$b94a?sxL^x%>?S*BKFV)t4+NR31@ef^DPP@|s z9e&6Tpa0H#c4|4V75UV-ik6(6Ce{9liUD+u=OikLQI&WLyEi_t=<6{6r0jYbB}kH> zWq3r87U=jI2}@i~1c)^3v($ma8+ECbp<&gHA0?ufYL1V76CJkr?XKv$E=}33e?tfE zK;2bwJ&{Eqyi_ zKIyMYG;H;7>}^YwD(x^rV;^vO5DmnKKL=_fX?+r|E%jo^{%!q z#j6K^ol-Y5H8jm)b#D|06++OsX&CTqqQw28brw+Ixoahvp|M1M+m$FAE1fXQ6R`a? z!m#BGecO6n|ML5LW}Z2MVV8~Bu>}6blk*MCbql^e-w6qUHj;7bI@r#9^{UtHn4^H6 z*ml}~at-NReQQ&1E#~xd)KRdBrha0XSL?@6m`z4WamnD~pzTtThi8$p$D;YxD%oM~ zrob$0!Sl$+0SmWXiZoT%oHI98+g2-nT3Ikyb-LWn;>**VEITsp+K%OA63Jh)&EKMt zI$F7VgAhvZgB35%O6U0rz5v2RFGVlh2DfrP-s?^i(3C$$8hxI}w_cZUy(R}Mfc`$x zd{$OU;iZTw!|j{a+L%Q9HCEw!U><*xE$$iu+(cv3gqd;@3{70V!hfO*B)%R zBa>%Kzd3!C`b$i=7BAae6?}#7TOTl9TpQP+`-x3DcuX9UFvX>#EZ>frWw4z~sO)jKC~}YkkkZI1W_I`eh0V+5Ah3 zi)PqwzT%fML2?)kUZb@CrK$m8Ljj6Z@m%^ED3_*Fljt&9`|o3~>g4Wq(WGBcde2%F z8g@pQj?;>WJOa5o9{=NCSh+M_OWxSPAoPfRvuMckF#Wj5(qj|0ziI7=hC^#!dqmw7(k}j9xf( zXB%?($wWuI>|B4!zKiMhDS06?9ofjPEa~JEGrX?;hAv)bE(>D+5u2%dU z^55DB=Y-ihgVqP~tgo`Yqa!D=bmUKx)S~B6+g*pJm4%7UL=rZ5R|xQl?p=gk{WEt3 zClv9b^Y--c(cVzRFC#_pM-iYY=^}|P@PpVDIX&=?!zm3zIqHUr|Fgye>U#NI$O=bj zf%({yMrC9{d3iq-ueK@K$o@N$oqYk}wHePs_w6|Yz1?qQi;nCE?IuIJ-cQ>zi;z>B zYMYvo;7u~?W9w5>*NKu(8w#@iZnt_Qiv+q~2W7YYw8ay+ItMs#*i@F^Jz^hi(qjV& zO@@X(g`W>}c9p(}?(XncSahj6v@{Q(jy(>q?1yxE3?~Jj%xs{3^2B?N>R^VEqx{Vy7BJ$ zIcThzK?Mwki13A9jz&T|a)jREXj!N*>TNli6N2!yZjbY!&lv<*#@wJr_QV+D03O zQ)ww0>ge}sW}E1~$!0v6?agC#xos^|VK2yFaD7WhQ!B6{+4E6Jn+(w{eO}a^rfFGk za<@*AvlaBXig02pGG%N^yN~yjU?X~F_0(Hj^y>4YR*{cK_sT9_*&y!34R7SX$(8?t zFhLvp%Pyj$ASvnIRu`11Za*c*m2eLL_RYBM8Uwd@F0Wo=R__* zP~N!Ie^`rxicn|smORMKDX6gYMDA^sAw72DZI?E}{ZJEzdU@~eMgjnLGv(vi11!^1e;vf+C8rmTK>TNoJ^5Pg86bZ${1OAXgGLfRK+OL>cTZR- zrb=}M+0^a&d^#gTq7+AS+oW{Vxw2fbZOltsjO`*)I| z_b$}A(7zIJvC6}_hVwFEc7`y6z&dBe8WWhog?9_bgO_SJyia7kNf$^RkRlMXiv-td z+w{VI=CSPFgf8B*wGE()g~D%w?B|(5Rn;a;UUG?MqG3(}ezkSk;aVvM_^H*i^Rw%+ zs_GGyDrT80*F$ZBBDuM2XUvBBXBjo~grVo0wceaKlNPxkKCeXAsTSqLTG~68N+Ih4 zc&csjA0=@+bDOqhtEb~-0Z>+N!id!9m5BdP*e;bAe+_v z10IA)X<#X4V}Mf$E$Z%P9rBumO{H4hp=f1VKMsg|lOH0LUaxF7y&ZciTO2(@W) zKM8RNtSfTGS=<^be$&T$v3Q-iMtYu!$TXC8W~bRNiCQR`PQr8!Vf!T)`y9Tc*q>kA z46)!UUp@nzK!_6Q|Ze^h?f+6-=7)Yu#OnfbOMB#LG zHMm_NLL+SPfuX7oNFRVHwr?UvBTJUv1zblx7H63`rF`mE%nfJrCP~7{- z%>Q@qMEq~wi8bQwmd&{k5c%}QuVCvaR{QPtnX!P>gbde~KrtDnfW0R;6Z9>_sl9IG zA<_yxSnGvf!me_k2WXG;au6Lltz3~Ah3)9Yxn>2Rv=!BtIozP4bL;Eb`rw*j9$TD~ zQ{|DV46FyQI@$VCe2 z9br;K@aNPZF~}O+nU54?RFd};0ICJH$mupdLMN*_eBHIaoDmfqa0mvoQ8O1p(7D$JP z;U@IGFJt``0^~R!K#H~*pkVpGf|lT5Yo&nL2uP&HT4I_1E@PA)50mf`aWFUi?xdtq z*U`f}K3U7H0x|WB6uxYB2ibSiZQjI7ZgpLF&>j> zm3mc6KtWWHThO`j$=7X8x}&1|7XJ$lzRWMc(&-rG{7`YQllXZQv>Z*Kv- z4vhX%41A*=)Pr583ta+7Qu;LX;Z9LZHs7;~G3t7q6N+sY#qPn>uT|B4tD8xOHC%NX zC-ZN!)-4B;I}Yn~O*|x(uejK8X)$ivt7Y|a2`fyhoZ*pUEgK;R6}q<==WD9pR%^%+ z0w#rV3she%%kgeq@}TW&q`&j*j)-C&;O)!-jkgcbq~4P=G`X!E|GoPcIk4w38D$#| zxe&g&JS2{mlBOJu8xE82RL+%~pn@MXPHP6AsGspDMB%uWwj`B)!5DV21$5D8v}71H zBvwPd2!wI+3s0X^+sjl$IkmQxA6vSGRWWex=Q|V>RxL>K!hY7Nsqcpp|6=&u?_BdL8-2TL z-mAs}xX24jkuJ4FPJ<-MQ9&cWZ?+4;jKCX<(qE_~w;r`8>R)D^lUGgzmSiqZLv{dB zX1nnSdScKwQln0aUxSI>HZ->!hKnfIIxdTHN{WXm?sdcO#?!K=Mg78>^`zHM~*72!2#h^G9uX{pk80{4C?cmjR&hy%dq67x? z!M1Q7D`KvyoL_j8z^xeM=P-7XqK!dW(IkvGt)4ri^k7B0+>dJWRU6E_>b$d?@dc;r zZ-?T42tNOAc=BoSB@aTu{zMYO$D}k+aA^sV#6J>aCz<1;wkA5A&8CjWUYFxddEoN9 zkgCf*%k7hfgiB?A+Hvl*kVT-D%kYCbms2|cqJol)I3fbs4Td;w0~6a{50$ru-Bu@) z525PEa6ryoF~ZZJ_z9Fg3urMd9ew0b5_Yg0>z=gGmM)WgY2pb_{%$$5p3FX7U8ImL zUd*@F1hi0#VAk+O_XyTdD{n1%V6FQ+z9#zIB0X>_Igx24vidYE40m9l%*=QhPS10l zdB$^EmbaDEn_h;o%^L*0<--&EAUWVlT6QJ zA4Nzlkqg+bA^O`Z_ETcj$#|Cvl~@bqRh2X?BSKTnP10Q>j`x_pU04}Up;2l?e zdlPskG4m^QGfa&Igss0pKd1NMixJu*#rq=JHT0F7N&A*7edKI+zlxlu?z+R@ggsjB zbb{4Z(juJMg%k(l&A?!-p+R$BgM2LtDAvuu%V*5yzR%6EJ5#|B+vP`f7R|AU4)!f` z>Z>)z0-dH&@PI74hMjVLWfizGX`>bix!TxxR6skF4}r|2d7hJR!cQJl1&az4aior$J%l#B4XzpyJ_*(NQGQ^3fq-)>TrT z-8{|OP9@D&@S~`5)ui4y{&M@B;PqZ4Vq7#7w{x*WRa@KWc+(s_Y6k*u`H$JY2Zt9wypc|ShrIasI1!qT)V za-=*wl!rPK%YUPJ*jSX{o!f9Qcr<$+Wu?PlocQ+~Goc-fXVSo?P8`rstX*fGaU#(9T+E=;IYI55;)u!D}@=>-}FXM*R=O9%FrBO^017{zR znggg>O?x=tOoWdRc*#p6=>G>xk!UbLzzmCO;=5@$OmVqSx~O7`=$W+G`DRj-cR@a^&>kn68F!meEY-2zRCPwr~3zIP)*A2mnJUUjn3Nq3HwpL$d7w>RUgK74Cv@#YU1w=cNRPfL@QAzZ=TFI#nGQ%gisVNmO}*ASPHeh% zEuX0SqR9|ps})a~+8HXTHw1j$A3@o2dhwCtO+*E-7)ROa zw)B(vH`UE8CW$@=^tw^tm{jk)<@a zWp>y}u2c*)sHX93(GUNAXY&}mqC`+cOz{>q(esBcJ#kuG#y*Q>IPt9cGa;>J;yWHq z_Hk(+P10L_A?BgNBrZ6X?h(;bAX4l}<7<1E2}TCBG>7iphIz_&1F1&2iryLTt?n`XPvkD6#t-5W2` zW;+0bzgW8TA}L1Mug6-tA1%3tfwgt8i(_S$zyIrxGpOVP_2l zR3QGrNG)VWhQXpl#i_9Do5>fT8Du?1&T8N@G4$P<-#myU-{#&W0lfSfyCXrP7Y>bR zb@+V8z=*;_FPgwHZf?cAcYLCdHm%am%dH6)*lKRa%T80BN!KWxVbrt_E>GYF%`zI9rL^jNmiac zsT&{I5)?oHLQ|>%x1Rkf46ofXvG}(YdAapOp$*vJu|it#4Qk_RCw>&CabLoGkNAJx zWdrI!CXxk-QGdO6jbx(*$)9+}s8#~~uQQ#aRA&YC_3js!w15-<7W0Moz-fJf+i^2& zP(b60d@5p$$Zd67Kfd1Uid$hKeUQq4SDWiMNx!-oSS8FDooH$C=8#(0;nR}= z5IV=1M0`Gt$rIA52`euLwF0dTnE`=&8m{T(`CZgD4OeS*q_C<+#KqihfMaXqcpbpG zEl{>KmmS31$(hA+;yZ&=cTM`8H0U1cs1x(|#)xs+6cGqm5jtI7Jbte&y(uR7ut9mcly=0dH(ymiPr-c#0e<%d5|ZFK2V`^G-J1u^g$b zH<$r3u2MR}9~Qq_Z=~?{%A9Gw`xh(K%D0&W6al9ntouFM@sR<(b;1&dS z$r<^F{0MN`P4R=O?yX`s0xBz1~OUb=lAlJmMVZ!6>;K1l6l-A4KimKxwyzTgTGi zHe=d!dM59RG$wo~zDcNoi`__=l}q>J!}$p$3De*X6Y z$Rzh~$aOF-qBGSB-qQsLPY>i(wckC2jXYw;Z;Sx4rk}w?w~T<6Lq&~H)<8l1HAk+6 zO?pmageX*2#Ymo`SY}&7qn_hf(H9^Pci;){eRQ(2;b9`?-vP8Et%Oy48m_JLRn<4) z7g}sf-_vtfFoUT0=)U)2SU@Ydi$r78V$e5zu>DGFH~*u6o+Ylq?rVpc2*uB+X?8mK z1zm}c8p%_mO1(TPz%FVu%u92wqxATN>-hU&G=NE}ghHnbW=1*JX6_Q0BD2<@EVdd_B#LqsfFHi zf>?qprXkWGFK|1cS~#{`pUoj{IvPqtZXB`7rdQN39-Bc6uj?Oc<}iJ z;Vgp53jf=iVl)%1)HtI?d_24K>f8A7wQ@$m+U(f~_WpS8_E4Pg+0$|a0ubIxNK^vD z4T_6p&a5qOE6w#8Q|DdEL3m6T3G6p;lM!Ozms5M%eFbVB(>k}KLnI`VXMG@kyU|O? z#Odmcyl(erB4SV2&f@Yk-mi4S2y`+h1mbnvv5b`b%4vs^XiiL>Xr&$=j4`q=J>)X z?YkEprOGE08SHDMnZIkP-+=`HZn5D9&h$^qkGrc;N>Z)LTcF6gAsvOpj zmp>_ioVb;)+4a%9x<9aG{~UM$S)i@lB@b&Vu-^eLj$8Yz5JqP4DAl*)NI)(h9CPWh ze>Of1f9kc@)$z)RqxoqQ*~pke9E;=E>~JKRbuzjb;~-FY9~L!Nt_A^!3HxsV$rzbvD&WC-TF}`%eKDT`3Z^&fMq{x{fD$AA0IBCju+Tqk|I7P>$&B& znvDSSER6FE($-fz-L>=0d$W1e(b)5~+Es{fO0k&-+dIKl^*ILsv%WfS9JdK!=BD5C zO5^lE#%(jl0pd7`&YY-fVPwan-eJD1T3OWdspnHc5kocgOw6&!Y}|71y;b$79$dgA z9L+54@P#WC1&y(LLcIjA?>NrK#OACa@l$M@vgN{l)Cq$-Y~LdSjAtb#yUa z?H|E)F#~3#aw(@QyCD!f6;#vMZL_Q>e@hAWI-f>G0BCB1JW7|k)PqBf?Xj6ExRs|v z{1sxXZaY+Yr)(B&^$EG1Q!jZ_Eqq|ZE#j(Z)&o(eyi+-Q?P_mbcsiRa+eB~W1^&40<$~s9{KUA6@Y&_Gb*R5pLs(>OVw9IjqB}O6RHFg)fvvzWE zM)Q}kJU7c#$ljsQ)I;TGG5VOttCeutzy z0`mVSMVKD7)zz@|&0f}VBNF-Sl#`KU$NjU#g07RIQtBPvU*Wsl&r3A2QsuXgvoaA` zR$4|4c#Sh}h1c-}*-LU((;U-NZMIS!d-SS4Hf34bSp|w@>FCO$p7j@(8_J>zIveYX zdX4XDn8mtQrfpg-)paMw~yy zw)-15#JyVp&_mu@seYi1y92d(O8xPh;K~3E2((z|HpO`Q|6{HCCnzku;lF~yYApdw zSRp7pFEG#*4%wa#cP3)a&o>8h)QH@SX5fgiB?-szLN4%LY&7^E^D@vO^y7b@mqC)` zxgj}vA#Sgii*7|adBGSa@V(;QImcIEQs))_hT zl(MQ7g}`rgT<0lEa)Ewhd2LIOT8#R1@^4j6ukzTwr==q`Ic%9xK_t2+n;<9Q51YOB z>K;{M!=~NiKnunFLgD<;K>|jN@xUmL!QqQN2}fk=LtrlOAMAQRhytZvv`m|}&8utjja+-6yJhW~#jE!&!Sx>Zi zJ?{(W-+Chm8OJ=Sn-Ohj317GII~Jk)I_K1I#v*2W+ADs;}OMGv?vSUZV%XSBP$#_H{l1-F8iw}fk;rZV##D0tMAd^nG}L*Y zN1O;#1riIh$CMgQTfzFCgGnJaM*k}hKek)SqciIVj`VA7`j6&k`4ksCcz3%~9a)kN1iX;ig7? zw3HrUt#xU}pzTZB5l+@t1m5W znG_tVY!U9b_<1*as`E)&yU5PGiz=jMNTQ|mSGtWAFsrLkZKT-kYi;UZJShJnV*yY1h9@%0c3HBy!0^z)O40q)ho5IF zDQ|1zZgdK}{hm=YfCLh9Ptx)_jz)BG?KpK)2T^dedwR4MI@;VwZcpZ?m9&tGSjOKN zr{J!geAe0z+IRdd5doiLW)Q47FOv@b%FOQC$JU@*U&lz5RQ1V7ddSv8Upxq)P&GY$ zm*#ovPP$bP)Ug9gC7OsykW~LOa3vSH=C!A#R18^C@$@5u=O&aahLF*0$wleLv_T>U zS2qWrQ>{w2wWuW!@*pK@6fnnwERouA(AjpB|NT3fOp!qW%O8#$$sptW)NeR+(~<=m9+L6jAqd zYDotf-V{eZdYh-;KzNcV4rgQiI-o8>kO!>9`!znJ=rIc*Ic)9@N!3M44t7QWt9q!I z&6Q}fuK}g?20#)cg3Ay@H?6f?qESwKeOaR?d7xq$+6}yt0Yff_onE_C0HIfeX>X!A z1L8x@cUC`@q1X3+jzBM4-x}W+fy5p3ZCH> z>_uje-$er~LBu@~2jW%ku^LEx!5DKbXVAB_L}eiV97tGCp(zp9Fra;weBrKxr2qS` zvHcI!T$%2jha35sPgG2jWtc6N;T;hDiH{n!&SB9;vQQY@iezFV7PS34ezr7nT-1P( z+Aid_^Dw*F=ee55L3EZKLo7CA+}7iA5UpV$;F-4Ki3NUU@Weso+c@;qz17}47WInB z2BuK2=ryHx;SrTB4K_b(5@9r)^*5lA&o7{LO+S|69^B@)A(u^$oIl_x0P>YqTeT?x zg{j9T*6gLY#+~Z!Np2 z!4BI&kOjZzREH z2Cs)O9NS3~XUkX4VUnW%qWuD*8MeQPW=c*C^?DZ`F`^D&Q*g2T6|X=t#rLr@oO08C z6R-ik9Uo{AaM$F42A-u@tclmGyavn* z)=Be2?RTYhnuEpNh=KUtIVmb3=MuYTi%Yoxet}$LZ56quTey-A*WLrdEWgry2JiHKE^1TNtQql<(DTDH`ex_5}SpYF5Iw`V^vHHyEwFTCA zcL99G#TA!6+|#rg6uUq`@-F+Wme8Jdt!*kegY+)tiW?B_&Cj?Ue8%1a@)bgRF|qej z6nXdi|MLIKY5f;@ts@{BPl-Sa+`)9%rr)`6mwsRDzOJeke=hs&jx@n-s$p6b!ZFI! z8GDo`|7U>>zQeW?kij-JC<)ukRDf?(g8Bs}3&6`H%*pTd=_4#ldenF{lvNrk)k7*V zMjoXE@j7f%VIA3?S7zJeTvOiEIqx?y+C*i?uU$3iNWap0)4`}a%qtw4N2kZ}_V$Ss zC}yY1iJ0sF6CnI;E8nZsI|B5=0Bf=(1*aPb!_e>rw{%uO(0Ldua$qbAp+ziyH)+C~ z4uUuM_>+;sffM(un@>wLE_MA<=sT1 z%5pNdqFBLrlNk6a^8FW%@vIW{l=82ro39@a)3HKDV3g4G+ZS8p=?x@2z5Dy3|J80L zeJ8$yFT{d^{*RbJ)Te*O4Ep2QxBYkt@Pr5k@PAcW@>vP-Rifijm;&~+V&EM)LvMfk zUr32SzhM7VkEZ%!k2yc;5)otqb=h~jMj(q+*m+jgaU-}2jvO!L7bO_>suom2Rv65+ zwM^)vLoE6exRD^7pHP6%wyCiJ08lTF>u$~cjF@6C{aB&AJ{!ZuAjG4mP~>|GNCUWT!*fX*+)f;?;``0fNTg=a&s{Wc26N{= zV02a@xib_ClW3HfEX2AeKCZs^EJRSZWQtJrXQ&9g6Zp+@Q>_=U1_nHr^L0v}jN{qd zrNO@|mZmDQx6rlqJsq!V^lfb$m5Xzc%6kh(JoYn zBuKNwXE`Ye_N_a^D+Y*p{VxpOB;PzFwm4*(c0CDJ?l|A$e(-Fl~MHo_Sg`^1SSO$1}$G$y^%= z)w+z78tbwzqmyqCs{68Z>K}8!ySmJ!Ti!Zz!)s##{OPP7(LEx&;AIiBl~Vkn$J#XLa*Lyyb5pwcmig zlm9kHrFnnBFMp0wzJ>@0k|4R_({$HGVZ_7MBspzvLzjWdAu zeizQtYhJJIFnZ876l7KT`b2aLak1cu$m6;(WN$hutE{|uX&e+AuQq{9PDG{_H{Go3 zfjp;25lg_%n6HmToO9)GZ*%5bAZK&yXG-}@KLG$FE*KY(C-0nVNdqY0w8#YSLqEU_ z!RxT`ezor|g&fj?o&iK~@fA3~UO_r`>}enK8TG_$zkPcRWJ4qa(sMC!YXV6cfTfl- z1ytVXXmr+ZM!jrY4@nHtrKCk8kXlR8BF+^C0Mnw2YDc_sCrdKI(dRLMn&-#I`YiCL z<6?nwqYzxkv)kd~xI?}wAb3%Z>S8JZgljk#ZA!YIpdCn2)j;-^wh2s%HlS5AwCeIhj z=90nC(w{9n;p}A&_yv_^`~c7F*;6NT$O>2y?I+Am2HL0Uu(L||y9iA#%KKLd1=f6#3KmT`;} z7J%5OzY_l^gz*St^5Kx@p}H|9zuuo7mjYBAdi9+&67r1eI?zS(V2Dy}-owDLv!6>1s2~|=I zBogp~Ef_XBZ6Tkf{X+=YiM~G6W&71NH=_9~)|Kl!UIbg3@B7&P2H>6my z)MCZWCEVT3=uI56Dvg7Xm~Wd_ekr@}W-}7vxOuN?^4LVnir6a6G+(u#EH{n;{%N~q zH>of;7YZ$4t5jQQ1S`mE8#+yM_6Fj3wg%!PSQr$g7!^;I^g%^SpwG0QmDi4ZG&i*Z zoM4?NsZzlJtAjv{tp_)Va)A6F_sSnQncqX1uctM>58>v~fE4Saqnm)elzWQs_rt`X z374|poG4#){0Fe3Dd%S4l=0=azvMv=!1~ZJ(+hsV8U%g8iBhi({3;zP)Rpa`3pE=@ z7(!F#wzj~eGsf)lF5S<1nF~EMk{!@qaeS>3Z304K`&}&q!rV;L!s_?QPteyQq*m^)}{N+!Z|a#Q>O+1g+B@pm_w`MMbBhiHw50&1hw0CVFgu zm*fwqgNc3K_{yxU_^H+<0dPRp1=^fcMXCx~9yR688idZ1;mx@C_&T?j zCY_iYygIH=t|yOpFICngYK9SH(FZxnb(XIieuID583n#wQ&rKTs#uNjvIk@gaO%P8 z!Sht>I^4P)Go;u^#iF3>fIb=AmYuP~SWqQzCsXM9y7KpL=ysIVi?{BHicXDv-<6O0 z+!F8k;*F)Vb8X!>c++_F>e69<_4%8j88W|1XV+g(f-I{3u>bxw&X#pj(A6zj!eiAO z2}eSX)urJQQg~TSqk*P3M`7*&Rv{YFrPIl!6K`acBLo#o&_nfDT zvaRXJf(@i=kOmX_(J$cX>WrOq*3zCT=7OS6Cx8G5Z@JwaSI;wf7l7Iru}NztK3`X$8?an$yO*aZfoRt>+(kwQRl>`o?I@CKT{L0t^OVcBf$XLnt1H(w;0MbU>$ys zWVrbi&%k~(YYWy~%iXqDWYgA|a|KUyLk>}a(3AlXemGxB10TCJ+5G$Ff0Dc8kr?s7 zIQ0C&g36JA9Ej`GC%4r`CyO>aP=T#hj;!yJn{U!(BOvDnVxLo^kLNK~C)M*JAd7gE zdhyo>dTu)#YK6{I==mNwts6Yh+=1!ZMVOqw?csJXA`lgA6)*fj$_u^&>+DM}L?fz5 z(ZWYj_HB9>7ndjOO95z7j93JZzrMU zO|fe1l+{+$O~yiG>pmXuiq39@H;|a?6FXL3I*8bM+V1Skxb4K)84n~{1?qY;L4_BX z-teLqkYyWi1l@hWKr7{`f9K2G?1!d^yadR=o#9`Rv<|voeVEvyIJ;$hUVgp&P;T7+ zLo1oQ-PrrX=a|xf?k6J2(ijXt%(PLBjSaQJfrSiUPRl@K^`ws!lN7R!c=9+|vTa># z3-EUjqGpz8(alb?qgwn7s5)yBJmTCPaX~fr*^+$s7!bo**MhRCMNw4Ths--afEPYEOi!qgdP+mx{Cah#Fwy_%6+HaCL?`ir#u9_ zv2OAV+GcCZN5&WYEP4fg%__7^WieYrY0w!uT+6CmhAGi;Z}04x_1a59V})6tcdu0! zqvy>zTo#O3QYx_p<0vI&R%l|jZJTTI9^|-DJ{8nNcgh5LM(A|uH%YyXYJF~yIK)=2 z(4WX93AbWP%AGc(4EgZX1DV^c{M%YNxozkeQ zUw|Zx$!@v=#KN@_C;jn7I-_gf8;t>;&Q)6$A)}rLN4v8+C$ixtE~)8Mr>crA`ubE1alEDRrv%L`ndN2oF_Lk_P<*FE$YAn5wr$Y+hiCl9LgpJ` zCn%oK^$^*Vt%GR&*)MwwvIgoLkc?v5eBi3TEF+r-9`)tSFFeOpLW|`qdAIYQ1WZ3P z%7A4wMM#tLa__e<0n?yXR3h-r6kmz362^<`+&Pr}rp?WK_QsCB5PIei()QfpNk){P#o<^-E_0aXL}s~VlFYax$9EQB3J ztN4(bk5u>4hv!60{8S{sH+&5IuDtGtzJ6@&v&HH;JbYj}0`8H4LwY zNWNB^E~pjM0c$?_eN0x-lN4gs!x+7V?BMFFaJWs)#;66n&S_T_T>EBS3qNQ&?8?oK z!=~@e$a7Hq5C^f>m;uE{ur0SIu9aagA^#4MiB*KHF)W~-o#xY zrKU5M^(RoFdKl+DR5!Mc0anI2=69Z48exLt6N=T}2_S_$4UIu(at&`jyY!O{UJL1o z>d!KKaHM-y)(6{FkFQ8vv4gaIIQbl(AjIn+Co4O;s4@WNOIvpTa!zomT`=1v+h?cF zMlm{yXH);?#&D~DIbNseg8L%(&pnHcT;nJ{8E0Y>nqp;-l^B7K82HSS2>qp8c3S7c z#DF=H^vvFOTOpE{%e6B8s{V>K#Y8?McbWP`OuWgDbBaHLPkS+;(DHeK@IxxlYy;ys7Nqfq45a<2sb z!glf53`!%r!-FIEADIcJ9*%kPR6OyY>&~ERdq4IpRSNQc7GLFFY%T@q*qpWTUDt*t zOsAO_m=QC_SPZz+pTFUNeECJ3Px$rVx)Rc^!3^(hM^PFSKi9SMK<8QXeXl5jI0)&0 zZ$lhTj}UkjtBsK8dT($gqOHH6HWrc1N)-N`^y2Y0F*rf2 zwTC5&x}aFa2zm#0-(v0Roz>-1Yr5(5jw-_Z>KylHYCvy{HNS9zfFAbbEcbozN1V>) z>t1V`ao;3G`W}2YMZWwyE~5u8&f3gRzJ2@os+aVMQdu}A!fF!LfDwj)flq~#hAv$q zhy3oX=bXA;8PnO6nU-7S!yc@|{CjH%dEbC1hT_=WBdf<7V!>Ryr}HRT|kjn6U6;Z#asg@+<9gK49z4L&qv_BD_(h zybqtvVE zWte!cCffF0ef@`YnQkG241v9Xx6a0192p+&+m+)L!n(7od})hs{KTUb%!n}&4hV?> zrw_(k=2JLrYXgpCnKcpOCnaf( zoFDSk6b(uydGUW*#OcW0-3|O$$Pk!|d&m0e^G;E~zwq=`KXNcgHkh^eDWqzD`6Zh* zO6hxisfy6V(BmgSSR)g!_hEcir?Q`bIpqOlC9{iJ*t+F}ZK$@grVbZc2y`eSfP*2o z2ne6BUzMt8+&92kt9rnAAeD`iDKr}9Yj*ZazB>RMg4_6<+Sfr0?Gwrk4-nfW(wXps z6w8^8f*w2aAk}GRxbbssrTO?h+?Y3V3+9gYm`^WNRP$~nL7nk?(p+7fZpm~B&JLB1w{XP4Y*FSIsy&AuU(__e9 z({6(MWAW_e4)3XbUjolGK5mBM8I3|9lu3LNTe{2 zM*7~?fSox2#9TjH0)>@`k`3XFSeCK<0P(g;kgvEV^b1!u616D`5C! zp$N$!`}{!jgC z?5U)wmZcoLoz9V*$UXJk0kW|<6cjcx-5Q%x3_mWMYyupPj#^2fj4slFUIjqR%5RQrF4pE#- zuCtEzd%a<`oZd4r;yo`pGOjE*9*EEHzbZ(s#~Z!o$F&np2@yU#X||d2`J%K;+ivyGeDfO(LI--k{rMWC8(v1Fozx}1QMGlkex6Xc^+zCGdog@>r>0;uOFfOPBFR7Xk zd3|0ed$;Dfu9uZ;%6jL7JNaiK;Plyht?;S+K($X$K`Ct%O1S6N_enQ!@3=%H=C zAT-M5)mVOGE0Uw3M~_m6$`|K~BTTjHlYTX4avEWEi9zLh`ov<={apOTxAv9xnEm8^ zm`D0TBRt69R~NH24cvF*%i~Lo>8Dctk~3pMF&4}|gJ-*hO!7iei13;hld@3yZGvrO zV5^v+l7-}1>3QYJKlg~1vd5dMu!^JX&g*j<F@gE1?XygYFnyeTs+qaQpm2~n9S#}8EFSLS&Qx+b2X!dW76Dp_r>Jm!S*OLDLD2MB1F|g=CuTBZUiVAL3xn`(4fc7JTLDM@e=N;?4DX@)ksr-jXGlA0hD5D- zQ!rlPR426iOAlH5D$oh+fl}ud=+fyF&;!1MP?d4SIXPax2(WZGp7(YRE<{uAloz{z z!x!UvEyczWTBT-F417*}?-JF5@0*;yC=}peQblzOCRS#!cym!FIS*~Zc{zwrGB0jf zdR{@D=EXrR-6XY7-Id z-YPfOR|@yIG%8GeDk>_JyI)_20lnsz;jp_j3>f56*_JpJYOuu5b3Bt z6C^BnXOK225VLFh#7f^^s%9`V{hx)+G;N=ph-E*ArmZ&=8F`7uEX^Vo-P6aTKG0Aw^C(H@a5 zi96GEn-J5lYh)y|sk&2+z=K0AxU629$UuZaAomfnCLnIo2N{RQgrrP3)i6DUCkE@3 zz9oKVOlPo$J6FGqnS#^Y1dVu|g_n8ZF}+{DdxJB3ir^jEDRqQZ1n?`re)P%vx6+sH zMV|Tn3(<}%C!DUK2sIjJxNnj!J&L;ahd7cfYq2LXkoab;HE42ws_TwR)E^sfy9o@3 z)UuhKz%Zf%q@GU)`?AVwUe6N$D>K~lSuy8KhCf<{OPmMA z+4U}HVAp2JeE9l_krcmd3Wif>Lyj+;rC&rwZHI4m_nlMG1_Gx8Fxz;d#Dn;ayr+Q< z(bQ9sN9`-i=ds{FPEunubgqPyrR->*h)%&GdV%Ukz-4zQPz+_GGuSH#k1}dQT&7(4 zDI*-`0mq)c??{S$SFL0{-A7*Zgmc`rAf3x|($kgk#$dOidJOD3R)3F3$`(|y_c8C+ z#8;VUa;5{wl_B%pj*HeOtgnIgw&RyjN(4|ZzvB1&KuSt$^^vMzvp~S*M|Ae@`DRNN ztw-1nTn?QX=-pp%Lak4`y{Vl1xLXFq88dDNQ|S0q?$2CWb@h+f+AcxBs(OHaj*0#` z0ZlI>xR$fo1SJD#3(i^|zi?tRxmni7Ww^g2-UDl0B1rFV7A58~jwA(hkxFwUyd82J zZE6ShTd?#UuDhi+qi-s_Duf%4x2@(^Z?5d@-AX{uy9`^Kh9h(x36|4r{Wq$AG1<~A z&rlp~H7Z_Ao-NvGqF zUY0Yoeuou3Dpx{AS34DIX|V}Z_yUA&2xF38rK#j}0uw!@bS;SX02w(P+rI8nMg^*P zVkV%)LGC^FF<9ll+k3!5)$CY0@s~O~)3^0cl5(NBGy9Ah4^Q}Wk&|Nwe$#%NwV=5Z zJCDU=h67&K-M9s%&>KTxDpTQC>EE~wo9{1?azHgA&~-42UcZgWjyI*r@rb9)N&Knv z&>t%{$<#KSU;0b1Q>6{vacIh zy?tX)LOmQ=u8*PtE04>BO1z$CPxT-HlNwupa|osK(81*OX;<$LSfuAG52dVYwU7e} zZp4&Xko?(56eYdD>YBj1Z}C{3bc}1(ksY0KnJ5`1@Xf_<%QWN#5Bx>u+%qkT9;*}l zAinK<(fTn{oS#2#yTdI_C0EP&xV4*`aU>Y?!5eH;x)h7U37i-XzE{&^x+X;Dz*~ZJ zCdlt4aG=MLx$Dox-$f&?khNEwTzeA}1N-_MOta&0N_Dx+KECk#LZ{^mzH0OHHk2DY z(Myu1xAxGC`<2HkPnqourhm%etkpcw(n$_zL5(w*7`cKqF=MF1vA;IeVnS6&_#p6k zA*I~z7#zrX!?ktBu@0KX571_)uHsW}ZWRo`&h3YdNe1H*I~I=z0kvCW^J9FhI#vzH zm>7siMD9+&KWaBWaU9L(d+mr$TZ5O%x7FJm7x;cOshm)WC>PmVI)UKVoFO!(Zpa#7 zP5^aXj)+yeo-9?+3A)@Vj!7&b_n4q!x^#v$?G?2|$))4&bU=~tOzUygT8ch1_j4WfRebuHxa$k2un}{uL!uv*)nHq8aB`_bFDJ!JY(z>} zz|)WK{G*O}Vs=;qnBn&#exs%{mnm=YpH7-iCww+m^11$|Rp%)1t|c3#i=Bdbh#h%W z_%CvcB!g3>MbhN@(H;_$$Q_jwa#vbfC{WzkQuGCgvhcD!|9$Dc0AM z1z=Kv`yCijhCA>bnNmq9H(uF&c_s}nm7xbx~-0|?B(3FehFM0 z1V?kH?qh|XrG-ZuWAAHAHDN1ciiVHBipfLx(a*?$v=THO+@WII77!R4_4m{R@2ez8 z0MAp^8{ln0g3#R)xKa~i^t@BMP1r{CqkOUGuXDn3sm*@cj$Xe!Q=eR+k$u9GTin*? zqReSBf@48dN2pF*rmJD-Cwm5Dtor@FyKK%(s3& zCa=7aWy*PPYuzW(V1Y0aTp~)wg*xKUtWpKLNY>s^aLB*f68Asbk^&Hf5g|6o;p>p} z`ME)g5;3RyGUgJ7Vw!gN;%K-*GZ(7$G<$Jd4yo1`QXS`aR_{M*kk0&y5$`5SCbl!# zY2IX)Yb%eCZ!WpZ?4Ug|Z=G5H6UArJJN(C_6D*Qf7$2BaDV7zATW$U9X4nwa@dvU@ z(o6HU%qtYSfwfwkIh)CvD=))9L(iL()xgOU0OWoXFe$_b-QEI@(KzBVQ2H+B0%8%a zBq`}>(8-DO{Z0Rjoo=b@rE;@kQ&dw)KD>T6Z=G{)@!LuEr zd$B6;Jltd=o}XF<7z%*tyIPNAhY_6wOcT7oEK|Ivv+?7fQ-FT_o|XGi_aX%dZ@1-8 zX!A=H#(cT8=ls9A`5AwdqKF2QY=|}RiRJFic7FrWf2=Zm#>*-udKoL?oMH((iOtaU zynCQ@s5h+}P&br?`rSBQ(6gzwmWaFZ39P^?zH%nCd6S_k;n-uL`4*$ged~mg zo=2>CrkQ3^J|P=JzSN*z$TjY>6HAQwi5(b%P}d{`V?9rw)myK`x0Jn&9X}FEO15esZV%^W^?x zG`mGQ7P8!Y{mb)Hb6I%!bi0Ne%jbO!$b1NU^-LH-N#Od0vpLykaFx}KXBY29FVc|tS601OgIOni;`Hqd=AdCqgw;V@= zHdatoo>!?evLp%d16^(?6@(=HS>kg&E~piszb_F6O6DDR#;K=CYM)bV_|FYx(oW?> zWxRf-!#|{xwO;GK6qKryzWDWgktvOW_Ys}H`y=`aEVK(COFdr4vjz$b%RKu39N|Wp z($Mz?L1H2PwdC_tp$I<@W#m4w*vdz48{qAC=rZH)g&0}N+Vkp`;Aixzcb?AZr}cY# zWBC%|xYC6H{qPHDSoC%7Sm8I{yZ!f1FaIBDZyi--w|D)kNJ(r2B;1sMgwjaE1_|kI z=~n6PE|C(XySqWUyJSmucX!u&ZM>gz&V7&fyw7ip-`@_4vDUS|YtGM{Yt z?$aiF+P+56m_mrYnfsk)^Y(iifye}1(N}hE1$Q>hKsQJO`yj$2<p|6#qiK}k$ei(zAI*k2wvhrfF$Mn{Ry*2fn*b>A|vLQ?T zp6BdG1V{=9GOF?ceBTdw%?!7MRdd0yh(|23N2}SC%bD2}I##`gE4YyLW0J*8WKNN0giw8idFj2@ zr?B)GV6_7Hniu;tWWK}2pA%z*r?$9DE$B9LuSX(7AFMlV7+cCinIIy{*jQ2j#PjHp zivdK1iH4ow_)#TjI^;I@TGl2-#9Wm{mh&$kM5vnpIi4py4DDzJGI1Vx&cn+WcT zrep4Q5(#1C*2<-XPHr_J9Hs9~;2cR}wrm{MTj=j)nuldSQDbd1d#_?hkWYaEC>CMM zN?RR{(q$ObvFj9v!PZh+hJU+cki7i+QGnVa%1l|4uwYF(@bC4ljZ9fQ64=VeSGL(n zB3NOz#ue-yjf4!CUPO^j%HxDwQltj0tf>2SIOSI+ZF@TYvh1|P1E%N~yAVQhgQZe# z5q=~rdx=ME&D)1MPQ6Mq?p+o)yS;M``?HtqQ5qSLd|?4g3|36)uJsfL#Zn6WBS_2D zLK8RjTcsMtuN_v*o}*cB*wVrGznUe>HVwZa-kveMU6?}v}^n`!>* z55Lzb$-=?|NbU?s{oY&YMd#A*>Qu~1WqcP@)sWBKqEE4T`~Krcgb(Pdp^ObBuSA!;vK`>~B<4L(;_V!bXFWWMu z)4ufq&q-G`{qIam7H90#*$i3_k+x%&v7!iX&E%uNucOQ&^nVAtML`Xlg5b|I4uAfc zng(9{&C~{x zpAe5y3ydgMy>?g>ys=aPX7j*P#etkXH)$ zf|8<-GQr|9)hQTbr%LS7>@2dw`+*nokbA8Qxk}nIQ?J|LW%VfhuCF{!C%-EPT?YP) zSghZ)wX)ID&~(6h$;%f}|7l~NP!mjtmx%D{@1RLT^^*<$R}b(BWnY4J{DP7^tuF56 zxlgtpqsqs58ML}tUygFS1>bD>1HSyljl&C*g8g#DC=Q{6UAtEE<4vqGk*ORcAL+3r zbH}ZWw#C-|-04I8y3*?Fs8<`OExP(d1HzJ2J~BnbV;vpc@ll9?HVKMp?^?L(bAsc27nbc;*|GH7v^5;1lcjAV)Dqy5oo!sSeX5qUr>+qI01>~- zulaY4l1+Hg%Z)PIHqTN9l#%xbm{z2r!Y%br*w46HDdj%Q3a|9xE*noaPO!1F0v~?Z z4!KDCJFWyMKL5s*9N&;kn*jo{?YwS78Et8B*^TPhAY+09%5s$nsDLf0wyR@q=U$zA zz;~s9Z7b@N7x)@8S^Rc_^)oM!_VoqcwE4sj6bw<9t2Eu0`BI8+;o18Fe5Tk)`o}IF zfy>7ZO8z~>MTJG>7}RYXbV*_yh8G_t(@YN6-S8%i@Sg<|Z2dqmXwF}NED82VaRKV< zCnkf>ep`B%W`u87ML*uZq5Qn{%`Vn1^eM&I>uX}srxj`i#!;UE14dp&dL-D++x5p; zWTp4YTfM+b$27ggto{r2O6G7Hkw=Tv5f$eZ#N;~PBi=vAvB~TC={@*>WV4z1W$FSfFQ^o7WAW9a33;!|X1&%wxD0xR{DB~yeCqCtR^A;# z{*xS`$RiJy980CvrdgDA7s`bE#Dk;@ny@m+H<22v&wiTY*0cM({Ojx4y24k;ZfSSQ z{@=J+&}Y+KKGz;)iV-*ySQv6FzE!+aofS`(xa80mJ0q*4e-I(2vD3k!s}NV0p|HRvh&mRaLnwCU1Wk=ue6_g{k8b^sN+PmlKu=WB>0a+iquF&x>;%H+5Hv;*u!#O z$2_o)Y52IWNNl~JSR;L3$g1RGnhIT!;j|8iaF;peD;J97UyuP-$@rGa-dd{~^=ydw zv)O*@$pMwhpm?k2T+`73#;@h*!>RGpQAeM$T&8DnKApD&6^PSN#mGLIMgVgQB{6J< zf!lNU<#^2y`Yyg$hQaB5DClsl0(EK7BIr$cL{ia|$4W+m+P4{a;}yW24V$_mzIbP*NOJ@0N8=x7YhF zsL|{8$5~jr$W-VjcXHSwaZ4%=p)f)UV`>x>g9I+4U8ziQj8R?-%1;6g#e*@3PfPx_ z)Lb4E!W-B6XZZ^}Ud7Ls8JF?(Pz-)Q7M()!^HIm=4ksRTYws6|z2{*UIgLXf35bns^=7?AzXx`+R7>prvn*~M4#DnO>5a}5#fWs)GMdv0nD_Ja)~vzm$CaNsgo z^I|~_%|`<#aw=?-GEOB5h|)FI#s;<+k&5pf8XzmHQzh2d$TS)iAS}qN#~sfwL&>^a ze|$Y$*U<4I$D-GH3d$Mn>k?C?^B&ZB;eGY4l}rro#&-dGKTuZ^%)Nwwy8|Ks-}r;i zx3e&>T)p1AzLHC-1ME)w{cis8aic}Qx6a$c$=RVZsOO@%+Mrhs6M^ButPO4X%+r}{ zU?iU>NYq+jB&FuRBq|kWq(2hXo0CgzMhIa&LVOf~*Xeh{#$_{h`{>rnmOOh6`&FNP z0bb+qOj50o7)Z}qM`KHA>4SCiqy_iyH}1y&ym3$BxwuCa2aF2B*83pT;1*o6TjCzS zFL+NOt81e-9Wa2!A{2Y*$gL6n^t)U(7{0?Uf!px?nBNn9Xur_ii3=h46x;coD47f) z(mLpEudI9@xAKdED5n0zQn>q#h;PwJ2|mw>i-#OV^M9f?rVzhi*Ex;D^X)2X*z2b5>Fw{ooe=YZ7ApAU}<{%$w^BQwW_`RQz4zZaq0M3yKA!@m)z_cV=!)?C1zm z%IR+fgud)GB%m63YaP{(sOy&5XZ9zVhFG35iZYw(g|@Txi%5{IN(?9u>to`^x<_w^ znNB_uSIzq$#aTcG9oqw1FMYccGxlnF)1)2NPWcokc-h8iKT5=wn8zHEP7FBEh8f_{ z0zb_A3^dXUHcn#V+jv3bUDVf8UDlB1(vnyZ5IyBy`)gG{AWy(NUhZ91`qE+mruE;f3JPS7o6@IMGt+xcY5o;+S7 z2rK6P&B7b2JjnMsXn(9nfY-aj_G*pRJ+< zyUuA9-0mC`Qs|!b+prVRDR9AA><7&lJ>FmbeLjfdd)E=NO}b8*C0*uPYHncyqpyMa z=0NDVXcY^Jpr$H7f`wxy>_2e4^>l*0#Ry^HS0(+bjTA~)giWG8g1phSGL&8ht#_u4 zSilcH0Qgq=n~tSW1Et$?YtL&KjX!)SDl_6@FuUOFC$2zq7AE@8QsEL|YTK;5B#^@D z!Kbk>(rFPH`QC^By@3`FdkHV@XOuJDqiRV!9vd_Wei_0rrD;dVtSZ&1BgTm$z+o$3 zF|&j*-2(8%O&^mUA!b%$EEG%8a8Ng?&xzU6$?J3~qQ(irj05O7qZrfx5de^pR9tUi zAG5Mqa$rzzN}V-+qt?pF=ma;fZ-TG#96i_iq!}@Z1Q!-V6P(}vt)=*|&QvwJntPEiEVS@(D z2DbZ$4UB+*#U8u1lH`eoK&=b!ib*@-jrAGf5!H=7(`ILxZmcYuR9Uc@BxXcD)p$EP zCP`oK%tXl=lHZAj@A=$E4_UG?ozYrvVC9&Y5|w4Gl9*c&iH%JzP@g1F@Z~ul5PDc( zMtM%F4i60${Suk6Q6#o;HzGnO>Ki8y-|~88K+HSVeA}fBthycD~>!} za9^-z@&+`XKU|Rw65Z+)fG#EM{g@sAn`g9n4M;dtO;AorKJ5cIcaI>(JriT=BaYJy zH2q20d*E&?>7n2jMJaDcSf#xdVdHWE?btYVdnsp>Mdo^rOfVPgkA-3##>0pxWQ`(Q z$t#foFs%F@`d06P5D2*BzMjt~g~k`}1tLxbHCK!jS|Gac8L+r;C3cJV2x)#H+Hu}F zaK4#>Zmk#w`SNF}Z!oJU6&OVkx~eVjvqrufqs8yE>l`mjXwUwm=UmwTw{^bqTCPm$ zVt^(zD+I)Xv(?5b@qBgwyOVVroVRG@SZcEAR)5(kVN<;?f8z3mZtQ%{dnwlUV2?(i zW)?TZU@U2_J2JlYW#Z-d^4XGz;p5H_b^mpuNNg9a9D zPaSmO-Ir+BCQ*?r!p)mggv&NM`K0t_**DF_W<^|35#BBwU+I)rTkez)PP47+j`j24 zaXY*~aEtI8fq+Y$+h1X4;xzXV>IAm6j57yBkngbkORl;8w_M{?_SHPlZ;8Z&TrLC( zgZCW1@o?hj*|!B+$|>2@Fn-c))|QlyR;w^WWyQyv?YDnA zxMMxY2*Z-Z=FhHmO$bj#J^;G@zJwuDij*tbhW%$yeBMmV_l)G#R^sg8z?&H+{hgoM zqetm@Y-Y??r>ft=c$9T7n-kd~d*otKDhnJdH~pgFii+fNR*Yh_OrVuiwP=nhD8ynN zsXyT-q34#zRSx=#I*so5n0$Nns(ssyc?7VR)8nDZuMyZ+bRpuX8}{*KGgNDn1YJVg zot&!<#kn@i%$r8kM&dDFlV3c1x-B4oznT6!NMi+v=mV03RhS&Si+)A@WJd};0B(Nh zP{;VmQc>$>IW<>>*MzuNDLQ4z1F?}CRF7UfM^H3V>;?`nI(kZ*)DG{1ER=~JO(8co zY(@2P{vDD4MANh03v&csfC_4p{#~$F6$n@iXX|CJi)UbSurazaaJto5t@g^32bG60 zKd6wM{}TqlqQ2w_p-sEClt%_EDybf+jEr4vHJyeor)Y;~(neYItMi3wA*p@F%ofKi zu7?+e$s|9o5wPjr2zJon_LfJ`|D@L1Qd%b0$}o$(KNj8-V9KI1UyWDJFj$BcrrZct ztFuY+B;=A#;Zz97Yqgq)@(YNF`iWDGGU}|Hcb>%?Y+^%lv>Jdv{9&Ddqj5b!{I9R@ z1JIDd_>Y{5=MMuZGmg^rjL07r51-;V{v6Qh5_!~n+`(Nfl+&ETLOEMC6#q7FKowc) zFrrv&QEEGM^PimfZBAcc9k?*P>odQ_rPsv~F8F1e|7t|kzs)!H0mAt+TmTRby8{(5 zi{dLEtJ@MfI&?(!an-iR+B1yOmhDK~-7S0%5kLGrOLlmop~tv*s>sf?_cS4Y=YaTpql14? zP;8GOU)sK?NU*a}{bZ*Sz-K6@L)!{sH!W&;a*lmZ26iupk7XIE9);Y790Wudrpl1H zrups$?gs4!8+@3@*>;wE)squ{_;Y021()fMD1!0s!{0E0e~-R^J#n-uW{MrgWZTQi zZ8I)%a|+@)9AnO%Xi%7p@p?A0rqKK|8}&@XE+WyQRe231+35wx0YrZ2095Q3IAmdTJ$s3BRh-zE`6Wu%9w0m-qWR`Yfof&T(gAij^FW1X zaUSul)Fq!lhJGWX>7pjL+kN&$-@fxwu(DjKy?f)Q&xrlO=XYdCPl#8-!?{9v+jWUTxvw$%~MQ7oo%F z_m~C2J+4*?ze4YO-$teLxtR)0lzc6V5XLNbyeApmNvag;0V7p6UmT#1eerm&N%88F zT8v8eyg`%UeHPlc(feg;)B-F5IM@v4mV6iKo{M-(gGEr`O2c*)28;$*R9C7~hS`0d zH(u!z4ff1gQi=Y!$>0FBBA~sIEx2_fT4~`RyKC{If$$|VsMobOX&Cwe>3a)_^bGpu z)6Zjvx)S1(UiSBli2JsQ{-RiHo3lotI|IT4o&4B^RQx@hV6pS4*2rD2@hxBIDpy0G zbNjd)+j2fTko9O@|7+1hg<)|)AXhQ@I!>g<^q8@J)RDsMw(n8>f#5SG znqPdtbp&kyV`f@RmLdC5M?MXpo}HSXb{0N=qA!W&YE}4U+Knc*2@wimeOx%=I_GGO zezpgFFQCElB@m8Ew4B7=ijIa{4#%;AIFt7U>1s5+p~S;@?e_ftrIoo1ByenuleRF4=Bi?sHQP9tk3 zsK+BXA=Ci|j}|^j`7I@Rtg*t15ziNIaZ{(AiiQ3S{?K)=J(A)e&>D#Z8 zoONPlk>=?rdkGga^iD#o$sGj}ViCcK`RfoD3c*Tqs&nVB}2=l_xSD!ep)*@bd!Z6-$1wByX!# zx>mrc*&+5aD34!}-RT|HG6ibeF+H~**M2=Q+s!KcjEe?6_bewo7FTiGqHNcqx~$g` zP3YO6Q}#*s6XHAfB(a{vaY8#>bR!(?wm5;9#JG?}Z>7|IMDc^o?>{FZ?2yJJxLmqs zEcWPpP19eT5!zK-sV~aYMJDs?)_Lp9DkL&-v}Ry+QA+e%4wB>U##${OgPYV~i3htb zO9Ct(LN2=zJ?W5R_POQiP`!ez-@(uSBB+S0QTw?U7VQ`88ky?Qgc)}T_pL;|^3cd>E$ z!h~?9<#(!vP6ntBtu`oDMk}w(#fVI{P2(zBR18zZHv4W;oj{mO#@qZQ*wMOsL4*$5 zqp=$|HwrFHx`kz?l;7?9{nBh~i!1n5qHx2QLLpnh%zR0wE_=z%KZQra!HUI*2bxxivCDK;(jkg=0GWr7nA=s0M7OPsoA ztvpBuw7mYKBRa0qp!w`>%D5PKT73N%qK}B`!=U~r^u_hhoDF4cf{#^NZx1CL25N)_ zrlbWa`0XQXdL4{r4-_yvb(R@w8u#^1IVV#40wR#Q!K-J~mdRHx*5veP^FTy?oZu4w zh@Em421BQs0r{8U7(d#8=xRw-o&lXE+HjLihL4Q6K#{ z5UFWTi9@zx%``*B2wUlYiVBxI5*%pw5Drk^>C}I9O@DnD!>ISpF+OGf>tCllb^ z41KGwP{Se5fYq@=wQVcCw68r+=pbYp%9x3$D88+C9>`w{r&*UL*EyHm-7Z{LjhjO6 zuMY`7U5;xy6y8c?+*!X33Hs$_9Z7h%Ocdcfdg14$bUEF5{w`ZST%pF?zLG)~GGa)c z->^_(HO$9_)fHC76K zUJIh?156;`4x}eE1X$WP{J%idma$vGd0QC4$R-hTvNynO=to4<;rr{Y4|4t zmp(XB>0`?;1IuMvB?#zf896hYww%RpFzHEsZ;Wscd`2iT??ixNxX<6~BZ+s~^U{UM z3e7NN!pk%rorLl=Vn`&bL)pyY{0Bhn)LU`Qu|E@i%UZ-!z-CH#-s2R6SN|*-T`jNz z-`T=M9Fh=gU}40*C{oqdI9wDE+bE~yzysRH^_KYD>*MNu``hDw?WbUrYK0(g6(jJ5 z+whk+v!9Hp2K7Xzw5DD#6XOB7STk$bKOV52ew6_?D%h3e{jt|S1k3L>T`9rHcgzR6 z;rTwI=oDgjPZ<_6k{{!ygT2`k7OcFaV@Ooed|JS8Qb+TS>x6{ZX=Xs?V$_og!SHL= z;4cj7LOf0z?4pb1Q?V-I#p!!XG`f39Bkq|sc~nR17j_v0o_emxH`f|hf}(p*`$%%< zT3E`K6YfWN+8)aySk{p3ET6l6Q!CyM-P`YPYZR!-0Unq&2mwVo3U$YRhwFQTG6TQI zz)?;?&>l?3h5&Us+sXZt+)*l0cYJfu`$)dvJTO{@4cMC{O97U+nhvRB!Cd)AC(KwY zEAv1gI~#8nk3`q%yQkn*Cm&ItwgwM2s9TtAe4P#aD>ORB+Pqm6s zfZ16W@kju(pVCXk3^n0=cltbNf!)*r_BSag`oeAf{K+y=YrvJ{w)NWO-Wm7pt(zap z{kmqwjktOJoDRj>iFj9!uj4^hbc_82ntce0W;`w=dVgfE=%l>f$ksNdTbS7G=F@X0 zTP+_YcS4V|^N`k&O|`{?T3Izs@4C%AhW@Rz&#|mEQ=oF!dIHlYi@Vyll)*KsQT+} zl>qvG#C@Df%v3--0yOhkA1|aBp_z0e0jn)eI*CH=NuL;@22E*@k-#g)y{HW)GUTCl zT+xLEibD8HyZ)ru5M`~nZ(V!%!J5+0wyek3+KFmU_suA8W=2@Pi8x+C7Tu2_BliGN z<-+Mgo8;s`kmPFegd%v}$BUbbzvxl@bs|-Q*4I`cUyB{<=5j60O$}|FF$)AlXI7UWYh_4zOUBHpKo250+~;jGtkOeC!V<%7Wi z^Cu@ZJS~)%gapt%@tpY2(x@t~H-}xE4x+Po3M)^r5mJ!;B<+A- z!C>_0<|DT?9?cugO^E^w4Y%(XCO9>W2hc% zm7pY7sR`Dplf9xMg6O#lKKeb`yQp*6#N=$X>_z7P?DutpQ1x-=dxsrQX+|DfFo7A{ zm-_eBl~JVj{2>%_R;d`su`PZn6!IScA*DoHxBvT#SQFUW9C84SJQkb7pf5zx&5j@m zaLQQ06r%d!s#@guWDno~Okdu7d}(FDC}6Lu8WG*%zwAS3Cs8tu@ZjF4f=2MMTOaA1zUnpQ1 zikZ}_sQwXQM1joWw|5#oJXM^kN7*d8fR(O8PHMlCVF@E{D{hwERH z)vHr?4ogvkBD-Zo@PI_s_{jMt<%az9;q^o(msd{axo7->GU@!8uUrh|U6fMT#eh=j+L3*C@N=C&p8lyTVu2Du&75U9zp zOX=2F-iUMcZ~*l=*H6|%OpO0^kaNTrKYTObpS0X3|HJ2bD#cXOoP;HZz@FrKrb?)? zKpwXsSZOIkpVUg?@feOF0m=IC32-4EV|dj6uc5m+utgJr9*;p+#?zA$>(P1!7f==T z8nu0N%=fp)7xo=GnuI!(VhEQ|@)uhGpJ|;h+6g|h4{beY$+x($c+9{0_^H&Dw&dFn zcl|CZY#$ftZ2avLmP1O&6^@dAE;>hXs>iU<5<(%Ik4@Fk1d2g8WXsxg{CSNI#C>VH zE0Vvwfv0tnEpnwZ3efij`>dFE19pQSV<6b$iRgUy^W;X5LqdqrZP5A?h4;2WU1RWQ z^`#=`Wqc%bU=D+gB-D&NKCN_zaWZr_W7{$Z8Udilk>0O37AMBX*Yw^Ks2BiPTgkxD zZp6IV4CLdDN@l97Ln$3uUx$Swb6g41?e%bf_fNf5UPxsns|d|3ZrHu@blCO%tWy6e z^oaV8*GVPhc~lPMfn?)kpEXSv-xf?#&(8dylqZ@<4utH}ce+-gwGD@cboB$$XGHFG zwYNofG^x82(X%z2=F<7+5J0Mms%y`?{&&k&#y?xG0zUt@mMg}VHb%<-?>$$Op>p!_ zwj2l6baQJgTg`THKyr6G0czM#3LQkZ*%}e8GCHF^vveD{f@MmP|4?K8H3!kRQQ(L{ z!-&YpO(<4mTN>KQWox!P{tyD2fd&8)CG9Z~RcUZNx_4oCp@yj6Ts7i(mHr~~CMF;L#hs5QCcZ8oBK zlyqZy;Wo(6^zHpvPdXmQ7o;TTJ5ihMJ62W`b?>dX;hK%krr=^9>r_EUU$A&Itza~p zR+o5Ul8{)h3bxu2Su&qL6!{>s)y==CmFf$|LliUzclbF@jkyTt;SW4LLR7Ede zP5l_)5W+7$eUFU|HM>v9AHU$9{ersI*ns@H{F`j~wExvm@7IQqBiH9zFB{Ed{8`?D zmM*&}mnxgk1<&o*7JplYv_vG@E3}jkJ-+VOb*PNm;x^Q~Hz9Z7L9LojojQ}KKfCI4 zSRKU$TDP!AvkStck?-zK%$I4iWxX4&?NX%YLl64P2?wjRq({%$?^yH#{h&9jNmf@i z9^#Rcz~gik?zQ)Kh*GBSpd5I+Xt!Z7*%)X6`)~HybJVbKl&5$L+I$~?Uboi?*r;T{ zQ4_HN7b7+0PJ?-byZ+`ZSefGgZ3` zi67LinT-cMYGQ1DQ~|}n;lM-o1(D*sf+Uk1ia!-Abt|JiJ`QYR-2iFfs=ElG|u zD*Yuqz3+hXkR=n$Ab%AEu+B{?5Q1nK$|5b+xGT#Hwoow1VqHj=n z8#XBOLT?0umqUahiqcB+!FQ(hzWbshR86(oi`*4oYy;z_U4?(4n02Iepj1F~-nHMj z)~}pcd+sFAu&{09imDBs`RSPqbWHrO@iW*O$Nj6SPK(&B@|Thq^0K_8aDJD?BIw?W z*livCW-YsTX-~~hXQkw{o3GSU#Yg%|-l;c%9cjFjn6ieR ze7+Q)f8#_&)`TT1dl61cYmb!tbT}GB{^Z<;Wb>JguzYUThcMZYRBsSj-=yzDr>D9; zfkGKQ;`Mn_RFG>8yHf^6SA>a*HB!U8n$NX4aS@yU?mQQoS`|UtVG#e0mdlfPzum6R z#p^K0KGNB4|5!W59NU#!gaG2Rupf$kWd7e6KQBG0FiG9%8h87urS9^gwuuXatBP1) zpoi&HV%rEdC)XvhD|zh{$eE}&5hrSGhM>5gsJUFn<1RHiC%4y68e1&opiNSWSu&9E zt^vcEKXYJM{BCQiw=W*EQ(Rf9jusXzpj`?$In|myOpgD#BlVlK*qx7Ck8Yc^{;O(EfLXopj^vUhd5XESC(7c4hs%9n@2c#bnYJcMfLCk z2$CaL2ghW+RWK@hW#P_cb-7O=HbS>24b5T6GW=DOYwws0J6rm#_Wzn(cxW#J)M*&C zVXr_I^Nx_!grTT99417AwXSLD>Mr0bjE|{5giZu4t168s`C{~*w$*0fISwCdYnc1i zF-T+-4}xkVul#L8D~cZi&n zqvZ@{3t11tnW;2m(QTS<+TYUg=2h_G0F7$T9$HobMfXALZD|Vpdk8wTRP(R0E!cbN zo8LzM)bjz?bgPSl#VIM7swi5uEeH;wd@wL+1_Wry@`hK6u%~GPrA*QlItT$&2KS0A9TqAX9nR)NH||zUPTUQiBiLvJL3nV|&xHdA zw*_AB)AB?2P^6LX-RD73pEkz~(32c2g64joo`E5qC_p8}dE0BeF?;wcd)1(G&VdhA zA>{{m#>c<&yGT#=@EeV!=gQ-2vTNt`kP%!e2wdl++l=Xh1oFZ6p7R=0MB|!=1e_3V z4B~obVmK!{WvF@{z}#(SW&rxnPqY077BFaj9IUKyM(%dsCu})hc;6mhEcJmmQ{Mg5 zasPb8ndQorJ98hgk0A%jsc0yEII_%hxUHp`KdoBv-2`9>hgTnnEPy413p-i{-pABa zX+*i@zRo`U9H3baqYa#aK}5@G^ED5GUaalL@5ew7=a>^#1yOxa$^Z6ZdDuI#^3*{(YVak9QE-u`$lGBu?Fn_$3*4_4=y5xG~*j zO?aQKU0hmV8OQJ)hI4!NOQ2e z8-7zm2BBH~*^By%6_G*^@BZ;!cnomSJ#jNf2K-V4IOn2HFunV??czGn{ozmZL>=>o z5X{560#;qxKRZEC4K0AEUN-Vqi*S?gU-@(@7?8A^J-Pwk(i?@YdO^XWl?IjMk1B>* zVRguhwM6j25?6{sJnjt@VWFC(<#WK&2?(S|-nMITzsEVR+Vnf0*9_{xveVt2YU`ee z^jUphMcefH+9z&xpx0oN?!=gU!n*L!d)W@O*F9R_&dL z4Qk-N6oVO;)5)h<3Kdp$2cm{eis=vkDtim`P*pY|uHbRKJ{x5X(oUpE>;Lkl6D_KfkJX{@^p;a2@r2 ztC4uQ2*=w(y6+WZQ}m~rs!^HDKJ7v*+q&zhmc{dLhqHp+z~+=&xs*F&vleicEE`>XjavlX@ucpi@(3ug> z-O$~b1$Nr8I@ee^{AXW)jw&Giug3>{$35ZXbCd~Z(KWvT`mh86V5P4Ya=o{MjS+6l zeza`k`(GFZXV>+1r7z8|mh4O;%p3KdPE{&EzBX259&v14pWo&?zd^npYWXz0q(>{c zTb}0YHhUqryKZjE$?Kl{GM{_IsUWY9v3l2>=U`f^qSM{&8qsJ1d}g%Pv>EyrsP|tx z82HMbc(+hoK$`Z)*MvHUXAv$PPU+1*QV2|QlC^bO z0BM=#a-ajcfr9SEx9vug@4mhArU1%&(p4DtN&L_>y+e7`zu`Bq>717cP$fix)>#p6 zUPGS3q-pq5>hOAcWFmkRhs8$LCQ9_Ckuzv#0uPf-erJebzQLuR3*;6LxGUQK3igE| zpPmMh@JYI%jmeL_jkxgxTR>Z#&4gILQP(g6r1;8#=26||Joo!MP95o4z{USl8)y0d zuQrYY7eNTI>vNl4gI0Yzxredwc8T5Y%Zi$}^)mb0TK5#3b`JO`Yi1pBFS-~xUK8Fr z({@l27qx!7tFthXz!AfFo9r->X`|Hm6Y`bM_R;FrybEHI7(S8$P))gLVF(#3hWG%m zHlxdeSOeVd#Nc+R-Z^r0pDR*SWV+1{!Wt~AcVR=NP){p&jxQtbPk~nUjQEZy34Vsf zR{ezcX??%QNhii)Gnnhvm?zs9sj$ot2oPbN9PKoMWYtt9z#ja_MgbRF&xkVkCl-M; z@Zt;2pviwP{s9CD(;usn;VMi3uF?&}e&fB$5`mU#SZ!k`n#%TezC!%D?r<&H)zglU z-Od!rz`5#Gdh=z!{Q2fn<)+~HqU``BHq`b8Hc*>hA*2NeZ7$Uyb}nCbQ7m1CP>YSs zqhlS;EQ=Avr>y!?%Kg5)%)JoKR;WMf$F68H#x`p(d-PFroETJr?`f12=4P_wm0Ojh1Wf zxO=rpTPzo|RC4>rqb?t|{#ACC9>!&FC)<9-%U4VNWS|0!@6dOX;~qsOixg^ChZq3d!Oc=kNO0U;ZfUD zW?Q}QIRgIZqPC>3dnhFKm}Ht$-oeqM_GA1QS7-$>sLOKN;!rVOt{$Lpu8 z854r27R(pgWu)ht%u~`&?PVT~-W!S7DmB9@Q zrNxSn<|eLwm`;$Olq=F|(xmjroqipy9`s58;mxc`caNR!N(tI0dni={`==XNc)1@4 zFGn@I&v;uEzuf)}ed9iTakpk87XIdZcVLWMY_D=aeL)BiJC?WCZAqI|DY0N%gU$swGDr4Lq~7f{dvVm2kkZ2CM-%gH zt(d~ttSg`1IyLn7>f6}f7q4rgZl`1LP-)E2a46d5z>ZQ%6FpAViVG@%?D?zXpb zIG4CsQs6+R{omgjF3xdjb<(@L1r8Mx&fQ!y^v6{yx;N_I-5nfbksn8zeMVs(DF^9p zwWB#)`Zit+6YqDJyAM7C@g7ioK+_1SfX7#<+#tcpIe&PxqVJ2rlq^kL9c}{z&pY1C zq|l_94O;T{K{czn`qKvvv_qHar~-`v6$~H|;4o*+N5OYSi6op0OyZHVST}_(ga;NW z-<>GkELPE{jm{%1Y}QevjbovFKRO?cnN_}Qz+Pp>-5F{$zf)hmuArUwtP$HljHa6c zlxcL`!TMRw@$O(`vpP4RD&URKFSW6)?c=Tty)CZou%phG65Hj^t+O+d7n9eaQ@)uf zpijx~Ec?H3PaneF99EfHOcw9+bqUw$%ux1`B@@fcvvHDtP*LiO*8f7tyTSUH)_muy z*3FLLjMK3E#X&>BN8-Pt(LRmN9pP=gP~z5&=O}}Bsp}Rk@Vt<=xram`?Eeo0moNkc z{?UEUeyHyiMfry5Yb!D*96D^LCq9h)e62mK$-lH8QI?DpD&{L)kqaRBhree-@C0y; zohp+_IV@?s3j;n6k%taIhTja1z53iEd#`4krVB3|EQqny$|}s>MiG7J^6yGt9lZr= zhK5TQf80`OXn7r>vT>`5ed9ieyjnQFIu%vLc3-*UyBHA(r!mUTO23^=w-{D*J=}}8 z9;sVTYpi@`oB2M%)IP<*kr7}$skr2__Ej}BgZ*j|*u@BAk~lRGl|({xNE1DIuoR3+ zNC5|2ej*9!3?AVXzDtIgL^wl=?h`7>RG2A_$#}@`g*XlF3w|sK#OFpIA%Co(Mr~zI zf!_6Nv$wbr<==P7r{Uhh(B~FggrQh+T`#Y|OT2EQgCvx$7$Evpr`Mpy?c12BeaV=5 zU{+;s*;iP#5I{-X_DvB*R$kdNjh_wSRLyt#juitm^A0t+@h2wb+WKD)QOwksIhbl* zXaKKQjJlG!MV+sk?EytN6Jh)=2Ln$4)m>|5-ibX+YU)Y0+Rxa$FHg(rONuI+)7l%S z(SfHwUSz(=DJ~NJdBwfV=T{_rg+c-EP?jzF#-e~PdG2C%iJGAJxyd#`7o+NcP_je{ zGjeBTXQ`t6W~Wg(PwA~CLI1C3DrOP~c&+7sWngRmuL@wIwF~TIJW}`BmjaS^1U{Dn zr&U>5N}KSb1_x_Bj8%dklnjZw^||&N7e|g8w-;vGGj4TsjRgW zs@ZQ$b>KZK;u%PBV<=SFv-V?@@N1<4mEg+rx#Fu4`-AJgyRWGNer;c_c3(f6@ykT>He-(A}$M;CDDoT$p!9BpT2aL+#}bU>4_}m9OoZ7s=9C6 z67acBJ_nr7abgqOX?bYWl`mLRCp%6A%y3+?Gg5;u^8*)v{Qb4j+;E{w*^%m~;?JDi zBIVmVyxN;(+M5e^cQ4{QXsCkL#{Z%0tiz&g*S)PGB_$$V3epYIWzgLrEh5t03_~NW zlG5Fh(l7`JNK1Ej4mHFKGkg!e>)m^={e5fg{rRtl90$nU&;4B2?{}VMvzITCUT$vb z)t!*x?T4z_U`wJxlXL-oVnIW2ZngYUM>M#Zym-vg8g%I;?Zv$bEJbKwhKZ#846hSZ zr^+2{GKuZ}r}zJN+@JcFanPRNLU@0)W3w|M!d0|RywWO9W-Kf$M7BGnc-+?L?qaA$ z+2fM^{EMNEEgH@Pq)?g~J_WQ#7K{&y&26}wnRH7G=yPL^y;tchZ=F*kbS;5unXb&Y zdR8Na{==NXDT%QpPrw@Et=2SH-W!`Arm#}$qfVm+Bh-SKn0<_Y1_MfCus^_e#~!R( z#?SWorXiKY&-+5Es%5f2c4c=~c;olk1Ke`8m&RNMg0e)hVyA+l25<+`1$DV5O-)1Z z64iAUa0+r-n?C%AjlbLNPZ8ulUR>;E{^E0c`SlI5`& zMW!oe+Gd8$VK<%%XE~WbB$1b;^NwiTup#cRJj`%^cEQ;XjD#sA^HGvn!>r8gKLGm) zk43i@yK&t^FXJ-C&&g2ki*~Nd z+P}#{mYvkMfVGLS!_M++e*wGl!@joB;YBdQF;8Wt0Le3=rjC9gpCl1IS~r2QhrveG zOvTK_DqAV`k+B-dzu~Ut8!yPKQLBGAW*ksQmO`B9o;Q zcFsHOWaq2n;S`FEDek^ybNnov4xQ^XODR)%%c%B~?5fDLP$N8A9^-lK zI0NO1`QCoh2?fK%XqtVfWNuv0cr|MX=MQB-I_TE6cgHVT)hqg{vNJ6D=FRaaet^RS zB^b&kd3||Zqhq4$+AJRzs4U(y36VT8!&)4)aCC6Zy8eWzeg0rvr^sir$VVV}uh+Cc z5%$4FH(AQDH(#==NHsKv_ifW#`G8`{xZX zagdK;5j*P?s_`%$EU{o58F@Oc7qd3$uuQphO=0Y};WdF7D=WdUt8x`5^~-Af?O_Xf z-+ROC{{2~-o8T*)_r-gKZn3w->oXUZ)9U!a+r=A7P$Sa}0M-d>oGafx0jjJ1j>5b= z{qH?|?oU5VF_^NSah_CQ&+^x*5n$j52@8Zj%r(d%DjMmqWGc0nNzP)*{-U9;9nciY z3glb{(weAMC3$IXV=7PrlC>WJhFWkmthBTC6rD1UV1}y^fIhw+^8jX`TvWy^YiA^f zd51dY2$W$fNCNP_17n*weg+^Li%Km`Tky4O6OM#Iw;b7qBDJNspM%bf1z znj77w3yLWRril9N*Vdi z?2XQCQ=k2HdztS6r6NIm2lPCbF3;D_;u6HlhBiBV@~#iqYHiPe2oE6^ShoZ8^JajO zrEQ}mGr2vMy?}0iP_tu+Lt5hVJ{YQRtdAc%%F@(Yh2*zr{A#I50H5k^;z#*uV!6HJ zjgY50Cu9UCYd!iaYx>_QcV4%*yg!sXukd)ov_W_I)=P*{ldF{mU4CyPx9m@m_XY*_ zhVKTHIsXF|nJf({7_7IO)7k4npZu;(q8R)z`xCG(|N3Q^_sBDyOu+XJXXDwcZ*xGjst}=d{_5|S*&5=? z9NnAQ5~>h-bQNziG_NHW`GpO|`1_&n-1-Znm! z$yk*kqU9&MoC;fO>qyKEU@5bZvyF=aMYL7 zz7Zu)hIIWYUIGF0KM@wztX_&1$#Cjtnj(L5l$1IRDu#acv?iJDVxv0e(M4CV zSuG9q(V?77CyZNGtGPh(C61;x6E6gCycGH7oz!HjJ!Fa@HJ|;-;kgw(vps3SsnKow zvu?2GliTRMu&L-1Yi)ddS_OfbPol!4S9~Yx)umDn?;dJge8lKsQ#Ju3RxevZvbD*<3(zsqBXDYTrDW7_ zHwieHt5!cerGLi~W^NZgg!4v6f4R>+1jKwA-6wdGVa96_O)=)l4W3jHJKgq7ufIN8 zK=-Df(xl9r(gNzvLFN@`Avh0U?<)aWo#!{>`=!a(xNB0A*vhLp;v3Vo0HxYn(-4qq z>f70QrjsDNt*u$hThHGZy{DRT-XDM{RZ`zxl!7-*Ul`2P+UVMaMSsiw75;Z06e!#M zFX5T6Y-3zc?uVb0nw;J)=n0;tceg2iydQr*!7~9L-S3ju_viqYT~PT5FJYomG%fi{ zwLTM-0n+V`H>d$i-)r8foCa_5iNYv*N|bubY>sN9wNl=*_FUe_w`6ns7(CIs^cS-o ztz(p~!s{401Q`Hk16vi6ArAOrzIFQXlVr9& zt2TeNAk*0X4Ja+KeYrhoq{+<&)JI49{UnchS4Ld#FPb0%qg`MyWc{{oxgjIku6a4| z)_`bEIli*B5-^}51P(Myb-q2%{(>_%bAwg40i5E6<1#8E&Q0lhl^-}Wz$+}*FfPH> zh^2*~y$WBP*m+TmTv@x0aIsSBrGz4(L+O#USLuS^?JGOXkGGXKSxbOE;-s*uYYEWv zQO`yL+EN_|hvBKz!DxViLpep$U1c6p*=z(9yiRy6VjD*c6*vL%c1P-z*L9IK7Liv; zNvW%krNKL+FJ(fOLsZI%40;7si)_Xn7v^(}4OnTx*t5*DRmQE}>2I=z;R08^Qu3~d z#a5sCI?HEmBa`IK9e&pPWw#N@|EiRnDG*+yzZ+^U1!2n^TzV8<$8bv=yd`kY;clUw z;ZTjaun+QJ_1Ipw^zJ)o|3v9%(}A`GT%pGTZ|Yjmby3;GwEebe3=NBN89Cdv#m^k7#Xewam$Fcb_URBw;HL+#tP^GS3Ax3|t(UtA#r# z^GZ>xVrm89UmJ-Mz{Z8wH4{Om(G*}QRPF*5@J?eN^laVfT86T{PQ?r$AR8Y)9vhmT zva&J0jS0w_(r#cp7!O;%czdfM+^QA>UirIswuHMGogxLsrYVgrmxyog8UM!B_s^Wp z5Gh1iw7Ods- z;2px$NP`NpCE_+rwyyMfnDktB?4O8M)dYOlpK$#W{W49}BmuDVd{;b}{93TxB}Q{V zFTYpn>NQ*%&I^eC>Y@ceO?h_3=4fB%|vt7M*P z-hk?$Z2R>so%4I!ioXujN zL(L0U>BHh~w3N%4Z3tZ^@&6Cfv++~P#e3xG*Jln@OI7>iiqSDk&tBc~P}?|gN4hO$op1V~ zRpv|pQ6eu+l+QT;N(Y#<-l7y>X+3st{7Q-8fBpi0Q%bC!D!#qO(VHn7_R|D9MUN#MH$-eZ%c87|EZz!IdcWmQrU#vXB-LKVh_>|#Lo2yYv z=um)z&UeBu9A^^(5D)`l3S2M~ZRLbR$utkgmTunM!7Z|+jfrO#B;+;6OJ$lv*`9s$ zTT)btsZ~U6Q|ZMPJO4yf9#;W2^RJnn)|$UZGJg` zt@5oj2LAp~*D=4B(ASip>z%7g2iAakp%xt5tj*^;BT#}kJhGqnI+_PgYJl`5Jiec@ zVmHWRDJ3W>2zWa!f92*UY^%r)&tva@qnMm#bx4lA8sQ6(x{$|k9ujN^oXTI&_M7)R zqY-YJ*hq=?EXZv>bo*3^ZZsVlYEjFOR+OfTb)zmAxN-O0h90+FpH8cy_eJ?Bx7X-< z+a-4@*}AQ#HtL+to(iWObV3A)?NDu~bY_unu2-l%W#5u>O&~YLZ8}iG)AFu8q<{^?e_IYm>9(0ev}$1O=I!{{xxv-z>u25WqLZWH6jl-Va` zB$x%Nvw?-Pv7NV&Pk#wTr^^p_OIQO_Yq$BHLI;XTnK6(e>8e(Ie8hFPKCFpVn+isR~514Lz(L)pA@5pyn1>z>*0GJL$Q zm+|b?K*O4}%ZB|(PplA1zIMj(d064!qir{E=_~>a93FiVWgrbXeX!E!n$w|+AJ!k; zDf{4-bMrpG!2D%Kn(SQBzV9 zSQ5IMFuwY`-PaZD!ijRXaJXHTXcRgCB&(bzd}5#ZU%HSCCUc-G2rh-{6E#}Kqv)#s ze{i>b-KKNzu2S@rU9TAlA0Z8iB>7Ek>x<8Fk}YcvDmf^h%YWqoygaL1VN)w1Y)j~> z5KOG#UJrhLJUy|SI~mrJ#+f-2^;3_ihFM>M+=yT4OFSNqeaJ7D$9@dZ+@fMnGImib z9@v>my<*SQwYGm`N%TAJ0Se)AgHY4`ZCItKp)VP$i*Mz(nvUx3dkD^E#&~Yp9gfou z=;@v&UvB$fRr(KLT)m32rGoLubqrLr43I%)RG>ig$JJu}+1mUU4Ei7V=ii|wC;Sjl z`ayt&#it`)K8O|)*68a<+PKo^mfh!-;#=>a`V@6_(fB8lbrn)PU3vWJ#Omd^a3Hgh zX5f5pBl8;_T-`dh78Pb|zSA+vyrfdm5D*@6cb;5S#qQJMw>?8`nyU{*r7|4Q#=)4? zgsw`v+U)%gUl*UH`z>xAKYfUsRgQ8+SQxwqTa~zzAPvVhaW8Ku!AzfDiM}?Y)n zHOiLEK?1sI&w(rdhb)mHa>ac>e+C$8Vcj3Z`Ddv>)Q?_XrfFsJ?MGM6Qqb8q+INA@ zSnuPIQye$m(WdqXs-I;sRct6;6_w+)YZyl8^VO~0BG8xZ=#NlqHMjjtz4xT;&HdPI zgC59WPCn>g*U;UAXND$R;=acjwQkh7Ik^w zB=Na=k#_=87@*LJz)xK5p2&?C?`5pi_+?o@0c)owFDa9a1J903gn+HT{h}tbJ^(JU-o= zV!qH1-{E_A&JG&y3t#DI&naxpKhWDGu{sHJtHFb4WG?z9q*kW1WG{YDk>{49V{my3 zRLbgdmcpzWHinU|oX#D|c#b|Twyyw8q*$Z95PrNh@K4i;8Fm;P-;1tXzzNG9

lk zCKaTIWRAJI$(yxSq4Z+MqhWn;<#$HFY=b;fPX3Y1-sHF1^baFB(n`1DZ(z~yAwv1b z3BaU5VbbT!Tle#9577T$t(;=-X4pz8Y|;o%nq@K)hMoV2dils6%+_J!bD6XbMi@5_ z340SX1Vo5v7s93?$Y-cU7UEm#e^O?8)Sy9Z^}D+s#A&69pZEX-rj>Mxe{ahZL4EPs zuZ@fvp;IvK+XTRNy`|DjD5gQ|Z_0Yelp9S9HOFY?$dryA64+sf0%DLiq!~*3-VKiz zHMs62SYNCAcwe`Bt0eMJ-nQ8RB8=I93=b&4G?fYa(Byx@!31KLIn(v`ilb5DYW#cv zfA*#b^L9#b&ryS;DcxvDChvP${cc>%y{C-SouYf{Q;mQXpbAq`y59!A_D#0Hyt4?{ z&FlV`-Taknj(QwV4i@>uL_*QG(!Vpg&`t)=(*$y|ajqB6JX{rx& z!wj&~Wc!v`&a*nS1JtwPT%Ks_)(g5Ss_}-IR>Pa~iIQMEocRIY)DsrtIct(Kr5#r^>wEWL{&mX}`s3y|KewMoQGxJF6Ymr>+B{g<>3!*^M% zSuSA!xQ^LS>*MvfZ^Njf`oV*o@ttmf=68z={xD}v2EQxJ$S zvDhshVU+FqMXx0Vyg=WjEOCp9KOVWQ(*}r!u2msq%txn{E8p~Kv6YOZLNMZ02CSUT zXRgVwENy)Y*BV3h=uR5n!cASHT!HCY3IHl~68P)G0W=t8;{eAd%Ez_Rr+@tiftkojS{JDLRaDDe8T;pazB^t9F zo`_|2a)9^*L|i{X4unQY4!bT@1x;T-^U212$TwAI0?g-5S0oMtxBQ71fY{26#yxN% zEnY%lFE}B)fCHe{O|k?jW8glkQW^YTAWh!`NYmZ%DSz{Ovz-O3^)(rCGcFgzwuFP(#e^;}4vFG>t@5i(6nNq~W8c zCIy`uS$Kvwg<{MZ(I#1Xd;n-sro5!0utA5^b=jY7a^UGde<=Q|gc9KGJupu${1{K3 z4^Tb)=i?3I?U`TxHpWltiBwLFHFdn%0H)~pp?Gh?^Q{n-mIfp-4ntFvmAlF-?jp-# zCHuAkloCCbf^G~fc?F@V)jBzqKVm{$JaR2BLbgZTXm0VnwQPLase@tKGuB`?^ghJ| zyG7I2hd-&53tabIw#Vwvtdg`d84^GG>L}4h#Vk?KF&y-40ioCz>3Vg~@urYh6d)uD zG5Z!AoW>)2)!Kh^=^^s%}e3%if0q^n)asU*rxRAe@*-lz|(5xD>x>B~c>; z$4ICjCbVq|k4Bf=mxfdVo`vamWU|y5i`v56o-3@60eX?6_yFp!mq<*|VKL`mzmJ&B z5ovOhQ&Hc##koKCy18s0+JW8x?_)cI_0ZVI=7#YmsF!u_f8}#*WVC!P{`m_8K4Y2D z4Q8eWU!G8CWUBM#X0F61I}soMt%LDT(;cDIcnHNQ5%cp&N@+#+ z!1zwd?r^4@u=)-xtlzH?)`A!kt+ZH!|0*=AOh5Ly%U}2Lut)iJk|zQp{uoacRJmy0 zswgH+M4P}hA=O8f#3;3?G7w_n#M4*PS_JHlHb46+QPmSJETu(4XgS+~1?n_pgACuH zU;1$Nm7b2l^0c+=Ogpb~_gSK+TZV=teb%#8)zT7HZQ2Lz2Pbb&;q+vq;E?m-*Km%W z)hnM$%PIMF(z%slEeXwpFc)y~;*mcjo$~P!>C{M4!ieb~|ki_+K`O z9KiG|-9(FH%Flw-VF>H@91mzxcb@Nfv}r@0Y9l^&e#JbJYvz7=lv0WcmhMaXjj=yl zO9{l{C#Hy$^IFbED=QA+lc}@1y4o1rX8VPg2NmGrOq=yDnKw#vOa4nZ^)q{BTh1hoGANF)m-g*60wMS9F}bb+FsCy67;Bo zou5KZzd5YhZPfSgTjOVUd!iv=U9oxF2 zpR&P}xJ#lsj`8hNyD18-2sY?d2NBDkj4$$o{AGsU;uy}}=#ltA>H%|o@zR9WQ!Ub0 zPo}>YO32^@sr70VGX7Q&$32z@7*ntx0ALs1UH4pCgYyjl*_G(^qqET0|H{d?JJ43O z$Yka$K$kzWVtBQrW|Yr5Ly_;mz`(~Vw zI?ABmtvVhA`&F03zNWHfQ;dTs`A58_?Vr`A|2a+p9$xGH349;pF#*J9+Z``WXZ7ku z3~&`G#91=J7Jq*!DM-5?-}HJL&%34(B2Kc0r>ADD+aBM)qOjbNbd+2D{|Gz`cp)p zlj_3_gQ!+MEy8|MwJ5!=bjyO4@m7&i*odtwEZp0(iX>gxW$P$ZTbL{0KW9Y@A_h~w zM)dn3BP&7H7k6T)L|pq&83}S;#U~R>2%1HtrEY_wqMFf4XBMROs1u50LY?lJbRwYc z(v8iycPnscTQBe-h{1{##L^XsH|X-NFCk)1OG?4GFx?0O9KgoJjArv=O~m;7Gue;@ z|L^E7PF)slBU7|~SsW@KB8@?4|80sa|88wG-Uz ziC!i)fiLQpfR^YB?GV!3P~WIX)I}J)Q3jROO?UiE19g>B%46B>3Y)Zi#Bwun9^GYq|{uZN@kk^_B*#j5W@9M8BCwljHh_ zrvl$ZqX4V>&ftKgug6`+m}&o3%Iamn-F;JJ5XbUuugq~@8@aTKjZlM+{%WFWec5zU zD3NL6pi~KVz}MlD^v6CM28x-P1SIAw9Sh8rv+DYU{(yW1Jyfo7q(o2@Ym&|64drD0 zar4JCmiJ1E&1Of9K#QT{G++sNE|@G~@rB~a)Dy`)<_zy^!UZT85gEzD5LM3ik|Vb*DmDwdN?oO;Fc`z|VyfevCeg3hmRT z)P^)~VM0rVJ8qT>pK0J*QP5d@uYerxO7859K)iw93tbss*z1dh$RJGKvj)@9luUkx z&nJRyDB^-VrQde>+=%-bAiDihFsN5LnP0i~={Viq(MvJ7X@fElz;5fFpQ(|U(q#&j z)Bl*|os=DfxdOh~LR^M=%S;K1bRJY@i!68kkf2?`w5GaPaPY;Wbw@DWqba0WgQ2!N zxj&!u+(Z&AfmOkh6kFBACp!Ejjm>UC_D7MK$j6ycQ~{qThyeKWOWqeVj4!L7XfXX1 ztxq9TS`K&_2-}<5>*ZuR#GU8<`ulO@++YoP%RA@5yXWu5qF>@-+yNqxaNeh#Ph^0Q ztv|Co*)#Kai};S}O0q6K9J3|Edlg-|K~*q9ItF>t+sRruX7}x|Crh=0K`2eTNV0;J zIZPXw*gmqVP111MDWyO(uu*f3a(ws++WP{RP%U#XBO~VABN}jDz}lM~hPwiCy*{X2 zl+|l>eAWpptJ0qqHe=EmGzv+u(S1AIE?$|ar~N8s2!1;pgl8FwRuw2j zGsN+JcLGvTd;kGj;+6nC3juDQfJmtDHyT2QG9o^61HWo$fHxk|r#|r`%jX-T#^F+2 zF6kN21LV%|eMhx9u!ODpo8W%w^1=o{5NSCA3aR@5AJa^-^t!=|W)3SZzeSe}Pss1P z+)_;!Kl12BDq*q+h|Q3=k5+5qN#$^4APf30s&$!=eF)jShcJ!S#a_{&sNJqkyii)v`F+@?o0xPY z<=}2KRP1vy8#aUb1yMLh0YS9`McN+llC&O0885l&_JxmZZ40@{0(PCy29FBt_r_4W zQB8j01K#8FDj2^;LuTKLj_Hn}{Ekov%gbG$mwN}`GCqZ0KxxNxnE)bS7~o*9fvA9` zbM93vRX|k1GKglqD?zyMtO;Bk4w#i^H46<#Bn4qW3sS(1`)2}qak1s4^{$_QNjfl} z0r9JD<)Dn3uF*&ot0c4?wm&_++))Kb&=ytmKxUz*X5+s;UnZO!_?8ncCP4>pZ;W4l zKHD8Wca>O1&PY^fNqjAgcCu}-TI;^OEAC&3$C+3G#mT=B@4!#n#R%@&+hXO#{6-_V zn%-h%-V`m|lV737xy-}`%g8t*z~>Eqduqktk8WjIwd_M8R?aX`g7VE|bzhGB*8vNe zioI|W_y|1Ix^-u7pm;@j3fg8agmI=H(J71b9b7K7`SLiBt`$(QN8%Y9{FHPVgUO)u z*K6K(f8SEbi^?F#Q%d_tVF6ZkmN?q4X@VL8Q7Ug+KW~YnkJW4@oo-V`VO^1=Hk3cA zK$?Us(G{C|nUEByv`-&Yc20lR2w;Gk&92diFOEUdTv&&`O_LJI=dDwHL4|L~g1dwn zD{k0K2Dd0oltGVx;(qJSX;j6K)qbgE-tMuKxk;5kVBi>0fwgroV4hJ( ze`LVg^Ja>Qs$pCzp*xc5YeF$wLgl&9n?kWwsQDrZ{)b63DrAZ|=}@)ACE^SXAh zpWA(Znh#zCTs59E{9iT%C&n@IqvgEBc6u?y zP$gbXP?J*$GVqm8R`rrxiUQ!9;*YBdVpsxQZ{V$EM`BybDg`gbi=?40sl(yosh%R8 zMRW;_Vp=gRnb)s|QM~SU0tj~`R9Pn}9o`)={RYrf6g{V!ClVc3YY0DodBw}nAACXI z*trF>ao$Api28x2dnQv49_spB_6kXEh<8+RzWn>BlWfk5J)_|Udw%ZF_>5|r$cOJd zhgB;dO;E{Hx=j`A=$h;APa?;s1>@1k_+AB0F;f%3K~ib&@aI%x z6!@Si;<}whRJlLAKefNKzf}bX14rPqzuezQF(Pi<>Y6k%D$7QmyK#w$1!$W9<9LRQogxc?wY1+}*T*bqw=PB&iin4dHx@HjC1qtpUdC2!H>e<9o<&=(h*j zmM|5HuyY?Tb?5f#(7WCpjXZX9%T(bK3kz(a8ZX&flZowMAVtzb%J;{JhTEdPg`GMM z=!aw%TQwX9FgYzO#Aa<3jyYvbN1~BPN>Ic_*g*YoIcWDkTAh;Yq0H(ZuMW1gh2C6m zPC1RJa{eq@=~Tk$rDG%KGi__J9CND)1YxG9PHZYdueT+w@A>)la-G!}d!JjaZ>33rl!?1z zk&ly5*Or%?U|+n7HuwYEu8^VlXk7=t#6g4hll`IM5c=S(U(XSIPD$hTC1)w)D8+Mm2{^$AOL zIeTE>MjewjXh!qrDv$c6H?bk76Vn?JlDm7ck|*3Tw8FUK6LfB~kFU?C%#vPUUlPoY#&v<4amCz|8E(oD+*8ko+2fl%}Do!{oLe zQkb92Ci3&0$((5IfSl?kT~nC@iI`8jTllHO4v=FH-#q|fl6iA>!@U`&-lSY@R~=n# z?}zMH_I!Ne$=X=>?EJ@pXJ|3!pADPER4@wsW;!H z|LEs}_&b2t_AYlqB)fl^y;d_K53VhG2nNF}d=+PwGOy3*e4l@K79)I5ZrFX97Aa$u zIkVZT%VV{}_|C_=>fDqw13GCk7ldEY5^~^kzK4L$*OA*DVi7S8@0L0=6N}b`^xm)~ zadeqf zFwOImHGiOfBYFIQ6Xl|4=s4qcc{Rb|xJS?R0pat#?18AtdtQQ=)4ICN~6zz9XtUDl;ClUb8;4pj~N6ZqV+#1JZ5UZ6?ojzaUhQUodJN zRkzak9Epp>BRnWISoB>Z2WEC)S431rMjb1)hvcb;VfnSuh2LxScU8s5ulNg8Q$8-0 zoGgPfeBdnW?=7uN0IdydLV{r4BBlnu%URJD;Q&V3Tpp{U2xxJsLUT*L?f+ttPD156 zZP;Cu@`A}(6a|<~G$UbOuRM=i19sDASF4hmP4_spdmdPxP9QG!J8}JUloem~e^jm=6YMBJlGWx2z%csiWpzmexV zacxmL0w1w-(%y6T^w4{7PSWrlOe17PW8VP9zTQ~u8F5%Oq|&JSFeT3>(t1n+DeVh7 zP9+hu&`Oewy^B@8^Lh>3=OQYV%5lTN zB<(c)k}B_Xc>c5N?lVniQhE#nY>Z-n(VYR@yl^w+^n-K0qW=l-G#rP=bLOcmhBDDm z{hh0m0Va~vMN^&l*fzXwez^>M^rT4=KjQ6{+n3vORt!(D#!W zronMKQp>=%&;EWl!GQIXum}-U{E~(fxsrc*?$H(Wt~}g^ysr zUmCFXeTNpbr3>>>tJKTliH%>4 zNs@;u`dv%H;n5e80faWOre=iPbglO*3d(vE&2@8@O3ahJS|0JKYUON2ta-W*u(t5o zQIFPi@)-H}k)TkkeBO6&LL{#xWbmkiLwBFphBSI!U2#8MTfIT>Fvim6_P+Mg@A-W^ zat?<>{k*U@2a^j9HDc%2WRFH`RDto0^Tk0u9mqvUx7np%=6ll)1_-&iSKbY`z<~kS z^9S_0`&~7;i8=jcMr8HFAy!B|r~fZZ+OJYk^#-g3r)=d5gGqf#Wy6_-pIhGh?b6 zDscd^3bBp!&c%o?hDe$X`iWKSHb6Vle{tee985Pl@xQ6ROoa@aR6ri>>2Qus)jPb2 zO%x1OWw9WyD*IT=mbltfTK;1h}^$DH8H+#+IB5?A{KZ76h-fsE{>wGZ7iV!jSGAY>aDjw80gkxiS7h6ol4sj1Q8gL%#a%crEo?k^!i_ zuTeed*`R9v>PB|I=Qy0briPG3Q78V1mM7yvolI?8^d9~SjI>|{@Vu3vMDX?msbyYV zt+Cpm@3Vbd!5d8??Mwgxvb)A|Cfl{WiH3>DVxszG)LhtFK`CN2_YlX4mucpWJGUOHtyDZ~^m*7k(rJv^)_SjtY zwYh5e1-ozbNM3S9QSm;6mBc7S;{4v&*m_=dGj{_+6I-n1(jf5S7OlVAs+<1fw9gU+ z>XV6@*9rC#u1!u}k(p}r`7TbDQip?XZ~y#mUWG~coCCbHP7ruf*0Ug5Yz&gG+q`$) z8X^k&VKBs@b@Tv;kPead6==+K2D{DH?&pS$Z&27l{jO?m#-@Nf33Sh~Pd9c^GgL4> z*2j7JmDu?)l`B=#oeE_gX!d>u%2$)b9(0C|Y|gx17VU$cy-v3t&Yz@fIqp-0!&PrC zz}$XkSaw@hP^$|sq%P^r$Vp+FC^Rd&M41l0rqNKon42E6r3DRNjIyzFU9o`{Oz`A zyr6@@H4`qjwT0M4^KoBY!R%PS`QWwsIm~hWg=c3lu_DRpuB356g`}qgC6)NEJ(zdP zPbZ=Z7pkt~$8&hM*N9`d3pI@lswxOBfxt=gHas5KCiVpHm?=q;`~XS<80CW<{cE~x z`aw?(BCE0C?7YjUsO+3z`kPy)lFb;n4h#$pP4;T%&b=lO z&+Cm~lu!OpO-E#dVW@XBBnsW`BKbqWn*MGzR_t%1`H&?@`>vJ_=8BWIle5p$x){-q zu%L^#O9ST2i#9>SyV7p{&E=tLK%QY%`|CEM{ZY%+E-o%j!#y^gcgsIA4Ab;dW8;b& zSE%1L;@DQnGI63!Wa2ew$dMF(D;>oiaof$9$37p_eQ&DPwxI{HmBc?B41)O+9a1Y) zT@788K~1^v0R@M2+p$B*DI;QEYAip~n3tG4e?63m2gWK#X8()MB&-I4mO z9n)0u@KwUgG$G?C79zp5OmJrOp~GO;x_s(q2vR7fV2j?yiN-m3+E6V|KH1Roagn-T zB2EZ}xF8uW*XYX7o+0ydyH%2w({5W=9~VwW<@w-)xtO)}yEuL4KI%=7$CNVVJ^gkf zE7dq$or6=0QJ-JSc34;K@|HRjsd_e!)Dzk6&DGhBrQWSnX~g<$uEsckH&EUd{^)hy zvp zei0nQE6#Y#e)TX`Wjet0!2=rAX?;46^uX=uK_;zDhtp|k-&a?m+qizUK0F}XTldIQ zaY!W(_dc82(hs6nuZ7&dV+A2NrwTuaA1{*uN_27^Me>Hvw&{<$?HjRa1S9f|fnM2) z(6ayV8qSiW^Q$S+FTb$A-hd-ah%~N}+<*8t{+hFIR-VJ2C7dOC&-s<8E&5#7s0}%p zs8=JVl=tq;Pv_~;ar+zgzKS66>8xFRp+mbL>qNC=@%oYc7I%tiUuqAV%i#4m_#xS@ z3#&uUCZdSPIP;jo_%Y(C{cT*Nw32SvT^fx)$L=NCza&MY#~6#4rOKXrk%^Z^&hE#hQig3v_SM*-oJ zf0ABfIXq(Fq;#bMSMZaZ9a=2B9!=y}8Ef4JZ-?p8)YmnTvyG7e^V@s*`T=(_t&agL zTE*zdwz!&+xiH?E&&J(WcTcCOxz6L(NWW+(XiM@K-p@s+ipaG-klc}NLo7g9+Tfw* z6=yYP^^%C0;o~6M>lX+!xcOS?P9$?Qh#y1>b}6Xvd%c7pO)`#H3Bt_j*qUHsvRC8c z7OKG~ik({h*lavyVP%0f`e66_VewVpVwmR4bc;RzVbdx8b=Zk!Gzb7kesi07em()f z@8j$Z2%euzP@(y*Q7(yXl-gKZ^N90nU+qfnR^bR@2tvG1emY&QLH)kX_IWr;&siRI zx1g=?S{MlXzBjlMs-dBG_9vYAG1p)1c#vDMCJ8~HU7xH*ayxIEE({BEu3vsS2wm$^ zm88y{dp5^7$2_-dk%XiHiiH#ACFVUbEAsr^t9p@?#Y3rr1a7;Q-$W8TzjC#v)A; z6qt+ls}Fl1!?Wf0AM6F+B6wBv@+yaf@Xa$4*P5iZ2AHD(AMXT-avu8|jkB9+1pZ}F zL@mhS)V*!*MgZzDVKBZoVQ@BEk>me_`H4n6=M!o4ozc9DMbTgixmQolNU*XQ0`3&& zT~xEA+Pc@I?iYk@Ne=JR=&c53G3vJs02^XEAs=@@Imrj*+e-I(zmETjWF?l(TG-Em z^d|E>w)epi7P030S3NEV&H6N?Vrjs!%jZ`Xs7`l_b}mrKL4xSeQ{H!OZ9bFxR9m0rp2i%*bK z)z3tezOX%~6_7omZhQx98<9@uB%<0~9Us zFwC;qF9M2OPrB@C>h9D;kyZNw_fklmx9GvpJ4fSXBh6iLX3Lr<>}h z4>Ll3pQ~!=r+25{V}$0uZWXx8kHnmw7IqNbP=xh0EZ*8QwFqawzRGtdcI*VCXhdiz zey&D`ntR>Cce8r;`b@X2oekN&cDnZU=rK&Pj}`vKqc~)MvlYMXI|cjWof=glvm$Aj zGDmA*VBo9VSC@w99sz4#ng9Cp{3$n?(#t29PBYY|Sx=wGv#HFCB)?L?kP;#f2!ak! zV)_LI1zG$~|BazX*+&*N{^Mn0I1y9dUDM6zpkpd5fF|={yM;$hUtK%2B*mHRK@^r4 zRdoJZtZBC_WLkjb&WiCdGww)d;(M8|#gDt*^J$E^5c*2cV4fRyce@ob^-Do4hj3G zIPyy+VeVhp%!hP&c}Ee=HM|ZSZ@zze$$^d@0>u!Td6Bx_{X^12XFNE59Z&b5P2!-L zE^j>#qHA1L5h1rE=CCLxto}I*Y`fjAd_wJ&n3nW74zHq?l-uRVm>qt0Cx!z@8XnG` zoExE*$OPwO$p8I+_<9SkCgAsbTt!;x?vRoW=@6tO1=P_gA_CGex*JA^lz@N=(p{rR z4^X-pFnV;2vEO{ZKj$0gd(Q9m2XJ0&=e6g#_qq2z)Ksj}(q;mtYYpspycv02OWC+J zzPGLndEWT04&=#A7Ptml$(ybwzqJL7-*_JmS)x!)AQvSbcf zW&{ae4#p_&*gR2}%M9-z^cRNrN*T&qOFcq8()%HpR{k6C_MMndOO38_b)~!F6YYvY zMHZbO?$oRtT%=x@)eGAR0lGvVr3k$#DgJwoaEb&cKdd#|Vq;Fz!aoJ)>O(pH>nvz73_Jm{I; zA#pV)9qs3sgL%?2do2ei{?4#E2`yHCJ%VvU>1DqSy+cV2&gVrGX<}E?YU+7*n9@Zs znD)IBB~WOl=fLKmuw#;=5$I1do%4k4{gDime6+s)bCCR^?2+w{#xk6}6qF`DcGRm@Xwg8YejM{-VPwV4;yRE8UKMp2$c5 ziD4(ba)j8kJw+EM;~j&#=Yt>T%H#!irPfFS`Su2`Dg#XlyiAv%lPEx6L$B+J8`V_8 z%l8~EYi?|}I$2S6D@Tc6*h{>3TO#-B3TFc7efhoBBBQcdpFF~CW;_sKA5a?EwlZI zI9g8A$#l!{j|%OV_b=`5av;}?P+*CB&_5o;UWmVRsNUp)F0_B~iq+IkG2Ta2jlT=$ zWVA8P&RbQs*YJ<0DJk@?uI%Ck8^U~J&h})KybtIHja=`A9(rzsks7QAi1(NSLTx7e z4jhfd`PefkL4JAo5M*!J;2Ex#c{sZjPxOl!%f%Q?A4KZOHB?4U_`|kFZv1!()ULPC z-N&x$lk99KwJQHouqZyTsPe6K-syUFK(XjdLh|0{=S~k zp3$3`ZbE{3Zn5laI}H^g$IT_b zKN;xtLmuem^M$<~@q9)(I}Fa_pL`p(x$)U(1+D@_2#|Q1FF~|iG11bG{$TDMG~l@- z^%r2m@9iMtIy!Ddag`GGLdRj}gypgT&znL&WpSSR<7!O6XEz>X*FBPUpblr#$By02ohH$TfdZwVo7?H~=(b2}D26&L<>bb0MPFU&w$7}Xgo10h znPHZ2*z(E5t0rD@?6T80DYmM%4Qtxnk?El_`=@j&DVqk{>(*}`&HR>(FiO3$=`SLm zYm%X0-7xg^V$vx8{iBjB{_C62o`4(mg{)xF{YPtcw$zsla|yi3nU>GRqwha7)N3SX zw$4t)-=xK{{(akJ_%_}_j}}jol)vSCCqyVS*F!?Ja|8YpHYw@;T*t+}=x!682Xhe1N)K?!>Ju@YCd(0pE#q?BJc-;}QzX(^!6=5*y~b zvV9T{0W>yQ?= zZA}Vv5%9&;m~z1C+8)Pl*XM30`tYj7XLr;JLyPBN(f*DnGAS|Q7LHwDne$$g4*71k zs@@b(sQ#0zYU`YH@NeXk-eEbel7cdD*=iY@i`Y@pM`t_k?qxoUMUuoI^Cs!(P}fl0 z7OF$gC(^$ciRqTU^l}Ap0CYi*s7fBvV-x3dlQM9R#|<}Gr9(;UDRW2f;8d=4a zONr&g7TN<`q8TGFV(=UtsQsEMKcEa(KBtZj!Zx)jmH!Yh0oS z+j~!j)UJ>?P^(eshxgu7B8@i3*6Xkf)V{M!axR4JNK~V{{Go}soP>e-e7A0A{i_vR z{zM~v9i#Esk<24KZ3YtSH;JfYe|X4_kOOsO!C#vg$xOm`$`od5u{Y8wV-3;Nzz^~- zG)Ytiv`KR5*ec=dd#ocvfOATiihQ$S2#cQ8r4*0=a}pwu6ic{?c17R*b0)&O@HbL9 zwNp|bG}jt{15umbL~!+DBAF^YsPsjGhZR5Rn6JI`M67h!vKWx_ihHckh)s7X8sPXz z@dT$nl|0E0%VhY#N%O%oT{XbS-af`OCS6fIme1cS!qSqNxP8{2w)FgXU>68Ts*|AK z=2hVydZDTKp~OZ=M5gUQn-`9i(Z(NRLMQD))Uxbg`H7N0Em?oviy+C(Kn zn}~aRhXB)|&|vuizq#j7W{IL$*x05lMKgOCEW+#Mc%sjuU)`Q~&`doMQD`!ut?JY+ z-_Jeh#wW>L>lwn!jaE7_up!`SXdQno!~4D>DOF1HX9(~QClu3THY?nrP?L>LCi8(( zQt_$#X&6V0Yb{OH7`|t2pX$2gNJ1)-ir@I}52fK+5#DBf2Ne}swjTWw?i7aErZH(p zy1+-SIb?bs&zU)5IMcHDxXWt`Z+#;uRL$|V2N+xfGrivzIWJz!37tz?&v16R@DXSD zxCYkjU@d=C)3AfZ<}!F}c39YcH6Z?`xtLBf@dr!ZoVAwtjrp5Ls2hep=NPq(O65({ z@sO55KH!Y;N;P@Mrvq}*iv8TRp_tg*H@6e7h==64_p>7h$n_|DI+O$;id8j~SXOFkksv28OC5(|^v&0pevXK@#v7DHt+Q7`d$f*3JzHy8VcXL@-DsXz z5|_wokSVB9Lp504?IX#I?dNWZ&#UB#5A&eVT)6`gMTRP8(1e`GGU z(aMTj{B7h#_UhJ|{Hl(WIj9-C;OShTu6Wwzr1%q3amO_xfBC=EsSt*~G$^sv-Ejwv zFeV`i0jw+++Z%~IUk>e-6Sq_c|IQK@Bv~A%cWr(!myJD2FxpjZR!9@HM)%%Spr^6# zb4Bat9_lFT{u9kCA0ZrG0ZPj@?z6+}t^o$iyy}Dv1piPH+*t>E#=QtEc~pqyh38$( zQOQ6EwY3?|cX@Kv8c)k*P{X?3te( z>sA`?bQU#n1=+6iD0ZW0fNRIoWUA3~-2ptr2n~N_&9Ra|V|2Yltive9ES*4NP^ZMB zxUjRD7lj1-#TvpWWsyi=g!NJ!rqA}C?mw&I+7J0rUJ&Qc zhd;BppD?&8_IoATUoiEely0AmFDp=1j{zKB*r`Y3DZ-4|a&7(PuV+O}dGgg>_S*!; zp6jMMC+giVx<4p_W-a3e;he6-6};{$D-a9HiyaM)#Ar12AA@&k_zL?`fdR;Gn5SC1 zIvmI%m9X@Z$aQyQe`x8Q`cU4*92katK%EYMG;_fIZ`<{yJ5TL1P%U_l1@;!x4r#Xd zeXpdT%yi;j$N|AbiP!(=V4V!mqxPI9#}>~#FmybY04 zVJ@8IjHmZ|;BZ;89(j^u^*4jGy zsc3QkP0?Z9vLCSAxwrI<`Z;;$i%P1y{n&Enzm&{+ zfOfEfHxX-_*=4y@bU9~+67yQaNS(!h{0RB1`4 zM~6B}8bprbjY;~|A*MoWd(#wJ+3m!?R}4eP$KG2{cd<7&iZDg?0)-$nR>H^>kx65oN~hl6^3%_M2j2Ji_QmQ4b=TDNj22ZqS25m__~XdkmY0-5PPB~q zy6&qnCH}u0n!nlqZ}n%!C1&Cq$-Id1jP}%f^$K!deR zn+(e#teRZ;bkdZuVH8NLD|;cqXHsK&UGd21qa(VqvXBNYWWH!kME&V%4csvBdLPI$+$EC90A(X0XI1qIQ+mpGJ$ zYAucAfmZL9f1{m$z$}U@n@7=r`PmUfLi_S*E_h*k=x$-eZ#$iUr^uAfEP+t9TdfC_ zZlqb`)vqsmnW-=$uT-X!w^^B%@WL*F;dOIyQcA#+OYNbOgDQpVwXj;|0SuG-m5xry z-}rfcPUE1@PaVS_l-X^y)%MZ2=C^-FYSAYf<6Sp&B>hM&Ya&hc3^d={Z5LSY9DJlAyvxUh` zQlOvhJ)GXfrj2Vft(_fYRcJdR<8~p0roTticC6;j5LzZ^^&4j;Y9`J-e2mh`m0doY zI^4c2uA1dinrfiimcGe^QGxYd_e=A7Ss(hvs_V#!;7wH(yrA>O72Y0)b_m@6b=*MI z)=#}!%-n8&;lZpEc-d%*`ki*wWOZ5ZoKbuolqc0%M+7U2J3d4t@&{NLtU7o zji;dwyc%FB!0}$jsOvS3R2v-N9R73e^ecf*lmp(&38|u$FX8Di8%au8p8eGt!gwm6 zS=-}jJ3Ap?FY_n~i?1O3f?Jl&H+-Y7InxHdzHwn?Zh^b$(U?VV+*uD&s&iM1oP)w@pIzcIgf4eEcq z_5)so+k2Yf^I-VbJ;Hw%-9A#%XkoW4d1_^4pCydKTWBy2W8#;vUgo-wHp+X@J1LuG zbBOsb?zD8Ct(wP;cf}7OCvK-BuD=~VcQp5)%LcexYKj+2js`D@whV%^Kt*Y6EuS6& zGumD_%yL3cQ?U{*BS?Oc`tg&CavefU*v778DtcxP?UK2!ABlG(TwnZ?We>^4W{ zRGne0XUskYE5g>fTP7(DPy6pDN}!FOxZcS55W>&<56W>|kC%m~X{ZmuFtwypNh6ld zP%v9?1u>Y+?i@q@!s9@f9%QwY0nPHv2Krr016+^S6z9G0W}X7TRf2!49gOqfq8~b$ zeAXWBmU~F&-Q|CZ7O6`&(bF@-sT+!~=e@yOU;vG=g9?!&#V7j(cLslRq9%X6)2%S6 zqgK0AvtA!1-}(D7J>Dvju9Z-}P03{jO?TH6Wd)5tJI?o~@&n+&j#tVhy<{Ij5>?iA z3SAiFXuRSWr7R`>(YW%=pg2W*HwL#pOWigSSVDi6h?U^oWjNdM#EZvY5<*tvNwf|d ziO|6C`*UKS>tt$Sk3q+SRd;+iR;tT_!lmY{Ya=X*-R0Eq`&|xOYRexY>-c6|bNBPI zw&R;ORep8Qb|I_pf}Dv9Ve4T{>&r8LPM{Fv`jEm!Uk;ivuA8|M5>9%FfDoN|<*CZe z8(P8S8_;qd_0+doC#thIZIhlqnSCo|!xiautuPzVDu_jUcw6Wks%V71IMd)b6Lf_K zkV+&9wk1VC#S4@W{hc&*ymVH@w8-LOn z4eDo#rBh`3DhFJ`4E_m*kVJsgPyJ@o|0T$wLm#4@Q0G2*KfqDB~Y!hQ5SIqo=)Da zl3e5>DC~zk*B@Z`Mk~d#m|YJ2vJ8~=AEY0%%uJE-nF|qvZ!XZOOI>C>SXfxjE?>#? z7ZL)9E?*619|+bm|GLP_MQSO(8da=a(BQ~C6_uzVSr4?Ili|lJUJx8e1v{~xUXa}1 zzjep7Y5Fd!?;OqP!$GaiiX)lZ#m-F$hE2C=OX)Y~E8GVbG*1vQc57{GkBE-i<89P> zISQEM{QU&vIDIacb`4wTIe?xso2XB#);wPo6sQY`<|3VPpzD9vxpOb5WLvnOlq)R9 zT|$Zapn=CUftP2Hi5eJWuKk32JXfO&GprfP^sFkOt=bZ7KJQD$YoXt&y@5QYzP0Rw z?>2#M{Y=5t+b?ps!3^+<}U#L5?Za=TmJ-O~(KAr+As8k7P_PHMEw*d0tdsi3s zu*f;5K0HBxu}MPa(M!e5e!mH)o4|7mZ-(Lkr4ofy|7Ujyk0>-_120W^=wYC>S{i<; zzu@a}2DSzC@Y(L^b>kr05Um&5Z)!d0@cv^_?`r(AJGO%W^)Rf-rD2n^4Q=N68U*#W z$hg1ty3Y*MJ_Db7Tl7z#F_?C6wJ>Pv5FRbNJXzj8RDx%loOCbcUHdGP?gj<)OSSFB z>6YOoO2ATZ8Ut-tn5sw^n}NNy)ueH}^Q~MEg2c^2?1rrm84_Y>5dYdZp%$HT2Z<+A7vfSAoV$CwBg13-DXKx<2!w&CcEsifA zOoEV~wgN|8&rkeQpDUYLNyW6X4cjZetd-RfSmyGXl2K`IiGYP1l?&}~%zn;sT{{jYD-a6czvrPV8m!3VpeLr7Al{O5r1 z2F~ncclD@qv)w`b(GcN3+!2f=lqD7ma#Kd5MsF;`L3Tp7o{Q05{27+Fn*n%n>WVGX zk*D?g6bzHE;3Z-A@l5^m{oMT2_U{9w#v+A&S7NwA%zQpT5uXRK(n+o~94itYRbqX4 z{>rE6LgV~P0~38@{>y_~Wg4B$UNYy<{tt@4rz*bhirV{c00t#H$+F0GT>7;w+GjUS zQCdk=VUHwkJ2S}P$s$sYql9!~e$ zR-1~mLbz~SknUE|6id3UrE5l|<>6@(2?4J|FJAwrZTUAh?tJ?Hhjm~Z8$y;{4~!Ie z2sUpLc)tG~xT0y=@_AA~I8tFdk|F7~Imj>k?mykY2B4z10+uJ^opDnH{wi#v8ir8+ zry}^ePbox230tZ$9A*Bu^V~GyqizBu6?EAP3p$GUSQW2WK>U9FPv_!9N3V1}2Dq*G zd=Y5vtRv{fIqbT&TA`VSk~4dscLiV43twXq``=<1NR*FE?cs$5TKxsgz=z=b>C~OOBbI|X--CfGMLU>Tsh$OuzdWf`<`k*Fz(aajqHl{` z(39Cr6Bs~O9)uf#I&5f~3|iu2=%k2y>&}0Pt5URRM}Bt5u%%`2dpp`C4|> zF{7AoeWrc%&#Qa(h0GCC#L)Vq_}7{etg?TCB?EY0KDQ) z%-{C3Z$XahgZX&>N2{Il{%f^3qECxaQ(nqDa$Mp!L+_@7$oH-p(Y5fim#=arnmaeed!0h;tEi@bxj64D>{k z--}5B)Z`Zs&t?84LJ?-SuJ!i$)adk_FE@#kZvflru|Oo$IFS%vN}c;x-`+B0;tmBm zM!e4x@>-WR)!={Ws7OoYycz{q-48?8@5b^J$@cWgscmIgUg8M0&sDbl4}~hrH4MTy z`?7KVU^vvah-RFseusR}$iT!;!jsK%=fW){s>XHo^|S0>q%5b>Z#J`m&b&uf$jP$} za|f5Z&uq7W@>oGZ9gNaJcT)aP({x)G7H*9U{ERO#3h*I$uaacLL2wdL@OLp>!>S85@PoJ9KXD_W5_J+ki0x`y$IP zIw7_|QymG*o|J^zqZ*=Gfm)r~FSUuam9^uwN3|=tq!+M1KjV%)&WL=Fy@hj}!(mtJ z%=vtaPY_jRtI%qrO$F^Vay1)-Db|KVA+F5Z(R_s4~ZU`ZWE9 zlg?UAqXky8rXOhD_BBMC@X^U@$k?Z|gC}?Uf?+3sZX+lPY2dLdWO1sudL=i}I8WAo zdEh8@^)YF!%#~t^cqM|>tjY3aTLR5rAi4aF3vVP5m8k}~zftDwmj&@5$mSFj$1yXm z*j=rEri$-nStGB|w=&$w(_HgVE*U2k#7z0bol*B$&^?K+{3WY#r}#ruYgK=BNPdr( z^&q29PW$LN&czC!x7VeiH_k(@qRmh|R_acsS+ge^s@Lg!-9xTf5`N_7{zr^=r*=Wz zBhaSaP${Nnmc%o^|Fjsk?MLZJVx~l|DG`itVgI{FvdE8Icd9NBwCoA9?CBDHiiP-f zURvOmbu3eXprHf2m>9n(XuWR*w(YPKBs@`Hjsl9l_ZXjg2^kkQK z

pXo_SuUCI~5p?$}SQdfa^AyUcysmR@cVge#|})!9A05}LC@FJ_#ttKiAT_xhIq z_RKpRT%=HJLD-(*8dh#{LF2Dhd2hX|Iy4;Ty)63P8FJ>d3JH?A94KJV&3; z(qG}K%d;sYaSc*$KFz>Ig!xZd91|cwvadP|ojb?@-glp_Gn;*>TUwj)%5kIJ2%@#p zXD=jaqMIJ0g%x@~3iRIiEH^=>jY?%)8o25806qzxoscB!U&<~@;*r`W9%1Ejmjx-F zTUs8^akQIkT*K4oOK7fyAgMvuGz&#G5%2&z@T8_N&bx14dc zvce2DBu8>k95W6x^q0kR1=bx#T(^J&yP7~yHdyr>d`il7WE=AXx2hfy86@r;B}i2T zo`mFpCkX|k0~wI+n9rfVfkCt=V$8G+3kpU(G$-2^b>T_TMHZz~}#NVqAg~u$GABuh$Z~J%}_M zK7Fbws!K`WZq~Ss^DlJ;UnOxe>di?2Sa@6|*$$1-0NU2B?)NY~G zbKe99LT{ozG{lwb*wfzdd0K;@?qBOczqZNmq)*MY=E9aprr#>A^BopjJv1~l*XmiG z%K&k+S)t-HoM=`Wc00o1nX9X1D9p4L`gm^Kemz>|vp+9vxDZ5L`8zJ`b!7y!RGzQD(X0*9vbu$e9gk;+^6*dWe3n%m(b=lH&9R0Q|pCVD-~{Pal&$>CwZKXC&qRD&AOxZ>AI8PU! zCp{?zlAbgb5Rp~^a?Ejz1EUU|gd|Icb591}lsnieVtfGQPcv+xoQXPrCxN ziK*`YH0vJ|A2W507O&z@X)|y;NNQ8>WbcHZH04Mgc)G?9AUb<|zL>RbF(fylZbv?J zN=HMz#tv_i;4%gKJuCjehZx>h?XKgo0pu`U#%jT)Z~DhC0cxjtU)}fb2UZsf|JV`+ zW zXQqz(y7%01v6T{}a0$5EiBjlVQw&SW&xjq4b*mtCI!=y4M;Aob(!OwecIxK-#@M>M z2m9=#ZS@|9`0kWCOT?Zm_AwwQUL{N1lOXUyfq2mDW_v7;9XbsstYi|UQ$X(O9z)P5 ztoN0MiEfkmBBGB0L8mqC+ZO0kJIBkpaJueUX!CZtUorx&QjZtBa=>Non6Kp+&y!;ZAqz3J^^ zXT5dMxtm76CSnqIe!7Mi({sBmSi1Lz&P4}c&_m`6wa*$Nzn=3}TcM=yZgfE#kWl)_ zr;G$22;d;0me#tGUVf zNAYNz=Kw9uv$(bsfFI1W?Y8r-y8PDVPF8$Cvg3Z+9prq38Tg>Oc*FO7uIm5`;0*`x zZkg!NHT?qZ%YS!y)Gdm>&y-y$_LJxvFeUdaq05(PggNWV3hZxnJ!EF(e}VmObI;S^ zq)d&u8x&!|AnZ@$ro32dgbffh4_`$eHJt{6vm_tlbWd|o)m7jIk=>{6It+M75R5m) zbQ12ZgQ*77 zRC8LpDiKF!ih;A+E}2Y|)Y(t+vgIK$qUi%FrY|~m7OUeWqx3CrO`#_y@cKQvvzyzX z?ZIU+o{A+neQb|XG57B0zvfkUWX#J6)6xrM!08c(Odn!jy~bofIO62wL>1UFO*8rxD8FEme^@Q=1=Ln6-GJw^V-Y&{_8Q_XGYH$HJ$<@9I?Zn)297AVKp}WfuRB~WuKH#Es`UhY zkM>?PX}-O$f=);Fgf6;W99tEuS`OTTteq`ZGsX| zjW=i8!~d%N!E8+sB#;T|cW_mc%c+yavOnJuiUR!Bk!9VRSZHu?9<}%<75e0exB7ie zz(tn)pXwT;I_G>GODSm-jDJ)>k2cYi zFN7wfzbr{gb&a$)IIl9w>k#bACEon-S@TZAE;Tf2a)%Ix^j7>X$)XOIqf<#m( z#Zhlt9o4fB4st7bHc)aAGb^KYn2Y*XO)Nd&lad{mVoz9c(n`_`|FTTgFPzPiJRwAZu=jL{|yVm029$|(bo%G+hO~@a+ ziO~K>XYUBFTnGwS@K#aZU0-MA!np1vja)Ae=9};q>8!1j>g*q0{Mvh-D@PxHB&X0V z7&^1Yq$ztf4W94k_=+Jz;m_;F1imk2L_R@0*{RwA z>#GlmVdgAzTNGM!FkWCIvdzKulf`#Q^B7aJ=NV&0!A&Lhd zn`w=pc*flX;V^$8+qJ+^8Xr6Z97Jz;VViK^cj8j*r8ucSMCz>8=%bv8y>x7S?x}{} z=kYXqF@o|}{nwxl;k__Mnt4wd)u5f2npYiL&h{`>Jo>;t199je;RV3a=NA|OmK4#= zw&qz$QdpbXY1?k)=$o3yCxy3#doFkA_8g`c<+ zvg2?Wv*-1J6hZtv5vKWD1(H2-bZ+{bxK`(-XENCGvfgJ*Aj|Q7HIu}H z^Cgpl=Yf#9|7F4Hwajm0mJJcqt|!A%8$J3uCt!B*YIQ$2+#z|x=S6#?^MD2OjRmkh zsOo4?>ovu@-;d~LEBes)m*^qbD_r7W^URp9ZoC-+S#jvAxPpzSjqB^lUOm$+kVqV9 zU8#Ra!74i7e`N4C$>Z~b0#-Hrj;Fl7U_vmrp@cIp*!M8ee|tle%!rn}ZM`&e=$ z+Eyi3))!Ck4#|A#)7E6nc1j>sPK6BUI6f!8jEGR!ITuK=E>b?7o@<%L3IblU0`H3D z<&yHgKC3kdd0L){k?I29Ffrc`u~2M&C~Vboxww<^Ifg4FU&)-D=?T%F|KR4&xL6Bf zgganH=JT;3Qg15blr_E;9CVM8M%ApS7hc!U3q z-IYSglso=zhu4gnVf0uBoWE-9sxo~*zV928Gc5v%0+IfGCpk`I(}?|%+^U?fUxQC< z)Hb>;?%!@}i#Ea!b7rv8Z3m&_T}dIRtrLa&qgjkivq8OxZ1?rab#;{4ep5d&DvqN(}V^C61CnNkW5mX2B`^HKKYx9?Rl~m9T0rY-LYm?{zymE#(hkpW~W~^{$eHUuU{Fr~g_!thK{1NV4 zC!vhKNY`GXjQ-~$8SlAdeXKBO-S+rEZ_vi)*j2ThvIT2sbI0In4zWq|(-L5b1)09D zz0xq1rm*{7pt5pF;nL?Y{`vS^tabnhjGWwO7 zGPe9*Js;8jRLvSwnOmzN&_%&s->r2rf4eYnwz_Iw9y}YVgY(Y{9Jr(h24uf4_;)Wi zCG4|OukUZ)Tz?5kZJPUnQD(>J9I6BT+Lja3a_eX2Fqg*a%C+>CJ{DWqU%+1_-?~H= z+&_d#$E$7bsT=B;SZdZ5_PxcpO>LW=9-k6Kc1}cV6h9qEdi33|l-*sI^W4hUFrI2C z%uO#u8tAf;EYefM&cTI$5fDV4$P`VA`r)PwG41aHA%|ZF23_AU9|Mt4(oRl>?U!|H zp2-r$YX@$#yR%XDN*Xhs4ebAPZJ5)8_KfayNDYBy{Ijqvk@>?7lr%WLZ@O}Pf2%ay z_CnjEC_K$uyu9Hpfx_rV!deesW!19vo_WdK%S5HFSiHx41Zv;ZD8`u+R9xOX>S5O> zrOZwgEvvM;kAJmuK0`nYWl;DiLB!M^I->X*?=+$NB%)AK`ie})2_FJP(>%uIQYVyV z27Pmv;NMhw)KmF=ZMfvw!AWQMdYIR@o3pdyqnwfI4@Y{YPjo2cCFu2Lr@}#67}MV4 zaNp9ky#+DT`IJ~qwUn)swKvJ;)fr!w_-7{$?y?PY{hFiNQJC^zTgaHY%^t)al;doM>AvIgZCmk+rh6Nxear5i}Yy! zJ;bR`71MGO33c55^t-uEPf;f1NWpO!2C#NxDJZEL6a3;GuJM}IkiCnFazPUZ1?#a# zvMWg7HtG{njTc0%-h**Z{$&TJg0t`p^jJqr*|c&*Xo)dE^7o&GleCYk1 zL5@tJ1+8|C*>xY4K9X6azvS>T)AHXkI0Jc?A(W=Ri^Z)`r^K%>Pc<-+}@C!@}Zw$+N=JUytaU_cz#s z`aprla{l6*2Ad!33Qg;KOE59t-r$=TftN6(u+;%vh+@ZTT>v0jx(*<|Q=&s}3kOP= z=boJv+#6!#)V6e5arPok=3%oNFMv+lAwaAAEQ#%8plyD(_X-vw;;PRNCU+`{$iC94 z<}>7!9sif#$Cb#~KO!hE2=e}PmH25k(-w$4Jn09c?WwOl&}#vG{ieMaUqAbNS;fS2 zAon*WpIXR7|k@e|bv)ai&a&bZEa zuHa%&bFl3FxxrkGQ#m&{hpoKr;rL0n83HVG?3N8;R?Y z_qqIea!OioAoFDg9F20;?ed$|*;S}iQCSPH&_R#pkH18?&y@io>e%AW1Xn#hYVm$>k#y<-4HGv z0FYb`sOn>8G?jC^7TQ(d9d2gdGTsGbCw@F{I|rZlotCEu#V>^p$X6I-zb^(}GGKqUcYvts6SDAKz+B{c6%7J%bm3dpPcKge9 zGDY=_Jj6_0?ofGlkau7edl7rT>txV}pw}>-fAl(A(0`2Yz*LmLC3udwI6C?NMA`0k zBmXbTPGsi#Unu*27Hm28b-HNm7vn#L-4a%h1Wz*|1N48`)k6Hj>gqQ{=I+O7SjP-I zwnE8akSA2)%HJmDY4|oRS*pffZevjTR`|p11ad0Lq>*;ggk%Z+ozalj8T4UbhTzg4 zDIpd(5iyZO8ZvNxK|yM!Me(A5vPPei7CYg37(`P3jK(d%UC+%Zw}5?IkbLV2(d~Oy z1u$N|iNH5Nndd)A>_@HnsGTg2zi%^&*%}^8A?vnuj9Lw(glx0FU-uZ_Y%%iKGe}}& zr8r@)am?Uaa>0592YtW#%Uq8<$dp2@t1Wlk#GDt&(AV%k*FUrs7_wX*%rDki;Wy5m z4V;%N-!?|lKAYVm=NfR)f5b)8e!ExhW?2w6W&EI|u)jv^x4bJE4p)Z@CfIIx^BE(~ z`G2wa%Q*Q6@@7fe_`~efQM^X?f3Ej23~8NfIM}VFLkIgHrBmv=l@8eaAfWRL)+ZL) zxj5Z1U6!6ce-pe#?Yl)LUm!^!2)<=l=)C33ATp14*sto|aaMlq&%-C-^}QlvX>ggn zO#ArN;w)96cjm?MB~eICGtDgj7I&v%^f{yb;9Q;+wLX=}f$Yw|A)m(YH6tQEH*Al} z@Nvbl*EW|u-tc#(PqiT-VP!%-b0l}LmY|Ibc#hSV0;y<2GNN8ZEDdXA>3$B8fFs{| zk~W1puqws@@5ankT#M*i#&}swxc2Fg0SAz31=#b1TaoRA*^dF%C>w<=av>?HRGFgB zp?3czW3VIIB5ay}zwUA!`3L5|;CG(!u$GYNpEmz`P<2Gzja>t3X+f`lv*5xD+*wg!5{jI%K%b2!G&+8BOSIe)ajsmO#^l@+omCQ!o zNkmw}T+79yQ@5uZGRW~aTj}Cib=nhoqe`bU&%PtHUsG?wn%E`;}z4+i4jv#wTG!PxhM^cj`;)QGfdh@SO&kz zFpPrUAWPXLWB~&|j2ap9nW?Ba_baQasy3-_DOVg!O>;MkF}q*Zor92zPb*8CV}TRR zU)jo9O;H$=+;Va`d@Ki^QVyszapX8GT}Gevb_WE~uUv#;&qXIfee)7$%&-Rz@bEALdj|dqV65_QE!dcm`mBHho_YK4_~%wK*4eJbR1#O{iu-Pl)VP5479K)O^70^@P-W}qy`SouU5;svs#_%EnkITY*5Rm@?GL% z^8X)WU*T8P+N~=hAdS*pQqmwucS#CJH;Zl-u|T@JyQNjSL2}WZ(y1&;I;7)H+}}Rm z+2`DI@0tI>oWJ?LV~nQ;?_rp&wg>qqVFzz=!_{mr&!RCQ;YBh4jNKdKbK)8b-x*hO z)PHg}lP%~`&_3Ciz8`EV<8uK^P?8mDB-N;?PLQZy5OD#i0rl`L(r> z+%@90HfN`u~e!W+xIimKIK zP1GC5vyp#5y=@0n{d?`zibvU+DZIjdE}8)G1|_BNEcb8jIF<+Il*cC>i=f|-0y;IN z+SZTw>{)--r_0Iy6fZ<3T?D^hLvDim3pnmzsweP6!^Cu`Y;K(?*4|^jetqY&+>*|(j!$w09$NDREO4DpO zowwPNDGeHVSE#3Kw(;aYv1@uDT%Sj3DQ8)UzmRnnA`WX&@fg4EbN|M6>&;R2-Pw*X^>wK+g9rS z&TRM@u|+Y{ei}Pl&zc~-AexGbZucjW98-{>DziNry_B>x2*_ru9(C-DtY^#srnsAc zmO%mU3P1Oj?b!B_ICz>a2 zubQ^c4~X{8o1T}f@qYM_|El)6rOssRK@v+)Vhf&oYy{qST)yfYiENtH`1XA2Nyig6 z98Ur9N>_IZyivaJc}`C@^Lsuf{VJRc4ZF?(Q#!1M*Lb{bylhg0%Em8t4Dfd}xFTa! z)|G`gG0rLd#EPEvp*Ps` z(Mb{=wp0-?reyi9tZ8;L)sRTu^w|i=3nOKzeOgYr~s}+f* zO046q%P+F6QLTE88=l;j2fhvP+up5wzkR=-M)jCwBYX{9LJ$qh?M zrrFLR!V=$RHvf)f0it%G`Gu7&tj5Zak)gLoe5=y?yKL#T34>y}Afi_R#%vT-nQWYQ zSr+U5tfLEa^YnKx(>U$I=ezs);?FuP5YQR&vqip}W6#weH6}XibJU9*(2v`IdRo$+mu8Uwb`^Mth<3VCF?cq;#Io z1%UA8@o`{vKx^Pa=CRXyyw{nb5P?UqNtR4QO!fj-1q|lir8(`nAqCb?EIwzriquL; zd#lJHy+TkvPI_zLHx!D3&|2rUk zlB9VZn6wCKehsNc$%qW&*p&4dX72~$On@LH61gqXt~sL>O^yqm&I*&TQ${R8Zv<)~ zLjU%M!_}irRpv_9ZYvYAmqM&XBx)a7?#&WVe>zH+>6EMXwe6EK%#$^$;`ShUX5(;- z7h7At2~GVAX?{!8d^mK3 z$}Dc-P9N#>Uy*0=y!3+;6dd21=Oth_p(_uhi8$;&V4|<;w83oqXR8Q#thd7jw`2In!{iS^ z$A>YJb2E&U`YfU@^^LM&YbuWD?xY9)T3g!bjv9mZAZgj_Xa2TX&HPq*t;IRs+UL9T z$;dO}kFsSDxdHsmw0H={-Al-y`|0)LCH3e7cFk||L1w$GEg2)@4-Ypppc zJ|RG#BML6j*rUOYHVguqVIwwXP0Fv_Ik60~Rf03&c~#bO`3nOcwd9FvpG8Jo4sI<2 zA0}jm(_6@?h0nV?o1`7iEO_$Dizs5&MBR(9s5xAVsF&Z8UTFYyV|D2Q?OdY@22pRHnbB@=4FTtO zRBWmJUI2f8j;tthJ1t+3H6XSb#{|nB7KJ7~#VN$g<*Cptf-Y0H57(Wq<0dWGh0U5? ztM=}T)|i{;J1wfs?Rz0iW3+lHNb!P|U=+KWcqc3yx%3;OkBISDq!*3tlbkh9V3X0! z%C!CNl$*i{)4nS_ZMgX(Yz#aH2>&ln+X~|@($MaNGMaWQuP#=+PZYT+g(Bs}tFF=&`o#NARP9-3nVBhNe zo!j2q7^6)j&KC_r7&Lw~l||ah#&|ip0_w0jyX)0@-k9GL!jmDBZvow4z$D$IhUMy% zQlo5sTjcL_!^lLTfTt*mKOyIgXT_PJivO+lt}x!@;>1>wIY1=qrT4WLbVGtUMpD=g z4`>Ta7R|ki$w7KX?UA-7M{7{9Zf(^q>s7Swpi=bePV_G9=VvXX8rTv^wkkrj!Mvm& z-2DUrr!)SFbt}ob)HTJ?Fc(2YxN^L9)sOAdo9U!cs9MaHHma27G#bw#|$ZYsS}A)v4P9^TbnUY ze9-@*(E78Ecn)l!3K?`?<;gnXKKW`tRX$>feA?y7z6KTX<==>6opCuW%2K_6pc}Q6 z(-z<;O&y!~g?}IhV=e?G&ZoytZfee#cLp0L5Hh^uea?p;bLYVV>iRX6D!hw@HzM@3 z-4_1^c_N&u?hE-Bh_IY7@q;E^94Y)fo^}Enb&sQIUJBV<o;s370{q&7+7M(1;3bW0eMKgll8f?#wzjQe`0nfBdIl5?v!@uD&?W(FnJ z?*h=x84YD&+2rfSdNg%t)0I1-gk-@FSe4A)0$JcjL^SB&EI_mU3}=+IB{;L+GZhrY z7KkDwkPvYzcct=v;GGOOcFHovY%?Hd=}N1dBf?5ILbk74xtV6n)u#0+VC__;BP>~9e+|C=ZM47%G6?$}BT(|2pZGLwvcINLbzm@M1QpZzC zCnit=Hrb#xPU zbz<`1K|jhR-6dlzq0P^q@fxn)9gMx$e%{Kxxda3;*v~XdROOj_| z;-`QdC3=OQywq{TJAk^KTi(2>Ss8b7yfb;gv#+Y{>(}ODJoD1)!i>` zsLM}PaAts#wbkBO^-IR^Jd_RDavYV-XRswK6)VQYI9#+@>hsw%;Fg>xCu)H z7UrFQ^D=D?|HYAtZnoX^yMHOgQt6>qMtI%q`mOqawQ=wH=el=@-~$(5NubFU?5H2& z9u|E9S<^ZZ0-AK7i{n)e$U(cehB{%iy!pPiu}shthx1PTLsIUmzQ~pi-KK?)z1e8n zU1usX4DK^k*RRL6KD~Nq^JI9Z_-kj$3<2@{qytD2fo5dqs{Hh~Mv=8m#-_ zlOoyRU@16B6T>-P!(4tTq#kf%N%z$qErH>J#jve&Dqj!e!_-b7-!%9*?l|_Zn7(;k zEA+f6H@!1nG+cc|TpphvyPfPyGq3&~XnOIFKvS@x-kQ^Tw_QE<3>{f9Z=XF;r>6OQ z^nC9;t)^n8ui^v}-JaEI4MyX&mlt70-|oq^jdf&J^#KwR($FQtE@8Xl z-VPJD@r%W#s6S7A{XR?csj4BIyg7sPuhV6t4g0IYU?qNe8R_j?AR;b$tk6{dVRpBrT#HeDE=#r?$8 zLNpNW9oy>O>iIT$J+>voPIgD`6{h|`oS$tuYr3Mj#qccufzE!y=Y8Z0EG&va31kM# zKjUQS+bHG&lXSi;MKIn_`rXrWL86G7OZn%U%gcd@I6)Kr`9ys+LMNI)7;M0eL6qF! z>r$2BvE?Mj(;!SCohPj^7?Sr_^h1@Q=Y_|5Q7~$jMEwu1cwUKyzNpADj18t z|9bmSgqi=ab_%20#gBP3*xWV;v&~TIr`&N~lY&vN3cPO4Cw;1zkCx-M{s8Iw2xEyuS#Mewl>6JPc1l)*(JW%ZVYfV1qW878jQk6Waeq zS0Kf=@(ww4HJb@CI4GQfq?$ay^4>X=pCGXsiJNk2u9fo{@LE!*TU$RPj@zC$C%={? zGW~+LeOn#-D-LDZku-A00MCSvSR=8d{EIKtuoECURo-x%fRvb0-3dZ23?!XR_?)kT z=vf+M{N5?o!VwA)%FL(w?}6rBDGU4a>tqX9+bS}=RoS+<2Si3&F)ANbDM)j!^TE6I zyS*Hb$M_x)SPpojj7;CXNsMD1sDTaoi&4LfuYN(4{z}6=>O@gukQ*9vQ)3j$)I#aY znmR#;Sx~N&! zEgLIL3nQJj*BrFi>vVa`$r?%i13sqC4_v$hgOT8*D$svn3X-&!TG`PZSjX|&3|WAT zz8X6r+Qa_dDy7O+rcmu&Wm{?g7@_z09J%};u(N*oZy}|}e+VfL7z<3uE_E-_G*{By za@j$}3w3GuLYVdVn+g$f-0CZ}yBy?^oSX*3IkZ$3+n&l#ph`|*2vQM*2u+gxEvW$# zhabA_---RIl84dacDy(77rhMa6jKc34!hNsTp5as%Z_njRLlkP0xf2Zk?}_P{ZlXH z2~n9FOQnCF<8<3ANPRTqKH)^?KxfYC^v^J3dY#O!vLcLMu`cF>;|++z$QA`hDH&B` zP!1Z^bi!U*6QX_T>E}s~x*T~Yvyc_O$JhjB*9@>ILClv~Ww6!u>$-%*H73v7#9fSj z{F(%vJ18-* zw~&bS=ss)FS)Aw(6#?L=pqRbgN`+J2Q+Ml)qN`OPk2y-3$zM)^#ta7~`@7zd^q`+} zx5q0=cO@d{AMWu1Xg&V<&x%j%?}LAOCk!xcEukIM5SHod`^Jewex9XSchcc?C0a(DxVs-H%q#a67pl9Me{GVrPWN#5 zt0kCYhEhAIKLYXmX2<;M-o5w&j;3H({jt^p5X_@?8tI1a9N5H$Kw5|oHmpPaO4!uE!X7YVwx?r1n> zYNLtkd&P-iG?7WoFj=@>s0zeYXvx>u~b3nIG)-iWtGzl>3fP=qqgyWnI5Eu zz|G=-S-D@0K5IK0WeW517xkhkXz`%dh%+Cs4GWu}+aV16;!n2~y%KZB84z2^fP9_b zL?6vijmprJUmei?`gP-iby+3QG!MomeAGtG-k)(q7l7#du2@La%~Sr-K0`yU8P7?n z?db|$`Earh<3nmAqhl*)8C)-N@h8g3i8G5 zng-9&z~7vq=nv%h;je4(a`T@^*oEMKM#5$gc4>z+BBpsB>uP32Nskh8GVUS-v=LFH zm*EoPq9qPWB!rm4I_*eqd`zd)yN_z zL37Vm9J~<_wynal&1V#dxxlRxn9MrT7c070>8MI^zK;b$SM$?s@^ zByd@ua+1e;hk9~y7&0rlchMTzDwI-b6()>$eipmqVpE3(*plK_bNC$5i{W2(yR17} z6!-5gp&nsbddLePk0qI#0Pd`TFa#*>ySS8W61MSgkG@}JqrAKP-MgT$HF7> zjSG`VW(_rE0WI2v1qHvL;N960JI^sEy+UOJ+6o=Zgjp9vx@=YYFINUWK0c3$>PfLD zin{wuqwmEAukls*0%j>R-n?SvA^!WU-jNV3^6dtko&xA#K3kmb;Mnl6r!EgUl0ikp z+_zgafud~xkToc?Dtx8W1}{Gya{0*$gHX@vQqbgsMFEa7OS;#y!v>uov$0Xp7#8a) ziwWhux$f^(b+~#C1FB z@x1T;T=hL#GpQ=>)0Cta^ex3KEoxG)aU^z0^u)9rtFo&o_fWhnVoz21nAbeY<|BB4V4vdnnu*A zCgeq`F1)0oqv&e`6{zx+pA-_pLvcXh*tn-Ley!X9#snnbu2XbUt18p}%HbMdf}F@M zCL=DtBaKY6l9v6Si)N|A<%bDB#|i55~ZH$&X> zt5}-&DukkrM-~=jmA4{ZtdU3UVBf)hmg;F&NW|1zk2f##ow-9uh4!l9yo4R*Zf=)C zV?Nq+cIcCB&)QN0(PFYF467eqC|9g&NLriAQ~GXP7kpO^OR?+Ki>4Z^vz#+ab7X3O zWXcoBl3>IR*UcD76QDc7C^@!lFC*Z#KnnJ(g%9{>-y;p6UR|!c6D7Zi&OUmS_2)O5 zu^xM9Lmc<{b}qK*4|eyHPWW^iS@<~gzU#8c%YE;6I}}`W2^nza*(~m%VtDoieBJh= z?EGWUtux{s(jC)X;6mKvXq)^mis?a2wrI$_=vWK{#{2tZf@0p(TV^TJoeqIP*~H(5 zdCW9*hJ=FE4lWz5zO=*oS!~twbTZUjS@tO!YfnG&)A2e|xX0-7eF_O#`@;2Tr^FGg zw{OB(Ue%%It;$&&71~EgK`CD^mX}^v!S`G+xDDPp$J=sob0T~Uy0L%maagu-qTPjf z&@m3je{>wO$oA<5@5~EA{jt3cuXUkRG$(p@ydvb%%N|s8J8LCal}-7BlR*R=xuB6e3X`r=wVSbY?^~l)g2iN7u3()o_7?~ZmGmF@NC03 z`tjxSm)FvDE5V%disF@z_Kp z=cosx1p+v>=UdTo)A98ERL_aIHpx@EGnap1*}QC>Pk8UxZy1>PH7=&!OJS_b{@B>y z?(Q`)y89-AM%;qBesj}t6X6uPni}X20xB`rin0u$D=)$n?Pc!1b;Nkd5Fdjx69;bo zj7s#+2*iRz8R^~wwB}fqqZ}MQls1JRL0<5zQ7&3UhseMA2lRx7F4)HGTts6!~n)?gL z2E0_r|KrI9Jj0%}OyiH`7tKO8`nGW9~re+q+mC=6E@s_Ug5R#t#HdiOtfo zEHuTITc@gW>)boQJLnTknF@WY)e9T-S55O~j3Yl`z!zG37-)Fg_~GcakMtv(q_L|X zab0lG^uOjIPh*&Z3sU(h4J!(!?MgQ9v#YQL9{_&zZ>;bPnV!Qu|02w{C7TB5Y)ChkF2 z$HC8t%Z!g%TYHl!lhD?pZhFOP?71G0bz{G>226fll?(ExY!~g!5~vqdjxtQoHwwnZ-TZ|Aq_j z2SI5G7}VS|*+Nz&%3nG2DZV{%$3Knrpv4EW4mTbcPGa2)DK>QQ+;{+_IO7dlY_~|X ztK#x1SK#qCG}=K9oGV8Jvi4;Nz(F4%BTm(EuMpfzp1KT&@S`&PXIiM&I>Ee2#mc+w zMcl+Z4|Z%I=gmv3dg>PgItapK{lS!9kL38@oj_n(0Ki1g=Xhq^DrOd_4Owyv1_PXf>SRO)oP~y4L!L5w}w1w!{Ut>!VckK6Xwe4khRM*3A zOx{D1=;itK1th)QRB5gU?$8>4>r>VRgd~;QfqSSe&s|39`S6^Ean3vV9EKZ@sNeGL z|9f%>n79Vp^wz1I)TTG`_A?x>R9tdJ--htX`UZRG*t80I97pjVgh)pWQbS^HY@q&WAys0Y4Q@Y_ zhtq(FzTU&GMA2sUFU4bC)3}MofIP#q2G& z6+Jn8V60zWC*iIT4j|1KTlX}4DLZ^lU?iROwU=Gle?IZ{cBE#+`0Hzt`bABv5BQPMKX} zNvaU!CuV&B(SB@cMx3I%Gy+y3Y1UuzY>wc%W3^}TImZAw6AQ6|C))9Hb-S^0?Q>@uD-Sd-@9WTCeXokz? zVlql>X3H127g26GV??I)dMw3pboE3h@}&a0=O)$U2vP>FgdL5=sV%#-W{AE7VCJ02 z-9Q=6bbbHAhhTs2cR;^Fa--8dk(Yo~c~-+dIz9d9-^FOgq&HzWO&({!zX z{pr?dkph|FvT?SqpDh4>LR+D(gP-b}FY!il##g_PGPD=PB@9aD3|L{4m`W*%uuQrZ zP&(Nc!Po%N!pT|`DMR1Q0PaW@q@ZP#lz|lxu-CF4%DfwG>k@nVb`oEJ2sbXeNfq`9(!yB@r1v09^iC5WfQ9Q-6U=(*QIyPw(Zq7T2Z1*y%ICJQ(cJ^+Uo2`)ajOdIo zWE*0oJJjOftMC^lEBYg&<9`BWftzx^!CKcOx)XB;^%Px3euh%u4Fc4|*uhMT;OUlu zs@a9lDm8iVI5(E<;Z zy60((cxrgiDBIXW8#BYVFVQ4=3WnZ1Zj^AeQNGD=Wqu9MFu*H=vqQcB!3DMyM9*fY zF+;xSHvGgft}sRMF$n!0sjECY<;Y9%0-sy@$8a87rU8D~c>Zx{V|w^!3rEsTjRJD) zEWf};o3yr^F&cTo27CZ-@4MMo&)xE{o+#eEe&C$RUnkO1Z>gYhx{D(jbK`ITsQg;n zMeS?k#~*I6dBe)wG58N71*AR!H_O|oSs+lcX56sWDPb*JuJ5O#o!&FWv1WfKYifrp z34a*PKmd#ms!-*h@e=#l1-}~URoBT2%!s+v*P2eDJCu{$CD5^v*-&53vvYYbemE! z@eo2+{2x++f9#z&3@rYjWZcq)<@C8)(Hj=oWcuT1`w}_~JP_ggxL>B`2AAt2gR1!-l{|&Oi|ENf@7C{Zq zfJSz*kqL*<*3Dt~<8`A(4uBl6_IeJOi3GTV3`0c`ApOJv1ZalrbKNcMG97S^i0nj+ z_J9Uh!-hr5Op%WYR6+IT)$<=ntkm8*B$E&mK!q(7UK6qZw0|(;sSFB#BV%Fi__GI4 z9N4t+1-Xauk0ojz*Eb{+MwT+j17f|$Oxw}FKDEbUif6(V*q#mAUjc9UhMg=6}%=c9r z$=5^(m*GP2Y`saRvKazg(K-_q6%+ghY0;5!f6SBcrHJ= ziX@R*?L@XCF*Iy0vNz&~OyBQL5oprQAvwIIq(L&}2^~aO%j@_gZLG~y9vHHAvV$dYBSGaZ$5DOf%qBr(heCm{deT6GMKcEO zaaAN(sQ$s!i#8v0Ozl7kG9qZ16+C=B{-l6bG?{}&uM$Vu#nkBCj zZe1PqUQ&h98uC*v-2?^9YbJg6#WU|qBKZYb+ZicW+;YJj#XoRn%c3e z#r^-w@xL|1#$PNE98WZ}3t5lodaY`1W>)kLW&}CP9&4#vif{Y|e|Y|2{_aignj6{4 ztusB!YhSKD|L_xzrgc1gpLII?kJw83myuL9T0T-J^z=r-pDTW-qJIANEAif9|ES66)CDHX zc1(&6+(Ov??qCQd%_72$$+=^DFe`tQT$!o*hwmsX)Nhdw-egWu+gD&9(488- zf>;hZI(SfTB(MhHPi_7Y0?>Gm^q%^EFVK;k6dWe~ScH*3P^k^hu8fCL^xG0=(SJ=B zvx{`%d+2BQ;k7xR7N)!Fw0^83DK!-FUFCfKmkC6H5x;Gi6Kk{s59y0WsU{`-JG9t0 zt}6t(yv}=1dKHKQMDv#L(6T~mPDd$*IEjm}uw_r=49n^})j4lPyV#l~1(|o*TTjpe_WGhp6Z}r}TR$2Nr?O8nWHFoJmg2NII`g;dnfbh`>~*M$ufISlWNZl_$pJeWzjo2q3GaeH+sa z5`my?aW%>}Z_ucN6?)fw+|gt1a|svf3H$~?tyNBol7Z5uotnl{TFMM40cYS8%LCao zA1&P)8CXWMh4+w{Y}wM~@TDiO$Yh0qFd8Wzj*yTLxFcY-=AjP^1{P-#-ZW{i%sp&; zTKXg!023ia>gV~P{CAV0zxGe2>EDqZ0Mq1*n1eZ#xiQ2or2)U)wlrMAjN=n6GAwRnpWIJ?&b_vx!M^%WJmZF;?Q?>7yeISrk zR)vNQ;&{&~o3I&8rHi!8er&=yDAV_TiJ0$&B#}7XiKiO*^F2J%56MbeoP4H|XKFp% z-_;j`VqHscOdY1)7$GB2T;EbLuxK^k;v}>3ut;Vs6`p`CUY?*!s=U{-rVqHXp*(Rl z>jL&*nWo`M6OoMJ>UdHw;yP@x>i3pkxZ*1wA6Nk3I&wf|w;_ z6>*o348tktYzFL~UiCiHNk>QuQXd$I7YDK#9_;Z2XTm>z2>&CtS?6WaaX{PEKs1cG zkjzAn6t$8f8!WN)uVFNdz`8eWz_=FVOOzl~RvqB$_@=)vbExhudd zeC0quv#XSTq#@!}CIrxNp8z^;e?8Y^eG~zpX%qoGWrh9JR8+X8c#Ng*dir?_5`IQx zb^sXz`(n~4Z1kU<6~}n(OYIreS-LpKZsl5@-#!7dRIo2+*8?VM))7m!95L7(=jpLs zI?|1$MxizZ`!eFA3~}Bc?~obluDd_sAXK59uvv78pukIOcjV*%eubQ(jVL%OL@L53 zuxI3(`-<>1kN5$?!RLNILyT8!nj>pWJ&VuWyVPzfl%^1oc4mRQX#h%zf#@*)Xq>^= zt6bvQiGi0RS$efiKEPUjP|+rj`kC|6=X^=)YT>BiS}0PlTrEs)ICs5Y*xX9x1Sz`VtlK1cQzmsOlf>&-2Osm0o92T3NdO|+vrThzSCLmY@@JU@l z#$?1nDS!3?@SW}22pc*n_|ECQ^N8={&zFf|&W+f#L3FyQYBe0k zKGF8z3C(c9rUX1l+fQRx9A@tYA7V4b`Iwq5W5X9$<==ITp7%@lCcoJ}H}6U`Nwn1X zq#G{2XSvWPcLg!Zeeq?0H#Aya+Qf}0k809U;ns__cU4OIq`@*YY*a!;jErL{s(+9z ztoCuV5=mU=A~(#`Bw%2SGr^VN0p{!zw}P#-4Rg~CCYdC zb)`RYmA$R;xtV#g+AZkyVyY=EKB#U1U#P<5O3fhT6V(S(wGKC^Z)O)CEN4j4kqE#Bd8#yG+FG5|V=7yQd;fO9Aa>s3zj z>R=&k1`N%Isn%12l-W5rPaGL|lu;O@(ayV5$66{Ex2V7;sLE<8h(psD}SYWqI zi__~T>A`nCZA0j}{I})>fAdlE(nyr`x`+Hx^U?@{0Vrkk?g72CNqV$&a7&9WlTOGOHCX+T`i8L)4+u~_yh;41h|QcE!{!Bp#cGCuLjMp7p%d>+<&e3rhvK* zoezC_i}dUb&@VTYoC6or6&K>~<;U9w@mygJ>FDSv7G9L;?e*q-jrr#w7;@2!U(Q(OC5n1MXp`3Mw!bnX1 z{$u&}4|bOWO6_!~7wb3KeVj2-UB21bbL+&>o?b7$%5$gE7c9j^M=k^6pPpBfbYo!U zXXog@bk}#&li$v`U`#ryJUi`ylAVk4t`+&+i9C9%s&Y#F5}r0Y?-;XkPF%p&(2?%` z<`51L{$G2*zx5L^>>>{Z{0>bh(dtDfy~yV^XaL5w75Gzma_H8g=2f2MteFSMGx5r} zsp?>Yj!S(7=2BKznW$;VMjQ+37nS;ms4`YaWojNyvOXl3g{oSQJOgI5dd~oXd^g4K za2j$)`_)R=+FY8_EF~3K*!jUmN-IjCm!27s^CaLD=3latz0;5FlYuI6w7W6f1=qQugmmB-)d zn_NCmPBp7nXhOmWCg{ ztopzfnFAHkda%dPl|Vx8<=}E^({6s*Bud7bDvO(8qk8DDU>Y!2qb!H%Z2kVON1?}g z!mx!hzlA=H;$sECLD6L?`Ff``n%}kwR3^d7YTC4r=HV5(Yp@4roDVyB5y@1Pfov!;e@(8voqDgl6WzmcaUD4})#ILyw&6NV49}w?rsP^a(mzs0BR9 zFfo#M(=N5a5pvtyw@2>*lo;J}Acw~>&(p|!g<#G6Q;;8F9{Xu>T2k0?Lht&0&MNEa z`Sno6`gpW>Ws#8m=h~Q%BI#$h3Uk(Lqgf+vowapB|7_CPylF;M zHq)Q_fs%!Jd3k8{A2^+Fs^h+K;qpD`z?VOA2!Gz4n)5l8w*@-=X2O+GUX%G0mo~J97o7HVTtEcM-nX;CC$Hjn_HrQ>jS0&Sb-1* zta52PgBQ*p>g<0XC$uzuH=SY$-$8=IbKpZ_-*Oc^ym%-4jT{sbDLL4K^PyOyi^x=R zWnKVgFet5T6{lM(a#9KsIoXuRwgO-%Lm-^k;%+r)8_)u|y8Y1tCH+ea^d|@LP+NwO z#FOmq`l4gZ`(8Y)J?CzXM&RKB8CZj$ceF_CcME6_2yNLAap!{4^>@ZYb~ZYS%4UR= z2d1BF&2ll@m+q2$9`6n(d1g%hT`w?^#Ns<**xUu|RbK@sLH=Kwz42Pg>zDsyhH+%f ziB`1$Fm3z!`aaQ0W? zJ!<_sz^LJ#Ono{%z8+s2K8W&RRz1J5fPnG``3s9$DYlp8L5+F5sZqzdzL(CkgM-NoQmMH`!49j@?v0 zR$NBwcv?*MM$DDOpd_hT+>5;zA0SC1$PUYT0MR}UVT3XWdn4)ff`yFG2@CAqv9zcg z99kG6&KCaSRP73m3OI!Yg`?b{0*7HSDsS9_{a#93D^2pU2+L;v+l$?qvhFXw>ufme z=eFedCVO*_h1Zw%=xefZVvRXGO~dc)2~{xlqc%a3Fz^qlnAP|nWGJl0c)p5+XUtEG zzKryke8oZOzIb9|UimK$9s$OrK3Qth9tSI&R~@o3rQ1r#6q z6C+cgnuCU;{|l{5$olaH!X&W7EDaE>hdaHoTVom9)j;^v3ZmPQJdLK{r9qm`%TC%# z%3HJYgQ>85>^L6ooD<`1muC4jYR$uw@lzh`Y`Z_ZrIPLynG~tT;3+C_zW2NH>$F_e z>=-e_7v!HjTl_D$SbPi&%yP_4LwbyHT=u_O+$^$#VKVa#MeRoIIaDkse=Z_Zz5mxm z%gJ@%P7*jXjpIYDJ=m_>+Tr$fn0ki40IOrtUPB^l zX)@xRD0DFN0m*+ysu5XIgE>$oIePJ=XSaF7A+(geJ-L7RsUFH0D^O z2|*mnNG8`eyFSvgK%GY{B`5%~g}~t`O5|RK!O{EwHDgV?Acpe9`TB_>7Nx$mJ&AlY z+;^?68Yt2l-k9>6`D!9F=n*4@xY=UxIT!{fz-!rME;U$8P&HtwfS>qdH!rj=>VxMW zgl^kY1?}reD8A`?k|qz~nYfu!I2q8QP@w?vG~hz#KCvgJrs@MVBup^bU0}JKbV#z? zF|dB7(prP}8AE=R>T)N4I;1)ti%w+Ly@2X$z&R`Gbxwn9 zdlU*UKoa;9Mu9PG@%ulBCOc?VebLi@FRJU)toT!{fS~vaKn&|kV!qD#!^Dn-c7RX( z$Q{^K7vti$R&#cCmS`J^ZL6ih`$8`Qo%`%E2d~}u9>@T}zw+EhohjGzp!V)Df7}9P zR!H-?$&MVG`3-I-C5C8KlkB>0nJK>A&0cnvlJgJ&5 zHCQCTp9zfDb#tBmmE^V_H7iN%CUqHB721a9vVIdD4uEFgQ`FS>d&Np#n|QjPrB1|G z^kb(R4Bn{EJ~-F z!nx#}Ptk+nYQ|XbzSu7O3-ngQr}#7!g9zqXd>$xXNEbZ`N`hex2A;&k!FD2p$vCNF z>B{kD!UhN2T6W}eoN2@TW1JK$w5ZLH;QnQXrp420$-WmavJf*qlh5FAf-%gfZJR+W zBcz+Zd5C$Hu#wEncQ&FE^;Yy5AceYZM?5O=P7-NqpQMhY!k|?6$%UyLyCQhiF=qJ` zDz!~iNcQ}_T)g-@8DNI8BB_#9ZW1Bz^W|?|zU>hlyA%2R(PV;D3rsg~d35i)5ZH8! zmC4ohy`7F{5)(}TdE$rj*?y(;cK7R@V$)``|Aiw^6k7%C{{)@{t^YB?!W|?cg3MrV z5A0efyIb%qBG$0)<{w#sMgR*ZK+-Wi)1ON|mwuJdvLbZ$a5>K+Q(8hxwBmm!OKBRV zC-Y^DwR5x#fBbQ?WCssuP)I^gPTED1_w}T#9SW(^Mu&{3S(8lhNr`L0a2E<4<%ZXV zV0hpCI6kRPS?7tQUue`+?zgWb(^u*^`s36$4&2~TSRPw%n^jiRxQ#(mG<*Ss;8iRB z)X8I(QEW)Si${#kLHcpSQ=5(ho(e~3SzuzrTVN+Il9+a_1?5mw!VOQFYzBeEs)D!U z<0xbr4ab(eTDT@ha5rGlas)%Qcrf#@S+2)L=t20*@Wqdz+u5mD`rwsiiwoU5b4t_8 zBpmsSH99v}_Kz-FNURlxIBDU^)(q0elJJv|g2kX{92J!N1TpC%)ev}K2D+hCk>M4= zR#}NbI`BbfeQ74iaJ&^&EM$U*(vhAuMXW{c6_a2V+rL2?1pW2Td z%A;p7x(QgwdS`SlI6pS^>oIcV@#$Rd6k3>h^KW<1)j!-p1M|ATW;$a5GXVW==nf;| zJ1>svW3y`pChZ-%)P}b!ZsPUqTd7|k+Y-xT#?6>KW|Zhu)_T!vx@$Icbak`nR3qCu z`kGx4JE`(BB+R>swhrslzKkwNDeIZ{$3)5G+&8N%dE-CRag^V0_mitW5p$I4Qy)4~ z{7JE2Tm{|c4O;|6Z&)JuEZ=0mW{$H&gv|qT&=I?wONCExh1_>&IguzIeo!ZKdK)tq5V!NzSTGrh}rk?~E zQk);-0N}G7OzR2Q20NW8%qRhLUBiblf!0% z9p%dRO{M=_HVdqb;vq)&B>(;dEAWx-W-u*?tu2U+_Z7{nXLRAHHB_W%ta!bC?HHgQ z0RWG+oQ9iC<;2`?fTjI!<{9?4G@PF){U6PQmLEj3u3EHj;kBM`>0@|{Z+)Xk~ z=0D6+YVkd`*AEK#NRJXo1G9pyf2w9yL(>M0~ax8&pZ!+rya!D$}jo2Y;`c9Ec8RJzM~1!-N9xUzxf8P2yKB z)dc{1+S>~eH#X~N0JBjYlvULZ3|J0cI>Nn_w{~L1EAJ_m)}2Y@PBe-J%(h8g<3ZO{lRF;p%~9HC48{AJ|jS?Osdl+ zN^)yQk;pNUfg}0LkxmXoiN{8U!~wylfcQmcWbZz-fc^=@i0Rvjh2 zhMLy3|2wX|X}!gHsCZ0+kov=UP78ouNz~#!N++31o*NhO&t;iz3V7aZ@FSy zn8*dbk{544FBMA#bCHCdnqG49)8S3ZAuh~RPfprkHCeF0fSj!RKvcqdJ@qCPP`!T89hEQ*{GJvXxo=^x z6dRYJXWpuYeo+Ndca`ZkSb{U^-}KO6>}&@jdQu^MO>rwMXLMKDc#mbPUfw6_-=q=S z3qX`vMwUCPn=1a*D*5*Sggej3%%>rf)jkI7R+3hPvj6&z{z=4ZgMO0dxKRv*{+Eh+ zaDq|lPuoSEZq>|xL#N8Lf(5Yp%mI*IO*gMB=*>|ouT*LuhjbYF5S3l3X?c(i&Ngvy zUo-uBH0(N$xr!HViwHyY>Af5XW@*2mrKB~9b2*m5udD)wxJ;~nZkBWhDjZV9)W;pw z6 zMs&sN&MfW3SJ%jK1IL^OjCf#khCw?13^Pq7N6oq|^!I;zNwei|G;@~eG@3L|ly)@< z)t=w{HBh($2Ag@&G9_PWP^%AFHvPQFZW;2$IAn3Y)-oem(g!DdN|>oXZy?r_fl#-{ zri;n9_E7eE1C-U7+Y-Jfq>ij@G*lg(-FN(^$1CGIV-)QD01W#O7S`rn^JT~?oZaZm z7SfauQmM}LSfF(Wc@DN}$%?7;3|5+{yCFMqutNh6e79lWOxw^h`IGcc$SxRdiM13& zNxMtfChUOHzn$%?pG3U;b;i|9m(DHg@g@TB78lAG3}7jaM2JUr zg%hrX((fVS!EU;ik6Z>Si1@s)c}p?c`|_sk0S}+RjRc-%$jz%04PaEIn2nKdttfs` zVNEnHoi(5r&I^kI^#4}N$4;@Co+hY$hPaf?QOrMYWP0QffObiT_zh4aGZ+Td|I#m> zdf0qPd1``|2lQ?IgqHgif8#nD@uR_l0CPvfO2an)H~8xESu_An=&PBoD%m?`_bI1^ zX9~G1q6(qjUyiJY$paI3)8C&kYl=ok540zpo3jH&0TG~`02T^!CkLDRk!}SHp{wogXMYwk#cpuue=SCTrLYQ)_9*FYvY;=Y zKV_6)r3n$$z(Ql8OL&*mp36tg#!gs7p&XFDARUibv`{ezjV!a$S<;%WH?HUH?RkoQ zA-*UrOH4w-h_Wz4Fmrj=5Ww*deol!r_i*mR|9BM!TGwj&YI-YbLUHN)8@}b_nD{oi z`a|a@i!}!t`c4XE2mfo$SjMwKxnb+ZXwjQ*CFW;F&$2Bf6NpVRIL)*m2v%|UNpA_H z%EG$yhvScW%Rp_|zDJTxr`fJ6e4~ND4dXT0shkmVR|4_QwH9E%d@OMVG6t>RHy>n2 zdL@7U#3-ns`vB^VTYGb0EqxqJ7BF$*m|kb9O&o~veoZ@Z^`z^W7e_blj|U-4z+mjp zLaIzjD}X`(%_6wvE+CC1O<{7)+u)}mEZ`wb+2_z=S+pYpz-BExzfe@)Nf}6py>3HT zkN1j_l8pAVI!~{F+^E)g-%y&vBz(UEj?4kzD*SI-LzCt(H67*D%lw6@RXB3d5w#Z>q2_zG5CC@C^>BsiQ_u54P_1%`UGE_Z2?D zX5N8Gp@9_wIt7R?j=5~wG#`fCMK&7OjJdG(tAKo`{cb1V#R7BVZ2Ds}RVu{zjtxTv zzB;NVKxhcxIuA!PMO8UE7X%bLR+wF@HC;{#H!XS*n5mq1;m7*KR%mU=95t=DRV`t7 zf72h9UZdK9Jn=gXJ_xEkoYaCn-->-?e=>80A^hm`#z=$Hu^ciI{mK} z;QarRW}s9pX*Db-xHDgo{#Rqscch=sTh6#vayKKz$y!z{#TEavyl=h|j@UG)dwa2O z`YH^N_j69)jB7X+T(uFIO10%6{PsR)Km+?r+tmwE7RUxaI;+w#>I!K#R{(bZ-YI5R z(BxAs_FML(K>;+FP4Wv+0+z{taB;370(G*n!4T>k6yZ(A{ThJ0WrI zU)7IrAOC}ksNuLhoY+RZ^#cf9Q-M%S|FhcP*(T2NtN%5{Kb1x+pFYz)|L`jv5g{!m zZhzsgiQyli|LlL9Cz@^WwpHP&r&SHHXK=}8vGA*ZT61gj#-cPmm|Xyn8>x0D%!KHV z5(%fU5-X(KaUSX4eySkAPv!dG{8SDr6%6l>JSt@4n+}PXC;jjDg3HCmDojwcZI|Io z_g7zbpSXD4FDd4 zc2jPLz*)RBOBC-!pq{3ZhSSVR5-%u#3eIi>sw6ygC^T3F{al8US2FpM3^&z%H#P`P zqSUMy11KwBxVO3YqW8z6x8lDc?5|KF25nvyo)26?^oKbcS+lf}`~ymjf75dvovrU5 z?~O0Ae>;@0)*=1W`u12>X((9x$|=1951qT%WvON~kV=Dg?#>AbvKdQNp69Fi76ZG6N?5b49 z3G&@gj+^q(Sk$4fU@hJxSsE-2*!V9hR0zp>8l3M1chy+N)VV6`m+0;;11a22*vNks zExIwMHDU)}$~@1G!IV_vuqI$)PVHiNKCS>*4J@06u0>qe_C0w3Uaxz;-Cw@U-!OI) z?%$hcTju|j)FT>P3FkKu{`u*-K^#yHY5yMG5(guMy{@y#q7Bt`J~j?C6`fN{?^9V-F2Ere++wX+_A_4)MkC$GE zkMjRsVX`Cy0QF?hOJIuMc}XNT>!E9w%ZrX9eX)s9~6CqiM8KYEuEBZoS8*I~Z5TN3>h9nH{lhe}`}%sBT!3(0U#%KpSU^D7J!t|1^T zaHtRO;*e>&GRN$TOFB4&9aW73H5BkDkPm$NYTZtEWq*r{-KP$OwHNwXS(9PTqTcOb zlYv8L!@oSPw#%8uPKKaYkKL|k{?f9<;MuO2cl>{Ru1sLbKAOld{(GiCRZEM(;H5xs z+n4@FuU)GjTa#C;ZOH4KD!>=Kf?PIa_ymP1mG1znm+%8}@YR|M_nW2aFI>_T7z^x% zLr)M<+=yRH`@3M0ClqoV$&MmwI_B9^ckm%v%#UwiY2dl2u0Z*KnkfOIZ!7n60mXQ{ z3C5ShFPsj`k%4elc<8zplt=JAviX2I%dczGzeTz0k43o_OV1y|oT|;czq~*UAbVkC zZ+jCVCp!>=zpS}>l|ux;Cq(q`FPS6AEevSO9wl5PUOat6RX$RIHGKvR4%2i)R_+1M zMDaztS0}$t0Echu%PxAd4U?FtHYt`*?J5AHN(DH#0;B-%E2mqRAqWx3zyA%swm!wU z!Nc0H{}iyFx}OFNQpnPH!2or_6=>6{8-6W_5=t}y;{F)J%!l>h;o;H$^w~d{|31GV z`8FGWyLt~scW#?>J$5;tM2Y^UMqKqcb6}1yo!~M-1*CGg=nf>ASLo~3|ToQNwp?oq^okMO6K!>pnJDWLtrImek*Bzbx>N?kP`?CD-6CvaGXPW2- z5dKsCB{y5vC7YiZ>3o^ue&Q1yC-PdjVYI=H+vYaY3iZzedWBA}mFhGGNVADi-q*cA zLdoY}jSsLcv3hpvyT|pHX6P+z-HF*lDW;U%wi6zB0(*Cqs0skj~H!(0SL!IF@J6xvNT`&Q~D6T1Ywk>XdoC9c#6J znrEpN`R0iOrh9NpifA7|Xp86>U*^9}ycBeiQjO93EY6`BPT(SHNj=w#2@U$l1la5L z#v5xt!l7%|?~LZBez>h2_k13?r4*$Qer{1zw05nQQ9%+ddcy8=6Av<(=#7nJ&8N{k z@!CH5$ac4L?da0Bfz&4UgWR}H!>$JJ&gl)sW%3%vC60O&NDK>xw|V?a5|#`pgr1vc zV|GP%@xbr4#TH+bs`77QN%YUm5KV;adK}C|(ZesE`7lIb<6X<+L-gcI^=q+wAI9^p zLfu61QYSY5bmOVFT1BO$h!a^k)Vp?9Vj^aGBfUt0x`lON$u#29A8* zAJ&$g{dG#p4IgM~1jYl?g|J-gFCZhahg}D4gmwZ;;2JJAACV05 zoz-W9U%4_L)M}xDe~4=&OZ10@an>_Dt>2qCW>6&iNeZ}@da92BXK=$Ff|m6f-{cx! zZmGR%ui`RBzO7thI5H8J?eK2B>8P{5Of?FooLp$iRoBP6gB&=tI-UM#qeJr7`l8ZI z%_>zTr+~|VJIf?P@y{H7nAWhG{9>Br_P#1p7puO$Gm9+~gJfQqmQsM15`pS#KHkch6HY-i(KTlS_f1nWF&D+9S}sl4Y-JYke;2f)==ZsCSo0<(S*y{>+6+1e82qTfvyINlX9#<`=gZ2?oZDVF)*BXbe6~h+-h_@4U-$+L$|+A3>EbXWCpBd zG!m+hF%)i8m7@rkby(N5_HaEDS=J5LC}VEjK=ph<(Dg){*t!TPJ~v&)sGGu3=M({Y zVSF%L%3C5Nwh8{x@%r4^QaR7ivOk{c#z3;dG zZa=O7$cH^eglAYwF1NQ~Vc}Kkjn|fEHd?~ZEt*)x;cK?Q=u6PC9YJgs<;(AcHtQr( zl&qcNRNs@ZV$Wg~>e^XLYlqfYrrNEH{#fAwJ+eME0KEx}Ex+~yx$XUIe0;KZ>jxc^ zfETxQknJMp+?Oy{w})qD)%~eQTl!=YClMIn3enZ;`D()f6;B{+B>p@!evBX0Pk48~ z8C|Y#T9x_+CG@yHh1W>z;kHcs3mKb~h1=5@M_}kO2xo%zUGlfgag6u(t!GC|CU7dJ}WB5Bb?Qgn$1t z8Tew)_g`5=uA+ZMJzOlZC({*GZeGRz)d(K#QF1=|1%_5@3gE@vKbTo7o2;v z_QfEmivzEK9T+Nxsq+^W=TP=XaL7eTJKU8q|G=RAs_>$jiX^-CmJHSa*W|Pt%C^Q@ zhPM2=-BBaZ-U853P)X8$CTj*}_O-_{xFvl5b0$Q9I0U;}*vI!T#sOc!_qT%<`O!ZqP@LBc2HmBMReV7y>uWYl_sQ{bxAU)g$q zpun~*W`3Zrjk;yw^R#bSz{(4R99 zn47oLk5KggSEqy`ws{FQ8MbWWrv*Ux|9D%s(xG zc3?yILg?PiG<#w%dDqHkFAPS7 z@bVdF)&tls)}3~~uh4HRA}Ph3>?*UN2EbFW@78nkaW)@EnM{OvVBdG|ISJn{x9xT8 zr4Fb;WUV*$flLST9IK{HnRv#rCmI+iuK9i(`z_i?64qk?_riVfoQJWG&&p*`8=QQcsw>bX2f~kB<;fu38LSWE>#esyYz` z=-caUo(G-TQa4}9kg2p2f}JIyMw#ef18@BFre;%t;Vc1`L*guW;MeS!2d-g$iFhRg zVqsWbOr=fw6hC+_joQtCKlB0Y>SCt92U7oC;;5rHQm}KJ+%gR)%j>MCW~38=G9_GL z!DphlYJ|th84TN`3fAQX==vghpEln2*^{mWX&y}9tVJ&!Gh}%119gz`Ybi7~UcXO_3|?@_2Wkzdy_D=RQ^=1QOUY>#PGOM9$zb9klb0^>EXkPP zKsOc$mS;6h_1$ftSR@L6e!^(5_Rx;bCJS>bvyW1_%-k<8T%Jx67zSsFfx-@hFmNh1 zvM&V3_fqL80)ubWXNPC#V1JfX3dP(G7qu!s=HP{#Y8RBTNdsA5z&4?zQJ#F0I8Ulj#{O~V6#Dj>K7vlDZS@*KjtTvXR$d)$3 z4}>aI9h~1U-m#UI;!_sf&&IL|y8Stp*|hU;y2XHAxKtVMycG6=(a}77w__uExvr|F z{;akOZ$*@OtuGPajT}RjqqNZ!WU%0l4Ua4529`DuIrA=$TY;i$D~iX-Vjl;`#Dq19Yuo=D|$ETc$rU)WPsX2Y9MS;--30Thq$NSPXXGyr&;64sXOW zP`q(x@|RpwrI}L}kH9aQSAPMU^HIJ{-z6JyFYu_s*HY70dlnByA=Sv1idMG$c+sYK zCv42+kGL*%yJ6@l5H^5jG*sCSaH?qf$mT*bL0kKe(;STZj&FBu z&H@6W$?(>{Bmf2q5Mst}GhriZqX;9iiNl>gm+pEg>$xE}bom>tEw+%lRyi70{$gwn z?G{RnBWA+EUZC#n0eBiaGci}oWo-^8Z2PaVn`U6oac2dvH8OWKIMW+(o91;wK4mv$!=Lw^$ud-J5t6TK$S4!1=oYNcd$+SGXy%B*W^gYs}+g)cp@<`EggF#e9<#Gpg zxqm1AbPTg?8z~Lh9!t6jJdL8AshgF>Wn-;B!K04$+ba|3Te3){bu8Hc6Z3=S%#+gcIR*O%fAEgDp#LGwLgA zGJ;`&PY;VC8(4`}8Xy^Y9lEd|NbD$Plu$i?uTe52V!-Oap{BwMtfHg%PU%x|_l8G4GzvoE{DkiREQ zX$t&R^$XBUDn3Lec0;1Jn_#G*iIsbrTOmqwJw@@yI4ejdI_cZ|?l=*uPNV)qG{XBT;q9wIc^^o^c{bB4oI{3V1NYKQy)REFuT-18AW*{)3IKINERlVt^wRo(o=i!zp!;>HW zJtl6~{fvjxfn2!;iDR^Arf$mMXhG-fPbD7fa3hX`xG+lSg-v)x>&kk0>9*z++Of|s z9DMxH>>%teUt4(a*?wVqR_i#nR{-0br{Q84S1#J#6P{-+PUtvvQw)rgH={`RY02Hu#8V=I!>nkbujKH?Nx1dijmhhE$@i#ISmQCI!)@|(i#{Z zrGHNFON*)ekr%_sMM^8+Lb<8a7{mF^jIuzVZG+W24k9BbheOpKUtzq10|3G(s9WYg znTC5o$Jh`mDvE$1ZmcHNpQ(@14R$1J!fPLhH*}2y;Tzi5Z*-;t1K^rg4R(7>0yi#; zrA3P=^zKP61*o`PRsW3VrV(zP<}sgo#y;}<{?J|xetlG?`c%A61RxK=c)2Pon7O z`i*Hm@Wxb8)|B*MNEI(A6_gl#hwC1q4+@-Ju3zck6Kj2|A{@FjhJBzYw~Aym*xSA4j^#G>5(@#L}6- z(OBVV^*lEZqn9_l(!NwJqbH5#VBTa$b~Y`d`o5?aYe2%b5dS)Nfp?V7lmZDhcxqYl z`c64nxuBrH_+UZ6?Q+qzQ{hO8Fgy&+N?685+oFiqytQGyG=3S2;FrJRucf0+; zXWFzglOy6E;I9f^E4wU=blcoaeqEuz&ARyFh1b*BKvS*NpZIoOGeno77u?8jZW2P{ zuJa+LZiMJbx8on!M=S9oMt2Doz1*o%^Hs({Rk#QBx3&v(S6kaqlUSCzxs3Qo)b*Zq z7o7ORLL#FZZm4x>2+Y4Y7_&o63d2{`K7RMko#Ex>TVudk-{$Sxyvg<`%Tz3w5cfah zdlOsSb?4`l8{CH_xjMp+_L|spMV#s&#Xv>Sf^iI}Avh%&%HzZPzQ)8GLCu@_r3~d>JJzQf) zkO&~8`bYmDXq$|uUxm3Yu-cq-qLzmU_7hatgq<=ApX@2PJ)FCi&n!xICs$WK(7{qvtPmJkJtwJJf5ooudL~?ceOJXZIqf^(Swr$i?>kS4<~R4uA>@_ zj_7FhLzEJ_3J+;hLCZRla@Q{U2wMHUbdNq;V?Y3mWR`4Mg97uWKb0LXqkuX*v#US% z+^|%VP5W~~eMayHetOE-JI53JC^vzzRX_jgC(34U;1`5R(%V9C+qB`HIqnwei!)+1 z>Qwr{w+gtoTr;<}Jii^;^DQ445bb5hp`Xbf+b*3^DK4^xg$pj2w{`|MJmO zXlzBq9aq$5jyPKEfhetc98UqJ8+P>`+AEe7>`xOZMtg}bU=OaBvwvxjBA<{%(3c6W zFWp|R&0zQXjhrD$8u_w~sSDMeD*GKxsZxxipGH8z8=s_WJdIEUT-s&Xk78b$)7dDatG-+OaY0kRKrdUy%QX)Cx3r@!A~ zLG+x6HQ~bU-#7jssA1bG5QK|%j-T8$a2#+x#0?Nf6DQl1yQGR-m_@Gf|74ati&i+qRe-pnB2nD4Az+G`fh zba(03b0n9pJW~VjWvgqjNv24Kp3fh)(BC%^l$!bG*kv#Iv*r2XYO3ImM7g46Jw&@{ zkkGpEtDOblayqcPq;*e@v|M1Bv0c1wD0qSsT0|shmiv*(zj)ayglD>UQn%Ln;eDxD zYNN}wVxbU;YYary#MR^}!UlN-LV81$)&;2JcnyI16BHUPyNu^$-xy@%EI616n2d8V z`F&`}bony7$B=^AO-_^tUFIV9=Mi!2WRn3y-1e(kCe#XBz!)IpKimHq8y|@W?Oka% zSy?IoLoU2|?XIW)R>q{IAtuge^4ZOIfCJU@i8?`el%77h7=>=`VKVJ zhl^s$q8XJn;g0HRFwRKa>?7US-B^Ce*vq&`|0@U{@u^yhc|5wWL!9G=u0~mMOkDO} zbWF#LSW!vIi*x!b#f|tZGYMH$vWtDu;GbDN9CqFM*J}uuv@J(sxPcjfnp6qUAGr~s z2NiMCk>(K&63M~}9Gh_9?Y`_re%?YpZO-X#I+s0P&hBpF35ta$h-9@coi*Q>nFrb>2-%>^hOM4e569429dG za+WaIb!hcoMU57TsL3|!^o_IWjTY!0@bliKa9g~DKp-2XCK2yFGAGY&m%FUzyl8q* zhrnh6Z_=QQh*OSccrtT_Eg${iqGHey=1#@!9j(JklAHta-X%*ZHG7JF<_s(5L=C@} z@7^VSY0A|~W4(J-k_4$^6K39~1m{DVj$TD*&%Txx=%+e~si(zH6)oz;91_>g=@96; zCd2$?FsA!d(h|YtU=AaBRyk#L&)?KGeWiH`1iY`iE2U*jeHv>}P@`nW@4!sb7D1TT zIA%ccQY%SH$HI1^fGIoDk(qA?hM*% zkW|bY$<{oniY)0sc5%4i@6MG86kf|#{}Ce_LRMxn&Zde+i&pAnn4bJ7>c&Y| z=Q+>?FFD*#pIV(H%!)k**eYhvF>{Y95kyI+R};;v^`0!>=i@J2K5Jz|)be0PTcmHu znYlSehP5etaQ+sYefH!qpr4UQY*oEfB3Bo#xhg`aBN?X&r|*Qs^H zrkhB#(30YIM|6tW))T|8dw$rb2|w++*7!g=&TidXdllY$nk8f#u$!U%je+&GrE${& zacGOM7hFWE!l}Z(;@!cQiM(PuRrjq>nF{El<&NiB*Nd}*Dec1X1Mh1|?rRTWAFT#* zQh)W;Wc{e0UvZDm_joErk%vy(yHDScDKK?N)ndABfNeBOFIYsyd$qgA<*k>SP}xL^ zLls4LXRu-kC8U5}upc^p^!tsh7dyvDamIO_wBVrITqK8S=`d+z-${c5#rGN?I>?}r z2Tl9gb(<=DedHx|@$dcK+n--mTuuM*Z4-lgaCJxD;nWv8B_wGYF8cU7k%;0NL}Z5V z%RD1YNcIzOfYmgER)W=i zSa>_~r1`$pQppX~{UE9dErs#PcQct+)X%f|?u zvgTJI2^M;|=DRx|;WIir0vYwaJZ2bSoNq(CTmX-h&EsN=J-O^cmxA;q3+Z9rUy#=C zPJ6p9QMy9bS&P8O97MkwzY0H$q8xVo**vIR?399ZZf9GZpAD{Kn>2q-vgO`xH$CUA zzH;GgP_z#i$a3UQ(+T=a_2tO&D`}_u)DK7$pMker23*B$e?2Ls{`kf4#*>=|U(*6w zeow|Hr_ykLA-9U<)=b4d;tga6*+^(}QUpYb{qTk+KffZnOO(O2|NP`6?jD=O)zSnM z*fMRI32ljmFp9FgL081l?&Sxv{8icv7$Y*``S`0nk`+GZmV+q*mDl-NMI@ilI> zuOayOTU0Z~C2>_h#AnBPe{`i@GY~?R1};y8%ch8!o@-Xg>C|XNx$5k6O zsuU*a_dTAUtN!jqc@v+?@4P@r5x!+|mQrzbwwwLStySwR`%e(!SE<1=hWOKXg{DjW zFj$X-)F5F98fH6Two43jbEgM{5ppG3Xq#V%MoE@fZVbj0jpeNM2G_#A^jlpy=# zNXTLHwIJ5vGWE#%w&x4XWl!Bg-?1aaXJlfGuk6^HoaOL<81)bM0iy?_?CIUNNqG;Vt|5}JIR3{5#sfnHCg+qL_`@3997 zynEDfd?Snch)#xH_c>oKpBpgi@f&bo8q@Ru`UG3!z$v?anf5B>yw*h5>F>FsW<}oz zxwiS@6#cLWYbLYVb-NLZfmO<@bzkUto(k;Mh-9fKT&&Kc8Bz1{UbCJMOYV(})=qh&0b4u&z>Q~Iz z>;@f;sKZy;ueYPfpFNT>0rBxZAfy=W9O=ResLB0%kRtlU7c{(%MRc3C!nrLYrdQbY zXg|~0^SF8Q6lpn5J~oJhA6HH{KBi9eDY49WtkueaJQBlq1}X6(B_Xmi&^w zv)z8LE4v*l=u-@E>P-0!4f2^*)G&)4hs~Hvs%B1ac)$;2P;9cfFa`=n8XVq34z{Yi z8CxO?q;2SZ3()qWQI;B;f_D|Hiw^(lTGxGj`mBl{$TkoP8nTWOyQL-Yn9-tQspOX5 z(L4t%P;zfB+;P!Lt{GUFq;ght+#^M5x<7cA6pb7 z{hnS#B%QjYmfSLxjPj*>snJC}s<462aKdRNq%N-ykCf>Dv-NxWoHx^Iw#CivKeYns z8vR%0_FpYuf0bJxw{}vwZ;uhv?HP;SKkHK3Q-^c$lxsP-7kzTu-5>9DXI+6Y=w?KTNg=`IWXTY%$tZj{mU`C9?U5j<% z!~&<|7N~Z-j0wzTChZ3&y?E0mqg`(+t?u>(Xy;RC`IzV!KvwJIdMX_RCS7|YEtgWq znvU5!WBKXai&x${aPIo^`%IN82P0v&Q%2@N+-Wv)aL}{-g0HQ{LvLJahn7@iP; z&VE-vGYPV3ub?(!08biJ)13^kz4pmK(rzK{J(82I$;Lq{sz15oT<#w@ZPF9CkiQ1lxK1eCV^??=r$<+Yw;TB4)Eg zHpNqAD?d^deNe#BcxKjncD^?EF}KvgT6;TGGkaPJWnZVZ)x9ys;kNMHZQWgo?6R|Q z=;;1CwH65`bHp<`ih<4)M7b1?_RrVb;pHn5;KD0ib}wJFLUK9r*Fkg8j|b9RLPKk_aFp@)Ljk>tqArY zZDs^3NAyhJ-^v&wcCHeYi=u zk*HmJj5TCY2|m9!9PQ#9M6EFzQ~M!Wm|bZoSHdtK_|hqRD|8;@uJKUgSX{`@*5#i# z(_)IN@1w79I97y6#hQ`a9_(B!d^}+SG|qZ^xX2ine4`2DB4FzT#9Qhv?`0p6Cp%y{ z29|vO4r13upLD#u2(k-A2hJ`LAh_}wdUd&Mhwpqs7;%3rw2^Pocu%3i){{Vu%n#yu z^-4hVMqO1sYB5%T$d?_}5i#PqdF5-VBMYa)64ZCUalP}R&k{wsGEEJ&-6+D?8A3kX z2-!6SA$syayN{`ZN58e9a5g`VT*p*!)en}NaqVEG`)8Ad(p(ur#i;HswioTXY?j6g zJxdn;lv%;6W_C>RcVu(&va#RR)fF+nSu)X&|E!5)JNR0({$?%8_ny2~J%+=WXpoK? z4N!lPu$NqGg<-ZTqBk)mCTQ-QdGU*5MLhKhhT(GspD9I$r1H2pgcO#-$IlfHzOg2d`b=xmcPIIK-iEkk zXCJkUhaPD=Es)SU1E0z()RR&Kd+P~q#Qa;Mx#XxO1}^#V(!o_tlLog0-|g-2hZ?mr zG4+?7=HI^=gey+pK8=DEqsK!SORB4%6!3DLNP#}HQEIsgJ{3DuX)Rf|;5S*p%8h`T zVjc70#=1B8zt%E*(%?KHWiujtOw=@0N)S9BnBY<|U(6;NO|Hu~aGC+MEr-^e9^Tr) z{5o!b>LK5IVdt!(%nie`y(U`4W$Vf9nhQlvV~ZsbMnc{x^*(H&lLRZ2>H}3i#cbg) z9{ZoL%wijXH+91qK|P=bgDe@oZoO`UZli9K?oHV8iTT2Hwy((r2K{LApiB@Yu_UrL z%laX3!9=Q+F3p`Kpa!!d-+P?LY#TM{uh&EBbc1@sbAHd&=PCLg0Jr|(055%v1DS@m zoy!&N%dx>@D_*>CLWqcxeUB;R{u|wkCXLdA#dUO=-xB>+D}VeUTxQA-#IE+fuwpSwjC*!6u5dDSAJEElk4_Ub6`?*iPSW; zv~#k}TzR!BYR;YPhv)Q&{VJI&IblYP4U@j7Hf~0Z?Fq*)nGnr5Cevq_OSu-+~9(u&?6_wftbU5BQwM>6BL<1vX=;#mUN+LUxO@Fa3DSLNox`wUg^DFYLq^ry0yIL6`|De(8(-x)`3qsDZnlh z4p035b&=2}XBOMl1QkQcU`6PTgUQp`&Qj(3M-Nlc&^sl))C1D_sC8jAy-DI8o=r$T z4`JcyoUloW40CQxC*>dOm$|s=u^$E{8o-1WavD+~CFw6R{C9dI)b=L)?ZY&$1iL@| z) zHDew>GUV3>sh&{Buv5XTXaeFa|B#~($EguBzqx7X&8S6q2({_dG&M-a#w*LsWnLhk z>xCkhDRpe`6PgsDDLbc!Q3*mp*ZyBfh&V2;dS=!68Pk=$dbdp{WjRT zg+-WDo`rTh(07|t4H@FJXa`1XhlI*Eo{2>N*dhCA8f(<|92UcHs?4)tpQ0u25ck<; z0D*w!2`NUaG*gV;J8+jvy82Vi*cK68A=ctAWLC|!xoQI!b=$}0$6cT7pu+r$g?4il zgi5-#J(JZqv2!ZXk5dH2 zwFmxkR}?Q^M)?E;t+dc*b&N*}=8VMMr-0Czl?g@PAm9!s8C%NIn#ow5uQD>4)G&-( zadlleO=$=(l_MT_-wB+N9AQSG1W^^2Bj`6`t*lRF+8^SMlwDlEt(Gz9}*$%m?1l%VNE){zSmbu3eRKA zh|~lB-xJIX2rNuf>|+EH(-fshEfFP0-<8XT z+pO)9?F0e^X-&V2BONoreGo>Ew~A==WtV%>4}EMCTO7{Mpx`d=c8|=LSP@t+Z6vDk zqmN!@a3l=~GB6;P&?cVP$*e145%>059k!5_!E%9Yl6x_dU_HgBaU{d+I`CMr)9>U~ zAI$pP8uwNCHxD#_0MD@FW1*&4$>ArZnC;!%VX|#fM_}CDF!JeY6B?pVe|4sn`x_MQ z`;|C0jrJybr(X6sC)Ec@41}%h9t)LKI8K|mzhqgbI4X2U7H6MtPvWHpvq+eJHcR5J zhYv|5#qCAVD@r;{D1AImH5J*@4fC?+pEFxH#SDhEi~Oq;{i{lP2YUl1jU@qNgWp#Z zz^RqqB8JN%ni05zTeH4b^fA9MH73nM!djiAJs|EyH3!0jqr{7Hc05Y|4r;cTj<;4D zN5vdBH17I$%@CBuk`E$Z26(l=qLrI(17H{LeHr|7U01{TVt5C|0eRKKwLOe>4P zH<{xBO@9cF&!aK~b{p~jnD1TZv+iYFbN|up-9sse`_n@{n}GM}uJWRS#4r8WV8o2; z*6TId#_ID8*-o&i_yPbn0-?u}xjC?ofuvD`*~ zu?Q|b5#F$beF;CgTN1j=(N4#D4{7FHNvjvshujK;C#~&Ar}tg;^AETU)D2t>@DI8T z)+w_3uI?k-YgbBi8j!ZX9OtmU;}*VKD0bWLP$~boiA?M}B291f>j)YbUH5j&feq9r z^OaR9Z=|+^2IT$gU4Gg5OK265e->1>b2+emd#8Olbi35QSnQ9R66C&gMf&FSyqx2C zm|~qrX;vZBIkzuNyEOcGEd6lpV0%d-z^>5v_kk3DxKEeYDyeq{A^p{U?vcU7`R6YV ziUbwDHNSn`LV0m11uY2FhT%h#BNmWmM|hb7&$uV%jd)FB`ojfi;p`IL>u?G8d8V9! zp49yBe>ebIDN5Gw`E0?3W7C^(&%2FuPzk!+7_c)w>nsWhQAxT~j#&{@`G5F& z3%97>cI#USk(LH&knWIXFhCjvlpGk4?rsJYq&uWTNtF(1hGuA_L1KUzy1U{1`n&Ia zzwh4rdEWU0IA*>FGuL^YYpu^3U3Vj&cCdTQzh+0ZmdV%tDM^s>h|7fJn^buXv3Pfi zt9ABw<}>pj;tRXJG(2;)DI;SeGUp{;!$5t^U#nYC2I&^z|JUiph9*7=aKHmpnx5qk z_61-1kqg!qF!?Ex`myGGJPzAUl^Vq|GC+Hhks1=%?1uvM5Odq3NnDNr@fkzT;3TdT z+C;~X_9wL@tWmRp2kfwxDjKprOocg{7bWsEc-Q!vGge&H-@Z1#$eugQd`{I(n;xf0 zU1C8Et~Jy;tL_-j zpNt#!cbE6FOUwV6U79@s#>Q3&2$zE+Vem+Z$y=4_#xm4+7O0=&cfnm7LWG*$Eo+|W z8V@E0-rEvsCfu{5(cSHP{3vw&QO9^UMYZ9X@!k!(ZsQE$069(Q z_654roey>4$zjO&Tl#vHh2RF)vk!YaEvd+6tm-Te(=#`dmBf1w_gOakt$O>7@k!cf zgmZFkl;f4phrPiTU6Ff!Fnq85&WyNDb8I7{gG$OZf>9Jx71v)-aBwjOsNH<5N=e<%eR%%~i> zgW|Uqo4ckpk_fQ^G40ErLNnBv(GPH!3?6%hj}*LV6T&|bcyO%=#7F(gl%~g~(?3e< zF`cn~`7rv8A1`w|SPhxHBp=(NOKsP+h!W(MCXaSpbCku3U$kFT^K0V5Mh0>U2odOV z4{s+wtnWgTiL4Q@R1#ppOU*$m=_U1Edw?ZJ2a4BLS@0uHyj~*%FPH9pS-+kOQT>r{0y1%nam2K2~Oz_0b2tP%7y;2e|6)uxU83d5#sOm;6 z3Qe0Vw)5{0!F&ySdwM5Be|tAmUkx30Fjm+ zMc!YCU%7>oCJvG?#++8Zm>4=?kN5vci7sl`RWwY!>KMw0h<0z^oM9Vo=;9+sU$=k7 zR_IIDc6H3E5n!&nL>&&R7F`-YR2J|l8|E5P-x%IWaCpQ}`3l!AT`N~Sp@ohOL@_T9k05JO~ zLURL-U{@!BDcLXm5@MP9F+m|NmvwoxWYc{zgfl!hmwo0`**-=9{_NZXw}zt9qsq#$ zsY}2*-QrNNA_uU7JvRUhsrtl2{FfFC&gN2T4Iv%2we)M)i)qr9^yGXJXtCXF6<&>e z7SL7_t!ulU8pj=)XE6=LSVK7tgt!*_p#wFB7Y=PUHCI+5ARki+7_e~EVzUdn4g|VO z++{5Am}DG7#?P77)SZ{ssh%G^y(bsZahWo4CXnHVxV&=N#Hq_m6)C$w{(#JPq$rx}*+Dve<&)K8H0XMT+mv zBa7vVz6`!px$$}FFz*QQcOQ~+TUM)z5_Me>8O*FP1j9{de4b&m$x2Bx*1H+N!djR> zJS6vv&%APdZcg38T5Zws1A$ufz*q}k6UIy60C#6hyRh!|=eZj`56OUJhGnek(x!%d z+iNnDqOJLjMfXrqFu6qROQ0i#W6GgtSXe99NPfTDISKZ~AG(0&Wy8Pg^}7&`JBK<* z8{eB-dT=Qm;&SRi6kxe076}Thri4&gQaMt2QUy?jQpFa9)z7PTt<#O`d{`@U6})pG zniug$I`_FlhINC)ulcMF&vU6vG1vfi)Zw2~r_VdCb`12TQVim?h@I2aR+)D$g{C)I zJS+RML_)Sf#j_B~mNkBnyEkPP(x;iVXtbb))<=?~ zZp3=+4*J)cBZdL!Y-&o~aK|&~aB+HDQ)<6*lbvhd5aFu27KuHqZ9F#np+5ABvE>JG z0&Kd1T?){c`T{|?pE?e&G}EwvZ6y7o-5)y9+szTL&CB%)JHVC72^?ee^Z9QBj9Xd% zZG04cB1ZHb7$m@eIlh~U)EX6%5&ugRog!Jb!~Qp&n`71E-Hee=w<6ld4XGcQ3?ABz zl2g|EqTb_j(0b&9ooN;%c^|To0+7g+%vF}|NsoNtwvv5?zO1S;ZL9X?ezCV2I9e72 zQ{@tjo~?HOSmNiYUs{@KXuMoj|F&?4qM z|L>c=ac=hoV)Es~TN!bhI46Bvfg>(jr4`x3fhMMc1ZvVjqlQ!8cI91vm#1Kf*n?O+ z-MIT|8R0U=qWcQsCKKd+)eD$d4!Qxv;^erT#}OvtUxGisKYTB#sV|!qXW56)xjcHn zQ?3aZtr(w)(Ivv|zBuOXPB{>1^PLCvC3Q}5mb~L_V=8w!w~P=?tPQIQ^DCXz?mK+` zWi{63O8Q?Gn7@y+6>DeQUKC?TP8+Ci|DLjRoc%X9%`*NauIdhl!&_C?VhU?}(z$uf zhx&FD&iuvk4>+GUe=u5v`P%pqQ%bjS=LYiyY>u<$(u^Qo)a7N9#qsYS4O|j_g7mvG z>5(4z>tCVBhK=7JKoYgYte{Kc_j_XAeO;}RA|wcG+w(rKxX7Ok9yY(D7$Ly$&kPYe z_imEAc$cY@ea!4U%(^1V6R?^Y)8i_d!*{zi(Lt6K>`r{YHn5uBEcrS@B^@UEnr^^( z%wbo~sFb|dbd`B7 zON}-0jniMEZ^~oZGUGHGG7{&>I3A@^V-7axhR?1muddH4@IbQ_a;P7rftV{EXsNG$ zQ2IzVK7ulxYWR=_5~_bZuC{Jc)k;Q!e#C#M!pMsCrTsEcj4gm~SV$|=RLM!474u66 z$Gc4R{DI?0zcujpkC9?2<9ZoVqCCzlb;zfLsM_S}*;wKo%8jTyn8 z`Scq-=n6+3b0&d?C3KklrpAwDed48CD93~MwLb5Uk3_gWmf1{+Jh1(9sicC#-Cgr! z86x}s>f|_XlSG}unD~}>z2pbzXVG|y@+q5NzWU_&W)pXvf@RvzvC}K##um^H{P?D% zQ+b+Of8s~8g?TEieoTW0A`K)8&W{wnQb2gc3~Vb-gi2?bFFCg+1D{p*Mv^|!+y{13 zmu%!pyRkVrhvR8tX7DX1#@^iLwAMufj2mjJ;@UW&{d3E%sueR)O&?Wc1cTVq>nNqH z0z=ds{XX_0wNFN>g(Ha;nlgWm6r`gj4cxLC3`_w6gWfi=j=co7zOw6t6voqY&SrVnFZgt}a1) z!7fIuXFWMVE6#X-sq2r5?cRctSP^`S#~B>i*4oA!nOaUDlkPw<<4^TP4U&lYd#Zo| zGn%AkW~9<{P~+MK*UAqSio-hn%+*63k^uLzGP(d;lnoJAmRv~*$FLIJ)TQ20((8HB z%lq03gKjOUk)5qWor(RLIN+e!@oAg%$x)m-|KX=1We~-&&S^$F;K3B-E~7Vbidqt+ zx=sT@W~4M?#9Ntlwm0p#nk|IsUi%mS0M#HOnq_^>n+nuZPFH1Hs-PRY7Rh23KiR1V z#14~GC+ZG#QhU+}pcF0HJEub;-L>7W6`&aknd={oTDn6O=M?L|OVpW^tH!MKq}$`4S#H0|&^Q%1GroczM!!Mm zT27CP$}<)`=f+BF8h=tNjI;fQwq3nt%GBxpx$c%f2GJ-7`(Pa--xyxd$mFhqV%}d^ zm_?dPMSy1*sUaR!#Z#fe-L7oubtgu#ht3Z<6y`JVI?G7SE((~rq?GrR6f2p7=`@#? z8II~TTgc{)`-cUQZHvdLf>ZKqtHRD$9+w!)udJBk_~e((6@saFUcbK>FyyDmztMUK*ft@@6(FJuJ$bM9rOU$cU#8|2hdi|M@RZWMIcV z=Kt+Fsn;5uRAM>x0|Y{fS>%=6QNrI8<8tIleOQv-qYMdXAjqjT`sl&4wp=ZOn@@Ro z920ryZE2E4o@cuqd{Gk41+v|V;2k3WYMT+PS;8LOA!lMZXLBYO+P$&RZMbJOxm{hL z>GzVT7w)JkzpZYOXDjclQpB<`rIcA6FIH5+%d_Jhfsn{PR_gJ)=u2rh+pWdBl{F&u zduugzaB8jT_39;CtcelCuTeHR_`dL75W$*D(}CqZT-1+(9DZWmhl08$@&M0H-Z9t zPMn3Ay&ajZ!A`4(pDt6q2E%gX8eLxykVDve#wv&hae6y87Dg|ueZ>lC1dK;7(c+CF z((YKJ77Gg0PgJ|-T``ZOgk)jJ*nHfau_d?uz{_teV;ARMka%90E6Dzf_3FNou zarQWBo+{WHF0@*y@AI={J3e=~D2w0lrws7t#tVFi;nVNbo2Q!BR4l2Tu&erNJ46I7 zHEB~(t!cyi<*?E)w^{8E2Z`SU;I%6=Av4-*VaMC<9zPsrw0GR|rdMZzI4GeEdVWu3 zFJ&d;cJuU`h&HPh!j6YXrdMqKL~HK})`#uGX%!~+vRW!rp_~Ra;hNl$C0z*zE_zru z($N8uf5vo;y>a-}Yp(fNZC@$5oVw!cugtAtRZ7r3=6*1Eb)CYcr19?i5W1lwFH1Q| z00tw=wZl%(#B63MARq_D9aW6$soeW;HG1kYHPj@A!39NdjS|6ExCAbxX+b;S{#IZA zE!N9usdK$z%%k9k_=waH3w(=j0EO!!w|Ys$*)Bz$d}%xuGev|9{O8S0GFWMR#2fP( z)6w(1EhVsS?s*!Qn8CqW#`tPXYEC$)jUre51@9L>&Y1l_ubk>PNc_&Q#lcBIAf0WB z8;xjarh3Luw`GUVxlxctB$~kbJGwPoQ0huf__E~A;WV8kl{%Y57h;piF!ptnXv)gY z>`yVt_p#M*!Qz$jFkdYUc<%{9`cA^h{nv#rOIb3Qb-455XZLK9@?p}dg_H%@BD1AS ztCcu_%JSC**H)*%U3PIqYYCzB-LOQ4FUQ?ovkaZ88R&AZ zLBBZ2ZB$+nZnu1_*-jMs8dqRf_vxF8(w&HosKm6Rr@be6D5u&lKSfS_oqtW$)*#_w zwjygU`U_l+C1)MbivL8H5c)P`-Bb%G9l27nMuLzXPewtqdKQ>w_qnm~t89|4tLo{* z??4frxrwCHPSYR-w6^lV#P1x0n>%qUWxIUl%$Aa<^o1%EQuQVIaX|uRhpQ0UY=DSipnDg5& z=xq{ZDULC(`b(Z9k}CFi^(^oFWT#oA`P7M(_k=hZ&9s*4GFi$jG(E1FW%Fln5@xUn z$6iHhX;`>m+lVNhL9?3aX2Ju^QuwESgJ4l?f;%I10I`aIPG>8K@W` zh4RLgU0A)XS`^bO$2Da?VCSxC|4_*!B0=UaFKa|CXM`(jq~-A;=9tb7K@{foy79X$ zb;+{0!kN;m5(93{v;4M9vyjrkkidw*r`?=7f^gd{c5SzlQr_|(c><8)Nls{<%=1s1 zI#+6d_1Zs>B>YO+UwUPL9?0Cu`JL!sU1LOry6Za(v3lNz6gpi?U5$@v zW{5tKupyshn0*=}y?iBXV-wn&bcl&(7uy3R&Y1>VoE z({@zSQpEZ2q9;d+_ex}i3&&#xM*~_bu=R9Vc@9DG`7wA_LUMze2YgKZfi697^RVW6 zu2q=fS+m7n@Nqt^ZfzIEon>xZqNUtRZIEEB1;em3!C^7dM9MTNSM`XyJ87CJf1+H^ zy>i99p^%_Qs;|0+OEr}}`#o@(0bcdwGevU_k7pwPqHN#twOjs+|4;}_E5I%1x-|nh zrfK4%qZWRI9Y%Fy*Bu{tNOHhQ7m{g0LNCC39jnCl@)?r4B1A@h&YM`7vK^g=lqL*pWLQdoh_^QU7y-;>w7&Z zUWhfB?Fvf0KUJ$I_=8>7cU=iaAsaMNb@Mc!AMVd?P;5Q-R=v0&^&cDAl|!2 zo3fNAS~Rdw-E)iLiPfvS!q6@U@eUyOTpr_w?#Fu{jYHR7daLOX#NYEBT?M7Vb32_p zr5Pag>$|QGvnlF=C2ROee#wPO@tr}9 zl0cZ2|7j_f`p5A?<^wYKM&$|ke#uc4 z)qDmm@-;57Vpf6pa?(MDNY9T%Ne(7U-UF7PWle)k$)VSU0g{d_H!To-@p&j;?s`6p z708O%U%!f~sUu2^29KEmrj@^tqQQqsIp(`$S&b$NQsSM-=p6mrcX{h+U%7ljEN)R?p2iLA1}Y zTsI$2|F?|Tg+FH3`aa2-^B+GE?oH(%l!8fwRI~lpS3u61py|SBEQ9Y#R9H z=B3qp076Srt;a54XG>(PFC(7Atc!L+1gc-f%4RsSeF!<%pmOY$XO_*ho%a-zz{`pd zpHu5k9hs5w`w<((1&M-uX2BX!2K}K)2-&BwOFFEZPAWJMBj!bhOwXF(rB`eBjQa<= zYG+M|d8@vlc{HfYG2ZH-2j#dcJBTcaFHWIj)rq_)cKsFBDxu?f=PdCw{K9})=bYql zdUz5wd)b6;4mS5Q&opl~pEtiTr};Z9I7m(?IyFY3Jf^T3?C1NX1@HVte9BOusn8zz zIo`w3T@ z%7mI2Jnhg+S|29SL|*1`e(PRlarHYm!_2_D=$dfsV$MXlw(T1HQ_7-Y1HEcN02*c$ zn(4f^-A{7^7(38v(ZZjGyH$k zU&$eZ?4W0=^k${X2%6xe9tP%wW)h-S)`ver$Kn#L*Gwps z-fp~9Ruk-RpMEHvj2zd-T@tp&8pecw`<+f`P=onH5>G*w&+oupEFfO2^;Qb}?5$Rd zczU*uk_)Ry2`K!Nw9Z#oWgV4_8rpV7CcZ;zgDA2`Iiy{_J)IQ@hJLQ2t7u8ht?4M3 z^T&Iie`nIhG(K)U=Jf&&-S3DcXuq67bL{qOfC0ixxxaNClLW3&keO9CSs1;SC>0G2 zS9N*XkeK_5BLPpaJ)H-}MI^;le^zCRF=7OO4+@XDA?$4nX?ifBhoh+?{uwB}qurj< zJIxziyDCPuw$EMo;R=7!KMyXc?A`?4pBz_1E6d!<+;`?SwZG`lp{c{$tXBZ@dO-Yi z$SGTVd|Gq@O?(Pq9+&kdX(2YjBQ+PeuchTUwS6OFbF}NTfGfPFYD)i=Rqeghe}&X| z{%;|*zg_cZ0irR!Hm~}@m&B7v_I+Uxve?gtiV6g(6wbmVKd4wKyNcSs-ey?o z0r^BH6LZp63(QX%xabs@S1#NRTf{HoxDqlv7~;eL!A0WbN{^!wYaR0FbL zyZQEUq(UGE2eo3T=94Y*Prp~=!^9IXUMCQ3GFb?zukCvcbES=@;uo{%#55e4yS{EU ziX_LkST5$%=}l=qqJ)X@4SYymkCHKck*b3?9O>8DVjBO%44@H>ObBb7*{t6)>nl}h z`0I0H9?|iXVR-Dwd5!lVsJ)b2LJnCDa70oe|n#X0?bx+i#&Y9@Eb~vJPwxUR# zX|+@>R5C#%gwlt2biQktVnf-4dE$iFKi))9iV~emols_bZ$2M{RN>8f2Zu$e_teS6 zv<2+@KIUsVBuFmR$Feoy7?$t)x<8FrIRjKn10c=oz6k2&A_vpbMZc7}c@{fux>I@& zL(gjTfHTSjkS0BZ!O?#=B8#d(`oH$0g5YWqCrUdKOOZW1f0iJgi$TLV=Uw5|?Y(@Z zAJ5MgyzY^#7w3lOxt6WvPrMkx6QN6a_={e*3xsk|sjyE7{O;}zFI^m^3bSs`=7TGP zDiDkw^i)9ck=ZJ_@mU9p*U@E)GlE&&_~bK(!b-~*`}U-?>cfM?5hWx-MOkTt6s|%J z2k~wlunZbi$YwL^XKr6F=Q$OzBXG-M;Vc6>eNt(n(69f_lKrVhyx5c+XsfDF;ieww zt*RR2ZYr(OoRTXh$aT2$71j5=!V8r%tQ_{(lZkpWWH3;Bv`GP3Ff6p8~mA#px4lO|MT06ttR>>bcqilODQ9zwO1~6fPmJyOcec_ z7$WyXoW#jTDVUMw;`_cQ*iji$_)41AZgOKNou?1-&5gVNq5T!>RGl%z(&qwHISQ-ccqzznc&b&Pf2;XFml7iP+F| zja*be>QjC05~nai>>N*%k{ZVgDeO07Hh`i61(-$J_r1iTegq1QSTOj#7ary#kVnOc zJ(J649(pzwTz~_D?PTgy2*=mW+I?xJ^%K#CReWhu^t7&i8^PYm$J%a3gjm<2FkNm8UPfNz zst4R$5BnaPAT4H^O=F{~{fp2>HJpf%)`{A?7&`Q&D_b5}W2pYYaRAM8wI224$ipP(FNg1Y^oaf~ zb|%V7T7qHsm2Bpj9?pi`NJkZypJF4rdg@WzQTrA|>z%UA_ddOZ^@b;8UPkIf>V3E` z1L=E7P`F2rE`y|8j&{WRxOSR^iC5=2t^}h>C3NmzJGm=s@Wp_KK*Qy(r)?0Y=hwLC zuvq5vv7i3O zNp(WY-k`s~T`>=ZJ&hV)=j}DE-CGQOlp{>B-i);X+ld@!q%dm&H(3{372$We*Hb{d z55MKgeBrvVo&EXCYxA_Oz!4E~Mo*RVIrHXKeWQ1;Kh?G6PEqAQ{%#r_K==%Zxs^EE zpBcIKjv(2i0N+(8&`Uc1ocDSu|LyDK7R}ELSYu44_r?r%jrH_Pkh0AAe6^E7?!VIL zSWIjGJ&le*VBwN*gX&8Z^Vmtv{JxgYhh<<*BUR%3wVeEKIz1&*805UtWe#)ZuV&SF zVVJr{O@ZZ9m2=wD=cC5&TKU58dW}6_IBVclzc%^@ot~Azb8ief>=$o)IlA&?nYgAu zOS#fjbqOeDB%ma0%+GrCy}j36VnV^eH|%*i-b0PDj&K zVt@MP%+A3hYXauQGe`g$Yp^ZDVqR6UP)Ghb+ z&XpqYcAhR#-9|?lZ)26KbRX=CF4-C5^(#fbwc!4^Sx?j_nGo;ujI1z{H5K1NS5><~ zGKJI&9Nhc5)q<4U<#%=@nj2zlY%E`9y0~TYw8OUTA@r(Cj=7mHweX)5{AE<4YZOnE z0Jt9Rn_3xs?yY(rVE>fDoM;DqLX^>5S2s1Y3&qCvE*1dGLLiV#5LExFQ+LQ`Tr6+x z=(cQ)ztUPwgc%uj7BbWOoJg#W>xrfMC9^Om^bUdj-B!fM2E!q9JO51Q%)kP_`q4X@xXjzhl%YM50{xZZe&f<{lQ{of&XBqN$A42@!#a^L*Rr~x~?A;8yI})DiJ53F0NT|F$ z%ASHVZbv#^=_c=GfTncj3UN7HOB;IehLZTa@-6_(H7zEU_xB{_FAXZQqy2A zg#_!0+Uxrs4E*3uO2yrQ0|iq+mR?(LGQpBz(zpY_0FC|#=4z}pz!+JD$MLM-b8@|< zb9_DgkQwNedrU3io)Yq0PPL?#vr{Y~!ub{BAWRIfd0~rB(e}-sGZgdvqr# z&4pqxFO)apgcIWB_woa8)tNbiDHNxQkXj?DFr`Vwf9uk)^gYh_+AH_g{(y0Be|4^M zfNKDvb@oCy2>b2RQpqerkDt>lw#pY?U(%6oIu4w$(nG^OBIV7m7PICKdItDPsDPFE@bC=5RK z0H~FOKy=!yfcou+f$qUgEhl*ET}PZgEXExy-)6saFh3yxSp%V+ID7W=oDXA>ekMYXvNxuM~)IP$S&IsGS= zbO9LD)1}EUI1U8sLVxoG3MHlxmDScUE8yf$EkJRWoe-!~C4|Jue`?{lMjvpvj76G!nN+dBa5*FG}jT3;>RottMRyT2fC^%qf{)@^gIIH+a*jS zw!_@zXl7c_9b2vvR2&yduwM-)RC6v>TcaEKttqB0{?hW5H3X88hD|QwbO9F+(-!O2 zDHLKNll^%O#pns=Zy`5|`GkPwDe6ZbI~OT~<1x2WmNYKm z%(kXzw&&~$=8>nJt(~i#uU(K`q+Oz2hFzXiwtcdF%5I2#ntl4F9nx-_8*2`<|FBSo zZ*1DjC(KQH56t2f>%~g}6<1Z5t##+hl=A4hD|ob3(~sdbIF6n@6jzvajB^bS+2!bs7KsE=ZV$(o3p+3rkrkqtuD>oZ@U_3h zie5o@3uZ}al6YG2py%3Q&TNu|-7Tc#ox-aH%sWIr%dp9Pr02Jn(1 zFEX+d!d{|Z^@|<;Zt*l}m}AoTO#Dn%erbTOvHzrq-j~wIRy(3PiTUPl`rMXh9O8XP zwKKEDGsZ4Y{UGn!3=AOY_hpzDY_T(kp`t~D;cez%?<$DfMiY%puRO5w-qGK}L? z)483m0^FIhe=mR0(WCaE)PeY^6?$5Y6H~knl(h-o;j2V+xt->5W|hv$u#ofytBPQ0%GVIOrOQJs_;Y;`!( z<{uH_2u<9|K#Pu4t7_*DgBeT76xa^D9m^-d{U-6;!g!wD5hE*>#2kq0))g{1$N>g! zpiIepr4{Eer_Ze8Y0@)jL)F%PQp6W=6P<&gCm6qF!|pF~8}h9FmLrmx|I5=sI|3k#EPp zlsX_V_*4QNLbwrInfS&ecHhA)t@^DQci?82;t{uJ^q^D<$B5Qj4F-t*TN1W-%S%1* zpeWRqB#z=WiGcT@wlFk+wXwz^Y|=KSGc_@&3Je6U&6}lK52Ju8waESmjMqB-w*7Zu z#l^)tIZpBP*WTdY3Lp*+4l?gmNz{AZXHI&?>Rb^B*5%4>DzHHTyshp3ZqzVFuu~E1IqRmYdOt+lSig$^T8t-2)I$qz;_<*6HbyR_X69nn^~@;MS?%c4l_Ua#ua1D2&v%Nv5wG z3^QL=zSQ&nqVciKTj8mvyT0)GN7j71rYBtUu{L}G$W#jlfulU0iV(9-;#5rKx8yFJ zL+rw9c_sM@nUKu#T!qX;-27tP6izwGqPCY50ZA+Q5DXY~#Z!Ev3|7zl6G>v$v0{uT zCp>&pXvksV{4%A$%@>bw#)OG*F}b;8O~w6(lm;U5HS#rSzhtWZ3Q$MbUO`LKdK38u zSBsd@Zenu#;P_@YKuhGbvsF%z7!s;VGo7!|InYhz;S6rl=5YdTlmNrUv@LCRfIbP_ zS;OD0o;4r*>jM>?uL6ky-o`j?-(ltVC(}-q+fwsD!rCSNmJose%A)b198i7y9tZc% ze_)7G)CQwu)-T>kgf)sbIAGBS*WrE|u`F!P6meAAn;Um@z3()TwF|r{oG{{u7&LWx_+hK1$y1lf`6sD?DG;}6+`8KB?)(MDAMv4Dr0n^FNdo$4Ko?N=0K z6*0OxU3UwlX*L~SM0nDd=k-*3tKAbRjC}U2ff2$LP*d~cLSOZhc^zrk>-_d_@nHZm z$zKWri#fmz=MJ0qaW5gvopIbBVwbk4)as9A?mJ3F@3Bqa;04W^$(m%puSKUDIo>$? zELMKK5}XSUJ+2fPo!5<`6E%$tz^HrO(| z`zVjdf;}KVrX}c$yv*hyo|QeXGYY{GLNh@gTlu zBqgt^>YY;>FJ+1BD?bSfwFQ>-=M5Rc!AXM&rzk1P;#l}m%Rbp z%BL>i(Puh1!^8pG=B2NKRZQVR-=jRPZ9h&+VMH&Ln$4g(F5;S*`2q zr`wmyOP%KkT*A8Um9ZoSkOW#wqi5nF62OB8P5*hHi#9;?hSB`GR`7O`B8Otyqgu?D zW%yC1Y$R*C*xqd&qHX!s*BllDzU`C8=S6PS0a@)rA+=x(8$RioDrae<3S2B;g6QD2 z0wP;Y@ZFAfF6Yzppw{@q#MKW{=HS)Ce^`2g1VVH|y!X4wG6P~mUPJXm7eivhUUzNM zo_!a?Vk2H7^&`h|So!>h2mgJ1u%8A0XMDI>_Dykqel4cb`k!37lExkcxn)ouSUg0k zY4oYM_1faAl<4-6e#0Ml(^dgi3>exrJgG7roDwtDvRzc~6ceauJY8Grwac<=PDMLc z>+wXhEdG|Yu$sR6j`aHd4x}=t+wH7r=Kh0>UHklO6{P3-)2;B?KTH`3#lL!l>E9dR z+3^g*v^Dlr_F>tk=wlA`>zo&Cz``2X$rn9w*8B!*^H&8>v439<3{D+6Ou=ya02)sO zv=03C#UUjYUU(yUth0+D7KMmYJQ@_)B}B2{D$fZ`s3?PuWB5N6>yvihde=a?5+k`6 z7s*h+od{a$58X>2KJ?81Y;X>Vwd~X-Sfyfh2Q)n%bdhZP-o&2s-dH{a8{x>J*u4A- zjPA;Ag@trZOv)4wphG!D(tNUlxxnG_(%a>0ykqi!u!U*oR^rBFH?95Cq4xZoIwrAa z1ah@#q+Po4jXZK{Up2p{B?5SN_lg$h_=jxEj!nBF;%Ot@^fW4LV)bhA;UXG3fOR`& zuvnf=^pbTZC)5{!YnMPPYH7L)f-5ZaOz?(ftJDRFr`Q1BwlqY8PMq?VYc+Qhz?-g- zW;=a*4Beio%p>L7LcbfUd-~5@z!o9J@ys6?EsFY(1d!?aX#W6@?~YQ;Z6gj`(~YUs z9NX|X^89)Gb#vi$tB5M(aaX-I;&T$1yGPCg{IOY8AG;Q z$UdBn6$EW;&c?X*ED;5qrwUJrMV|ErYXZFVwmw6VRb^Zo_E?9Va-x%H+|zo>xX6uq1*es+aF@!$7zx09 zQFz^R*5x7NwDe+@er-kV?D*siSkC87hw8RYE8n~v zKP~`v+NbT?9ky#1P{WLDzJ0E%*R2~lut;tD=`(pky`T4~E zeX{LzwdRGkHai4u;BX*cRnbLC=+^2f_Yd-!2)iRc7drKea%xNp7kM`4vYFI(^}~Nc z)rQvdlrw^L8xJbxca`=&aB$1;(XTxd8jCGpv^LEp%}sqJ7;iZ|IXrYZ?zhY080C9X z+}!+gI06;9rqunbc6nnt^1^@Vsj&YX6(pbm4eLBxUhzk5eY)v)DRphH zU?g=G7o>-MpJF{8J96b7;Vb>AW}mwgCDfdJqH1gTo=@}+v3>=O_TIAZC6bS!c{yJA zr%WxX6^me}uIM_~Iq!{Ni`?;=nDb4&3Zli9Vv)?R>m-I&l0l4JA=z;pAPmbL5Si-6 z?k%hid>H6**K2TDWdU8;EWPN0cYo6bGrOEJ$4YS{_hXs-^WP(FdJsAA=%%RR{d%N_ zcSd3-d9MQ`OdQD$$@XBp)S3PczM+&-rY z=<`L%)Q7O22^%)o=2MKG9gW zx%!8ymx!{_c$56a++r4c3UmAOW(o5YS*7PbUGx2-uV72d(#4DR$uGI3R^Z#8R$Kuc zKxwjnc=2?J5l=T^T^IER>2G+ zVaxGPr?4vStfSaUNRm4bv&)oC?=bd%T-7tPTuU?;%>wwXrC+(_CDnh{#(+uzY;k@X zeg$5Zq9Ybe?*?!7+%O}j?4`%w z_!>9B38)&*pEW@0$6KEc=IqbC40ulSeUd}w6hysUQWDiXd}lU!{`?+RtJ1pfV!kug zUN3Cc>zNRHQ6lWP2cDHtR3jfj55gL-lm~I~7}Vkwtay(4(QPakEK2gh4gz;Fj_*JL zAPhrU`kamdQG}n?$tslcff*tj>erL;2tXeD#yX*FKwRQ3r@s3(Xv#f5zP7#43s_vt zIQSW+BcXHL-6Emm3QHSd(^=V~4d=yizKN5C^ z#wfS7%YS?*ccJ3%t;AvsdNV%irDAjB#|#2BZC97~ z=g}P^prxaSIp4V|a~)`I#@d51=V>D&c{U6(MC?&!#8|0e5nsu%lhgH|%s z_^`@PHk~(L*uu|7cS8Fr?*?S!Q3HWAZOET~r67+GnG1TRvZ#804=4m(oU;k0 zs3}$tcE8W3B_w8KE61+D9jE>-V`t8kRP4DH)iHf^bvuJqsXi3;lh_RRavwT2PWx*oM8M)LM5rC-&0 zp3wq8zq`s(jhar|UIFl8lbz)+LrcKb3vfSoB{eN*)a3ntesAjV%Qp*?kSv8d~D2r*Jg&IVm#*m6=Z}P9E-4B2w5ZcS36FmJ>E1OfuM@y_vz%&K>-+R9uR8g5y?PB1cnw_%R2>O2Rd4)#ao1=nwjP)*Y z_<$P>FCGcQSbwz$JvXG%5i1{&u)JBQu}(x$^n9U{_UZLd0gZK20Y8o8m#so*3jqu5GeoGv#;mdjA7t`i6jhfLh*OSGji{h;>zzI&3i3@Bc* z_~&Y$V!LXS+`!7X`lUYI_0eQQx_x(iu}--WRIaShX;le4bhHH?svQCj;L~jdq_;aG z%iPmBnmM{ThB>CWXLE&%1Tz-19Mdpq@Mv}}Zi zLLbcJl!E6t({6j`T44APX0BJR!@c~Ral;q*239#(*>$4cp5@It%<`FY|2UN>1}-=; zNq!vdXQaAJL+qq}W`dS0A3pc!F@9s@r^G+1OV*9d^h}b}-BXJ1P;!a!bAEwuYjQ#S zepu9{bjYGy>;0?#c!Ou_WXg=@R1q4_)_KIIdnu9=)%jYY3=f1GYQ9#y=+W!Jn4*CA zjYuG+L`^w83sGwQht8Y2)g6SdTlFLNTlItfx{H$nk~Z$m8RqO>mK}(Sc18>ey~*EE zNVff3H{&85Kl!YF;%M9TdrXgQmu+{e_ehn@;C3IZWSC+)?{<79#wtoQs%7V1Q0*w~ z^I_6CS`O_dN(CmFYP=5uK z(j~5&WUkz{)vxaE@*uwqPc}o`%Kjh5zB($Z|6Nx_L_%t42I+2)F6p6DLOLWQhek@e z8_6LgMH&P_aOiGO>F(|r@^1W|-?{5|&N}Db{RfL#i?wDkd-nTz-{*az@G|PoD7<89 z!g8)gKdLCIBnF!NUj=bUqB%Hl33c!AVqEC&W=lnVi8#hG>k^HyfUaT^Ykg zAEhkdYr@w<&VqsnIve!v0G<7Sz=2c2|kHr^TtCUQ8aCiB!!oJjmMG?j5i> zwf}v327>K-6-D!_g( zQQH&TUQ|YqGdiQ3%3*=tMxEH{zV-#e_Ies10f;QGF0!NOBAyA5Sr=QUa(SZd|J*R# zB1QSi@7U>&7l5QV5c>oR{&?85s;_r!MYgTVb;X*+z!3uPjRB?4xId+uk7J9i{g+XF z6=W(g7nQ$%*R}naKdEz6$Kiw5@<)6WOMVLJ1%ApNWCrj@s!QE*f%oGs3;eHFmzM)% zUwTSib#-!G0#JN6PuJ`f3m~f#RbH)}uOEpr zbq8+gr4Av;81^yAK>{CU$~~n@q#5?@%v$GuPSE?X^1N>7e%_swPMI|J*DE9={ctX4 z;%e&ZwV6M?RjbocGNRcG`a$K z5qk;HkiCct(N6apIPXR!KbtOX{y>t1JbZI-Ih*>4J#s|NgisQ11J9fArjT%7f%(qz~tWC)WDVB>KS%JX%`0g@{sK#=-%N-X!)k zg%btIt8kYFN%~u3-PP2Cil{^`c0+nY_AVuIa&pgXjF-YX5%eyj-~<01PUWxP9nk0* zu1sfFhP3b?9KLbxF8(OpjopGOsaQupq8ENW*H zvNIP}hUtwo-v zT70reWXPq7O;8cYPESmwz9weDGIG-UsTkAcm9Up_Avh6RwIE{L@<D-D*KFR^d1Zb@m)T7zJjyzBD<9cpo{;7S$;RXMP>XHrw*7kcLjzu{1*>ttTeFB z8WJP<53h@TRuqCuY^6i-xxfF~K!rs;?#(@XTib5=`CE3GNLt|h6=O`Fl^}{v zm4B+$fz9}7-OytysJczX-(@WxZUd$dU)}P}#eyRF z>UClg>GX5DGjjx5b0sVIGA$ckhbI;`G1w_G&4B@LYUq$mQ4c(=+@iA32F^;pL}T*X{cJ{o%wv ze*$Une=mL3Z&P)oNdIXkmG+-@Qo4RX<~fRf-0%qVkuUyR$5$SN_}@r?@))+sGr zzNRjHlxM1 zIQj41s(&_M?h@)!n#ZyYjbdJX)a5Qgo?!`xg}zF2ax_+XF5~_Eai(6qX-C|PLlF=0 zmy$;oQ0vcl@16Mik}?^cW>Xq9j*&m4JmqSpx?4OmnV};hC#GlUp=wrrl-td;{2@G> zKUrbOMBhZnIf@yt5lSie`5|fz0d&L+L#h&e)A;JurKjqIPE#q~wa-Pa zL3dsCobTkJWT{~@$sc{@KJI=KLGvphuDpPOiLi;zBk@M=C2e+}t7L!?dPIp~@{s7v z=4jrULNl?Lj$f%ZSt<9BK!O6XALADoj~(HUTD>4&BM)O>G=`}3OGv*%?Rz?WB3)LB zBoO+8@^SA>;=6kZcvQ0MDKoo#QI!vl?Y;LrB{?VNal=Q`*Yo{P&2*KTD_F-*Xo*OB zl=K%?h#V%n*K3Fllpdm#`?mGV9zF>maM^K1yhH!rGXZk|cd^$Wk-*@%Vh0KNtFFh7 zY4g94(i2z%-&W&9A-QyrQye)EOydlN`Vi5bLAy?{nj^jTeMx>^VFWBd{gOUeBSp;C zMr?e}p@vFQEhl%kRt{4jlV6!zDS>?O+#I##m1*`D$O7cd>XsWHmvEX*EAP%ZV-gOB zJ0s^)KpnU+8r9>LQesLES#;D{8Q90RX<0>H3~|HO7BNxO`5_vB3z{h=X0nxQIqK^M z8v>LM&6|}(6C|-->eGa>X@K>tgO7v$}y7Yb|uwKJF9uvMjnkpl2x|FXZ3| z#cq&tl8-`0rsPe61gljVV^zAra#)YdBJJ9Pc>Tf6?YCwsNnonmo1-i*zg1O zk43xQ8hr*$R+VG0XWf_jM>r~%$c;-7R)Djztp_nSRN|_|Gp1gh@V@fx zCMHWC4(wJp$}h}NO=iE+H?bf(Ct4jE_TCXBd|rjs##N=_L|guwo@(;pe}{ofVkP9y zL#Hmx#emxI5Jd=F<@o<@8}RRCLmxKiCf7Vk;2E{TuT~aw``h@+8>8FKGurd#Ch5#9 z!2R&!jD4eB2)M}F!Id(2$Jpz{x|sHH3-ao=qXM2G)U}V?wR8wDfH>O?;?UJOVveA6 zoJBvJz|fnZ=E!>ag}1df{$}*CkKTRyqR12q^%6{&pl{tw@o$RK$NNM8Ig&9^^AF3c zQ_y0OCWV1mq=8K*!N7d1GV3eKg6rIN2#@)6GrKHQ_cMRWn=EcmA1Z+B8c${#z{*FC zzwGq_f3n%HA}oVku6ZBW7UKY9@b1#+LC`=7!ureWmT-m#YYKov5CR0cR{Cj&ohB}P z%9D!ONpGu(`zC-2Ku1o7Ttal$>SVuZtVW|JWKj-6ABZDWkgGq%8uY~DuD{N}^((N) z0>J_3S8G*!Bb?m4>nbt(T(FWX?|GFP8pA&$qM9^mC?@lXrDLU!I@ z@8ll-Npbt(YKnewf9si^_)BA)d!hF-W9d@)dvd|Fyt0moN>0{ly=(gNC8g$y?4iFC z(6f~+^Hbv``oi)WJDy1wdq8gTKWsHiAP?KJXM`+Ucf9b_B&H^RWjn`~Em$H(WYkTiRc zNX)|lFrLO$*V=ghH}oB7q)D;<8_AkBzv)AXXshc_0Nn}7Q=@?3JlJC7lPiSs@S`A) zdP4X!qdLc%Msr(Ln{MBW-MspRU!-F2T8fwOiwQw$QFxtB4c|7MX+G_(Bi5%+spy70b;z7yCCk-s-U_v;VimZtQ zrBoec7}AZALhNx9ZbYa)#;|XkdBR$8nqfUps{9#PXEGiVowdurFvF5XKg~qMWmt)? zBNWY_n)iI4F1#-2|K6s)DsB?$?QHPk3D(cDfBabN#8DY5=C_06)Hg6%gIBSgP#aiI z@^R`a^>wIO4`)ci4z35f^w`g(GuGP|m*8Z?#B@u#!N#RdcuDUaq*O$bm^_o&+2R`c zwCs=a(QPF&8zL&l{?!ZDs~*BoYj%JsuyQD!sj6leN^EQB@ju7Vm) zhHj3$OioOyTI$c56MZ+YJhi995U!L3$?U-yrx}SUc#g`X%`XN;Q^SYK^sBtLZ~$Lg zRmv-DX)kj<=Sk6^E+6M1G&#>d{Z~LTN5Db*rkd3O5Za~=Pri%9=$a8 zHpLiM({t@Q8VCR|yh7{nKJl(0O{s>?#f|_+TYX0YjV_0_&=)@-%~qL>wXIvu(?h`= zPm+J?a(pOi`yhy3KoBGCG+&`ObPxTb{+&sjIxhMB|K&UzgaN&NM7?dDpF&cvv#!d~ z?6XuifPC2&5P_@Ik+;$_igcMDPM698&|>`1bFK`u3wP zoSt`#N)mQ{|6%mb>4y6xKyIj_iw#(Z9!t9yym8gkARF`WaXIuIA?zFfzI_2C%liw} zIiRt)h==5<*#ce5o3p>IORt*-c~BC!#Xy{o4p2kb4pcJ7-#ZV=D|OjOj9ffS-)t>E zTJ}zc#k#k~iwYK`>^hB(QILaH(QUpW7v>Q|4x?lj+n(?069pi+F~jaApWo~(T*x&W z`tDhmPSu0g@THd}BJL3zt()&&4^dJ_V9YY6LG`>4AE{FWk4ZM}-L9VI`dzF6G^Tf@ zSZ!=H;SS%c6^vN^qw`&drc@!0-G8IWR=nU#UV>3Jv$-OL zN5zTZs)$6h2UfXmjSSG*%$Pj1HqLnJAt51ssU4S!3od{z^KZ5I%bHMi4EnG0(%%Q_ ztBRumAS*C|d!v(f5`k3}kL41cM8h6|S3*-9n2<1TA=D}0t!PN2&lU>~YbgD{@dq2n-~<{a5M?Pz7Se0w*ELqW7LP|5uqJ{AW4dEUNR0px^0M z9Y&dGdh`)B@5(^2RpTS6q>}vC`y^ceOGGZ&p^bg7+njfVc-C94({&P32O7>?vb))}2$_m%?jN5RoV<^w^5 z5^wMA>eRPPYX&(6acdLC7!_xs&%M;UJzyp4dMTO75gRw7+I=bIOA|s;Lo6# z4gV_E0QM%HN;V}!{QLCo`2)j}_EE%BC|uYLuShbwugs)|2d$=hh_Pm8G{03fFaK$t zi((a`_|p4umED9@!oIYF@CtvhGw5{7BEOPt$gIAQ+ zHn_`L9dbC&jXso2s420hk3V!FW{PT(9e}nK2yrIE9+& zf1V7mivzqL|+`x`EMuT3qs*~q$H_|`*O3Y}J7+1yqqT$G*_j7_mKih_SbWQzvp+rZUuih>AWF!z5+8g5ML|)-^9> zh#OH-aShy+^^}!P!U2}ecMKp3K2^krZ<;SH6rd`1ZLj${mBrruR7whWjt)V3256wE zM}=_GDAN+m&IG>}W4fAMQ%&9xXIz3i1*z{V$@{(17NHOA$N-1(8_3FkPgpc$m#_G)H<(mDAv3 z7b_8DayTbA&sWF4rie<_H2WhnG{Jz^kE{ zCh!m@_Tc?GC*;QY#d>qMkj&+s$Qm<1-+Xu*+xWm^owL3zaeqNge@A<1hD%kA8}(4LmkO%L9BW zEmq6}TaDw8?qLw%yXIfYr<{6N_QRDjpGF%ploQ8Ai2`gvJ6K}_O`OrtR-|rGMPK~D z4pyTUIa@Z`! z4buKIX+PMZG5I(6bH)bMVgQ^2wm5M5YtQC)Trv;6&jXqlvKctX@qd$4|C3b(JfTf* z&8AQR)V!|ust{5tipto~lXkHW5P_&>h|P#vZLS*s5F1@u_-*Nx3lRQMEWs054M9im zk=R{-T1s{hDq5z!SZmp}JTx=C?BM4~f;D^1^27Ze&5-nB(c2hT;+$@Ivke>UA`od- zGPLq*3Gy^6TH08(boTaWx0nfC7!--V0ScxyUf6fO^z5+sX8#I>EPW`E-A`zpGz7?F z36iCuhTPo!`V0Y|;ca9=2}0MOEeBm7!;WIR5a{7y5xmPc4KyBX7vehvbI^@FmBmkl zq^5244g6d5L!d0mW4U-y7Z5iZNy*fexJIbY$#t1&(oAdlsy&vo=( zK?bLT7>3y!t{^l4!=02=Ok_AFTa%5C#^o|XEFMhgroD4t1OR+jhNQw}= zE3Yf-$YRIAA!ak(hY*K?SE3)Ve4tnYKOQIm)KUZgB?SqO*^xts{AQyiQnW$-*V!Ty z%3~MD*Fi{Z0N0{p38`E(tQTb_eMn8OtCf_@yJv&6gTGZ_VfvdjHA*f&hvct9z|Kp4 zMK^CYoXPi{aB0y1poWl5XGCH#zZRZ}ef zjWqlQwSr`PMuKlJR zWuQLRuRMK);`|(wO+EsHpSboBSG#BS24p!03MzvFR@-h@5w7;>OzBC6%96%@buHLR<|Xa(|g6 z_a(OykcI^c0a{lZCVAWlRi^EO%dPRvQD=rbW{>j%%Z%1{>+1Oh?NG?{~4*~pwssaXPruI>g>}a76sjkCS zJ_h}Yi)IC%vP^;{qU&O@g}>o-F|e-OoNdE@aKCzw^OQ|3yzTf&s>Yx?q}Ln46&f`T zBsnDSXa^YqIt za&SrTro!z1RwHTie?^()J7IA1sl+&wAOTvK^k*kiEO;_{@g%UA7m>=jmR{~n#~nJt z(1i()yQ+DQjZb%*lUJ4)w-y3)F|!fj3l5!|qNE(v8y})xZU#feJj`x-0Qf&eJ zEvhyKowaf5?GX)LJ`tMx2E>_9ZKVpYS{O@a4yG867e<{7Obq2th7Hr+ZLhxr~JcIHC{W z2=M!Is4N>D&{H|Q#8>+xIVDKDb*f}hp zoFY%nxCHc9Z&z|$|Hho1cDXc!e6h6TQ^a1VFz^HuN`Us*6U!di(lT(Zwh~y2+Z*$i zKGyBQ;>n{K59ve8lY}&n9rDq-1suF`txSL(ZRT1i#^`Zwc^daon5*a{0McF zh|fMM>U+$Q%-@~de=O$2TXFsyGKFd3h?^3K=WVt6@i}<{015u9#+gcs;+>elu=dZa zW#vWlPfRlBzB~}q#jP<1u)R0Sx4_Mz(8^Dy$c!NvV;ym`I7}CcY;oGClIf2%V1-Nm zDC7k2xhTv%p`}9;qohwZ55+BEfh9kGZDXfVDw$$DmDN3b_xHPEm=5gpMC)m2$7hIs zcYqGF`u73~6Qw7mMWr7dV};a}vjxboL{D>oeyben7=pwor34zeib=v#=c;&_IlF4i zjuIU>+?AV~5SW0^P|T9x&^aia5}wbRs}DH%@f*icr7Gm60*&A5Q!st@7Ad_ef7ln5 zn3M6N@2(~Qli(2!O7Cen8RjmOUIhT}#GdiLu29i*e3Xe^_$wxf2k`Do0Lg(nEPrzH zIzKWXROfQzeqhMm*Pvo1<-SH^1Ubij;CSOCKYjixi7q+!TO}UcS9S!hA-gm)=yBL8 z%i${jJD0Xi%j#N5LVk|Ho@Q8ZA9t#76nuI!@WN&y>$QvpulxXV4=P8m=<9S968Ys? zGR9a0xn$9>VDE<&s#nS!j({YX0C390g+L? z2eXgU*J(2UHAE^8^6U2#D!j#75lHvrKv$a2@Gm?VPHJ{oFfX6Yte&HH-s^sDLvdW4abpMk z3C~wSET3hMqWz34S*1Vfuuuf&f{cTJ9HnKb#R{e zzu~<~D4O?yRxf5+^Em%;SW-6;)*ipGgc#aT@|Tw_s`eHHXb2oIG<-9`Pw5lx`7D@y zEKC*Ms8C0djkdCI%pckWj`np%QCvs#3Jq0fkKDBDJ`nqNCrQF!wvk_~>qfbH#b?9E zJZQ)m4dtrsd&+_V&*p;8cL6FT&}3fAUeUBV>a`g_(&DYR57DjVHt%Hj)yvRQ|61!u zl^~=Z^O6>*mrZ}`B@oo}KS4{a=3FL6%bix!--^9e*MXJ%*R$5&3$8hbOi@TQ;8_ZO zqLxrAV2Kt3aPIp;p`w?diFUr9h78Am*@jaXNCNhJ<0C)H(}y7to)9;NYb>7DpcsKa zr(OS9H?qs)_AKSF9cy9fSMf&$IDX1KFfCgHJy4zjWU4W;5p0!tTBGtQj;jkTPz7yS zyOVlDD&~}xn;yhGQ6|-hxwpDFKk`{}%@oT=H8T+Z_X#s2Bi@-|)GiA*=B@}k^2>#D zJ>;Trp-L}3Y&JuP#QPA`b#LZl7SA3u9!k#|h6(w`I4-p$gWL1uc0l_^v;2sWP|Q&r0|i?kwcxM62O%kxYITrrAt^Bxth(-_ zbodWn)>;2l1CZK)^*3^vlyRY|*@5>!F?+B>k>1D^RoS=9fYBjg7s*P^p4T_WKLq`-O>tIu?{-6kT&$gf29Pw3kKlVn zn#0b=dKe5*Y*KP2r~&Lx&3@IZRr|F`e}Y+!(c@3Xn)r2bRs=jD1@@|dE5(;EV4NiH z#9Ao*fX8RH}4FNJHIxm0LKS^yy(3w<=PG6WKCp1(2UgCdy8&H=I?H|`_E*^RF?o;v6+F+ zETe>nl6K>Fgq=Dh%~KQ?XxXXs_v@HR3x2iFB!i(SWq=b){~RMC zb7L%zpA0)1-6Wjfo%3bUuvGi-#uLl|fk+V$lB1&uh4L8&Y^dcmGzSl$P3K*uNSkA@q zw_L8WYJLCeN(V&3Ptv^!AQN9j0hK-~J+A*6i2o-ewY*7+x@oAG=a*%F22Mfd10Rh-iD zraa4y?t0x0zoM8x$?&(M&Oa3?YO<)SI7KU$JkTy4vO|SfA=XJ7L#7N z;(6b6qMdG6KebC&Y)7eh(SBWV7p4_mn6pt7$*FqCfJj1c81)vkJwt1TgAlhA$7=}2 zAKv$CjGI7OM@`{=Tf8J84oUjQlO z3AAr!nLnJ0l8~Rz`2S%$&4G26Is{Ot>ifx17%oS8LT`U$eKGg_Fb}3#G{z*a+#)4k z7I@tfYU2y2xdGm0TAqWqv6$y^ekmUs6N2|GCVY$!QwJCydC(XqXeh>qpX$jxu4bKj z{`|DtNyWXMEj5x%sE$%pRQXElty)CeSeqb@`gAS}hX*~iy(c(IHj1H#xPGVZu> z|6nMPm(ZJ$@J)R4_F02-a@nttfa3s?VCJU6fea)Ku;z-?GoKl_Pb1i4%;(wwWw2uG zfzBk2sR}&~zCb$A@Nv=y+@J3PXFHMYqF8PIl*WU;G*uAmd1Ozx+)os88U-8`z)=|m zwgynCe4SJ?5+(6wpo`g@>4EE~*;S2&)wgVJ$dvE;{^04fl?K?@LLlMYF>^CC!)!)N zF&{rBI>o<{(=DDw=-$=U1t(4Mnv)Mp-9+%yc+$2yjRv#@NYG>?R9zezvKUtDD0e~C zXs=nD=6QZ+{Ap&4^UjFR3I-^0lQq;tHd^5Bn=~&@=RRc*mZRYNVg_^6au$wt$`hTC zffR=!o@XR$0bhYdUGMkb0MzETK3F#-C$6jm4G8dIFf@bryuR4`a4O`waG8L?26>lR zHFqRRd-0Hp_i*W>|8Hx82L6n_1mCJA@1ylIJoW8dP|VR0Ne$~b9~;-YH_icBfL&hU z!bCE3AZldB8&I?48|fPl>U46lk~LdqLcKKz)zc-EAk(m*dO!mp6c?c%i0>Kf3E@t| zabhFR;DdE&-xe;0hmtOf-FrOF_P(Ljx3F(fJ}}yqNLC)T@ zT&me)sk0Z1W;Jx1vEr-H7_0NlZoQkM6 zrW1A8URmefoeM%fPoRzqo&uuV+tn&Ve!L%M-bC5`AR_~?Qxva?z9M8NJc9rRV{AG{5q_i|4?o5CtED&_7L1-0_4 zX-xShz9CfK=Z3H;%_m?ud(>GuBRIm{h>0`(k-Q{0tzjthon#? z{zx^jkyzw8dn)WF9LAIAUDdmizX<0}Q2A~zy3+El4P5V1a+%@n205JOg@QLogm|*q z-NRS=F$so+!pQW70qcOVJ)tLS2Ydr!F>lN;&3=DrpWOcNtdTFX+v&n*^UoUntouYp z{q_08Nb#P#qh2M*%RLCK@lm$Y4=gv#1#c~$24g2p|Ve zFhT8qywVvMWcOVgreZu*Uf?z`A)OXr?wbi;p~6z@#_aVomQ8V2hfz zp7G4NS{Jh?{bYc{f`lE>h3a+nj=8$Gbs8v*GI}PH=~V6^iXy2@$k7OCL?xb%@hgM< z47Yja3DT2QOMt2KEooIT?Lb>ZdvQFuJeAj4C}61bGl$VCshRZG*q(`TU~$t=dt6W- zxOTnPP*P5eV#e?2$_oEoP&GG7h`Fu5V-Dzu+Rl(rh6OGMCne^MOP56Ui2Sz4_$$k= z-vABdE6n|I{*fVZSIjVOx*E(gew~stpvKV>qQA@PmjVFt2IPhwE=z+Sg}Wfg!Y+E$ zs>6<{Y+qs;Lg*YPtBouSjLqo+@Od1J3+U4#pNaP4!=rz3;*vKhu?Nw8L;kmg zu;n`whx7s@#%lr~$abKX-RN(8Wv#a2Ms$W~XT4QGTbu z(%lk(9H~eS{NV2>4-Z9@;&-ld1xd>o_ryv{E_YNN#uOWpzA);pGfL}Y1ad}Naq4twobIOHn0fQtF6Uh`TRSgLZfe`jLL zWaa-rmkvLmsdq`gS#2&M*U#!)$mDasyYU6~NWOm!?Elkj z8;JEAJi8e96`tBu4wzRWJvo&{K@r)GbO84(wKvE7I8`DK?WMEg5n`NmYxwZWlT-Jzl3%@c`HiM0cM=nre3M?b#lhG7||1!RYm zcBj>FaxHL~Jyz_FPC4cYPBZ3BH5sh#Yqjz;M2Qd0;v23|2?Bv3xeiyHS4Xba1KNa} zW7+8~r_4S@054qZGke|WiprT+bDdJG>uKIY>r^=$PT}kHI`eMZIQ!#KW!+A6Vn`is z#;O85=e4r!bY}#yKXK){j+&+~V)28uZt=Pvd=YfixS#>?WaGemkY%E~{XmBsd|!WB zT|M}Pg+a!*o;YOgS^loF_*JosdG|YNF=p~L+BdhrC=b*c>jh{+26{~oCX@-lrAb9JH zZO8Q?fP0twE31*$S3?Gur&ZKG^IyuN_JUm{?mZm1ML97Y-CwhHY~0FLvQC^{FTB^r zRWID-p8r82Wn{w9VS4p4#v zuglxM-)b%G7-kVoItx5If9qdy<+)q}&muZ(K)I@OmCa7J-<%mDyKVIBFb~F?bX@= z4A7GY2523zC{`sou=ASitP=n{TM!~M#52bSZ!Mdt@?V8mN%S2ncx(HA)bPDLZ>*4N zzH{}S+Hl?#Y69VZ%2h@-NDi8cbM9eiD5vO(NU&`!K$iZ(z+81Q!H&>W7;o{E-6xqk z(co(+x17GT3yc0^*p(xG+8hxX=hqrFP*%+0S@c<0f9KkKt9VZFT9X4A{ zrU}G#cjA+F|M!S?5NNE*_}tgUN)QVOO^S&bTvx!nt-EK!z-=lzp#dbK^2*y2YAa)+ zcEI>=+0PLN^B_)Pll5!;q)0yN{vzbXF@gRzwHU&o@Z|c(y86|{S&B{m8DbXSKoC>9 zrqE6b1F%QNi``44u|fM&VRoV zX544^GV;u^;aYP!L<*o2NcO?;%<0Yh4d@ltC<=JxbhLCs0wk_K9vsl-vusd!6yvFv zAM=N(=&`nRI|!KEd>MR>u3&0|d(SNETa6DeNFXOFvfJ^R`Pq;XyIk1>dsy z2j=Ha;7`RB(i8rXt0DBn!*TZ==>C1x1=-;nyuFEv0~;hS?T!e1F9!yyH(yWLSt-!g7Z ztS3ktIBFi(stqWQxgywCqw>7Kbx0eo&Xm=s>Y8bvQVPL(}0Oq7IGpVW(sAlyH^ zdL%H4Uh{{G54A(gr=ALLf&u78bbXQEr=FUDjNx~3Ic4cKJg@#<i z%mcjYzPwH+Yz0rkCCBKs!xmet6v4GhZbA9jW}VB_+oJlok^~fl#8t&%VnmT)_@Be( z@Pc<+Fz^W0DCuZ%uVLAoSb3kWG2zk8a^SX@MItWER1YGBL|UUk0y1DdQosr*{; zB4Wo^+viv0n4hYnc|`rUICXT!`v_nb)PQ{kWHHS7uk3OlAuGf&#GWcse;l4!a;l7% zSJUL1FV_rgz|4w7yv|$Z=MATMW@rwCcA_rQ_lokX4YaQSHRr~NDkLqBKMvM`;QMSM zKG`rXH|H*7J74{n@QL^DlhokcS?|>jODY};;$BPK_)T1P@Ao1pL8U=1OQlV@;8pya z`0*Ynm!FLPZSG3nij|>z%r2V1`w_aQjJ`jEo-D1=@S*2|+i_}{z{U2x3CV3WEq=xz`ga)*|U@co8TH=A|TrIyTegS7MW$0 zUrL4d@q#eh#_tQZquFRVWzxCdMI?l_g5kh9UCg!Dl2n}dTjvISi*M?_(ui@(=QTPb z_1{moHcnkqawR!%+nJ{i&Gte{^6#}m@Wdz?5nzYb)n}SJzG~#Ea~HW4G9%+J69X)D z@MdC?Y$S1-gmu6bg+vJ(0HnJxtJ{zFLcP9q;og~Yt$>VCn^lOZr1H;=H*N^lWEl6$ z)~^O?`5GT#O)NUBT2hBl6U7IfDpd1-XaHG6 z57UK@wBNc=pwTY0DImUbg*adm9x42K{H9Cwjm)QP{?dv#2i^A$E<~J14uVeQJT1AO zKdbS!SY8-m!EXbl=IJ+ou}4bCD{C&(XWqkXyL{tTl1T9nc|4Q!p-@@*&YIO3em+Tz zUg;O+6Y|Gjduwlej&e4ezfl*2>R|&ngyC_Q){70)13DU-5+O*d*~_tUO+!kiia@ot znx%|3oC9KqNx7^+_?4UGY6iWy3j45e6iJhuR-v7mAEp+Yz>`Q?xivX9F1LiFmWt&w z>~7T{JFY&+=i)HA``oB^@itR%qRG*bf38dV%HSD$)X9j_&%l2{*LooW5Pl`(UrtE$ zRWs+C8IGg!rfBOq_3?kc%N+8i$){3M;xFBj|nw3QmYZsHw`~bR|KBMiw)+ zsI2t4?y+<87#0^}v^{aL@Wt4Cs`_NEOgqKWO)pK$wzOzyjSMo^RvoK&+AkU$QV2h| zyk$HZHWI49Yek72FCQ4d$fwbZPe?|ykzq;zX<)Aqhc3SO{9NZ{P^AG*@SG8TVw1@mKStK(x}eILktY^c<1lZ_bAXE=*vAk=|H*VGWh!&@XVXf)x4ZsSF*29 zgGuW>pEd;iIV^7CFQRTA%}5nH-x#4X{=~?_WvlHRw^EQ3W`{zY3V2?i0!K&{>l4cJ zh(vcLWlRVjChJgdCuqfIm8a{1zd1xm{SU$kO$KwC2Krj^ROjPv0E-T&bTPMBzel9Q z5L)Z}jYV^)NuvgDqJW0Ffq&R2IVG(p9>(6$kUeyB8`5*>YK9d+XA}h26UVY2oUAZhHr!qy=TB>*L2_PKXE%8Lze|T^5X^DH3f3 zWh%eq-vEVJDLg3^U>c5cp~+HuJvpuVloeBAwH$)u&A|@cOkYkcfefUGk9TyK@6N3S zs|E6{q{J|D_+Dz+E-Yha*Dx)3=)t$IEa3ib{$Z0il&&(e70=D(9cfZ(HE+`LsW;vt zv5=6EyhHMKLtq%)=`WQ2^OWvL$d7vtLD4ki)({g3(KZ@o#keerG4dgu6IAGrf`o$6 zwdwRB*lcv}&||EI#6*|UBdrzwhUg~>X9$&`Bn&P<=P#L`?NG0Xxjp5Ie|7Gd)T{zN zk#vM$;Ev%PY%tBo)=;e*zY0ixMrrOE_EeD33NP!OV{MSIyu1ZmfN25U7e~j!ov8V~ ziNwbj+LGIVvgGs&OT2Xy0#OntIeD`dqjo0gYbju6U>2YLWuK7HMb%RwGdWI0SALzl z_q@|G(9G<`KUeflFzc+FLW`C;@H(0c6@Fk%SwNtETu-2$Y(TlTW;|nln+{K3zRMtu zkJzxeQc)WD?p3pE%5`EfW1`2amhNiNb$BNaVLa+kTxs+~P9XqjRV)%(76j_!7)DkW zCWZQ;(1Ex&l*{d_%SBOUWA2O-4Fj;$Ei2w82U$YD#w%k7AwFrpC)3SEJMV zRL?3!3Mpj@kn7IM=MO0c-^H<*3?P{#V2m$#RfFBfwa~b z=hVy68WFsWarKg#lF4{=evbZ2d*yO9KRTUkCo)&jypga*+AI1#iDm!DC&3w@8{8mC z+LvFB8)l!eVYf(+fj}CLjt9C^OAQC?n5n`RLNL4vXw~t^p$?6%MqE}x$SOcJ6(wSI zj?0aeqP~NDaHA^gQEKkMDs7A!U>;`Y7Jzx8AWNB?9h(uIUJGKV2`f_;%=A8z3hM54 zN4Jwuc0QV%t;e7tk0E4Jnj{V^jnfpc_wLqt{n`8_GkWi$df~FMmszufsOi0s&($gJ z0?M~IE(vtTH*;1G_a5k;XWy#&l*{P)?`2v2bInRD_H!9kB90B1hQu@PK1mhPgEEW5 zTf?d1!bHK*sd=2$LRtY10aYZhJuf__E^s8yV-(~>C$@HMZ1u$>mEfc_U1GrKI_cBz z8+NJX!^h;djxL`T*YZbaILyY_Y-iSE;=bspCYhWmNOXiaqQ-EAdH$4;H&i%Qs(Q$q z9zq#5X(!QUf&Das{T!#?4@yiV4WKrQ^7cu@hSOpAquWZzzmfU#IIzx--jor&_>x;r zcBuB5&O=Z9>~i;*7=F_L*9~t{87Zy^PO3{PuTO5uxn!s1m8L_9H|wpfqBo_@=|z>$ zPyIM6{j%0W&%6x_DIAt$_Dq=j_`V@7VHN_XPJzDD0vOT3XuBz3oEX{(wkn~g+I)UA z1-kK{QZf5Sb*2Oo8`YgY{~yNQGAOPv$`%a-2~GpS-5Yn8;0~d2Xk3F^kOT?t?m>fu zAVGr#cZWcNd!xbK?F(_WI@2#X}krPG7Z*l7ywV-f8^K3DHF7Y zC7i0)dO(F!pd5JgQD43Ua5bt3NwzeQR}l7cnq|8|e@N*#kfr4|o|nwjrD$PqvF;sT z*|FFf-i~xg@~A$T+=lA^*YS)>-6CEY9vheSlW0@77L;Aot1v%Ho2+D#R1Cvz!X&6% zm-IMKQiPsziBpF}<1tUFWyHs`M75EL?nlMgL3_o8%vNP@L>iyUS#Cd<9qL(0e%>GH zU1BWAE&w&Ld17#MGI%i;TEbsz~lg|$%18+tcRu46|7{<+j*2;j4(HAPz*7VaM zT?n6xi>MzyvWx+*?VotRe8m(dx=8MSHKE>D<_O@cn)8rk`m~xHT?VVCJEya*DcUWy zUzauXg%=44{O@nBWr9Q~B#wUeupWgy59tUOZbrj=!i!p#)E{`GvX49bR3Mr1Bt z+NluqnAfL_^C&c`V+g*=AJFRqwin;0HG@NYhN$79`R7LkKTSyS4Lc@ePG@T#DRK^I z-={f@zEQNP0WrLfkl1*X?-A(l*maU|L=se~)_*h}XJ6;Gac!g%L)jh=Avya%YRG9f zYqmXuE<7F&aNU(1L_3gcotGcHZ9hBYU>m|w$agKi{!yK zUS7fBtB;5OKU1%v?Z2Z+8_=`w4l?0*L0B)S92ldHTp*o1a1<`jWxOO;b~{FNksY%u zT=vHk6N;l?g>Ui5EU8G3#CbC~rT6z023Azk)P_)lVc^%5vA*jG)#Gza*;HEtA~#dq&}qntbz*Fm9Yz%pUy?D7=Qg>0ZrprpalCW$G>p-}4kcb38Yff?r*Gp4 z>j$ylxb`c!y2u8=ur5R^QpaBF4c6iupNA7T()B0WjqX7K^O?a7Vb$d|T6`oyB27TH z%=~#OJBBUtYPv!+KtPGwHHj!*nEw75+;@s^1$8 z#&;@J)Q6g+k~5)^SV@xo$vb%KA_ReVXyVil$%u%8yF`PDWK>O6yPmw(`3~zhy43?j z6YBVLh>_G$FuytMkC{i)cxAtq?T%Bdwk`6j7Vrkm!dET1{2sN%&Idpx|Y0m;&=nKR$B5F1_d(EXqLzVy;d4fcAZ zl+5fJuzcvEq1OVHG=6SM@4{>ACo(4jwGG8B1y#{?@@P(}hCI`YzdOIWFk_kH%B;B+ zPFn`nIu*F4O>PJa>aywvbfp{5i3RSh=-iYIpoP6BqAN|;k9yT+GXJtUvuoY+T`39; z?fZ^AwQZaHdiF2^7_9`ZK30S_mb1-N_BkK*Li2(@q#-luHpmBG4BbVJi++~R_PNtI z;GP((hK5r&E@%wwV(VD~+O)$gx_k^I>hHD6KxCvoNsAM{s$R75+NS zkDpw6r8|i-_{q%Gt0rMw3*j81fx$pIJTkTR3W;mxyI4Rf#uls$tIzb!QJugh{-^zD zWecQvgqRVa1B~PwZF%hbVjz-|%r29)M`k#Y|48cdcu9DEGX+3sO9eiKc%2x^71iI{5p8?+*WxmrnGIpDE)3 zQ#ceET;I_5;}@hRLJ>m= z7bT1$EGFzZh?APUp#@q50v?t`X1J#>`pg1Cch_`&6x-r!6bc?Ag??^JI?%Qs1btK>*6 zqdrjVYgGo<`Z2()T`1SY+Uyc>?Upqe0_CAHCIBUIc_YkP5Xw!aIR0^ z-9Wu{tT6ldlPp7$-8-~gt^X=*{oxH74^lsU9{`GYVoMyKmEV$ztc4pc|60}T3igN> z9OJr-qpoArW}$hO64Z_yh=J$tCy^CF$mY@0(q(JN8)o0Xiof+e`Vf*VtNcq>cj{OJ z{!1rk4YS3C8bkgg|Lwa#6Ve8&YkW})wJ{B$@byiQ;PuMTSb-XAv3uM`pYJ@57fJmW&eYX_#KvNhjtVGW_Wz!$=nXj1k4~-jFh!Y@%D4CPU&g8 zE`Qplj`n1)E5TU;FuHb0@vi{T8UByM3Dg4RB-56_k*xWiY&D{778i}eL{6&)u^30} z=;oV62)xe$b_h{5B~weNl6qy*PG9dyX?n zW|%c0>tMj%3}M5c?G1CwcY(}Ja705C!`8bV;=wg((EXU4iA_5OS8{Z4!Zv}lu(K}$%amzua5{&4DvoI`NR`IrjzjufL*JjfW8lLAF91;B^8SC9m0hb! zyxAmQgNkXl)!s31I|(7!#Gwd@4n;b+Zyax~NWWX4&zAyhu>xc(Zt(#K2i{erkE|xq zJQ1``9JJ#*Auo~>&a%St>;=XkhD-#Otx8fIJ%^fGD+!T#lOf^!4K6%OfMK~Yd{5kG z%-Ol-A+0D6qXu8iYq8lZ*^sy0SimD)7=-;k#DkRD8C6lc`ZjLJAaj!C5uE*u%(FZ> z@ArvO;Dmm!aQU8r)3N`%8!m@jVplnUtLz04s1GxnldaS-tH82OMCdC~BObEd*PmAN zxxJC@v=a&D8{TL0B-s%^VlI;r$lE6cf&QunMdMoy1MvWy%0!eaI%Z`Wz9aG!e`<|nC>rJ6A z^?PsQ{}M7aYjahONSb@@v}17*=gP(>Nc8yVx%mEB9sPDPN6S+wjy#-3#u=QfkRdNb z9A{$5-ISdabiMGL0J+XKcdKOE5EI#7mgKhb62EWHi7fI6OUS>DU{oBI%{QhtTY_Qx z^61l}a5fG_NKvzGrc+B9>bS7>E0S_&Fphrwz#HM6Xq{1k$1x#N2HfH2o6Pz@p&?JP zf9DN=hJeHO=kG1fdc-*^msrMXCZ*qGo=j*w1QsDkRI^IDp1cKb7yU3X&g3GVDSn#! z3Ot&e(VI;{#6BkX$sg}ot|MfuDv<<)60c$)Q!H7M145(1xgDdp-032jdG0qc1>{ zrys$~SIYSklR1`3YR;b0*elUYVfyu6!2M`C%{D=API$`o_v8?Bg!`Y3zX7^C{V`%1`@D}!QBs%tDfIBVNj<{*H|BhInmv8n zz~|VJ8Z(l;ec4(1u@2HqniOG$3bd4|6-HEGI^13JGjkW$3nEWOOd@rnf#FdpRU=F; zE{ugoXEJ^Oa2<9KhR6~IsOh~vQdFHD#v!YKx}=n~=);nF)^33!;G4mFCRy}31kZ6w z5X9=*0MrET8i>kQIrJ;p2U;^WwLZm4Z}}?P?ukc%c|JYLE*wMJ z{9JepNd8n&Qq>mo^z^|{ zkzcIej2(#Qc?~{kmBmu=8BKvglKa;|T}$j41Uh;R2Y%qrsj?B^{GDoxEI66u?m*ZQpJYM&{m#`eKr?%TlB8Y zL8RXr?b)uORo&zqt$R*#z@>xs|4w=n?O?y&KRmiVEI7smHd1Cjpg~t?52;AoqyU=> z|Ap|0uWJLNQ9pj#Jp)jRun?Y^Lx0UMkS6un%XJfTTbRyRdW3<95e@Wb<|`#%S+wD9($#;UH!C1IN&R3I731oL-I zh3UF738wXruAB%F0A;7Ip`@aLSxcM`5kcCku+9EoZGR>IRPvFThdG2?WngEn+&Rd^?nM$0;+8|V7h0bWRlZtzh_N!6!H+^youO+Nk-;wC2 z_6&y|?UWZ4q%b#~tUzT_JC#vIdv0#{F@Grm`@nnp>C6DE$Y#My%vL8OdM${r>o+su zP1D>!)Zm*IxAF~{1VowKna?wuV19lyp!JYfR_<#vG@?E>!FF3b{yy8*Yv?f^SxwvV z*<2tE7m$_PJ21i@!1tD9;bqaPE|&+gln@9V2xM#lG$Ty9fF@CuWLZgLM?I0OC}ZSO zx*tRq=6=Y1ENM*@2b@TNniUKp?Q0A1MJMa^37u;=7G(c>!#*l~_)LKS02_U*O!`i` zxF4`tLe}J0&_!R{=LcB3ky9^Xe3p$Hr6NAdY7e&p2ua@knd2xy zC}@+UJo~QT+N17)la`U3WoSg=w#h=8$uH^ks#e=ZlO2#L&78T7Pgr!I?W+UNXRLK>{u#Se;ay^72X&A99Ebdh|dA0y)CYNDIirOy`Td!rbDxbGGFjGGqd= z>!Mq;5$24sMb|#*>t#SaGAixJzknOWN$QzsJ=lQW!||)&Kc1ieM-F|aS7^PA(;0>x z`%JH+Utg^(4p?lBew5Cf6`RFZHr^Pm868RU_(eY7Yn}04`~F^B^_*;8+K<39IA84# zjcRZl-iIF2xPZ;_O;|+~s2SbgBJd-84NgtGaeCZh;G#I&@#lx}kYqel=P>!cM?&O6 z{~rL|t=snPI?(B6U|@^`zi|Qg-M)O27#_gQ_q{*mj&5OVeoTRAdwlJA^Vi_LFwv)* z+DmiiqxXd3W@RiaAJ41U$8yo+p!WGcmhAgTru@$_G~@?E3||r;MLMO6S@&5t9cN$X zPhELGc~N8tWZYTRb8jiE2tTo5M%LT@`9}31ox-FAAUwL_W&g+)54YNoWQ4uk88$Ru zlp_=ggCW2(?iu5Wev024mW5)~o4@s8Y%vX5$lUR;TTAjD@MPJ)z(gqXok1F#VUK!On&WT2-zXjh5SInQ`>!;im|w=S zg`<+fV_k*h6}#(Ul8So0?r!M_Fa9VkGx`3-)!Uq176e{AC3 zVo86Q>m~*ucM_)RR5dlV@m4C_S@n+*uA-6BJ5@1)_%zH->RwcsdO`+IT_{YFL-0ay zXB{bfjZ#{_ovaIX!`3-}JRx0Qb8r}adt`s}p;7BJ(j@}4MxXuN9e9rBPxEWfn8+%W z@w_aotxNgrWq<-O3oEA^Nlu3)y^bQO-@ZRup?USkC|PF$=c!?t6HeDma72L?z^>?T zS?9fZ(E0YbUSTclxu2|n;qEnIDrYU0E$H5u0r!{WIV2Smk>$AKoCp>rC8+uV5&VfH zk0v+P@sjl=GG@>7>V+n&m9FiU!tHh*T<>I)WlO*{rY!~zbSj#Cg-*($+mi?9P}H6E zKt22xGFKry7Z^|d30)082Ns;Oy4hE{ndOD+$%0v84Y6cUY%p|8=W`?$djB`LE$FuE zU04!}w0hn(TP3BMhsc%%jVlHL)+*bt4N)TMs30XigNKfP@B&W>{*l}*i`aW!XnnmPgw+c_~_ws4kc#) zZou6Y$#H17>;oWsmDd_omVhr@#5?eSvb@~=nl1t>YGNMK*_IiK;BGs$qQsf&n&&ds z+4g8!wZ?dkC>>+}3HcGYUw5RI)xP9%R1GjLzWt1F}MrHSqp|o*{-;krC8&1_re-|#UI8ab1 zeS+*;d!N~C#C0Tf=c}0`XCe0;V4#L$)2&-2d;8!m%`u~O&06GIdYqs8;YPe7F8V>* zHkc>Y7f1i97!ew-xgE_Z>!{8L zfoWiWzs@~rTixso7sR1~vO#UvYZ6ZzMNYgoFvm7D*?d+jPhA9e!vJ8P({dj(!h0;e z5ls#=M+#zwdK%@L93luY>f_hb20?&|--|iU8n5)eHhRwuQ`4mir>F(3gE>y>{j|5oV^8g?EZ^H`tZNIeaTfNa8R?)7yte0ep(yVem3KLl(vD? zjTcPcr5QeM zO?QmbDzEqkxU(h2I zc4b~1N1#%wD~o=?oX;mmX`>g9;PO_%|bZ~M$0fb%qiWNo#au$S2>(CSWC*i zu|e4BS{|TgSiwxVTTdqOFlV)wB`|vxiO2$U!_mV%9}!TY*aQ-vwp0U#mBOucx*HzD-s* z&Q=3FK;jeo>*8znQNiA7BVH-sRW4sXOR5QS?iBY&tD?ytQEke7qdVh;x>P=8RDZ%3 zNx)(neqk^yL7)p#HQwkYG``IZL9_BX%LN9f2gtx@wQC>Qp!gaNn&zQBG+=~?$RByw zP1F_}7lfW0vBsU3ov#)M>7!aE)xeJ{cIR=QBii(CjluJa)l+2RPgPKl-u$8 zY@uly>2y)^gZYh2PSd!EJkbiNcxbGnci!Ize*}zwMd`z8d%U&#D_%i62IPF!!j`PE zsHkUp%N;=cJ}$G*k9mx9;rmxOxj!QkAAv`}RZfw9nLDT8Lt!Ul2|;{c9H&a2 zad|tPrtn{h(9ddAmZ5-gf*Art%|KK1hVa7ETW|E1$;g_YIm4rBg+sz`O_<;=CGs`% zE*Wr>P9vfJ0T2SH(cb^nLs4;Y;k16dYTLOlUhhS(!0}079fZ@=k5GMq>mL4VO|l>4 zP~a8K-sscGaBXHV$*agb;cXtse)Dj9ku-?^G;q@N%-UR$CK1m=HvSK{+KQ^lV4s1n)v2bUfK~q$+N_Ia$Ib&yv^MX|0 z8pVytb033MWpUu?t98v66bD=`J$3%z)5#^Q#9H*K;I zy#A)AX7d+7-ljV&atoCcLJQnNFJu|kr@tHY!pR)h(h4hbXE!O973d5`Qxe|HMo>F-qaqQ@N%u%6;2 zdK;1^*4hr;3|_&ZSE)#>C^(#S3>fN*jEnuB%u)CMr)Pl(Vid`V((}Vc0#U z^P66YM-NdyIG|4gkn<0YLXh?Ha6vp)k6LtecURAmvcbJm3xs>Z`z>iIR|tshTE+xG zFRynWT)sVx^04T8VY}c@<h>GL3^ArtjDH#?5(B#)IK za^~)IF;VbH)`khpATS{Ey_=M_UvErLdLTUi?^@+sbr0*y|0993zY2)DrLd7>HQHTt2FK*rk}jF2ePR4$ zKXpD9+ZJ&>6}pR~3v938O?+1e(4xy9E5EUV&_il9)^JyY9E9r?veluoPh1XT-~kCM z$1QPONa;2kJG+5T@)s)3*&m0redtSpYcKiCI?Eqs>qc=8i(i7sLCDPOp{bg*&f6mo z>WMoPMK6-g-l9tBih;O|LS6+QB_Ld zm%w%)7d*9NA`_M@pFY392Uf&~o=r)`c{PC&kDJbqM*}BF7rRDy*5xklZ#HL)64qG_ zc)A6ro+1ULF53AuF!l2E=@{a=Zc51k@Ug(t{b~Iy@{XGP<26-s^O*y*ab# zb@o-od3wR%bh9gyUkZ4PVr#X;0s>0K{CMU&qsB#pUe|lLbzYI`H`ueycuUotT-cEo zE_pGlI!rcJ|LJc&Y6+UUWDYT}MD&rxpJVMQV<#Cm$tIp!^KouJD2JQI=*NtfGFpCG zj&2LS3eGzK8Y~6JVvf(|440N0kXQ`CLo5H2%8T|$V_I@++{+|nT0Wb~#v}P3`>-s4 zIaur<1Fy&SQn4z=NV#%>h;budjv-e8;d_6+NokpCHg|JllahI5^~RqXkZ(Yg2fanZ zu&xJG;};FR=Yz9n8!u^NDMaHwbuiM>GyBzlr4po6@W{6y8hD52a z5nhvoFIU&LC?dW9VdH%g{dgsx?ULYrY1k5GAH{Dz(&y3B!^wIF7E6LMUYAw2C0YkO zUVyh!P-pv|vr+L_rk8JJYWVkU?c@Z!_!a>EtL|s6z+5_em}A8 zje!cX2@B(b!PJCzPA zb1^1dPc9vV(gxFDqS?xm+Yti$FzOc0H(Lo@m^Db=Q*k0mZ- zy7G=yMAfCZgL;3l2JT4PPb-$c)BsJ4ym;zFvfNWQLdV!kJC@(+lx*xK>-9%q8pJ~* zIQgsYucH?B`B`b?lpJqVOy*R^k7sM1m*{|P6GQ)s*Nu$5;SuBJ*`>48x-3Rfj^j5V z+tE>3=B|9CqT)ln$pE&1`SFmqY&*VVetCBu$V-KpF-;py%!R#q)QGWpbWahAMHX$L zWnG^6Sn7D#deuh4T&TGs>V2C0r+UwvWO-31i`DVAJeL!V7SA(MCnA zO{!Jbd>b6Rfgn)fCcWU{V42?rPUYO=5f;CrKmq@r616;P|zs*2q@P!vNv)g+yd12c7UjkUlB6z{r>w8pPrsx zlcopPA2xH6w;ylYz`CnI;@j|LAB>$;v|kxXJIM5JoM$ghl+Uvt2ze(U^P^DzEQnW+$Gpoo=M^v4;s<{>y(u!|a`YH;}24 zO`3smWQWg0W{+vP{z!Y;-`~|%br z+Pdi+Hq`KR)L!aA5LNz%Hkw@M)z#vOvBJhzWjksJE-RtXEPbI*Dg4)E1S-pHeO{NL zUhJjAxi+A1Gt`Tn`L2gyt(s(qTJ4=3MEsIaQcjN)7G1nyS9#j5C#krFyLuFdc@XV! z3=Qe8b{&+obj-1(u%0L_p_=v9syo53-KTTGV^`y`GkXPI`FKTEu4hTg9^1YSxx7Q$ z9+xWGj=q7bYpVz>Kt>NpMydacLBba~8}h)Oix_F-h2)m;(^;bZzPwi#yPyfF3asA>cB0dof*Vz#ZF)9Icax8`$`0`_mDgXA1 z&~w8$(+7T&@7*X`YMcO~E3K+eU!DL?WZ0f@CI^{kXI1uuprq&a2s{OLM=|sX#cWez z0r=w1)gCbj!dJqLwx^wo9m^ZfK3>hM;8H@&JRpf_yWjbfHkTnsRoX~0$H#i5)Azcl zpB-XNYH;QoaTRa!k>&Zx8A)>pU)Qa#v)s*&8mC{WoK5@ufYIOL;{lBMJooUx%kxm% z{{?nmCklEUn|M=gDtU%slJ!(~q}c?r*U$+DC^BcLvIb(N2fRcENHy{ay>1h_Y>J+k z`j{~iT&QpAn30gjPI6v%WGsUa>Z>;QH20W2#lNAoyfo}m+MGp6@n!9kYeQ2r7Kp!p zDAiAN^4Olsi#(ibG#<1+b$YJw_H+gz_n1ni*H4rvgfWGXx{$k24<*|j#wAXj^qiEO zwtm*yAS_>F$j)?c3k~b*GVSFAk_F!AE})&{R25h!i)MN6&kbK5K9A!v;ivHfjJaut z2l)&Z&sB{Tq18>4k)NwSf&X)DPaS%$$6odee@L-9WMFg{r`R#8RO)b7fivo&@b%lL zeghb%!zEA4`H&LqO^HTvYK4&&V_YI@dU z*Nmgk^KfL{CqIoUxHgIXJ7;f6rSKh%gJA#9*_gId*jZRqRb ziJ*Hc)rTK_1_?=a2%iRvf&K4t-JusL4o?!j)=VZN=@U4VTvYWLWn-mwNjjUKBku+p zTn+(MN8^!GaI!M}IM;xJdI2xpYX-Gz^Tn#~k=EOJN_R!N&6mOZ=oy*G0fo5upa9oE zT%9O2m6qEUMa7LP>d<$uS3Ys|mvldJc3v3Tlb|%$@JQk%0q|%P8f?kS{Vu_#h;Nql%*%kp& z+`p@lm~f)r;N|~Jk-a_7Fj!}jnpTGtmN<2rzeX0PS&zKP=}v(cY_OQa1=fSpOT@1z zKe*&JLI(az^VPZNZR>Bxg*LKWpIh*w=iPzLe7T^Ah^YEo-EdyJ8Q6j6axaV6| zKfA{-cK0)nLMY?SK=8O~wsUc5@sLvs+?`fgLRv1?Q7sTn-rFtu-H|;bfYtBL@U>#8 zK?u{8PRiHikj*||fZrQ9Fqdu+CogG)$w_W$ABe=%a1fSgbZ1Z)C7T#|gu)MIrRDkKG5x|bBwzm1#qebh zUr)UaY~}pHP=#y#Pw<>!faf3kS-;^|yLT0%3?ZwUUq3fncGUoh^jPG;!z%4k~1wwXCj^w~g)-EZx~ zLYYp5***@CwkxotVZkV#|9aqawFB+K1?SFq|^|6OvPhr+EN+?qT znA!RPzCjm@nF{fB$06VxM=GmB=H6hUU41TVG!7>o0{ z%;3LczJ$XPJ&%v>7Kk;w<2{M%E$$6T1`Ptd1uMMbsm<#B3%#sQ!s_~CdKQOjVmi)R ztF8I7gV6Leb63>8S;q*;3yR7oV5rK__P8wWL_C9VP=yzwdojNXK-|)hl+*Yb+*o`-n+=xXHxp^@Hompx6{?jKbn) zBJJ$!IK4$#QavHqjd?Ho=}tbv)Cmn35Bx8FPF?Tdnr~mki9hN}hxw$U)OQa%Fpl=r zn+N*R5iFawRD1b%drF|P49flEY}4BRU!({}NV5CtuTF_DWgz{Z$^hAg>McI-p3yH; z>Ef<-w!*@sl(DgM9+!MbkHkdbeqx=FbPpj^hL?^WF#|Cz%QYcEJzeA-{&M*}5&6eP zocV@>NSd+!gj}cnd7F9q&cUp6B}qk%Ebkae#foyp{!n%C(1J9$?h8zYU!`kM%?A_G z+bg3Wjp7FYUy8_Db?C7V97)(g07n;iN>blxxyPl&s8X1@J9C_qas++2O{!yJUcec*jF!0BIO7pKJm!G-BeX1^}o0tNRt#01aH3rA$Q^goz&P>}fJh!f@phGV8A;Uviz&V(zMD z>sqS3yk(ZdB`bX%L%(Sst)gq(u9woMYN4&c`F{kX@52N}9QH#p2-jZ*LNA!>9qlbkr} znfdXn8I+buChNQiJW&j)Z(*f-f`WRD&$Z4BL z6yFZv`slwEvDy>RoS9gfaGpkWY^98oG z6xFWld>MFz1g~So0I1vOJ%%cL7QZ#$=^tUY!k^k#j%mTk!)a`AsHfOFM`4(J)e8nr zZ4noCWK+X7R6Z?Zc^NA5Hz#rwru}?U^4{|o2)k3f!O6xt-y@}vFNaTP!Z7nb@Jh+w z{GH)7X@8((E5tL6IV0lv%ZKeWWfM3iMQ_?*jeVbpR^@f4{^#)v!SeShbegYYGVV|j zRJYpdKaK%4l{kk#&s5#-n#yJk)6;^qm~~dOJ%K<`u6y!MIYR`cWKcoA;j77h`h?ec zf*kG1k-HsNB8v+l&v9bB>(=?ZfzhEi$`-+YsyWxryjik@Ne~4y!fRmk|9D~Yo{fM~p_tNYax3fMBucKDaDc@`M`5%rL;>Hy3 z1t`k)byhEC&|PHH#EljhPD$5+7hy_sy zB~upKPZBc3kzB0(btBKd!|cwSA}MfK;dB<-ES{I6+Q0f)`r(lI;Ok!a-&ub)oNX4t zbRK^qrqhY>O zZs$izDC?r_wT}(ne9HpBNb%X9zqEOl$k5X1ad_+#ikuE~o00TF?k*473D<{MM_yA| zmX}d=#&J<>34R5zys)Km9{7(mE8k{lGyX* z`c%PYYnb|rC@k(O-@=J2<>WJpPB*FDegrj}&X0PzAy3@wZmz%YnE<_zB9Sls)TXn=bE%Vy?OpCfDTZw*4l7m*SOhJs;T)%GGyDR=eXp| znU4*3?9t`={UWrbK%n+8PPE$M4wR+F)FH9Lc%EI4aOA&$dL?%Elj+O>a`^MT?b^;- z5XA8m%X^?4>lC-zY1~osrVAUwXaDO3xg(z4>&|z>R=}#byUF4P$0^k9@oX(aB{))@ zN=eJi_!_t3*jE^+nm)-U13E&66MY1H2=oH z2G^k==0^(OZ;#XfOVbQpzSIOu%M3ja*mXkpH;vlRKcjM5rKUI2zKtfVUAN}e6XpHb zYhNjlWBV~1UJy02ENuol319XJmwrdj<{?R#sE(v?t8$&gX}R2G_J{4tpaG%6+w$p1 z)L+V8lh&1so5OdWj%xE)XHa}HcH9V0*^eLsC2Rp;&K(S-OR{6}^@i$hKge*d>*w**E1g8X}*kI}1E9#-j#JH2!v%NEm<4XV_y(!9^wq2645u@*&1C zgn{b#NI}fq*sWslE|CUFqIfzzMT4Hoc;G`oZt+s=c1NmuFJ#*|{wh@*1?-E9-6nXS zPniTS1v=V(60J<(sSN7}oHrur>#V=b%i(fBT}V7jH!z3qRWb3d=!zrb6Wt;Rg?YCb z4a?#a?+2<;n?yRq6+OO?a=W7e7L(C3XP9O)9%_xbsL3)5P0kYawkvayN`e4OtD1O< z#D*$;k9nbrYu;m)R%sMFvtqJxPoY@>)iWEml#o}LFuyun3R$tq%vk=g#|ol%9ZUUB zvH!nOvCz=}?J*JvsFHoTNF$LHfz>1~a`g_Lp=4d>j}Yk(Nj=&1}`Cbx@WZI>9UK{dPCzx>eS~rPTJg zLRVoV7iOmz`5KOZ+U5Ea*0k`?XCmx*rrZhu;~f?jL8L@9D|NT@R6Z){<@tcZA}BRg z6U~YxJs{2aBhk~{(bKU589+GzvtpikA;~(qj)ed=F6Z*496cv1vgea@Ph*cfWo(i+ zp!bzImp7%8iEg5d!v~9vhL%O~e|X>`qiDN%+4g-IEsDTi!oFN4oBgdhoViY)zmEJn zpREm!S8|R6X)^GQ`gVXr%9nS5l(ha2HUwYaEq*;CU}IE4emMhuPq1t@NjJ}MXPBB1lRT6 z?QOjArz9hWiA%YEf68-*fBi)8zsjpCPf5kLr-#!swO1%DlsOkck0?8>yNrGYe%Qi` zFB5XpikB_Vs(#bF5}m3RD~nx~f3flq&{*~6ez}0;u&G!i$9Ic}C0&?Arb#b6;+0I? zAF1S3H^;(!us!KpPOW^eji86NC@j@xEp1RLUadLlR5+KmnQkR=pw z%SUBdS6K!dkN|3~uA3LTn*71Z?7o_>AJXU+ zm=8@bx*K?tF5w?_hiXU+d{v@iAdA`_>rrBF%iG;jy`TJEHXCzKDllK!(F{xxY4`j9 zC&j;0k*xo)O(E{VL+%Uw%TJxiYw3GT2lC(=pW5z@-4Ug-)YFrwsJo2X=Yo^RMWb%G zzvgi;V>HQhST&fq8`n@6>X3F9(j+*)8t8^`YopJ(B4r<3<{t_1vz)8;&#zLW>sJ$0 z`pJU&9KPw*p~mj>)BEHId?4g?kQ|z?vjvcHbJybl(dOe275TZ1X4&IQXAQZi)gRt3 zmm5&P3w7^UCB^VIyIrP+q9lhZ425X8Xytd!H(`igb(3d}2eHlSMepR4m)3*oN=vO8 z`wIGp3a-5eC8Fot;Fx`Dt4@9RWcM-O6!#)?{#ttl4TSZkULRAQKO7K%mb86t-;HGR zYD}qo4R`UcMFAU^YN8C|FDMwGpq=;NLR}!2t%|h zFL^JImcjk(v|A=H54E*|kh?KTHoe!{oyRG#WHtQyV8_gvplZcRra0sEzrL* zYrBqy{*szDRp;#v-E2H(D-UP0h|v8slFs@=A0MvjepPWC-gR zUKl3Fi0V-EN3W}Q6%CJBn%;kLzHd3lZrkM|y%I5hgq;0y0HRw!btgOkMpkpV+ZF3e27I z)!in}yM6UOVNtofDl<&S*zd0zbW{e5F`C7=jr7CSE{c*eEou!nhuy8FCh&KLVG%|D z>G9gI&@Cc^vK4L+ei9j3ss3I)T74jDaPn>>LkM)U>$y*sE%HaesRY=(Egl&$268^u zF(+VW4t2c1VLNEOfr5>ur1g%rLgFJs_G z6Fho*R|z>SwRVA@rjbe_d?EO2ljP&xwxmKbG413jH9Yp$tg1OYIlq+}TXNY)-BILX z$=^I6m0~6vzRvZAFObCuSqZ6?SB;Rfhav<@u`O2N;p}25N%ABnuCH$S!HawD73MIQ z@BgXrRm!?JsnSz%?tlUkaXKQAl(b}&y$FP!%D6zwT3Blm%0R55l%GC6>e<}UX>(eY zc`psoU3oMcR!u!YyNGD0$RM(v_+h@eKFf`ct0F6!(# zbgq-T`jmtrTV+>a~OhK6vo zrZcbuH*QGsV#0q>GXOsWc$55pbiIXJ)c@ADZ35Cr=LiT$2+|Eo4Fb|B-QC^N4I-&@ zNq580T|-MVbaxIzyHIT=ca(=#R)gJ(OGXSJwEUPiCN*|yGmr-0}J_3v(vE(dH%ns*xs4y9pW$v_H zmExj(8Zb_6jmjm)&{GM>&e@S^FR+LMz=7dGf2rLyx=5mh;XmpM)yqaEBKkf>bV}o@ zmy_FbE`Ng;00!WT102kuRjyIsN^;F5biR`Qny}6uVEOSC+fBd6VF!5j-<&gnZX`|2>cWn@)(5<#82xj$-dORVA{FnD8=u)XUX{TBy7 z7=wH+aO$j_Mf^O#f=3P_cbo?{ZAD-4J+BW%^>OTWR-WS6oWOBy)jk!Qr?7SuW3!8X zGxuqKdrT#x{77;9Gsd36ooF*XCYB;7IV;?a<7MWx(EI7|xyj!vLE9PZv|TQT3@)a| z+j=)=_-?m{!l?9(5o>{AaeAL+#0(VKB%+!)R^xon)n%;65Lh38)}tbkmnzqj-xJgQ z#!l?+Cc3aq1@iaI=W|abzkE*2D{7!$kTE7a%t@^e@=w<15zPgOuCiN%I5>)Q*-x0MDH#?*&_wTKQ`VoE0^60`e^L?6bTuk+#C#4H?^HYoJM@D)+3d5HMlH|rhG z1)F?etm#5rR`_0HnwMXQ*hCm>6X*wqRE>A3!c46$z3WgW6GoV3BncV!t~0{G>F%%U z@2`E`ZuWb3DmLDk6gu#0`nh$Ly5W@Avd?vf`zv1xCb{Wl>EcKf@)5fgJ?WC$9%%Dc z7KNyDFZuS~UoXY49;656CK6|*>;p@ILhB#ax1qi zN6**p>D|_m_YZQ=E|?e@CBT96(e9oGl>?BFJn+JM|EpfvTZUKQ_TU^43o~_gQEzzZ z=sZzi8+DdV32FZ!u6-!7HFjQzNSx zZbMOmKJ&zVBC$BB(&}g`+ZDk=q_vbcB!?pJ{n12~YwKN^&s8?hx~rI&M4?Mka9AAh z70+wbFW;^C+9H`o&QHDaS@i0F3;yVo^eh4!aeFaHCfgB`vZBsB9@b`yQKoSzB9dR zEknitX8*xszwibnMY&E((+Td4*LK+wmGYa>8QZZZWpr|sy7zkP#%t&-w#=caF$q`2 zCnP<@;TgUMvp9rz&jHpt;0BFg?IkL`il8%M)id>4VWVKBoT6j1Xo1X8;_^5=pkKV^ z=VG_#hdJ=&;ocpGZ|3%IN4MK%X85Rf@OKAjqOw>bzY}E%P0o1@=7zV^c?nXw(49+}M>R)!A`f|GbEVFWzRUP$bpmRmZ z5cWjUDY{q#HSj3`TH716lRGq)(EGNBE7DG7fJsV>9#H!G;o6P8>F({J*0|JUH-X~e zpdPZ~_B}x(U=}Odvz_~AAH-0!?KsiED*0JTSrB#Q7t*le;Yzq+(s^BFgoUUubRj57 zh?T(4?I5pS!4|Fq5gtqFa-?^c{{Yn>obT?5 z+Du%(+WLE!4)db>c;&icS=C}YbE&TkOdVk;FjBuKmzhZAXrCfInE<6`pmgajQj*Dh zFX8U)&)Ete>LMTG$V*-m?UH4h2f$pa>tFe%#QVcv59F$df9REQ>xc@olpb_n9}>&Y zTdw8x!Wu7zt3XKGEdi~OL@Ozz1R|pW|0Xgh4-V)ujMmNo4#R7*qY$CP73TOZgf%wh zHrD%tijHyou%h%0h=-%(sAOD^orVrHDIDhcS#q}m3iR4sg#p8jA0Bxk(4}Fx{1+GS z=|8YchBHf2(zxsVJ9+Z2@kaE&z;0+HkS-Y&#?IDci%-`hk~VK!tZ`#_wKo{juS9xHz32NDFRr8cVhh7Sh{xOwqsK)UtCE6 zZ?xZQm^1JOF<|ltcKJe(>5@QC#OZ_vMFdiZJOhuuIY_BRCJnI)tWIMWkqvGqF%*}O zLYh#rj{almRL7?Nc{|)bCbIoW4=w3Tdb~Dk8v-~3-fe~A(lNi`XUF9o@0HcSk;SP< zugZS&`-#F+g=8KRs&vmSUVHTE5*6G9SF1K6feq8o?nx#L%(pu-vn>j>`em$biQ|E`=DUU|mwoEDc(_HJ85s)wc1woZ?V zSKh_@Dm z5wq%LF6lA;{#vWuiuj6KCIe;PsgbRJv>T-KqE-AE$NxN_nydL^Wpg8Vgqq86q2cgk zaV-q~%`KPiH#Ie<=(D1kzS_dhdS2cV<p{^Gd_pLlBg=Xk%@S+)$Pm^O8{NUymnovxfw6L9C8R>j~Vzna^vjFn`>#TZ{}t zroD3ItrLg`6-($YG*@>D*5{9F-_L?1{O|{Kz32&|@I0fxAZN#?qn@ak;YTm!d-QKU zVh+hy7QCe3LZX|x_D&$bt;T5n9n9dFkQN}jsozkQa#c;nGOAKG zxk{wWy{r4oXvMdM5!0X*`Lmvk1#(AX)3%PuVLtPUfALwakc4asi*=~TpqfQ}7uJ;x z(IMKfvb9lbm8}lmhh6`w@eypUeqLvm1C?gp^!$0LG7Dk4(JYk^=sUGm0Se!uXNahj zvUw@KE4QF*c;#`>_INE#SK@6DVEeva__`_NEnxl&r`=%UMQnXOo;zi(Z z@uj>8<+gvIuB60cajPIVPqWvE2nw?+r$x#;v#&T&_PTF%ecs$m%bL#xqxkFsyX&?K zP95)6OQ#1PBGq!9!29M={bN}WkF|r+?gfUF4Zuc`BD>rgO!BE+91G%tT4qp21#Va^ z)E;q^XnFMVCy9+ySX`_wnO3j!lImH)vJ?&$Kstdxg|Hul3j}*%q++w;~!FDNO z#yXy^sa+i{59-@?FND2dXfZ-(@q3OcZ4=qVscBdMzO%I%n)%&FHJpVgWP{GT3Qxi= zmLH)@Lvh2+I#7(OxJA+#G3=BZnAh&m?>}2x849C_**G6qQ)_M5(R$?`pKN6>`7pAN z8yR*4go;84Tnf&X)$gw21fzLxAArsPUAvum`BUEfbQ%0hB{8cP5|N~SsQ8b^X!OP2 zDlePU8W<@v&G7hDZf0RTMW*)+d+f8iN*A??_nui&3zL4;Mdqo>*Cs2;18xWn)Z1f` z$>V0de9t$F+3c)l6J!D^ry8qsF2dKHJ{gj!DAlMSK?FRm;n)v(JZ}`62e}(f*!hqf z{aP4~P2qE4f5+uSrX`|eH^xl@dz>KMJZ@BLXnKVI?40(5zR1ze&rof=??)%KZP=vMuLi^jb@)3k7av1zh z=+};^9bThF;$CxKfv>BMCqV+KQ>V4-Qb0bVa(kw|lKo)sbgA`!wpy^-dj+ekFEvqI z6RPtv3mQm?LBJo_w#wK$b$c%O5oodsO@Y$RMVetTa%O2>OVbX5V5lgf-B(idd z%Grjck2$KIFlJS~V)X}g%~0%F0qIkuG#tGKq}(dwEU^PIvHKy)iL zY`5Ny7tVYnYsE%O!Uoy^g`dk6?1N-0gunTEV7^nx!S`t7xJ@dl(awqP1m4 z6ViULmWQl8!y|LL*J}*sODU#K%IT{=1$EqVOMUhhksRvNqu4W6!@~MKP{Z-rGQ|GV zHrd`=Tb~G1Mz8Q-XW!m~UD6=-z-qjZ`2Od4@%^#Gu1Rad=5c>AZAzhTTZM8bP*Ufy z(sMJWUv1AVRmnSwHjyibZLXuFBd*#%MX{0{rm=$U&2LrjPc8B++)n2h%*JyG37|`~ z0!_9vysJw;2Cwp!Q>6#mdK#Tl))X&~M;PT$L&_+!&nONfqax(`T?3^D>i1lmQz3Se z_VoKUJDEQV?&fbNn1%0lyqUC{HnP80eZ22`;Nuk_w$ERCyVXx*lB!Aeu582JGJ85S zfDH*j$l6{E$Tq!xuMH_jtes4Tg)R|A%wkkZ3M7P11u6$fH>x8zyGetj@$=cB=9$<4 z+Zg3_br-`@2kw>&c`qIlr-d2 zP7Oe&E3Au9i#^n7$)IOn28g(M4dk!yr+XgZEbL7e(?u8fzRk^5Vy7bq+DO(GbF0~} zl$oep#i{;A6ZriTiJJ|3$YMVE+xj0!(2Jm7-cxC4CSP-q#L+Qzla~MK%)x?$xRiRX zhE_A4osYapwwIF@m(*yl%{@0#D@%|DhLxo`I3nkih~KNZsQQJmC=%wuqF>nve-4x% zX7DlMDUX#a(JMOK@AyB0E?!W#S!#Iyk->8vLn`Btwnu(kuIN?iPMsD?|K=k&vl2M; z(#X^}`wcbepD{dj2$SUXXK=I}=CkV;2I@)rZn<~V4svY>1S5~NW79t);!UCn+X5fC zSRCz_rX909>X>F@;1dKiK+j)$+1%VY;QJ!I0N%$L=ReXPCuB_8RR09UYndnf#Z<|+ z4SOSRx-k7_sB_=evsU!Q|4L@i8vJMoPqbV8$gGN8#rGoClghZ#zdKVbw>z&tI-1u# zSX7XOg%BD7go1})C;j)wtEDp*hI?=M#lU@oH`&?Gy133X=uv=N7|=?`Si@EUzYJL) zuj&x6T5>|-ZR8CNL+xFBH92+?hh%ms&d79N8uE?&sU&|=@bHdIZXVHPyRssMn$(7d z4+*Xx&(^#4pmQ7|ZYxF$AE8%Y_J%tF$ul-SevF7Q*hK{CHI(kn0OKp(ZHMoBiQ!9V zt~t%;d?xp4tX^RwNIBXi^@fa5Vb3H~JfAAzN%ABprL+y6?Sz0_E8 zFJfVV1vRu!lZlarF`p3J;2Ts*p#}K4aRU~^ja!nv}rqM7I?6taUwEAZm!lKsy-(J5@xd9HgauuNIt)sNFt`1&rU{c z;F+q1KO^CHDWL2=$cVa^5LN(L%xY8SU0o7aVQ9bvieT>@G836#)` z&7C^9k;?2D%;bkRL&Hg76m($s5s^%;%ip6~Uw5Ipql!-(NzjQHvT z^xp1T@OrhQFGD2asnUnhuJC~nk&`bAM-A&`4sPnxN^v?lo43*S!GdV<+BGp$g7f^H z)hJ3TOTfSg?D!U+n+aJnGPK&F(Cgl>pxw2ag-j{6B_N+5@_RQ{1~X`HBqubr?_6dytNMzG?A#0L>J2FdMaqf%XAek|!hoNf`^~2PA(E4!B5Flv{{s{(=YkNYq7#r)M19ow<}N$0U_}8wb7-k@HXDmf#4E0V8m=yEM=W% z5eiq>Yp$NEt~hyCp*(%cmY_Z(4b@F|pn2aaA1J=(fi_jELAMT7vill}W8GGHM#FTEW-*cy|UOftZbJ#o$P>sKy zu@)kTi*@8eBQ(?&hNS~2VC^{>xPloL*bm1_AA0gB<;>=o1+-`+mB!9jX`%1;?(Aod!8yLTUPDyi(wGd}> z5wrNp-VYcB)n*mUej}b;r47CR7O)q$)kKqJ{P@{T`LcRrq+D5-SHHY%&v$a%W!7r7 zkpGxD<`=n#LAYZB!iVkG<vb{Vy|72e6Qn}GqG|yE?Vl-$W_un&Mx$jlf3GVHVPI$SF$1(frjcd_# z-*;y^JkVy=?E!{c-I?b^zOQoqO9?)ARFLzpnrCt?aFU1oy;iA75UWiLh`_@%^S
NQ7(hqDk3%Xmz@r!ySqK8XaF@y$rBb4zP})>Wf3p$&CcRYo!~4h>gTVSG2#ZBX;Dvz$b+pHg@0P-9ct+8#K=N2k|ldf~BX z5VvSB!GG(XcGa&hU98db7`&8$q=z^)BYs{zwV zu@AYQMp2smL8twjK4$jt80~kt5U+#m!3hT-?2Y$*Ik-=k%O#i0iAs|oGP%5U)J2q; zCjTK8{PRp%(W?mhUKLiaG?Q9fCae;r0510A-EpHultI41kdDNX{zQz`Ab6B--M+1r zw1!hl(3d59#!lK$n7&t9Sc>kK& zTHTSX$Y&9x>obdWSsc@GJ+i&N9pS9%F!#XliseCXT`>X99ph}%@*?dQk=A_WDV38= zUW4)}b7Nx0-Qg&?Dr%C;z0XSvpl6i=GODhAdp;`M8=f!(gb;ze9F2QW^C#MubOU4= z1>Oo(Px##v&LfPVI5&qZTX3zo?`$*JioY9*1%P(XrC;d99;y>@)>^-=XCU5rMs~)2 zc1?f#jjps=DtnJp-gkS1;QG$T{@}L_w#5LiWPj{F4hQRdWmUb$jn*VP9U$bQ+@$;8 zY0dZlcUl|!*`W>E&0UeEc3oSQt_mY1FKE<~oU6Cht&9@IZ*2ex`)KK$gppb=01$s` z?m1050EBHE9NZnF(9<7P{O)x4<8PO5ha-o?j1s@}j{Ycfg_@q@vwt*||H^UroCNY@ ze{9a_l+x(C1siZqaFqQ@`zQNk?BCXTRJnK|7U_UN&*O%*=D|kD!dr+qPU2WX-R`yG z1xVx;u73*KmS_H{)XanUt`bqhumFk0gZ>xjrp8=Q|(u2#-?B? z$2BbL979{c^W`85^h+EBbegiue?mq?60=`K$E!&K11dld;?oK3M`1eijXmy0$8Qj6 zP^z4w(;aq`MSTj*sa$7oOpG9qS0+9-Pl3c`@q{tf7s0ApQOd&1A>AYE6dUBvSvdR+?qqrz{k z7>G{CbUH;W;?$(X+=Ic^I8EOP z^`;ND^ITiWv^|lzM)t53iik;Z>Lhnf>U%DyEY|hF{-Ku>|K9b*N}P#s*w|{UDMQw- z9e*T$T4C#P!1X?<$RM4L$MaI!l1?}07TBML)sa1b)mbA2t=$r*(2UwJMz2k?0LwWv zkXMi_nu}pJ-?IBEu$72ugLfps?f($S2x^AT?M-|9P-q+m}eNS*c-=*{glZA>*gAl7_o=08xF@ixJEp&!8(x^yBsJ*7|G3=4{ z3!&wPo3}NFMMW-!YQ~_$+?ErlC-CX}vRdcG7l`-`nluT{t;d06v4W8H{nm%U3e;?lox;0(>2Ba5w09 z$~;Vm6k2eb610_*v{tzdZ1lh|XE9+q;lh|>q|pSArh91fsX`9sPD_W9*f*K=CCBcE zS9?MeF0>EtjTNnXtr)%QQsel3zgd6iW6bn{nG<<;hCglgKhcP=aX1WNYz^%d-Imrq zxdm}>1jfrL7eywntCCn7m+~(c%_&>?Hk~1x30ntDJzW^PyMDnwqG8TxQ7Mjn?_S*Y#Kss_eEB-;{0YQ=1B^=k7HbkwS#%xzPfz2I&B*Q023D# z3>yZIu=K9ppe~AE>rB@#N4XqouI`Le16)X?^e@)2Mf5pV#w()|xUuK~&~EpZgr1c? zc=X3wOdGZl5X2!9UKV1M1%lI(b{aq~Z2sH@yTKGj7hFByx5^mfWk)vd&b{7)6_u8i;knJeN}3pRCrYt)nj~~10S~)> zdlL?$_HB7k5xlD!6hBp9p8uj9gIW&knq4jGKbBvncly5XD{Eh8fzXnj=;wgOW_BU7 zlWzS20(UilG0QD`n=d9pz%eXlcJ$$Aj7h=qu;SG1gSZr_3uNb=R^OTF>~xV_az$mu(Xx6As3aDxn4Tf5d03}wT(TZPi~C+I4M39(?@TylZC z3s6uz+4G9U60ADTI^MdLTTt=0uS?+1q|HT@5o?PYWX@T6ltB``#7Mt3-lH3%blqnDlA=x)N6gcYlz+R7R1FU9tAHI zO`bxFh1bd+?W0G14ef`rN@Yc z*WGTPqNxY?Un&=%M(hRuxIdA zvd8>8nl7Xn`|XjLjwA-A*NwObjX(7=YhY4Vk`OYyx+uzvY6*xHF~SU2;? zJgUfTdub3}y3JzeEa(T(nyi}uYO7e?)aAbiypU#dIpzrf5SX>Ujd_-5K4h+#ox4ig ztmX)GuZJ)A${vlc=e;KhQjo1Aa=qV9XiafaMK;0tw~usb44okY({*tby~{5TQ{Lmn z4ty8d?|Eg(zv9$x}k0$nJPqn`}CYlsj?z=l|Fcl{5$xCmJb8NEkj*AqfzD z(`(_hnw5V>js6CkEFU;gzm8i|TjjXA(Yg^B58voFQU_pCd;f|NeH0CRDgj0jseHRv zLT9c(ypF9g#5Yd()gM?GE^`sfQMBuFVdSscBK@o-ukKzFD!F;puRzQOZ|!Jwj`t_e zF==$qIab!T+!fK7BRLmOL)Q>B*@Ei^Dvu2 zsKC(Y&ompSuDd|@B@5}YsmKSD9fDU6bygn;83}lcJu)Se*ZaMU3K7x~YU?RVg`qm+ zQ7v6p+2DH$_)bfVe6i2_VGSPSRh(5tTQbAXEeYRE&1u*R2DZl*7Bu}?(aBgd`=5|M z=lJ)4Lo)M!m7x5S40FHOxndUycs51KWY^CBLU7AQY$z<6sAk|fqc?lSo)@iz&865a zz2%rb0%@+tLL247_IF{6`debx1jZO}dQm7SWVE};(L8ybEcBOk(^@qDacA79ipb2V zi`~UgXQcP`iHad`h8$+JU8yH7uB3fK7+2T09ykBRh|M6cURX@H`Mo+}atteJ^lD4d z-+%mly81hVg6f3I-?FZffb7=xxdl6LiaFIb^YLUD0@l$ zz$W%AO1ByW@={US3*%SB{8}fvXCBz~6ms*El^0&hVxhP3tE(vejMZ+}1#*@S93Lwr zL?dAb;k8fQ;oe7l0=jdvv1bv8_pHuIgk&s6(v6G|fCg+cAIGW2)cKCs;rP|8B^bhg z+)4_o+l>qg@d9+x$v!+p!qC}JmpF1(k7E8my8Z1Ek4%vZK1i_Qy0iP5%j61{kMo0z zT~Faxx4L$&H=ZXaxcFoGI~+MS(JzX*j1DAkx~o~Thh|^eeXm=KC{J<0)=YPAWb;Wg ziQ#Z9%QaUOiU zd0ROwC_CQ=G0fVj(`%l(&U7wQ&)GAG^F{JWt9XL1>m^=xb5sB2gYmijLD=&vy5}if zl+F-U8Xp3={Q$HLfywadLZYTqj`>1_S^1v%#&0EcM`*ZUJJ%)evEAF&;}){x z)}>drEn`R+ZN%ZQ=F;wyhZNQl7%e)&I}K&hMww*ZHD_b#ksDlNBk&5;c8{y$o5k7s zP(#R9pAtdo&DDR?(K3_tH*Gc?b2HIuBRrqAm2@xPsHh3iZKVD+4T@+LRMi#G1rqmC z0f>^Wm4|)Sf@8jmv?K%7{*CwV2P+ zyW>dmGAf7f#Gi~aOcT10@Jb^-qQ0=K*^8VD*HvSDIOK8QqELZ+N-w=Olw-1c)rVSc zw3>qq@&vY6zSv)Uh$Cri>^tQ~e8_`6L2f8m0%ts5uZbC9wT@9@_p&W{k2GqJAFO&o z4ZqxlZidfy_zd{CQssIO?ONyyP9&xH+|DX>P#4S}trH6OCKoQY!1DqLRJ#*>o6aWY zX6y46UsUZN@!?++UA<5_^PN~am2L~-VY^coS6ZCMVybopC`QIQ@~jEOxG} zC15PoT&!4@J5~5L0Ja?ebUGe?|6r$he>P$0X9hRKif@~l6>PfC9BM?^4E$fQwF#4X zC|d;+6Yfwi8>(6{TZ)!os+RRa>{+Q?Yi9xeLgjl1_7L>#dVSt)Pzs`iCv=nIR1xNw zAh@(;8&}8nQBaq0)O5&uKbz;LVKK1NI^S`3gJf4yZ`PCh^mz4HGfu1L-?tA;s5Ve< zx-KCZj299y3CF!XTsaMA2^BP1Bl@WL~W<`iC~tKRFS@??)TAQwAItkgZ}#f>c50=)sEnj-mHDviNZ`#Z70y%er$mE#^m#_m8h^%LH?Q?r zV~g{?sy%wSR-@vx*XVc^wvFSsRLRLK9S?aW03GY?P4lr^F8Zlzxz2k({6D16K~BFW zeH?T?8#B_sDu35%R7I<9+-EBLHLD^PSA9)z4abp5!au5GC;$g&#%b+>4Q9U#k2A`S zS(7_Yk5#L;ntLYzC~uxMG?2wqwcmJcj>#z`AmNA*zgGE2H^g=-08Kfk1Jan7-F*q%5#LkLsaUwocw z|4;&r{wL^sOK_8l`1blZsgnHp7cb0kWWj$sF*wSQ{+rqy^gL=r7WBQvz*$}GABJ|U zsaT#WTq4}=Bfb)`jbQ4;4)Bl~_k{k8INeIBJ+-OzNUrFA>XXQn3c-$+ZMzV2caF+W zFAI%TGFcVzLW;imC+}jPKX~3q$f!TD*n=W7*zqam+-#z$1+J@8dPi%*;wTo%32Kh^ zTw9O`{(g3NE!_E|Rud;tHFN}7>%{r}(a{yiOEHf@mH$v&-2;cL?l8&s+--WVw!(Z= zU(5tgzw4>ml=WoK>~7)q8?p9WXA*|d#11Y+r@*D?6vcI!?4($|D z6%(hw;Bvs=g8bIRaK3ZX?S2Hn8!}2xIFO>#QOZwVxPfW2uE!MIc2)sPhV60a+Xm#m z?1kfd`(y9x)i63ny&ZVrNrZG?*g25r1#;6vt3S8X`;yHaS8NBmUp<;Rf%rbTOqa|T za3u%Zn_LAFG5FzWQCEU6M(R3fPKXm~pYC7)s7{gjss2%i0r46Z{T6#x%uIiU> zpSVP);1uoYB}LHvs=LvdS3SMGvhJdttvN23>Z3Jv=G;XEmF{~-zG>>!Xa(T>GD^T~x-Gt%LB3u-XQF627I(U9#d5KB z4T^E)fCy5rc-%dcB|C4{AD9$GZ#6Zv+o-@oy3B|q%y`6pydTYhuT3J7`G;_h^8M|h z{75!*0aAC~=6RE4ehe_PRE4Wj^}WD(&abP`M?t@Qitt&^t~ZNKY@p>*F<0CLF~xep;YPZN+N`g|BWuFe%;bm2T@9jfrzcPig5VivrY`pD8zkIm>|ls;8}9G1;nMw}zL6juK=)9wwC+I(?XQ742TL zjha%O8__~%Gdfr>d^@xs8>RP3?5lh2cvq>~sBN4UGu?*2;cn75yj#u>jfx^o0wgqm z111#<^kW^$oIh66B{u=9*=2p=xpbHNs4XjGF;BtrPH2x!ujWJ{bI+7+R6B5+u_YJ2 z!(4Myi5AU3aiS)``SrACa#SF~VRMMF^)L@HA(^Ule~>vIcQT7BxAuTc(OrHY(#}3b zbq543?F3H7MBO$j`^Ig%c~T?l)@t?~o9_OA?Jrxxi!(YxKeMwqIBUigt32|X|9KYp z*0}3Z>S}sl6r>tO{#2Tw2?!Ys~V319Epd13$ix(JqOx;IuRxfd))G#`^Y) z3Ow}8Ojo+h01qj7_+EeHG#50-g{lV?;X*l-@HPJ>>d@oU1_~N>RVS^vDoT>B-5S$#;6J68vlQg zrHwpl)w1f`Dyqrp^r3Q5f|l2U8wkh=j9wC-g^5*(S5J$MsOlCl`1i$M1W+mU%? zD*~&`^F?5lnV{p2zi#@z`=)`z+|tU?$`hYKC?Ga&&St`%?E^tuRH}cHP*FGo##e)J z1UX9eR^b(%Kl43UYN2eod)8w7arh3T4hlo8Y=IyaH zdgjrUfy@noVa;j`-Qp|wU9)bc^R>{d%i?qU@CbJ0YZAf?tfZXn@$Gun0{E0>!+Kjx zjzsO2dYxN@9a5tonFB9!yb0eS$qP{VyVYSDmlXMOS$=`56UKtOJ;I$i!?#=|f^Q(= zt+1-oP$?+)Tk9pK7oIL@i4ZkrShVU$^Lo~;u2>^~hC~iRxV^)t-j2jt*qJJ`yGlE~ zKZtG#KdH#+uHBtX{lG6RM;67F!sVhfjM|5%6-J1h4sY6 zkFQHZ?D83M&cXw617L!UWPdWm^zFJ)$ZjdA+>rhA;O`TIFL&4Rk^sN$p$JKpU^Px7 z_3LV%v>>S%)gOGG=V{!P6Fzy}j+;r`3V_;!nowg4n0+3AVdFn4Q2qg0WcPg0gQWw(=+i%MgIzi_VT>Boue@ydEpRPyBe% zm(WM^O=G?KGtr_a`J(L0?26Eik4KW`B`+-hymzHUnz>u80r#)CGuoO!|E4Mc1qD@H z(T&Ui6HKzHc+=Zl?C?`$&~kW2rpGn+;*3MpdmB1B?Ac>rs?p!BUdRRdSp?*^@yd|O zQ6$ES-UO0kh$=TU<8-x2nOx{EP^3Fwg`-Gdwghy zESziGb;3{GHdaIIV1k<;oK8NzrThB*M{4M*XvoTg=Wz!$mSE_s_1-tsBjNA{^^5%l zHQGn}*DH&>)v+mQK_?a1PRCc(8v~yMh7y@R>HAPTV}@sST?fw|mU6?o=Q*Q4Do{dN zpqUzzzuAam<6hU>I=;S@YfAsP)C7!=`$a1Dc8@~5K0EDCfh(@>tu=W=_|9*Xg|xc0 zu4`DrdK4)zVad5RK70iuLr$Am!)mSfRU7P<)ebaTt>|@pZj2Y5x6|M^+hv1C@*CS* z?LM2RkaH;Tm4?qK6g3b>+wrSpd9`$ah~I>RqV@}X116A&4GIB3rTz_hS$Ha|H%S#c zJ2w8ns|-P-PCB{-<|@rle~8u#gl;<)tiI2B4^a+Leg#O*-Z`GzAC+)_<*;P9X+8gw zG7GT}h#y@#( zfgU71hsW6i?i5X77YL=+9jIszB%_eA_2coWJ?>dH&#@~}p^+JyWJ zAChVl=to&qS}N+!@toQ2-JXa8=077qh0vQi$W1S)os&8S9>GdUJ>l1y4*-nIb6DdX z!C;O9E#kTm4G~78PvN!=p3Ik(@;*?yyu8WJ=s&f!oav-j%UQBog1kDOJ94e2g>~El zD(KqaIDM662<^f)y_#AhwDt`j+cR&^>mLX`!As@CZ=+!Q7x3HFB;BUftPqg$VaYQ< zauzN*Z6dR=VdRGvzEogD#mV^+?QpBuboITn?~&L&(q)A$i~K)T`I{Ww6-vg7E6hiG# zL=PT_a>c)H@&k$7?t^d7-Q&ZEQ z{a-@AD_#!$mY=Ywr24~2kYy=?1EgtL!G>j@B?ovw-f`Q|VEw__!`Ge%V~d!KNo1eI z+)|s=BwACuTOQkmIIR9)0~W65EJ9~g5?mnq2xuO;jHrZO@Ikh7BNqHv(Z(hb4sI+s zxO#sC&znTW$^3xnK0K$!6LdAMniw=gI36*`crHS#c$M4k9n6oI+7B?L@Ib;6L}2qh zOyT6K=o%xa>BZjBA5Ta{;$uV9@bqc`x(aat_*UsM9jW+$o3TQ(%V9(xfN#+Yd5pq+ z0uU!ou5k~p_w&G_^laY&@}-#I%S%F@IyVbbfTheOuC=f0#(1AviT}aRYRQ9rM=@ z4-b2z>wOwT2{ckPW(eg)S3NF8UaJUJ%GoXz;d^;QiypW^n8iT0qK6?(IlaU0X(z^p zoKos(%AQ<~GDtc-jmwxV-R(djzU`J7eP;#?=i#Iw&H+ca2VBGG%rE*^9hf>^$9xJe z{$k$?v*-MOPG0Pdzl@oo(#zPz+J}tIUO$wJS_V;{{``?w# zTGBnntwPJ4)5U6Yy0OLd46F?Z?w)C!{y~{O4o=M6k0G1nY;NDz_S|ywzvhvj+(KG^ zx@jk-Tz1s;a`n~qaq;*r3v3j6C4&|^9&K*17+OvQR0}Hc7BChZQdHk>^4Y5TVC-8N zLfF$O%>Jh|1W-hx_UWLx-RDIdDtmgVlzB#90jnnWMmv;NE0%r zg2lv=Nv^?bfh5S62RFqQAi0&S5Q>jU%xQL8ks%{C4#MHA^>x{SAqqrv-i6cswY*@cQ@nHF&J13cr zz(95>!y}oBFHjW8>TzP?rDpkinEvbW4ueaqtp@l`$o;E93zKgkLLfc*`zB@bj5~$U z|3}zchDG_dYu}HFQaLmvnaysdPyibE?cNV`q-p{Br#6*~f8z*2ATe@5&!fB>GK>jN!)t?o zg8a(o5P;(7Gqx`W^3BB%Fc&lbit5YnrB;*cRW_JB4s@Yxr8aNd=N<#fJy_ zDHExPJ7h6-OrrBPuH86tSS)A#l68}nwuXQPfs~b?N>TE%m*5>y^ViSauBv3i6B9Sd zcCI`pmybXP!cvjR^Fc(^%&gG#k2UcE>B%n6km=QDww4ttrp=dnpIYoV(R3+8BHrVM zC4dF=-4|1CKs51P@~)*s1aN9jPEsEaFJt&;#jS^Rj2N;`ji;HLzP&FgOhv(xNweJa z*G%PLX<8rxEOH1xku0$y1_j`?CZrlyUdbg6A+CQ%^tW*j^jRF8IUil6{u8aG_#J95 zv_5c)AWIzq!bIZyP=c;x!{6<{?cv0@?W-u~9jNX##Ul8ndB3rS{M!ob>`>@PDcp*| z=QZ@oA(bLe@e7?t8LRBp#|=dTwm3XH76zIkh+!&BJ2v$`facD(kJEWYYJs#)=Uc1x zuIH--{^x?Btdra!zrtzF6wyrnn7jyN_PTiWG;;u->4{U3F3MKx?O9!D_>aH&S_e(K znqLtZZ9P3dYUmm0QrENjSk{z=XncM6@;#*K_HAEbB&QfJh}dhgPXQJqQ0_NU$Y>k= zBv>D0l<%bpw8p?|UDpV+`48TIT{d7S-21Z#*uXD)YYU{-I-Nb%4jvy+#cwY~b(5Zx zf+9(TN`aZFKiL6?2kKz_tFY`df=0R?~z))*bw5|mw@WgLAt+j`(S(DdrO z11KV`wvpbvlj1U;sQeou|1A0MD%wJtrF3*6PM0ht_=aH-dA@hJ?hb&*{(wpObEl$= z&i-yWpGg*Xz}fe|?bDpv=Jop<6nFcD2oDyria23H@_;LkeXRI zF<@GwXr_C-UBc-BtoLk~(XxZdyUyP*Ds`!sC8_Y1e+_wlQ!pJ5SZK2xjJ~Z~oBJT$ z`F4CCVJy7c>cgr1i%47PD6fwbc1>s+ILRz4x;Y@_#c)^m+gplLUgABl`D$(0qlio- zIp5?&vE+Ym&cD>+PmwLq=l!}rtkFi|Xw;_8&pW%#oyOc(o$uyuRzEkV9e~U0HRrvg zAhH#(p$5WVSFnmbXr*bEPOfDeeIqXmwYXLp}ypc;^M~E!>ICnlN~;o>qTH_Aj=%-#dfQnR7Kn7dDM~ z+DMj|EW6{T|GSr?#QgFPYD0%FIX9J;< z?fk_3fpm;YQ}oV%FQZlskv5)nbU_y8nJ=32if?zqU*?=8uHs0yXcOT%${71{WtZ)~ zI{03$^yF3rX)f>*n%D z3a+V_szS$C>luQ^g3es3iR8d9E|y%eISD;St+L4Nof%D!ViWZj`Z(QDJAXBDI%x#- zLMA?+*P@h`n^V^Z)C$60tay*DPyoc)h#{MqQkpx7gzED7kd(X5^qh&yZ9oKu$&b_PrOPf6@nV`VMB`?L^G}+D%?Ja;$8+6@c$h*>GsAuKy7_(A# zHj~?HU0*!j^S%{|Bs?H}@A~qf^)%r1J#j%8wz^wN1VAOzVO|SFJ>VFeftGh^LhctC zsx1E3%>qbvg$`3C*{U_MIK0{NmdN+0i6)~`@02W?U$F|*zu|^mdIv=8c3gX$JBc1= z>+n{08GyCAu6i+hXTJHIbMG(;JmV@+mVBO-;5?tuqxz8T%Cb@B-hFs_0}Nn|NQt>W zbeZazW|=OUl0h1bI^p=Zg;&?NJSX>?uLY}e-GZC>o2-ilwFMu3MNh)!qc3uS^sRbE z;1>GLV>z)FCEgJK=XpANHq(CMT=hTH#${6hFLZci?)&xvw_OV$X%`$6bZ2WB(Hkx3 zNT=#V&hP!?oa`sjB8$)|vQ+FV4B;*Se-;Pe&qCH3FnL)+nIB@Juq732`6g~WyX}8K z?&fQAVnnYzB+QNnC^6(%$<&!1MKI4r-eQR5PtXmHsx?y2#((Q%v1KOG~WAtCHwy}&avW$Gw zj~#RN0K!^rO`Bfgt2}QFpnpoFYVG5Co*MX|va=u@3R-uMNSL;tK(bwM#9)`6pyP`; zv@RP44U5ICsSfh0iK`{H@ZttyKCLxBB077l75?S+F+34pdM#s@b5(B^+M3W8D+jk9 zjOLGzp_Rorz5!CyXa}XKfSBp$?35z7+5ZhPi}F94D@oCkr-n_}v=hV{&{D&oXQd6v zP~IE{SHAD;oj*^_ZyzxinGc3#rKLJoyA?2G#VX}l^DiVLhl2u!dVBaCo18Bc!v)(RGT1o_$2^_fc5Xz`(sHvC&Js^8!`W;9Pi{Q^hfmQt?XLz z@nZD3ysYQP2#kIvDFf55(B^A5kC=wH1T(mr&2ifOSwlu|3u zf4$qV@FFl!Wmw%UHMR0U)QFbVfQY`0sdvXh@)xN_m`G}uq<-?mlER`T`gN)W_y9^% zScqRvUvm%0Hh0TNIdiUfS(ALzh)I7dCg=B}lq{n_+R|hW6|50?-y*>C?Kxv$e+L$N zsgtE826F+9B<7ryfOK>XM~`sNOD>C&Q3;A%VxYMyWCfVM{#>5igbVz@1|bpAD>1p# zj(HVpF}d~R3Sh@`~>uQ-T9 zYdp0R_R?b1Jv1&{%75eTWP)BhRXWA4#|E$@T6#@hLDG;D|PJsCoz8-iReJBHUMo@tI9#`X1^nf=P!m2E!M>vFT)#CyHn&+#hkOP_P zhxWr^r>OT;!1`KOfE|EVyVK955K?2D;4DD&#B+PKVQ2RzBg!m%VoZ4PD8v|I;{JkH+=F`cZd`~8>VE?(X zXgBu)yi=}IgoM9yL3_IZW7$Jq^7?}EhZs>6oa1x>RsW+-@~)G(6t-$V>5(mFvr-?! zDPTvZ#O7Dx3Al>gOL|0095slh@fAI;kCW<`3oqs!3Y% zONn)dguvFB@%j!6K>2&B#Wk7aUYPQyAoc6lpYIFzA7|rIUhK?#JD>o;W(ZPAAop2E zlfu6eRT~?-fGw>3l@Z`^dkCihkpYis;TzaP8<3x_ZYw>K`Im9OzsHc#&YD!;QlCG7 z=TRHL7=9N>EXPksKtg*ylqP);M``UKzaiDaD)fASGot#& zLsc~>=Ka_o=0|m&WO-O{lsv2iTDC27A}4Y-!7SkrA2RS(sRc|2da-a#aBoicCn>5$ zoD)rtGAP1rwYM4CL;<>{Fjyov1j3!|1qB5QD8;mQ(BX~=|4z*K774SMVP%}Gxf>Fl8zEjvh}e|4==ts>L7wn85CcB;3)L zG3kF8#Wh1oXa`s5oqSbSs9DNYwzepldZWAWRKd_SjCT~RYJ@p(*NZ7!-8(@wD|8Ta z>uPMWWSvGiC;~{iFA#ST_<8UBS_*psIi5>&Sko@9Vo}O2O5~`{Xn|B=#JqxR!*Htc zk*C(*4qLa%Xs8VhCt3|4^KN2~e&1VMAOQgGN_kibZL4wtuHIaZWC4tnxO#N-o@9P+ ze$4|~9EIJDfTfES{ks?iQEUqS;tUz!g8E3bC9%N;(e$jW#00gjy#*#Jn;~SsY*BLA zIYv{Hknvy)<&VWO!aGJON35-JCSvG2&}Ef8T37WuY08eIgd`YT<>WPgh@gBY!yJJy zhwO35-BQOx7d-&$YN*k`mIhBk74T#WayIn`Jw# z_h@L`wU~u^#IJ1fVcDQ!zTjlk z>na#5BwJnP5tE73xsK*uGTV1pHX=J_ST-^{TjoQIM8J#o#d3`blVu^`?z!^MJi^8D z4dASgJhokIdO;O%cRU~)o4qGvc=D^!=6F`m0Y(o|3K9%>=$Nl}Edy3n%;u}~+oS%R z;XA4BEIK>_^R{EE-7q(&BJ{j$tP%9Q;)J@Z5M?FWw*#cvM=)Q$g_LBaM|)uEP;DTz znw$*bUriq3zfMgyvSMNya0VnxSY0;v=z8`W7_6ZZN2v-yuV;{#Y`-0C(#hHknpmON zSDG!#$?mYh0P&ZlKY(w<=9~Do(~|@Ep~?6LI>H4~u}Mw66qbW=6fF3q+lxEPU0n@? zvj||9v47I-y5H*HLDP4Q+itag8;~*|je##Ygq$(~BxaUO^Oc{?Y$a5ooRJTjnOyFb zom}aa-GzAT$u`SU{TGbPWW(iPkh~xHHx8|B4OwNDel6k!Pl~ROIf?g}3-};Kf}#->?8dPi@{y8XxpEEDTU|G?&md9RFHq zfRw=Wgx|2)KIaJYmN1IT*Uzu$H-Tk_*a%A`7yG8Qnv54i6{WC$N6MyiRq>~F>z2%H zo$Lx&PDAW6$I|3%wIS8S1h5JKM5K71?+|+&&>O0hcHguRIiXxbd(j4Et|0ehYgow@ z@_3eziJ7tKe}1;j>dx94*6j1$5VzCE`CIHQ=D5mTeV=a^2mTZM;f>##<`#Cd9eI9y z>M_C^MBfad`vK2(nc&~du761#;;dAF{wkJRj%%bX;LqH&$xB+am&3wtZcES~3d)ze zJ3DPNhcjQ_5oz&${Jz3mWubq(xnnh5Op#onNMmje89#m7n(3B({uxZeFqwtuX&ZcJ zi2>3f)?w1&9rx~jH`+Gp-PbnuPBB1dNC(heD{nhcwJ8Z0e+0~ylz+Olyf^cM`_J?K zZm>!|T6LZ>;W6G?;cIW9m?7~tfPOg?J@~-5s2+PGC{Jz-*mU{I!e59 zyuOV)b1g38r$McEVd=h;PHbZyi9>jswped(G4NvtZi_^(AvV8P8m=lSKfjZs4kS~=* z(bB^CeBY$5Y;T!x9e}MB#GB~bNxIweV0;T@X4Nz=zCrnI=|0iATmNIsC(x)XZ=W?! zIQ~))$e#fHdv*KE7pEoB#@1JFpLg2i|5c%ZHz)&fMlBq_W9_ID9sO`e8A~rTbBsq) zZT`d7qkuvTVl-Nd;i>_DXRZL33*+jw)d7C?Cws>sDTw;PGCwsw66ZHaqPJ@=@y&5L z>4KF9EA0m_utEhjX*}>jHpkY8VA7=(z@LXONRdW%~zc6 zS5otp+dBfzTId}`l%y{QoFlSGn9Plrzuvsxd6!dFbLu+Q!KBmW=}_bF_bmJSawp-$cO^89GH$V%Dj861c+~WBLw3pn#5n&FDR6@edf);uz2Idc%5kU}~-=ggEM7l;f=|N*p zm`=D(N4hqf#yBaB?-WQ)zibq`XRnOMC`w5df7ZK|^hCgfKHkl~!3}Z@Wn1@oHn2S) zvzQTfC3~FYQk0;I%*5aocGah4&jjYefn5?f;hotWcYLE2@;Z57zd9Zzop0t%lh)7- z6GOB|Xq!$OFPaA1RlI=Sf>nS~hKU|02ITqhD0r=4p>?zPLG5?9R>0oxR<)2Mi(6p4 zse29lp&AoTJR$oW698L%hgkI{c!*l-i1N*1S-n*UBeGw$m6+Ux%g2s69jd$PA*a!p z-z9uv&f4YooA9sMwx0xHvJhwh+>>f!iC%hpJQYGB8Ara}2Ybrg;=1QH%tQW+4zj~L zIFyyg1hIlo--#wxt_e9?CC1~7VpYK(Tb=9+ziL9>vye{2y2EwqR3JO+s*Qhe1?BMB z*%C~h-YUdxuil%)m937%s|`8~2smK%R48AHHe*Mdxgo$*bLc-ACVu6G7LpFm)9xx& zByO_sFq)Ri)CWqwJx^_{-fKxO&nG zGB=g_hu5P~HY>|`VYj3Vx`%Bnrb;`X*7$?fQ>Km%Q(f&;DS zv*lCseup8tp}QtSS0O1o-XDIig3hz+z9U@69&^p8OUluS04RMX(o%Z|5J5V(IWh)+ z5q$Fqz3338jhk=i6PO-LU{YALL;x1HdlaRW)CP4z?74Y*#{D z2qeK5Fxfd07ITG^HPpx#8;Ie@-;7>5b#RPn?4n4ckXp?_T=TA0{^5)Lykpsor?C+7 zQ!NWf>~SxxTP&%K8cJWxew51Exb(yqg+jKb-dbwHgykq+`KW_3h=#_Q3nW2-3LoIs`d zxrKqL;3@MHfyf!Kfp6M+p+!u}p_fA@_2UQRA$PHA8DJ*MG&=LJhQ(G`=??&dMr|xk zdRyVF2KYgpbKEoxF7ez;(?rpdcjm1>HrLajYtk?#qD|6u>k9$1q@%(C*&4fgSos9F zO44rhA}hI=i;4+9yGkz6mwX7NmEwSok?(D53PkD){q+>VGTBN+JpTRF&lP}ye6yUD z@nTMQfX;<=cs@JqDVbI}cju=2gP`|SGl~PaSq7KsI_3Asvg6aIN)jSSbVKK7+ihdb zvpGz#LWYWL|R~2_~qd0^N)|LdJW1W zLn4W`c|{{XayHV0~58QO)rq~jmZFZbiXr_@L`UE3^9%DgyU!YM9p&!hg% zssBB!R>3VFpD6_$>Er)PYdb~r)!ulh~&H7bo#VYZ5Bx5DotED&HXEVGYHR#jbySE!rvP6*DH))#RQB=Em~!enw}1b zK_AzUT?ky?2m$eG-s)|>(yPHG{V&a&!A)=mSVR^9(_)K>J|1sn23_)eiTC)}SkhJA zpM#{|^oF2bzO&H!_(9nb8J z*r842x`$d>E}>uLFl{LEWP~CSYy<*%&y_6pBeo1LT|p;}mc>ENH>FkP)o4Z3VB|ST zu(?j9b{*BSKhKN9SxWZS?-Y7;{aP5pl9jzD>|?ODyRJa+vzEj#ezCJBX-X673f(ZLj%I2JlRiL_jBJbbYw z7ET65&B|hK<%@fkgg(cAFwpE!A3I4aT~gumGk*7u|A^V;wU9>Vq8&T^VLh$20eSDB zG>v!d+NC(p>IeTr8G)N-#I4q13j_-O0lF@0Xb@=`%iJNLF+W8qFw0hX%w>5=n!AUu zO4OqV=M2e5a)%XxmtpAZt=5f4`D?n#R23$0S<1Ziu&2*V=pTPcIBoOhDGcEEfinm;r{MI(#wd$tfYjb0ocD?YXUU`>e%H%P365{*N zfk@N)N9Kx$&HU$qPWAtGpoi-TnVo(~#aYEtRVO;*Cms~Rq%yMdeJnYRrNv+*c5$Is zfh}xhcsA@e$b!LEDtLQ7dw7lnxT3YV-`5=^yN3utT(Qu7l8@%#*uc55LLin{Qqln3 zM0L2ND~h9T8LnL!2ttOFxOx8^ACEB-`|?XluQ%?4T%o7i47Y4Gqh@vmTbz;<-Ni}q<8 z$Bsn7UoAeTxhJ_90;bZ;cG8&_9gEgl9Ikg-ey9jys3G4*oi~5?I^lzIvkLfKb z^Y8eoXR-RqD>}I-H)QU0|eqpVoz&%Y~l>NmM=lOfT#w zCcR3XH~f8l9RI*XjDwyJw-2=nKllE;y;ZyEV;9!g>SZ>m2A<1~=ZOy@4-EuFg^27M zo@0)!Q#mOySv`o2e7<+;b;@?SzxR+bzA4=A3=~rsBOdj#)cr$i0vBPf>KvP))-hOH z2i(45ggV`)R7V>8HRA$|Q@`G3@%FHZ0NKkpkr8|}+AC}@7tVA3*?f^rIDs8*bOQwdTz6vL2@M1)b8EbYuDjjMye%8+ErHD~w zgc-@`TVHl5Cl#SOF)pJl2hp7~vzDe38sYa^62Q^A#UYtFxRcc(O)^w@mzf9RIuv~* zDf`d4q`5}ST*znT0H9X8#7EJM`_eL@n=d7+-`%<4KJK?WO zv?ybz*|nGytf?QwlS{E9Y)pbE^mxKXke|TY%J7xCi_|=f($=P+$<3xa32YhPohSw$ zI#BQ*wBxP%n#mswzEK_vG`{z8J(*|A5O7I{A7(3=_9EevtM%Q{p2iTUVjt9F96Rxx z$M#@C_Uk@07EsFb)CK9Iq#wvjYeu}5AE-94WRJq=KuMn>P}UsDBOJ@P>yYrAGLh|T zo9Q9pHK7Z`fkdMh@EG^(y`S%7AP31}Ycvmk>qwUi;W|~VOKkduGMYjUKmuubY2;7R zdW%L{0yf_0%(C9r_Y`qAkum;v#Xau%%!c((f~%@X02&6o2EDL^)VW%WQ9NH`KBzZC zhb%-sJ!BYtFDSkm6v3u?P$WlWDWi3pF$^RUs5vq)PYe%szYfLn%_GWY>`{*bjrA8t zpr$}!vXtpT(IE@yc#f&5c&)kx%4WlUE@X@x->$t@Dob#U%jO$+Fl^Go=^T}xR+eq! zk;5;~au&0C3~^Oa%I_z^7s`*!lqt|tIYDRg(>vu^fc9=OrZ7ahpCMtVYRPAPU(NgO z9&CurY|)*Hr0^i68C;m)ob+z!?GCwyS58$zQa&*wDfD}6WSz`QZ=hWz;j(>(at4X~ za<6H+4S@FgwO3Tmzy4HRoqd+6X@Hf0GQ`|xf#UdR&jb66lr@B2n0=?)&s^s`FKWL3ZeP7d1*x9@*R4@o( zZcwnplZNY+=3W^RxuNr~j_h}K#V2L)SBgMZgBebV(TP2Th;aBsA(2=6Rv|VN;-Mh-Liki z28Cm#lkLtSkHX8w$zmkdo5LcH@)7N(O17~>E5SDfKk_G-8%p2HEj8P!!`y{zemFMl z<#`Pnc4e`xjaRaAUC5@;0J}Rv`as6Gs+M)6w7Lr`zU0Oa#l?V)db7NvYbh$H+uENTxx3xtZ`-bOv zR1q^s)lHZc-%7sHajdcX8xw`3y=kZ-2HW-PHRZf3@&B``g9%ceEPq|d%_M5M6vh7@ zO7CZkSGic(8$Tv+BH(ft;aKFI8mY%inX8@J^_8#o^{G!$QML$=GNT*?kI@SuZwK;M zpemp0CA3x8$kh=VU3zChOG8ypk?;LyV}?a&aT8NKkGBi+uZC=9`~pVC5A?5}$f!=@cgcc_6-0;-vW_YB2kcJ?uu&?taqNnlCJS4|gHk6S~ z*68`k^6Upz0(k44KNW`tYeQ`8)+V_ zJYRPAvIk~$rABC~4-QSKv`h`WtOk&b<0yw}Cm`qY0wu2n&T+Q1y-(St%v3V8yO3Doc!}~@w3k9dP z!q+~m>YkT-UY6S3X%(txr?pKuJVFw|^81Fzf_}o~RE~I>owVkO#DG$KG<1l0yy0^H z1JHG$MLAqUuYz5o64Ud-W6TiGlAEXfDopBp6==+hHa(p!PAY#moDNeL`yp{|E!wrx z`wH7}iiYIOs+XLEMt+z%RKE-wS`Bn5!IyPOE)1Z5C}asZQJ%~zf8~{S9(mt3(tWGt z^7UT_3at0Pmx#mvED@CpVsg3lP`wJPK^r?=^W{92)5~47Ws(9eHV)|%`)!r&n#v|> zWAC8KH^BcP2~%oDA;*Ko#_E;Ods=zt$&9LQgNT3ni^ zWa8#oJP1fP;)I8$*eZj1jRd~@)d;-m{w1T<1OBK#LP7WwiN~2Q&&rB1L0*P6%2EPR z%GV>Dd<%otDFn*SQ!cQtQ2g4PlW4}v%+{|bkfJpMB{S-4k;ZQU>=Rjsxa{ZN?~|0* zC7!%kCXdp}-3#W;JLG`8_SHpay3zZll>+_y^|gD0_sDQT_3KW1U5^ljkXJPVx>wnd z5paa+?-6v^as{ud)3p&U=K)SkYNQ!xL)nEHyOD+6%^WRHca0)KCp{wJI1w%9W$665 zY)wCZh$XBQK3L#c4?cAQ#dZvx(3IFOyo{pi%BJzds^S@!#ZYBa+D65F!t!@7Hjj`=+0@Q~$Z_o^0%D5q1rS`&#S@5z)*_8am)1`!uYQA^QsP*%LpcdM0H1c#p!3d z@)_uRJ}HWbe7YK>R&8}KKKp9Z}e(?(~=^+P>Zj6l_$dSqJhstDJ`C~{2oevg94G4!$>$7>(3+E{Z7J_%1k}F5dJyYt*wM^O2a%^!m>YYz zUtlgcm)(6W6_CDSV!YJB;rQg%`q+c#dCV86cn7#rLnP0^3^nRxRar* z&!|d{o8kN(<$9j+EILW3tvC@xj9klvMFaTBZbDNtUo?xc-e)E?Zae4#|lR zFqgm2`K?UJlqYcUE@XLuc*SWfwQ|%?5Pco~1g;Cw({uH2oX#we z_oAf4f?jMND410^57)IAFPf#IV@iy*PSOmq=RI%67`otf;c>kYO{9-~2IW)f8C5<@ zL*DQIp|?_F`MH#vIPDA>nOxnkJ+|G^=mJS1HeT#x&#Z7?kt-xcfiW*kScB6*zTh#1 zDPR9$U;A*lS>gAa9|ixq(Www#P1$We_bvIy9zoY*DfG{o>0cF^@>WY`8^?eujej)# zl4M*s?4KDDxwd>B+AMCQsy#mld%{S$r zZ<*~?7+xYs_&q5Y;?N{#ff?VljVPt(D8Ymbf2~$+XO2*kHII%9=|S^4#Up zbRzqGhLE2C^})%;CxN{9Mj^Eh||3JLjHT#(5uy{FZVp9 zmlYw;?pqtQh=b*?J8wCnzavhsuh!RFw{8%=XIFN|tA{f-*ABje_X)~gm0#}XWDJ~s z_1l5b`R(?OUR-1;VbYzRIw`jV&y9jQ$s%lfzRbMlGjDKj$l;i0=t0V!AwXcow_- z%Y7dHUXu2XEtCo`q3Cmb^$72JW~z*%Ibwux>u2lx&c{_U4SUlR2V*?6l}iMoI1bb` zIf9FXVOfkQhvH#vaC-b&!E)){cO+W`%Z`ZD7(&S>1(yWf6ynD|5EDX!6nDkncx7R167?s6Wtq3!`RyG*X4vPYU6Gp~WYG8!jz( zOtQ2;R!k2QBggAchosmpX1rWw3BDwE9fZq-V^h>v&%8#@NKZ-oT-qS>%fy+WHA)Z` z`9z@;{+X)zk6+6l93Cq&_V5hITD*GP`1`c(Vpk`k*N@SMYnh?Zlg^p#C9b_t zdNo9DZsA<4U^M7i5-^r@Yayr_LcMbjLjy4^l`l!swivTuF=b?B|>M^en z=KGY0E#_!)o6*4!xB-slBZCe&_<@qqQUyN>CmeMKb_a&MM_wlY(tnt;of^mKQ5$q|@mhUlm-rE-#VberURPE66=CZ~Rt2D|j$>Ef zS+nxBRrIUR+aw)&0er7II3=7;wMo+nh>{AccmU6m+-t`bD3>#c>HQIE9_l<9PouNmChEMD7?)Xb1U}Gcx6<3F)%QsNc z50*!HFhWL4k}*qXqwY^gh(MncPOs~Tx z*c3d$UV)1l=(${@;Om9r8TzrDb~5Y|%;!~tE$+oM#@2#(n^&5Ju9jpKrYLfR(+_WICY>@LvSVsFWNRE?-jm4Gw|(RaBmTJoe*dnXe5C(duM2A%c3y8{#hInU zuCgGdBGePW+z5ayJ&ra0;26By+H5_EwxGmfz)+ZRTR{tIFLr*c4~3 zxxL06%`2Qa5_w{L2Ldl_g$=y7i=2g~{;F_-lv=0Rz?f(c4H(IG@D6JL-j@^aJeG+y zU@q&Uh_70AFvX0$ey>Eeg}EChFv^BV-Bj@{ps4xh=uL)ipD?*{Ow8E+6-OG8TWO=Dw+5I&Q0It zSt>-%$(#bg(d>1L@Gm`A;9Z?c&)bXQ&D#$4j*?&YF7Dc4I!ld2Z*$WQ87C9d=n~XF zDa8)2c#4`U%hmGJc=CgtG%m&oX5r!Z!@EV$$&c?}#Q(V#upq4exuF3))hW*ZWynxK zcGi&Ox|-~chC&#wsP!nH2x1OWe?mu*AaOKaiRe@b=|zPIt>F9Ce6^*TF$c=#iugly z*Td^zwk^FsZEg%NHFPZ^1Tkl*p5~l>eR_h?`QzfoMT|y+9;I5=@!euO6Cd=6@VH@5|UXsZ4+^x`M|790ya27W|?Go zeZ&^K2Q7!NGT&=+4Gfc^p(yI4d$8CL2da1OJIwxB)R)(fX+v}Y$wORfT%mKY`Z_S&AY7r7+Ft{lvF$09 zYp$9ut7-a1rEH9O@mrq>DRV!P0RE6e;COD_8aYRu_)q?7nZ&>kdj&OE%#;XfmILXD z)?Tl?N~d0AMwe|&t^8bW_h@?KfLnV?qA_f-kB+a2I1FN&HS!`6?l434!A*|lo?IlK zghn3BCFYsUG430B1?IeRs=wW+qT>f@eBra$dlT#n(<$ZukgLQCUVre5JVp6W2g-lx zL;(kinQzKm5(0*VAmg!3s59fQxnmieD__iTf#3J+4$A6#DSA$x`Xkn*J2n;9<->6O;U(1|ei%7B4w>5&d0JC$DPsP0gHK z%&R)3kZ{1AG{7{@v<_6?)i3S8)@E%oSBfi^yYX=vyQQhlB&*rw-;|ENI(u*jwfXYl z{1GzzwA95R+GW7J@Y|#1`L;*DY%r9agN%dCTNA^RFs=8_m^_ zQ0E=`|1kEIVNnKbx+>k$Al(cgjewMNN_WE$Dh<-zF!V4q0wUcdA>9q4q#!wf(nB}r z)o;Ju@9dtlyYCM!esaw)&pgkaur^%|kyPoG4u4s6>t*@d_-(7k3)|j5D1#|*khKji zOy=Ve5!c3l)vYk5+ps%6IbKsd=^{RWwtWBu!N^ICCNG*TiD}sG3F(-G>n-nWg0~i;3$U^j)oD&%xs4r_1Si}d_!G4 zwX(8*pVws(KMG3PzipXbwUAcGFk6-UdM~t=Lj55%Pq{UmSJJMNnmx>H*eukiTJu%_ z>+Bs)|HHv&PwwB*mZyp>7zuVQL8g1hCLx!}`PSSAlt4Z@>i zcx+C+Zm)MI%i8^9eo&m>fY#}0{?ZJ(@c(XjQ=U#*6@k$0m)lwx(O(})Hi|y-B8ioJ zM2H=A)V**ThqqyTmV|eSOk>Z!DDO@lD#(19IQa-QROGZ$@(z{Y4G*BN*-7ZuMm5+d z?q>i*@f>!~p*65ac8Ilt4g5hsxpv%ST*>C>N~tMW1UXtSSH1`{*n&P!SXotw#?*2(1eqkFi(&L>GPGE<2<4l`X!_|;K|gR(MV2nExS?dQ z_0D8UgCxT}K7WEd`P}?C9f+N9$6xk20pISgD;F-Ae%bX_x$$A+`2PH8?~Hb`YD6KW z6WxGa@r7PwhWm%d^i>`MK~UrGX)^+6D4&6r;CJGzx^VmPwm_%W{TCQMfZ%m=i>m;h zCyx8YyoJZ$Yq=g5Mp4!gk+0R6CB9~Sbc(@jOBv&&hD?l#jjqter!bfuM~^35?05yg zQ{ZY~@Uu-zN?E4>#oTJ4UYwl|LV`=K6?b11NE-^&VjSuSLI)9dYTf=u7p0;rL>Q{C zYRFsD6d?~ZFP?T6NJMW-@`~qXjd{~PHWzi%gDwv&DC{w>+rU`WV$1& zs&vjy=E>pnP7P6NeYqU$@1kF8C1LaWXWVbXC)_^2Nc=8lo-VmnyS%QxTr9lYnyIcS zKBR8eYmrsR$V#>Y`c+nP*jDuV=Yg&k83w|{(>Q-MTvvea{3jPKb;dM7@gLRzKgz^^ zNICx^yTg+6L^?;A&fJ1-v=w~F)q4GxhVB%*PrB`d{jcA*3<`Zsbx%Q>GGK|S|B%JM zp?8|#p5dM}x4mh|1wOr;swrO3fSQC<04w3 zTxOqg_8_pLl+UDg=IH2#?aZCN2ot+{T#-E|W2}q_sMiStYK*Lzi z0yXog5H7m*#-xy(54s<_?Rs4v_8pEUJH=u@J`TIuYFXkGkdm@8++wMLt`iF3PtLc#GqI3e)yn4U$i$HAnf4~ z(|h0L{Qlq@mgmotr<|>D=4syo@}hwHN0Q+Dq~?YAFa?Syp_V)rJvM$<2qFWGm~xkP zJ*NT{UEiYpJI{gD&u+p*ISyC4HcbW+Y{C%fdw`E->^#)p$u!G@YH>|{ zo{q>DvKDvN^TqJ<3Pi1io(ToUOJ2J8R17n1OULy9zQBeFdd;p*shG$vXs@3zTWM5k z=S>6LizNqR7sJ*5?PojI$S!?hTF!!@}Y9*z>N;^*SUm;4H zz7EY@Wz7v1w#id^kXnAy3YQ-)hF3>%f3EhTfD-2(EK>3{?heKBe}+G*;Shnr0t7 zO;iR?@8)|yzTEm=>b21l0ALvW97bLW4!N4vrn(#4)DdMm9$_i}5E^BY z3Mr7r4U=WGScA5|xi~R4Sb&zlasB*jr1|L7p<(?S#+#27F8ktMXZ^E4U?q#o=yO3> zlOUexQP9#{o8Uk8YuC?zX*vLvxcYyf5>_6+zDiOnTzv(WWjhRxBf3nX#cmw{mf(K$ zy~;!c5!cOtYL{MPeH1FR*umok4w2MF^(CLTP!D;`j%up1VkN8O*~rM=GNN+}^D;<+ zMk}K#Fo6sd6H``rqLd`VZ#{CZ_xaC^FhL0?z*?A8@$SofjSG?z zBmn>t4*hR7A=+=zzA=`t5B^Mq#4-YfaGh*8*tuO+x<4#Y=^(2rqg~m*Tfct!Ei@hA zP5bhp@lo(&o)H$zR}jXdQFDHz5o~oWbIYGr0)9gx?lgU5UAhAoHZ?*_3&F%OcpvW) zlr@@kC6+u0kz&)<^Rc*OKFF{1?KRe$XKWH`{=g2#>ZdAZ<1H=AIE#KvKQT0xhl`}8 zqLdkG`*$ACR++G0JYTdzy(Fidl^Pj|8>XYq3Jzqp8S4(lZn!vHc|Zr+OJkPa7})a2 z0GC}{Siw3k`I45R)HgUm<3=`X(2`1rvSA|Qt!LXxU0W2ij=+At;j#M;xnk+AQyaBO ztGk%gdwEmw^$SR=Ri|jxI{)#@ge)PmtG0(r#pz_8YC$!d3H30V@YQvRMfLf{HsdN<`o~^=r9)Ufm&Dd z+9JZG9^|#NMspPSa9s^sbkJJ~Guv(IUk>(NGu@A1`4&pq#Kxlf?BhsTU9gGmkNGsl zF*rV<=pJ7E;c#E{>&_L`E|tlWn0 zgeP_pms(W+2gdaP#<5qz)u1-}jlX*ad1rngk%NY_OlMWg`Lp-?GsL>B#6wS(M3$m* ziMYJ`8>HVxJgQ@y94gnTGy6_f4Tn1|br>rMZ;}DEgS&nMyIMu}=(8u(Vm=BKN-OgL zwe6Pv^ePC@MtrPXn9SVh(kNqe}kjp%F^wYw=A+ zl<;uVHIC#%h_ct6zUPSc&CWo+u;gXirpoQ%y_xec$^c)Fz}y{8!0x5kI+VVji;<|e zr3ZJgPP-YefMF#iCSMAi%gmC4nf6U6Ac~cpy)Wm3#^wHvuoqycx!lb1M*oqANjwa(5-0hCU`7z4=?^pR%K8vfnbq@kt=PNdVuZHsT*YjzaQ`E%n za)6t^k`MRwyM%tbN%y{eK^LiIhMQl0FTgk5zFL38Wp(s=c7Np!Z{B1FsykH4y$(Li z1XK=xo@{yUa2R$KZ&!Da%4-76W6AUm9$IyP#l$w{Ha|5zxv25q_JCd5=#9H=b`x*^ z5idaFK%-jbcs0Ea?iAhQerAac>pbZ)9KZrQp(b;C>V?tB`^%6M4o(!9BxNlGtw7pj zd3Wty-|)(vk%tTBdK0SMZeujueR=-G0|ka=(S9YLW_0Dk=O;CSVymh!d}5WCtT@Jx zuX!*afB5=UyIw?|-3?QnWePs*xggclPivrdbdmmBqvXcUsQm+%#>Y9ND~Px6`- zE-37DuE8ceFr41~c?&aRPdOFPk_T&qHsyDhGdORb<+A3q8xe4O{`6vh*>H;^sTGn~ z&xe-djK@pJx8~6-`<0D77;0OdWH%oC#^yK*gZeyj;lfG+n1}ynWh=u}Z2Z7-^x5gpU+(etJy`i|NO#4@~Hy2era{AP#u;gVR^ z=xk?}u(PW98uR_{pD45M%h6Gm7MG%^2xGzwFrZ+t=y$E1Brh}T8-cjmR|8mAN|LB7 z!q$%Q2whBGvMlz5G~TV9`nKEND`WXDNI!kc8rL$*`vq}lS86Omr&)T4j?)1L+s*`D3lyFlRj6`8MN!M_|tEu+-84B%`#tZEI zR&saKXOOIF`y#(D#MGiDEIUrPtPl0kgedS7AnUEPrO1C*AnU!QyOgjj;rF_=57OVQh1Mjdh+h@pHx9z13 z8m=A6UdG5&!v@c-KavT)XYlbfi7+)uvwSs4Og3+~N!|pon-*hV_W@<*^B;cGNS-Ta z&9ID4fp^miSfIO+zsgbQSrIcjXhFhyC3L&zCTTG}+orM8cJwbykQ@#JA|<1jb~);8 zDl_Sh)M-Z`BZ)Mr2?cKzdqQU`b@4T!44@*>Wg+t86m-s%l#$>Y72`SlerXYIkb4>vux$rb~d6Y>SMpV|$)>n~r6#b-!j`-MGwDcy^E& zTv4Eio95u}4aC+6gV+X#)%O%8cw6GDnR$8ZxC3V&H#qVVKeTW7ZhmiHpCi_L!0Bwb z!9)}#YPzEx_tar?imggH>N5j^Th_6oyn;)Gs;V+_@7MWczMk?M7c@WxS@p_<{L$m` zA5QqQ6=q?i@5DeIVlFGa>C2wHu6Q=jk_ifk7+B~DyD7jtzPGriyR}cmS~+y8N7*-f zzJHeV*HspOKfU_=vVGr6^y0n$<{Zj;*4uv?>B9fkW8eRmAqi*|k_AznR4C~R+Aa^@ z%+`^60PXW{4L~Ejqlwh%ob)ogB=7h3L&nfak z!s1ryYI*UPR!#%#A!8MO&mlY{lo%YAf-x^-#V`;kLCw%f6HQF0ODisFiVO>93LiS5 z{J<~9E;Yg{tsv|v_ek7990$jYCT`h8mrj&lHl+j~`8n{q-3{Qw2{lzAq1K-_V*$Y3 z#(W#oCr9k-GlYjA!y({Sq;9^@ z+SLRUvinVEmEXK5zO#Fh5q#2KFFI?WeeVUZK*DbJZw-RKG@2r#G38&-lz%;n2 z&af2RUQjiC9G#@XuN8QLQj0Pq0zXwm{Ty=1}x!VHp@2lE`E6GC znmj*_u%Ch)OB6&`2)aM&$0HXJSaTNsS{z8T{#WItK7Vdvf8vwB#j#}mDZOwb@^ ze{Ss$(meway4mhY0g`z2L%>i1VE9nNpUy8Geo5r9IJTTI_mc+>q8&E9>WUX*9p;d8 zQK9=b`^=i42}G`emW+>|K~F+%Dn>%Ho0!78VY!$7!kv2Ar@V@-zEi0sL#`GDEuZl3 z2XAoWUEm*Qpl&CS*QaDQeiMIoTylQFL0*j^xnh@@2)z(b7(d?`o;An z)Ul<$)^{Ge5z~fuq6T3w()-QFh}|-~``|{2!0@9ebwtcj^Ncr3iHA)wRcawf!Neh$ zosp^~ql`xD7ygOF#PBn{+*EuS6zaYCXYqd=2T~)sojEaGKZonakBPAmJ<4)M#|=Kz znPUDVuHRiB*@2c8`4a2&O(s7pM&YW+S%s~CW3}G-%nK}gG4U$0WlUJ9R)VwDi_w#d zexI6$e}$$G?7s9qwrcs`oX7yt=M6p@=mTCFCRrv{6^=0X3}V0u%&4G*Z?2k(|4Pg> zC`Fg^O+^iTVM?@!auL4y^K%sanMP=v_Ji94(WT3#@`NL)02^k~CkA}6=g&Y!nu$q% zesq>sF6CMZ7+MM~Xf%RZVb|5T@(J;yPbp!mgfCL_YdFk8+KDtX#r0x#Cy%kQ)a5wq0klZi*naxcMVK6PcY6Xzg2!5!QIxZTj_5L_Cg(%}GKiVAn zU`|l+$f9FENWN0RoBK619=d16o4izfse7+?+bhFpE3cOQAu8R4CyJ6hddMXy%8Ur_ zMzS>tok`6lNUa~7i$YiU0D-mN>&K&3$GzGuBD7}uh28HNQB$jL6EmqW0ZS46jl|-R z$jcuNEB+PQ87%=>9BxXoAc-aaf-}CG&>MJoO+b2OQ`vr#Bl5N0Q;)I4M|X!)_8{1p zaa>vI8~rDhx^F2G9rvo%gF=KhxH5dn@_)wg-^b+(cb&sF+W%7oYNRmin|!hUdCoM( zWB-Su34eFpdBTQv6JiNtz(F36cey8T0=jS@_bNW>KL%5q|DXrIe>LddV`{~!e~kL4 zFD(-tO5ud26^fOj{c~$;%xnu)tJeCs`OIRds}RNxxNNF&p?{oeiNK9iZ|vbhKT&fEK*5GUgn=aW>WFj&B#;K_&B7*~|%TiP4N z12LDO^h5{~gO&sqZU{(88Eg6sK~Fyx68*_@y`DttXpCWHtu9bB42!FoNKN7ldmxUA zWxo|;z)iT+XaquppUAsBcDx}zPUg}N{t%nttzm13YNNIot^0Z)-?37X?3*nTlt4&* z8FG*01Hx@VNxR)3Xt*vQJ`dlMeyag$BRU>al?!1@X!w8&Z=Go=^`C;w2)m-x@;Pi_ zX2#Mv{b-Z?-2b|e>exwdKIa|X=GyVsKhxi;U3d<#AMCDnhi?9;J$T``bP3=i0PJ^O z`Z5mi3?2$-0P4Dl^PTeQj-C`f6VN`_jmG0vBz2fU7;u^vaK26vC1#;z+8!3l1S66p z(%2Y^leAcStnL$**0B*Tyly525U1Ci?qtS0?(8{SntrgPNR)cg)lJg44)McK3_@mY z&Vp0&JLvFJBE6*6Aq&8M2yd>^PlL;p^L9j>mFgo%_56zxT^D-HZ?E^I+Riium)?(; zH?MN(tY-5s$_IK@_7m_*nLW;bm;Yj zl%hefWeYtl&!@Rzj0M7EX~{74QCehXdD%#&^qY-dA0Z*6nWwR^u?3{X{%=qIMmdaRb{|tKZzJg6&P) z$*&syFlv72&c{C5rEW#Wkq!H(@}z*sn_GQIPl69AgGe5deiWnzxPX=w{33*8RTSyu zZIqaKlT%6`TFQv}Gbip;_2=~QCD^GYdqZmq=Sb|So5{PCLoE{O878yPoIW;vdsT`Y zgjFW5FicDvqnvKe8Qhx`UrIQar?JoJwe!&l@pe=)UBZ_lpZ>YCO>@$|MyJa*t=RnA z%e)B_02=0Gqfujd``bzGNj>`S-x>O!`H>|8#A&!hXNmRhl7st{k1k@k`h1^LSZ-9E zv2t+AxG3@1$2de$k+KKd8qg)*m)N;81H+H!t33CsK1Ot;lD$SZR4cwmfCpIPr2A2O z2J05B0Olv)=y&Ar#GHv2Ns9*4n23Q743gpG;rl+vRA# z^BJRc;81F>O265}am_%jWBZruc5S(a!tXQQ41Mg0i7yh|1XKYTQQI^RkE=V6M0{>} zuv!}KE z(mr}_*pMjBa+8z&2Cfa|`LoIa&$ghp#CBWoA?(i``;PH)toV=gczE(Jw5&Gkbs+Pa zMAPwS2QkjF1MS=~L>Z{RbqJ6@y?#R!2FeX_Wh~kfg~Rlj`;(xZmc|V|;#V!?Xaz&T z>>9k{Lo0mM!?%p#v_)je-l`A|hgN$6=}jxQr5D@gxW~Q6_)Q?(pB=jqi%!i{q<44p z{EpxB57g>a*oid(zZ8nvTM-&gW(}CKj*y0-;(vU+f~aAHimU=|QBq z_sE{hw`}h^5g0|U4N87^u0UX{Nv?VGVdWA7vGMr$Z+*PJP%dh{tC%)eK&V;~<*VOz zW7rg{{fH=XmtXx{d}FD8cve$rQ+}c}_|@W$h~_7oR8A_9X2f+E%)%7lb);_lgoHd_ zs;3XW?5g8uJB**lvC;YX|8)(O zbT0xRyDjC1M2m75j8Iix;cXPDFzE5%6eXt8z`FO%RPmxzo7RoqlH1Lq*ZP|0*Zl;G zsMshSQ*yAu@o1ZCPBx{uhhIg-uVFWa!S52T_oeWwV=P=OkYF`lcT=uvuX4ggk7w?9 z&wV?nCwzC7OSaNbE<^03r#`*)J*Q|mHB4XG+~}SB-Y<8hPtFHsI0Bv=90zl)DoC6KsmTvPr@SkU$a zVIj$%#_^>eKTgBD?9+&DdiMgjKp7dCSDWHH*S^_t60a=#UB9j%>yQegTBhYP4(2pi zfINA{{Oomb0Wup1R?>G#g%q8`D;?Cm!e=3mpeBqW(lQh@V0tvHQ^4Aj_b7~+T^H4c zo`oMRT7-b7{E)*>;|8nk;oA+_SC>a27bu_d68S1pdN#xiSG!l){7b0mtT~lNW6j&g zx4Mo#5+eFOIc=B)cH-eJ{d|-g$WI22aOg=L*HEZ^ne7#b&JQ;7J_pYX?Z6TqG5RqmIqH(Z^aU=s=*z=71H_4KwzwTDB;@ zTKf?Uw)i>CEG9nJLuUGz)eEnrV>mebn6Y5--~}`oZh-sfcw-jA?9jAspz8RlmhlS4 zE<9?#aguBmuH;(g{M>ss!H!CVatL=qPR<$i2?=W6MOypR?bX(0(XgPtkOSQ}%C|lB zvP`l){_-$i;C?+hiF>Tmzcb(Jfx2F=7BJwhCk83!@_*vgc8c@GBR%q}{$fo%YMr}@ z!W(yHa^6z@wm^t+OzA0&Q1i}vg3NoH3Izj3Lej8OFfIT?otcpbi&Whxm`oaNW-fbQ z;QSIk!ed+@c#M}wl^A-7fuOgyrq58^Z!}AAsrib38pZ-%;S%Rr; zw*EiOJk48#sutM%(wfvHqVa5z-piQVwFyqoMX$yj_32Hpi}=+YkL9~q$q{(a?q|7DU`Mpmkp=0~8uJL<> z?3-Itu>FFMA01P|cpIx;Pr-Z$kJ3TfIzjYB*`aKoet0u&KdeGJB02er?tbU3|2+lQ1! zpwZ&t%5UKxN19pH5V$AMk+9JxdkTixVQ}kVs2d^YMjr~3+yV3<`B3OS(s+cDj>Y@) zL{m@u63(b7R?#gl%H*=$5@~|i6&bUNcyJ=rPnE9NXA%PsN=mWRJySURQ-@gdn|^V# zSPM*!8f%F#+|*=iZ9lCwe}dhFr)1KyPo@|agC-_Roq-(OqO)Z@ybaxUvrSVAF(MWh z+y_vNY9L>2QX*6sYkR2O7;5)YeGrT1}{=d+OJ7(oO=E*epCk+`%$@SXUU{zG3 zm=ng5bRZ=HLxlN2Mn#y38sj@*pOww*TSUYqSd$XE#TZ>uFl_>X6%d{7%mAc+0O>vA zA~5fF>uV;3fglVQ?H=fMQT%wp8+Q!QxZObBK%OL$^NRmNl56V`m*-lNuY`QLCyV*s z&^~%hO;=X=2<;D?(R`weN>$y00=%6|4w`Gt1(z~QA`k9gN_3kLrpUiY+FozqDnwZb zeUsk!)Kh0=*(vDEXfD6Yh*nBzQ8NoL)wTzh&p>{ zC7W$7P5T}@3Rmme*tcdha115ue5f>v)gcED4nWeOhY71cN+EVEWs|~htUpu9M$57e zadvIJ&O$hDcp?&F?t@zon<@N#&VmJI(3g@=hW<>d&sd;1a|uEc5f6L$a$TC$^_Yi` zBL|koz6Q_R5Po>K=Xdlvq|$57HhEnsXmb<%j3p<^*&9YlP(q0karDtG?LVUm9R%Fl z!j`Kf`~h}E2klpUo5?&1YgN9Ea+3ijiRsXwx@=y9BwmY5HeXB;B!70R9J;`G>pf+H zHX9Xw-cp&B`3v}}SUdgSuSMY1(fN2yu#NNoxpEhZUQ>X~W?5!G0_!1MY+SODgKzfv zfchP{Eak4_%UG;g3M^IO{v3da00!)5yu70~^3N}%^7tI-hz7`j z5Sv+x69k9Kpw1_?C4?A-jL4E(7qnRBzNZ%o%-}0li$mo@rNHbPR!Q6bi`<72fOx4nO*7mq)wlV%1a5wiSOj=u(~xFr_Gz{ z5SoS_`s#O{m`Q+f7ckzvx!F|l10r(du$RITLv?5FWj;zr#zTy%^s*724fc1B&#C8Q zptl4>1Ef7)zOl`P_h@D`q|Nnt2COR+-w7vWoMp{H99UTdZG1OYs?_a)l`V4gUuXmG z=L=?Q0&6^X;V}8noiq0T#a*B0poowPH4aA%W2O*90NJV0ZnQ0iqSWQGVu2ciIAMNvP!L0Ql?gwEp=oJS5Q=9x6Ga#A>2IF75)~q*$CVmd$9p@eYUV_3V56 zD%ZB83f!Gj46AXl_Eat*`OPKsylx$ris`YF(_eb)?~(B5aJc>W!#FPU$%??gg_sK^ zbOB?qM02$}(iD>wm+GZ9wXt>Hr#f17cXA{~Y{#eAlsHr`8f`?eZ=N;~OLk;BSPq8U^YF!>0i*O?y_l9~aF z9)mNCf7nS~_>m3-PD3TdARzKpVQLGWq>;aDQhNDRpy?7ul%W0`Mo$HHv8Vs!zi@Nsl;zKk7gH;6=b+>y$q8wa574wb?7 z;Yl2RMT%6mMT-sy<}>kd-+_bD6}6eegdagJ1iEk9>TWt;j#Co-2?i$Al#`RC=Ml!& z;L%8za1u9cU%|v%x_@LEc;t?K=f_H4Bv0$B_Cl1TzZ%jyHp4WmMfBtgm80TVikkyU z&ZZ~a;Mm1S`=z~zgMvX0eVe@Lrg;WKvqu$AkbGZ678R?mpSrej*iXq;#Fb|*79cTL zuO}0CZAQMvI0ye7n!tKD{#XZojfD1Os`P}*>$o)1`6TXQHPy~;1M$!xy?aq)ez5my zo|2Pj+bfAGldhb@t>2EBU)VefDQzS<6aHBX{(@N`Mj2B*@A3ubK*Ux3`9{+rY2JaI ziSr`P=c9zqm4buF;;(_Lw2Xy}pT@M<>bHz^4f|Mbs5jMaGfuYkZI}fX)GQv-&T^6b zg=)alB^uDYemW-;>lMojm6Uj_fQ*uGiSfwVT3j4v?%a9!CGfjg+ zTl92_zY3MfWje%oSkHAvc9AoyZ=J08PlT-87K-pNIg2ScHXiZab#cvhCs6gs@Tp-C zP($?VYY|e_^|p?tjnkYr1;qWdSkV-ZV z`Y)5RxZQ6Z$1*x-dQmz1v#Q&xM@E}Hvzol{9tZC%b8t!<=#ukF@%G`R@|pdn*k~e< zpU|?_LeUr-P7j<2%9e`%Xm&5>!o(u_k0`8!!5L9o07Dm%Qd%b6%XPK3&A~b}aRj>> zT5m{|{k4GqkpOrs~d zaB>9=UPYl#4a!?Wq^&LATW4#3*yp|CbvG%4<6B$KmLlYqfH?_!Z z`y)Vwyoors@gRu3y4hf4FPep>e@vJUZmR1@C&;Pim&mI(7ZkuFI5tdBz@;hcD3O#Q zEFW_BuCdZyd8{#Fz?QF(=6|K!LuE|2w}qzSRTvAz;H~++frkpxjId)p(Mbbn0&*{V zRE+c>^QS=_8hhR5-&#&9YhZjZ5{92C@vmcESR6HlPJNQa8@mRR^yo%*Q*A`dZHBX~ zFs$QNUxO1<9t)vrl5?w=*G;etd;_FiQo8zpd~ z(p{A8PhYfq^J z{7o0`%svck5Wp8RnG3KJu;sO-hRta3lC~+i3(CmH^V~_Gwgxh)GTNaY$XhB~cjw46@XY_0!DIST67_DD!Oo z2EKa0EeN05+@6<{aQd4*l^%rnnVQeLe~6*pWMlt-9o(7E3knWTW2}w;crTg&i{^nb zCWsvGB-LvTbl#P*E;C=py+_uJ;wI}m_)hXppq4R+UD)LtpI&2|W|(@m(O5WD(z7&36;_|+HCx>5ylZ{vSGzwO6%K`;iAy=EHnAm zIt;zod)9!p7Spp9^`4f6Pd?1o`)ULeo3Ev0i3o6iPxi~HLa#Wgs8w*EZ)>iMj2k;Z znU~7$xBF1Wq%)J!r!-6hX-?EcHS3ao-y;=073tH!(ZFbkk&5) zy4N+2PchYSpa9$}LJ>InG4tu#fd7;AJ#58=Bk4H&R#x10fsso&mFLBtgaWxP9bV?> zeTdVF{hY9{q-F>e#asl~d=lr)*@6D;#mcyjw+Wl|`B?o!Z?-VsrYSQ76H*ZC?FTW+ zNVa~63{e|iWD8Vvztytd%GH9yD~UP~8Q<;g-u!)?{{Hv_NdH%%$!ch2hDsiH4Bo!V zfl`RPf%W6Oofjwba+V+y>M-*67GGDhuT!(YjL%}khDOGuMh=L=RB>gGO3eGJqQd!> z-y$<)6r3{ETFHG`7sY23lFlYzjm@Pr6Q`h(C6Xr#EgvE413PQj*q&5+4Ixv9lgN|l zy(uWY$Ufr~lut2=*KjPIolfp3T6dB>!vNvYh){TY8;r=4T7n6<-|qP|*o$Jin^H${ zc5=y>zrx1Ej77HX@UfOkyyyNk%2?)}JaZ(Ua`O9`F zVH?MDop2E zY__pa_!Iwp{M*w`z^bWCqp+ZreJN)@J_W~_h>CZpOpGPN{ z>!*k5e~415{x4Aq5W7t?nlqPmLAr}A`M?%etMe&Cm=qAFk=g!SKih8a^-XXO5wgoC z8tC$K;oicKb;duG8>qszs?faHNL8^Kw4u0am0~E~0mOfK-59Sxlt+RCudHd_QK;I; zCDEQZB0Aa%;2i!^O89~53Z>$}LPuC*U1X(%t*iTMeJAzGn>9s;=CN%A;itj))EN=) z>L#GC{s&9F%7VDAs9^LN=#!bPsX_(|Las`MyqN{{Lx2e_VoGf}ca^1pKP>f_f|_A6 z3WqN(t3gOn_m@=#%(~x!k=g`>j%lNcNTZILZ&2Z=p6Mj?NYSlI=v<_#a~;RnZS#ZI zX)PM@;cbL;ByN7g80+^ZXYzrp1^nP2ZMba#1cZ7XY}RfZC)F$VMhoIeEVqlqjlD(a zf6w3lD3t)ZxYLl}fwqPaI*gkf<(nMn?eG9N}-C zYe^z3`>~_aFDzRYr)S)SpzZlobahhtE_AqXDIyu_O7BdrCyo1IZ?F)MW+9$QY&@jS zkT?sxY5dt!*dL*uOxQwr)qka5?}(Er;KaOnc5dVZKCf8Nbizn|+kaf;NN2667+f|P zPH##Hq>yG#lgGO9u6X(ET`-XL3R$IsVZwSC-~|P9HysG95c!1~&o5;@+n~asUKMjq z?iR~o$%ZTQmpdJzPd)@1gmj73vO@Fhqc3|mGVc50W5g)m6sAYcMV=KvH$Ie2$)ge) zIAYuDpauj~9RA@JnaNZH4_yrVeLKIz1-pMwa06033Dfy8S+AZEKoawwhM`2zdFXN2 zXE``KIWyQ6vsFjggK3|(%tKSp`zgaeCEPA~Z!5^vY-y7Dxb5iPNb2UXXk;;xSF6NPe)6^EIF1j#>*a=xIcP^=F7JuR0JnuhBWeeOB4;1f+PKYmcoVD?Fw+6HKF4;RT1|sxnynZ(C zP^0;t+;6YC;4*Hze{%Ax+`F)yK6Yiq9q=NPg^fusTr4Ctvfvn?)vd;z=F=8Fx@x&1 zSOJgmioKu$oUj?(aaXdcLY^v%ZpGz`^f(>D3NKA%V31Lv z2$>GOl_a;fl;9o=3+rsG78t#FzuT4URZrov6qi(w6t~Ma8Blo=gB-oNT2O;+8`^w; z4u_N5*qAV}CR@j5+5DKB>$2rP!hkjb#C|a! zqA&2v>VkZL+-_=TzEdZ)e%t%Tccaq%7nV9j*{~q%K4b2?Xez20*d}P5#T(}5^U`|( zGO%%+1NVPbOS&k6**Nb}^V8f{dU?&ZE$4rpStvBwS2g2*aJz48SdVo6WLMXOJx05h zh6bJ5D zc92rd`)U(p!g!>S%d*(+zJ}1Zav_egN>}#oDjvM)Y?7iAN`qbWC<*sPeneV_^=@%> zqgtD~hC5sZVHL>zht1Dm7*v_R-WTw3n0aG*1z7l?Y= zTuIAQ57f=p`}Dwu0?IKZb(yg2;{cw=~wUHw4$S3e5YY3 zrMoZLr;@~#j^Z15s#&L+4iu{CFVw2e#M%K6enN`zT05XJLu@}jwB}o|Zj2*7yxVyJ z)Dg#v*-9zpdVN!|jF7p3W=MWSUnGbq(a})&kscNsO5RhSqmRcM!W$N-C3xcn^f%0~ zqoMP>9cC<+m^>EqPQ8`OdC`9bf62^gzq?XK>=`=r8#`= zuHV*GFi`grI-()AkO#u=5F^R{M+ZP99Qd0DV(Jxn8x!?!yRW%IGY_lSExddc$}V_! z8r!D8-#;*RA5mM!CtQI%l~nrcY!HANm(2M~#ZbR9@4d2#;K{1G{8WW>w-)qVRln>0 zDd9LJH}l*tY`mxWNwx-#ZCvc33wXfE{P+3K(KFj({~EJ6d}94`?UDJjL)n41`kwj| z_lT@|3(=yC&;m1iJ})t^%6aPam0aQ#)&hRP1Ed) z>Rt-?g~Ar>;CEwSDvR%gRyxuK#C9K0fY@4k+5!bl*W?|+x(8`hVdjk#BDG^NaJaFB z(z@#y;jvBzI=^Vym}^~Om7BTPq0{mWXIgpNSo}+g``NS=(KM+M%ayPyB5j2w{j08K z9+ow*LG)9mA51r}?1JK*xg2i=Obyl|II^eKpp$g+ONOJ721;S$h_Q-A`%hyx$?F)H3=095CrN+g44a7N zf9OAE0A#V&%io~-^~6iZ8>8_7pug|p8C^jv|8asJO@}b$)Jc94H`n9yeUE0XB~3ZF zW(JIwo#Jiew=k@QEhw6mwPGOWS>^1QN5-N{HXe(d?Q()>&*5-CTtM{^yYUDy2l2|W zz~cTWjc!k2n~nYVd9(G;RuW-nsf*v$>f?aqO<>LtYNisic03P}rwUD8)#M&lv~M9` zYSDJBEqmy3Wt$Yqv7~OuOB5ExR640~F+uOR_hK{kt6(wg6vRBSanLzE@2k4Mx{nB%o2{ z?RpDAB7eof0appVqBqY|_>-M`2BDoRDBfMB+^dwa9@ z*Vd0i&Ic81QY<0#aAk7g5Zs$yI45DIjryf_NC$DHzHT;02)o!{2{=Hc99{F>eeKv6 z-NTB~xQL=_fJ0yvNT!hd;7Gsz@5a(gq4;)6RM^)H18bF^9lOEJ5+>`i$ znM;ktf)Oo!sHJQrX>P2K(afZlQ-9VlOiMX?6KkVcBo#Kjr0= z!-Vd7TWN*2&;04CU1T?bwC<_GpY&}AV+sCp3C%DVTm<|r#8Q#brlbS)hVnG>mYJ_N z$42V=il}(tP!nA@#;;`;jAfsIN13za!Jv&s`sdGkx7DHm)IoLa~qn&AYum%Vs3g+=swVl?M~^)?#|l*T0(2J`jU?^$$eXF?(~^Fg54>pNjb z{A{)ey`7v~@j1aU5J(Wt0lwdF_vg$I)q(efg2sVVs5q35s#)+-VOD-O<8#fIhoo!q zi%z}sJfAeQ^@H@uj||+xVDxZ}O_z_?w_2Nee#RV_I^MD5VoEa~jbIOfgPtq?c2RuC z$M3=tU4KKFc2q{6`f;PvG`@@Oyf)$c(Hcj=V4gr1WOY{xNrVozJ#^ey<;GD6^yKi- z7TDixBq*r9)KoCQ7Y!Ux8`pnr4J>f{4;#k;=H&PlB5j@H4!41B^cz>)@xL@Kke(;B zRDv^W3kppV zW`?)A`Sxj|T))wkGe6l!T>Ci^>@7MBHQE9VJ(8*YIJ-Zz0V&(79X2e$(y)2rcc0?3 zDF*h4MMRqwP1&yaUK?v$^<`tsGR&TUO_jIIPu%*;j1*ct)%aiDi_$o?f2E-jq(}h1 zOQ+k1qklH*rj)sP4={eoE>P2*%=|^DS$0f9rxdH~mzdH!Ev)C!MrdG>q^G-YN%?;G zw*B(=ZpLLpzYLHZLoIounYd}=mr-6*20qfaSrDqXg1)MX9bV$s;|IrU_X&*&@R9r< z#@;e2$~bPRp+ zzeiryk&(WJAf!A4D(H5cSS(!>p-T@6!p)m}V<(mU`E*j~&#)Z}V@Tenx0)ibHDloZ;mV$gM=IOVKttSe< zeF>#3q1RR=WemlYnq_=VcHnH)dwx$S87_ zrmqThWoZ09kZP1N(AYO1>MKNk))H0(PfUzU=tPp(2H4iJs$v7gute^-4b3b6UrO7; zhX-1lCaPVZ+E)eprXEDBB)EQI3WZQ;AF|OKe)tx>1y0(F+t7f9dA(C}ojCG8OF5<* zyV9GVCFRz%msG44(AW8vTXaOkYbi?HEsA~$U=)LsVGulS&N8>+cnzK1Y#jgO_(V@N z7yQZ5Sa<5}bcRSFeU)}M=|bx=y4(Fu$o}Oab)^YeERS5Z(oSxgb+kA4px$?j@AaDp zzeug6O$444be42{nMNqGJXg7U0PzYp4>zIendetYsS8ijvyEQoy#F8Z>HpHbO=*i? zRiMh5q)c8alz5UnLHASkQbzT)*IHH|d9gYA1aweee zA!+G>dSqK}c%fxfEYAx>qxIQH%nI)LBN|^5>{rtN2k~N zMU1*H$qeA-=UBYb;4ah_Rhti;PwvCDPRBjbY7SRXN;t|u{c*pZ1gt$+CI7MZoUY>1 zwNI92i~wiXsc-R#eZ#o=EvLM+cxDo2$e8GaXv@|eaVvNc`+_s!dHG8ZcLV}A6?{4{ zj%B~e^2rP(rfQmX`bk~Bc_P#PXU+MtQS?nEWYnot#$2_P0Tk@vh8+`q-x&sCJgSl{ zk;qFGSsun9yhJjCbK@7;pCc&1bvRX7lngFLbs|82sE5Ga6FsxduT}c z%zo4Rz!!P`2?*$8*P=VyixSc;!^Vzfo6cF@L(*d&Ne1Aos@3~UeKOnyo|)OQ{sIvQ z)=@FBKTLn6PuzA*e0jKqqDPaoVtc#EDB$pka?k1+EhmKh@U`W_1;?;xIP-qGF4#|_ z<^4Mg+Wl}H;`#sOruFpozUjl5H>3-CA@+F{CpB0gx!ihIT?%PCw4$abj^>S zGiv1Ti~INhM5lg?`R#L-YVn~4+G2De53CrVGg_ACh4Wd5jqv&AWF?gU6R59wFV_np zYaSFN)?8^4v^V$s@Q{*b+;d%J02NFPGMfsCuLq9&p?Yd5a?2E5{p-K4bxp3>(NE?{ z2JSp-4HD0;_VS3~ttX$N$vE*C*~FcFSeJZ0q2G3#KEXL3S%Ll?nZW)ZQc@AfgTM-8 zOu7D%q3Q#rAlrwB;%2X?xQN6ZkDD5i zEd?3|Z%Deu*PCAHhu)D6ejbrfNT`d{lK8;J#V2T*mqQJoY~KCWP|6W_ z_su*{Rc32_#!u7KESxp^7^9Xv2gqkn1;B8;4NPgsdk?f(pcgTR^V@f2dC60sJ8wyw?tdDw=vMd5s!|YGXKPbIQ*<}) z4{Q3rcKNF9j|7b6MmDYczVq>4O-VCb$bVwgo~PpFBscN-^}(n6F7z|!$KS)}*Efa$ z3D9KIm)rA~^or-_dHQ8zGdoS+45RpqdR^7R1tc zI*k~rbIzbT62q!RYc%11C9Dd*=J->?b&0W_8?Fk;vCem8OeJ7u`h6@nYOP3OV7r*j(gc53Cp0w@}Nsh{q z_jcS}U{Fo1z4+}ni$x{oG-Z^|=@}?=NOSSPu%+?0=oW?PaK0)i$ABN+RYm$R@ysqc z8jrQjOHHjbH-!9iK#mGbrDz=Y86_e7y;kW-UT{1S?I~c-ltR!*qwVEm0mUwUcdBxu zWSO=)vk3pBI#RTwxv5}Y!#HOM`>JAyl{;sG@?}6t#*n=eW+9YU+9pmRR``aFlEAZSF zk7$T4S&)U5A*>wzEl~YV?jRQi>zp@d<9!8ZS`@2Fa|_#89`D3kzw z?!R~er}rmHzN#p3pGu<^z{Ro295*JyJ&-i+&ow{Z^~Q4!PfM{o*w72rPy5GcaI%lv z!)6$T%I&{n*MD5^03QG|{^@@*<1xp4dUpG7T2a5{VpurEqp-a}rPGtI3(OnY8wgcD z0wk@t>e&IwTMCB+72&sxv6emTZc3HNf{dj+i1v7j=lswn)kR~Ze?8$bVrvw9GlV!O zE>JZ&NXOH3hO#=imrE+rKQW^2C~68f%Y-`xN{pAFA=0G}?~ruYC~ZLaLXt0oMl2?8 ziSZKxV-DY%O-36LiGC3U2>KD5i1X&qQABz9CMtGe!V|T$@v|X)Q~fo(=14}_ltmDB z_U)Ziz)S?e&+taZ(;x zf51I^gc&_>J^<&?zU%QrZS@C0iVKb0IFpy?J9|ui%~mv`<7vOONZ(gmG3! z#eZfIG}fK~2$h7poxNTE>qPeb|8NTJT^-Jn@EiG8p(EH`z{2De!le`f2#Z27dSm8| zf})=82_Mdmb>$mM^TkCi-V#q!00%3FkmL&B0r+aP4BM?}{CTsN=ZTZ`2ZTt<@2D3= z_#0T)=032Gp_=-{is}MGSwEoTyY%$6+vHZ)&>Lz+w9<_k+2L7RLc5v8z7$ThnMzXA zzmU+cuA}w!XA6;!4k8d4>gw%HqF3q30dHmDnK(C3qmyUcYxDWj>rMMJY|nbR?NXT=BVXtmLjo^KR=k^sS_T! zH)cEiEyQLJg)U^~sHnNaIwkvle4O`cUjr*eEXkaE>wcjg0v3}=H9l_8AN`TqiT45a z39p+%2=w;KZ!R=9R!rqov`QbU%0-#SuSm^a{~+BKZQg|JYZ^NfWFOkqJ9h2T zS&i(KvWK4H`&?aQ=oGJMu57@(RI10*vufykJfQU3oq+|0^h=)ttmucD4LpT#f6~Wu!Y#LHXvgt+LbZ0U01LF>m5R z7m7jtbqfKL6;H$Op0nlPq>6u)vO+ZT_*Bp!f$Mj(8cY2v6SpZ3;L0rDmW9BZwx`16P%ilOt8(0FJ69*5ta7rd~??+oOC z-;t#ly~%Nn(cHlA=^Sk;t>#;c*A=mdw@PqwzmxTADyUKOI<=MIx080Biq~R(@J6M# zkZo68i=EnDE^N8Ta()v)`C8_d>2dQ4f?r3ak4wUR@Iom@( zcl9ssK%T=3$H|Wigk{t$v{GICEkDj~4HYkZ83g5B9rNs-gFLx*#Vg*+>jS2;4vc=J zN|{dGHwBYph;+?qiAQR>ZCW7Rf?YlCZTB5k@+^yrE&8F6pBLj-Fc;^)K&ZInbVHY3 zgq$JGr1kRa)?KT|bI#1a;LHIzO4{*0|B!0Rv$4JE3@t;Gs&OtW?iklIt3`piBNp=ip-607vO$Q87TL8U&-bJ z<{beKO5?=zhg_7OMSfFU>O%q~l8Q8DHXYV#DSdd=(!7Ac*9W#~32Rl2gCYyzVLDdd zgMl^#wd*^2xDHUptnKjV+}9m=)SY9#U=SRkG9Ue??FA}@`Eq4+$mrqfR(lB<2%s-k zfr;jd^coo((5pf{9fT^p{%lF{w!*vr?Nny8){lRB=wh|w8z}L}-9=5On=KL=F`Otl zI*g_7%jM8Hqh8+VMKQv!VL zy*&%nAa7bTS#(p;n6!oc>H#k}Fdk|MqgbJc1Fxo{SVQo)fz}n}L;}@%Qk=3eQ*#4j zadF0w^;U$R^~W}=X)IlTzZ#$p{IvNGfN!AlmCXs-+sLgvs{b*R7dNw9l@?_oa?cqR zSn;_ZA=V#JYU<;Db@a;n03x{Y?0UrS-&M3jUo*136R$qthIv6W(C+CFgSGS_;g;mC;q^c(0_$UG`J0ObyQ;~-BF#ehtOE{J z&5V%CtqNH_@yF|SJB*T#!i}(X_;NBsGH>XeQ<-}sx2H1fRMMZ55Wr>xR2Sjbe}h)C z?F5ea9?r+isG#AS{RyX6)J&W&O?+MTyT#cMLc|)66f{q-=iOyBK=IJHO3dB33T^zy-aw(yQ|%Tyr>oS>3s9 z{NuI-<1Hz=j80bU-j}7P%ekE1P9L4HR?{$lLd$gpTl7I%v$k^vKF+aj|BQ z2h-`nEQU^6pkkPtFhZ05^uHII!|x<}3Xpjm7l{d-oz4(%EdGpx7~gH0E@1IEI-uYB zJivx(En(*Lb+zngXJ4lXjXhp|_C*9YJFEuI8w;}*uhgw#X=vOHWyx=Xb3c)D6@*<8omh{_YDWE4WVK0=62=OV!ryFqnm zKQ;SK5&MH@2fAx2{_NKR3nj5Ua}0ZXoN%HPloe#w?%F>Grn0Ir7EQ>3%4YV*u0IAR z14$Ki*MXqq9ao-U0C0d~+Pm+q-m_b2r3@pU?t^TMUiQ_ss-p;`;Wf8kO<$x!ij#~xMs7p`R3J#&C;-N*^Jm3}KxvLEU z5nxxdQiBp^n8L%AB;>^rt|#JpCaI9>s^rzsN2`X4vZLhD(zZ<;w)JcCGk*M1U)G%hO@DBW7TEy zJkX^Kvnmcc>z0THlCy290b_vr;mF$ll3xPzEbWMd#;Z{mKpGce1$zFtD1T%Ky7>}CAjn4qB@Mm-G{|L3X(1~<^3c6P|Fg>FIz+EoFxqZ8^op0F|r158RvZFNKKFA;!3Vtvp06;`VpB)tEC?3D7e+a9k z6^N|3%guweyZLQJ_XfS`tvJY_qXVr2uaTh`vWojxA>mOmy=8gi1R9gsW=^i@TL%Oa zSy88$;B&^(@!YsCjwnsXm@*BjU5RbyAB%SN+WfMdrVs32ew*)T+@jak1wXtBfaA|I zRvNb=Oo1)JA?vo&8;sQ-jS9r+MOg7l@T!&ajM<7GjvA)0CvYtM0VZvigH<=8fpS_Dro{(n zXT(QnQL<@f8X>idv9S2=)$gRO^?W3kdbtxsgClu zNI;kbDZzhG!}{s$>v~Iura)YKjHLMjgP<+xU*?UN1K2<~PQO*J zYwy+RL1X4 z+2))dhVYDxC0a=u?#j}ojfqsB>v|mG-6q%2)h{vVmth(31xKn*iLQ_VMAD!4bG^R^ zY1TE;M~@ft;!{de;#e76&L5JsnPde$eWAspE6*Nf<{u|#Op0a9Xc=k%{^Nh70Duo5 zB=8OSe>#v@FE&##7kzA?QnwKZ&epR;Hk*j7F{dn76X^BoJA2mX!5I<~Z=fsR9Z;rc z(vgMxG`Q%n2X{yZoRq{NyPDM^3ca+r9=oi0=;F?N&B?EsYR8*zRyCX(d(h~jdEKl4Df>ODYRO4N*#m{ zrLG)#dE0w&iPr!hE$IAN={2VQPzQO7{t#7Q-{TMFu$lS_gR~y8W-jIe zQy_%|ODov~S`#TrY&S~uP49ke@(u2;o8}%Ti!9&G@D-ehg^)V=^km8k)j`z=LUpU7 zY`ve8+I;K;u#2q(9tM=}Xqxz#0`Z`-TlvP$dX2&@gY(pp#`9c59TZg<_nmR$SQ$$( zk2M$O3U1J~{T|vBUzITGEWKS?1Ue=67<25m;rEgK1FHApRCK=iPU^6#?XOZkSh?E3 zl6s^7fh{tE?<&u2#Z*k$wzQL+I+W6Lm0N-IxP z4V_XV6y-U>Epbv1<(EhlWqF`nLyq;^ivjX55KD8Zk(A%$8oQA@qu3c6Vv z0Gb2%&FjyMLeZHa7)&zhgqZ=SL##5ZdH35czT9k^=HerW&j*zv#Hv%p9aC29lzJjQS9gH)(@{(R)renRNBbsN=o!vJlWSy zdC+5oVkK*U)&V^y#e3@2eF0xl;s-t=ip%uygsh_4kvGvM{x8_OFThV;o9k!8K{png zN1)Ml9O;m-`~i5VKv0EYm0p{kuW@J){AMfX%^pi(S zz-hgIY0l$GP)dHWWm8z-3#FRxhe)d^f(?kK{9-X@U*PrVbpSTXt=Y8J?>kRQzswe5 zG)W`9G{f*G7R>w+3X7Q^k67a$n$cd%3=B_A_IzCvwY>Vp!q!ciBkU%g%7T%5q;z?( zhM{ZXi(lQjOMH2_igqXHU@3HL^9*-vxzqrNko?r< zh4H70u=>ewvrS@txh;wZGqUed+LR50y~&}dka7{?-!e}5RK3F8SYz(GM9EE%gh-DLjD&5b4hc&IJ{?FZG>hPjdPFG!0 z$&MGFkIkIoWVq@G7#QIVSyX}B2TCRRFU(KZh?GdXL89&NWNs#-tjzgTSpU>yadlnm z#XfcFsmOpNckZ~tie);uKL4Ati5 zq{(+c)bh0Tx@;)6%&P#n4|BH2^Qbw*yj4(k?MDxmMx^*O{|NcI=2xn|!9vZbXV^kE zR!U)R@($0@3PFHpgPX;}urhTyDcGrMhc+k(NBTisD={t*r-th2i&ZcFVWNUHD9W;H zp=pf14{eve)qmt!d!WW{kTRyFim7;f*vX=AU-=&~n))d}o{NuO+qb{N79<@#=4?*- z<0n>$+6EKuqe^6wv$=|{IkZeq1%F~(jsOm&x%qxwxB9KMPxx4NQdY0BuHMelhf64y z6MPExWJ)B>IMAG{X3Y#Md%6kc9PUz=3MMbMsm6;U9LM#e!t`4>NBk)hQV|!$>9T}} zT>^=J)HU&8tB@&Vq}Y~?!>c110Chk7CSYsF56LA(CpSf26wL4X3>;6@4Ug3yewTR@ z8kQ}s`x`+pKKQI-M`14334KQvB{-4ocaxf_93w*4t5dyy8P&%P8{2XHC3f5NYW&AEFUM!?$aHs}PQ|4g zVW$Acv-`9SEom@LTiJywaob{Mmm4@l_dMQMoUjH}Sm5dUUrM-a78yKWU@c)}mHM#u zJk%;Z2spIau4#oUI+12QTuR zV%qN(lD>jPVsF1Zm&av5Y|P8hq~D5=BggZn@cL_incYaeDLg7psFaRws3);}N%+d|V)sQfc_RYZ z@+kxBsyIyqq8MDH%*-Etg z3Q8deTF$ortWp-|ZW+VcEeeexc&~KqDjt;wOE`2(1?Z2}GL_awQ9j8~rl+g34T`Bh zHE>zv&SsNRuVygeHnVFQidn7bM5~D?f}wCA*i0)nx?*c)+qHALk2ypH;X>FY9nt5B zmEvM3V@T6+JxTyz2)nNt6fA|6|7VrL_8+*;3|PuyDTiTN9e%VT;BsX;>I zLpM$-O0kF*D#?P&=gvYw+ujc{AO3p~_MhuF}sYaZC_XK=-k)85*f&xFS3?AVkv?6ol4^j*RwyHrbAIEsBRVH#UT8>G!5hwEPtMundi-j?fN* z4wXrcGGtcT1dr%?QBaWy`T_`LykD%d!5$r}jlH3J72q!SV_@%>3-U7Uf0p`k*5XSPdMlX`d9f&s zL45kT&w6U<;WS0M(lj3x(9Khs4Ne-Y23fkdnUi@O`td=^*jD3(MAB7pl0Qq4gB{TU zAV*Gw6WNyn%F-7y-(`lU#@Y9zInT?)<`dDFH%GadifQAxKrN1rq(aYaS{_X@{Sm-O zIE@zdPW0}!MN$!p2&b+uzdDizCHLD~PNU&Qm-SlnNMY%5;+imXSGgb7BG-s5W5#^O zEVrT&e=NJl=N>D@_Djb;{7QZiq9($=hl5rhdPmx|d$J8B$EQ$^Ea? z^#1`IU}R*HGW|p@d!j7W9>e!#Eg>R6;x&=iH)P+N-TW~fq$f4LGc^?1p_i-tblXr> zzoB)uPU3kZ-`8I5$Hlo}vkBXLI;~u~#YgMSm>sQ)^6m>~SpeUzNETfV58bgc8VG-Gf5CR7HYs4#%S z`nb=xrZcMh;mXnDp#WazG>I-4H(ZO=acTgKL`brFk4|MZ-PP$a#J`awIf?m0Y<{W3Utp4Oo?KMyMlt?7?8n4nK0dAyQSKfZ%-DfmKg|NBn37USASW`jd&?> zH~LKdWj%>zZ`N9l*sTmy7hsrn-~HLz(0{d&>$X`l?0Q&9Rmwq!-s>KP34VFP1cfR# z6p;ZBCO%UbCP`qS4`yXO!op_2Y!Z-iXOs3(!UF?7v*$-(iO94~R<_~z(EUu#)^>(q z=(!hUv*arB2FbJJ=~_6R-L<4YRI5%U%T7aAFD|tF@JymxzvZNh!Rh7UkgzLcnxWXR z?y@$%ULDZqG|yr^>7fQNg+-s=x;CJ#UVCxybr3BdTMY{db!sw^>YzQ@+@LvhTr)ph z<)v-c-E{SzvRH7=qe_1}=PR~koizvUxWmt4WoLTw7RN^fJRPbpl~^+=zSe+q0{Kmj z;^uRYqX5&4WYGMZqNj==Xd~d0o8E|_^4NRVf7{i2D76E>2LfuHgf@u2H7N@hU8lyR z0Lu9G`n#Wr!%DZUoUel&`;bO=mC4x6hm&PkRaZTK-f5g}-a$~Qt892WmjENVA%0b5 z<+-6{E0ow%ArqO&eTkNRH2s}LlKvzs&5$=S0C}8?)|JxBNKFlRMq?@nd?LFRWqDUc*aK7#|>r7zzqG|=LIvM zkaWCcD*N$bO2WhySs#8G-Zz?W+jcGl)s5ANht`2j#ND%&@R(Z(q$pJ2XNtp|Xr0rO z$R;7kavj(RN{6CROaQ76zq^4wd6jTETQl%rG?;br_O7f+zCk}BFD)nl@okO#Vu=fb zrByMFE=+U%#K@_iSC@&r8Gdify#ic-u~-Y{n|TJl%X@rrjkLgSO2Q8wj(KIG zC-CK&ykq@G9aZt=_9y2(T@wI&yV45W*eCiAOFcZq%$pGUQ4i|^H{0i+Wu)^greeGE z*n6L5DhCC1d)B*eofH%b>vZwHX*>^gA--wmg3Y-GRUReEa)w{-8e8ro-_KNSpHioe zj|q*>@pSE3gH(`&egN-HJZp3^5oDS2+(lNV+ck#b;un^EEp?2VKFLIcQBywuo_;~^ z(%v~>u=}gN^=t;;UF0jh(V*G%%K^dBvMzY1?79_v#+T5qOW;qGo#3nnYZgD6>fbqeol%dczImTk219d5R&oNEM%_7c24z@SQYDfa+{8lo&f$u#rl;cJ7l3-?*Iy~Y3!ZOGqc zb__Mc5|62=gU-?;S24}!G54LOwB*ijEm4Cv=iN)PEm|-}fN;mAy}}~mfoFYx^li#F z+auCYvEngNh<%{M^%KU=Q?c=ZP~P79Pjyb31$Bi0Db7=Pmt4>xayu>krg*_vr&vkN z*L^+xyXM4cLbDQ>F6~!M{DyQiX~$a%K2TI#R@_iAXO@-rr$~Y*{GkzP)E!!@@x2+$ z*zT>JoooToW7EuN1sp%&0T|EtY(3_PMU|1OnohCLU4-%NZqoOK@|HEdx_Tv@-^uG7 zLkiX7qY2wCA_Oi!cHFQv%1>3wvL7bK=l&z7`=1~G>^kWGC+-t;+cW+Zf#Ta;?J#8s zXyZHUt>!8quJ)UzxR_&T&f7!!!P&VsbSy)p2D3^8oveG;~q;F{i? z&8H4z0VRuH5iDvNctg5xh=3L1ezI+m`DND;Yky7UIIBhKlpQ9jXb9suK|xQE&ls;y zMR~;3Yin_N{p_0R5Eyv(tATod^1`M=aiG^!hrr??>U4i*$rZ#p?P^7I;KJ{Y3wcOfDVfZ-t-8m69lfW$ zG0g0I;T>20i2RSVo}$q}U##mey}SiEmm^ns6PeKibPOymncSm1tv74!nV&}9y0xI_rkt&!dgAg)RVOv2xv4Rujp>YRp^PlYnP>}M5UC)$9g zM0jiknK<=68_}_7G#yT(s1q<0Y8c(N_EWTMDPG+*&KFqRtcAN+QqlHC*7)6mp6}a|S27uU$E!lZ(Fmz8JFL$$_A3@FVed%okH6yiU`)Kh+?* z>ZJr1GBEvpe|pQlcEItL%-x%VL;WtTP^t5+#?uwD&Jz;BW*lX{E-i=TT^AdY+DU(^ zW^f%Y{dPeZd7?y&D+$m8UPUsUV(D%9>*Dkrg!z5@2}60!F@j8Db89M|6Bn}AeVWi) zOW}7Pa+|X?IdlfoJnNAHB2JDSe?NXXS=1e+UP=vE7k2O0)-`z-1nP!w%8^E@h_{_f zwT6x8* zSitc>#>gT<1XFljaw_FEAiJqD{jtOB$#RW;nWriW7ujVs!U!uvkD7NFjA{fnYhyKC zu_cGiR1*fFi|=&+mkx>KX!BubP^0j*qNh?eF9jyp9GU6xcU9CJNmEbBfnxHxe}GU# zZ@KXoOvEQOzuD*N@)b`ou10`p$X88!!VJp+$K$1nd#877?MKcJXP>=;8HBOyCwgjf z+O|e3sx_c47)$QQ5k%hflDPJ_rN=e5^UseI4r*45MbB8YZRbvu%qSH8FkZH<9h?>5 ze~;5?M?kM0wU$KyXX1ljaJSJH>?fCJe@?G+^rGq`QAWfvCd1atYoxP}?VS6yESQM6 zTB15uV_f7VqiKWpoJycW^|H>8Z}YCi)g5~fY>hvfdz}u;4%&Vt>0Iudff+tnY z>w}SvBpb{xe=}JWyiW;Rx}iAmYFyS0J%OWf@|Pl;fl7uM^YiP`|K3??td$C)QTAcK zRltZn+9a?4kvGv>2pgb8leM_s}uQ}>OVjeVIc0wqpBK$I`f|14O*U@0m@#Oz@mh?M+c2set+Fc4Rn(XSEK5FU50;w^+#Xmi0np0b6Svx zvDiX1x~!tEi+q>wfHmwd(TY1plLM-hPd5JK4|MDbHOfAs@5xEr@12LUfAe}~FqZ*M z`B!myc`AZ;S)l%RiA2>@q4flaW7^w8ZdR$E(1NrfFh9(RK;)vuYu1TZztN7$tBCm_ zsN{aPX12PY<{iVY+OOZkh5ZH%Hwn)-+9$+%t`W`pAB`SpCr+nnck ze5R4@xXyKg^{Q|}KZdDU_w)bQKsLeb4j0AJ%O;g)n7OoW%pU)c*_^3JVONvx9^hg4&cf$uN2Ng5B$M%vzKknd2OV~P3yO_>kQ8`j*ZV8{dBU^|?^d^-lHEz?lURcj(u z{BcaZ=KpY4|Mj@$yejNW{rg$MqI<%%QI2M}-p0@zlgD|#TgzGfXUH5hZ7XfvPtGIp z5xKe)CMt%ex$b*&aoTcOKj!op!(U*q9w4tYC8PF*3;cL)VCXy|<^(5mH|sg$?344B zw3sgB{io3peQu?oVE`F+`aVbS&lUd)A&lFxk^?T(hI{dRa=l-VF(lq2io~P$zu#8b?5@b4155Q$66lZ8l@jJEqeFYzv01 znJ{1&fuY(N<1SYzr>fm_k>U^EzKF-m3f&4V?)pHU#|Ofg*&&F2I;YvO*kgT#@Bc^V;p$$V$6pz?NHTiB=%Af9c5{j@Hyb*- z2BN>t^;$0+*>?s*S?(G`whT9SH8(Y`{4a#SY}$rvhOo}oiHPg@A1BDzS{gf3DNN0d z5o*U`L&M480*&Sd$BsMHAci7=9{l6Pk)07;pA>}=dxw$l>f(&uf^=-qSJmZQ@c5H2 z7UQ)s-!wnRSC)@Q;B8q{4VD*|yiOdlGS)fw7!}}bb4}77oOL2i^{p`)ltD?$HD6Pw zw@9;qnl>LeUY*wAnE3Kw82On*m!REz_ew`^e@B*)F{t<}*T>{ZJ4MRNvTY}#B%7}U zwRv_3xIaWRRJ6$b^C~3F#Q(7onMtrN@_*;lqx{ctw;?xW?*=j=D>gQ^lTgk%$0Q{Z zB0EWS>m!ZI5Yz0?DEI3kyr z^|_K~)2xJV28k#ayZyV%9ow-9DXO|$XhcPE(LN$=Y=7JaIz1pW#G9Y_;=#!Sj9So1 zi}Me)KIuPp8aEqDPH}7|gd5uqPO~OHN=REGH`%WSz>3l~(;Uk-E89lg9#~F$K_h z=4Tt52f+=u_7D^I)3tZU6u309TO%|#9#%L0u9-~5tgOsGN3~gCfNV@r{9)I?K^Fe@ zxX$qzTwGjA3FchGQYJ^mPq4UMa|%YfjXp(8Ip#K|X$DoO4^D6fCqlSWCaytZMc=2k zn8J&`I%@0cGh9Hb`{2oQN;y+lH&6?SUwP;H`pZ(ua%oD`h1 zrBA=Jpo&FRBE;9a4(Xvo2 z4Q4f{f9cycF)&X71PV;127k^NVAZk^M?|<4TQjq1@8um2OD=Ws6tk)(_>n#)yp&{R zaa~n$|BB^XWD@Ggrtkz1Bm9&sIcJLgQ^-`Hj!(4Mbb;8V`5(i0v;6paJedPJE(*PD z6m-?sZE^@?*C~aMYt)yhNV4Tqg95+Z-STzO&#vlUVQUzF`KwJmX#?{`8b@_Db*sgl z@ZXPqZhufT^t*DsJ!>`wCgu^g0R%jsE^yiI_MSI0NgR9kjKa*#wAkoR!ljN=O*RU< zO`=I0pZU8E`N`j#UvPfZ6fm}3!67vCpmKPg3c7W@RbqY5`15QI4%5gPqz2Tslh0cd z*A}p470i2vmqp3`7AgKxb8v@V@%FEh4-hQsY?6wuti0EiQz4Z~ce7tnS}wrAILq*O zkf=>V)SUrua~`|FDeOL5a#WYt_v;LaREn6f&$>T?L)*3kbZ;GYjg%L)9%UhN3)!$- z@q7k$`W22XAd1FA8Y|fIf;ZY(d2GI~NJvvlyJoRClS7GG_SOmT!`AywLJCJdegjJc z1%e52cXywH=PkN8wiNd+ox(*QpE~=wRoUdO$1@tN*F+oOCu^=TLzOXb^%B{4TGb!O za0#k{nyg-+Ck9wsMt#hdJ`<1zubHLAt)8Mm0w25u70+(~!c!gz$6+Su!zBe~3YQgZ zcIvnmx}q$CF&?l)V*SARk-3XS^Ub?u_uffh^iGi-HalfE?Q&)V@$dd}8uZ9-^6T1% zbj~|0w)?&G=%jC$_*EB5ZWx|v-nGk*V`gk7Zw#_1?kT$vu_lwYFoNzc1kf8|5uBk@ z&?fKQt@axymINOaxAJ?0_BFzYwmxYRdNso`xR>IMwK#ab5wrhNds(gOqrFzS28O1N zeb@<{@my8pqr*ZN^W68hGhKpNm=aU(UJAX%PH(Z}X4Wc?{%}QX4qM~)*w1;RTtOL~ z*^VHV$`bc4Za6nHwzX);cip!!a-nt&r-$}*Vs&^=%br(MA6(k@Z*x>z(cFqeosAlhrJd1s> zQQG^o9BevTuN)X`*rdVxCB5U#d-6MpIiwZ|D7e6@@HFLR=e$IV+rS&bSkTt*UP86i zXLPx1FqLm)5&Vhb`@;vMT#2iAuvQ%7{w7X&%i{(&DV?_%bI|3mpMti#SbxOTl#|3y#Y6dXF{S;Bh{1P@Y7tLj*2dlY1JSE+w zEhQEaNH=TSe=T%HJa-T}h26f8rns!)EfLdCcggzKB%ZJT(rP*lrBA7m;#=Y9ziK#j zvR(fCt;#3hy@9dBcCb2(lb=U1+eEU)$R~`25WS$n>Lm%9KP`iA`nPB#hOv=XCA(0M zb776iFy}nBn;MvOO^1+GvS;%Cyz-eUmTyuaX3~!z&Wf5{r9S6B0{bxUME&#hj#lr9 z5WT-eKI}gVmtZk9{F2E{b}3L&#FidCd|60wmWRWn&;3^D0<5B)4EpwW{uSIjNw z4?bG9Fzk))Z*;TELHtd#I`jI)l?PVKX~AqXD0o}I{XFRrNetqU5mDChZ17n8EfUN! zo_3F^mp*N!+3Jy-NlY>ijJm)jOga4aMqvNh`1 zKe&11;*IU!T)r;j(nmPh`*PcQtFVA17t60oxFjM}tdXEa&~6aNko%&aW*wbX%;Tji zF|SN3Dn4gy?QW<&f9rI}MoxA67TCp{~|x z&HB)L+b{5QS@;UXdKR(Gx~<*rfRm62iH1ctpHli*WaBO)#q@BBN(IPKKaIk|kJ7di zY}(aUcD&V#wqx%RD~y8+hgR%w>pqZaRcpMS)p4K`iF`n=(7)q%-1bdMb(9zw)mCpX zMr2*`#lh^*D6k@np1IK396HBGj`QmqrAd>{+9>~y8LDDL8UCpJp>bfa0Y}Hg=P)|J zqO5?`%(k)Ap40MBrmyI-yUEBdLVgsfdAVyOChQY~jq#$HCpq1~|1y5|WjcjeP9 z%mHts`!EuotZdLUI5?YG_}0Z}S7^eu9TJsScQE~0q?C`Ud4^oA_2GnLWl zR6vtE`Q`Vuj<+mW>E+=im2c|4GTlWk#~-R1N{uyjN4l3Qsm+}Xko;oCoAAjvSTSmozK=KkJoq`d9%h-LxLBf39TU6C*SE2S^! zX<(n*ZPU3R6ixPjdILH!Yu(ex-5lCuatsujR|cyminA;gyWJB{7JS}6fPb94oUHym zRFd)~FUxqV@1<|H=pCN*B)B)jMb+v0H*WTN8RnHM`vB+v#nxE{wZXPuzD0_=yNBZL zR$AQMio3hJ1b25RPH}ga;9A_>9g555dER&byR)+&@*$Z_J|uHbu5-@sKG#X+Fg0+- zdwls%gI;loyddZ|My&mNh2VA>9Px(RKs~kX-GhKLoF1N4WbK_3?T}KR1V|C2Tq(`U zulM$S$>DEYz!IP)iAC%B72Wf#uC_->))J$`=QyH9A;(@N;We;h2X{h?)s+np-jbUE z81}ywyf$o}R*1*<3Zwdl@DeXJDGieaaQe@Yk1DFT>2k-uuv-H!$tI0qiN6_7 zXUpGIkt|d}r%4u(qKa3dky4CM`g%aSze!$amXBHVRrKFi-hcG%#gxeB8r~jEkV_W) z{!N39_Bt~`vh=E`s|9i7C(RSDs-WTj{TT-jCw%q6)@WpuDowzTlTo|vlbxSk@mJW@ z`bbJgqUxLhNk)Je^XE7?8yN^xKS>^zA+|Kf5&{gYU|tD7k0PlVo}qg8E<}uMgZI?8 zLE4}!_FW(z1~K<<5G7(4=PEV^Nf7l^uKwv{rADhMRh4!-1d|<8JSojE6DnN(?8POM z)V)(He>;s{5FC-roiShECQzD=(Nt2TmkEsqVZ;tBUKF|g#4x&Da{aMoUkr}(&ubcL z#C3C$Dt708y(@j8h8lU0K{iH*o*DoogsRPiu~U3FB+RoRkFbpR(RmF24Nv@ z<-u@dr)a6kM5s#FGYV!6t|;*=0)o5<%0`Jd;T0niW42E{WsmNmJ2y^E zMKO1nQ!WD!AAhGO0FwOJ^?FA%-d`y~32YDkXekKbgK2Rxn931gW@bix9J}P|J}m0| z_{hviWkMnzp&Jc)r}McZS<|B&uv(}LpIGJU-xwh_U;&1seYU@K2ntPv>5!$&FWkfs zdKCB@R4w?nP_j8Cam^SK!k)tN!UIqga44rj<+ z(<9u9Iezxf6ozlFuVG*ySBRbc-GCzf&sIdQ`^(-_u4?F*noVxkR9Cwqnjr(?*S>65 z$!*E}${y}M41m3tKg_PTY-qMOhbTxH!HJJvw+4wJ5tm% z)Y>`rH+;2w4R1KxXH9PV9^UPU=0B$<34DOOBPBX*K9J(q>y-X^`if8l+Zyao;4`}p z|2f9v^Gmkm=Hc$v3qPR?wA@S+TUSr3{_{DCwI^0o*KO0U?~Pie3Pt!K^veN00L3Lc z{2;P1*8;sp zmE%csIm13_eowA?5on9a2%d;t2MQ?P>-;z`u2i@WCAnVRqw2dTa6L|QRlq>;g#jE zkQl$|m~zxke4nA)7Fch5S;V~M?Z0Q&lzNWsG6>Br`SR5D_&zss_<9Dzuo5H1VOik4 zZ+YiMaNiZ#^SNH*wbhV`R;@f-YTnA|@g!)k+Wm|Le#rkRQ=!VxM5S^S)rcz>gqDeU z=8fgQSyK6{O^LV>zqzMyJ@shWSbt0z#hHXp9hK&^@fDgaW^I6F$lAK)fjB!v4!dd5 zVwu>;O|MrsQ$|sJa@5+4PqWqcigUxQhYTkX`Sh1?dsQFF`8#gFJTLZMiS{_(?WbtJ z_^>3K7sUSAayy5RoGHE6Z57f{o>xJfp{yHVtbD?ao~XdFmOsK>mdE^vj$Poi--g(B ztm9sZF57~BXBUawv*NOfV5-lN4#Yxk4d@JyH;wy<5OgoZ0Qf{8aMe)w`r`K!W;Dsy zY0`QebvDne`@6|d%GaQC;^!ea#A7e*iks55BQ!*m<^D_4Pkpi!sM{owM=ekT#Q<^u z4H{ZN?Ufe+Vzbr8_cz2qG-6bW7=!4D2ytWMtl{nJ&9oyCO3FfVDjtjxNxZN~>6Do5 zw>l%i@;ak@!yUB5w6LQkcObWDpY#+-6;9`t%OXiHGFn(fl(;j$j*yn?o4h$xG7cIs zowbDAjvxa-@d{q6b?|Mh2wg~u_Q9{XIDC!ap>~A2536-#3DY8wcT(2(hhFAu+bm^$ zLJN0@;pKuj?#v}7_QYJz?u%>S^dnk4tMUT1^rB%twEPt)@#dP+?$vLD(Q(9&jf6&h zlB7PDn+uOP12+3KMT1-CM}>8<>obyfXaAsOM#l~|2F;*{qfl^sF8#3l!>~Nc50Q-| z^EQTE3E0-+HpU8^VLZjT28xP;JiXVqBZ=fSM2q}2fuy$bw zll5R?`rG(iAudgcx__+l`Hwj0h)wnsi&sI!78AF`tW0PjtetUKurvugknv0PKb{{d z76>c9Ds<)dWUPN7<2FtYi$7IWEK(B)5Dvns@hn9wr&Abz@|hH~_Qa#yLE7cteWU3k zn^&p{HzFG9sK4`?M`ANzGfE^^V{^UFXb@TYIwXcBZ^GU8uK#L4xN~0Vg@pb%u!>im z;da9C+RF`c2ep76LEJ;vsk^Kse#I*Mw2#KCCS$AiueJ6tv?9(XO2tATLxQn??`j z#-u-3iVR&2xBnb@PUc55pT}XJaN1E^)gA(amx?bLRv0nd$`aQnepjh{+e|Nqe!udG z-f$;WwOpj$R7o~)DeO7P8-pwVUBxVjM9IG?{Wnyy%xuIJ#HT2T(L4=8m<0Pq<%6menWjfs>ONpI;Zwl87%EBVfKVw z78&gpN6POmBw3c`t=NP^JSm{{wafM(h;`HK+l@f+CVA=|Tq1IHjj3_)Wty9uguB#h z>r)*=uubjUc3g!-7GtbwbOWAyDe*2$ro=S+qF7nMbhz0E=jzq;OwT3JQ&JTpcw;Ty zH)=?YF5zTR?9EH!G?PZ1<>5ww?_qhmN|T(yQW>f^gcJ>nAsA&n<3#n+9we_AI+r*s44 zAk{0{%4mZF#42FWDYKbELyN(6B&dZaU1{CXp#xZ&ZrvGj=5idQH<;))^;TTEMbi-n zZDQw=WN^Fgdk*H^Mw$?oI-dmTWdxu@W*5nbs0q5M9x%26vDe$-=|xP_>$E84@u0 zk2Ypz6um|2hZCJXs*@5wIl>{r4dnQEO&5A#sFZ6W-QrA!R(3K(7)!PhJ`WiOfmc$q zP<%ysHZz2BGl$+N+|>F!JDT8$PLm)RZkXIN#~z<$v~T6e8pXHco=gEivgeVh(@!8K zq2ul6UQUf?tQtc{#}A|skNIWO-n3(sgQ2OWrbe#V(?_ySby=xW+9KaeMybY z&E5!kZ3$S&?0!rK|;N^ZWfJ3<{MZ zdi{&P(BDL~y0vxWUsK8q1%wGpCiD0!36Q#xN({&V)&doy>LKjl*q*9CApP74yrh8= zZf-5@t^NJ!zU{Miy7LK5EC#cewYk8>W=N>x1obxOhhJ|M&WLWv4rZU+c#k$_2TK(T zfSYYf)`EW9{P_h1!RLhSqGsAO59&(La(ieC=xWR{;o!LQi!BNBq>n(nl2qy8;o&N;g2Wp~i$Y#lw%HHg>sQNX{Aq{d~)NY6AF__gG z0}G3AkK2PhxoqB}>23AW-`T>}+U$Xl=mXXR{L4{fqp-8!1-zj{FNuXdwn%;D&ba!9Q)KX6%_ zZ9;{tg^zTMn=HHhuX ztT9{YwKCSD^Zw|MJ>qi-uX*WE{&GM#BT~RgfOP|rvjL% zRL0~XaBdH`>uX;_#%NI+^#8yS{>p7jOy%t}$bbP8{k`VB3!~}SYdcUH+ZO7R7s*Qg zVi=9#e6=UTieb(Pk^@OQF<;&)YA4O|6SY#s~m~RvrifCYl+Bt35P4-^ntXQp1vn zo?|ILI{Qs-hBQ;Pt-UVjM%P|IB$hG1r*9a5#dc_nUf8fCkXr_~}CI^8@-wmHt+kFr}$YTWrpH)300kF_zL7Mj4WV5D(=l zgNwcLG=b|Ip+(8UM4=LOW-oXeB5>C=mCT1S(w{G71|U+GaeS$lkB;wtOyM8$1n!^z ze39VwK_z0#iotV}Z2Ll|6YFG~D6ZEtpomlB4G<{M`EoUVEgIqh5%HZ>k@Cy@VYs)p zuNZb6#h>_R!Ow7Z`SIqML5R7=m|^@R@<3{$p~PRG*VL0@$15;_A<#V&>u?(zwqenc zBEFvwn+xAWMV((uRFbGH41|&49qZy#6T)RaFe%dq$=_8ml}aZM1|)!x$IpdD$yCZ+ zfNF>=y}0PoQhRP5p1ze8EyRvP;hnX^G02ndB)a`I26^r=55=4%)f}&bE}fh$)x8gH zuBYTDp)O**)au+g)X+FoKW^@&?T{3~l=0Ruvd&n8V?u@_A>Y_J6x@OMK@0eOHqSZH1KMukYuLpv%m8*-o>mbKjI-p)%qdlojPyavSS~h|rY%I>}n)g3ph< zda)XQ9x1mjOo10)H*|!z^fs=l-Aw+gEbF`cKN(#sSSfu-6e)c5 znf-p|xBtVn*yx$qWiA1Y24-BB;p#BThZSHe7*pnQ68XXavb^bEYJ`Cb@soFn43BqW z;>U2k7O1bTZZX-OZdaIbU49-{)@SQ9UHVx1+&MIkYC{cBnk;S`b9MOFCC_|gxB4(q zToAR>-)x6<*j)Xc^~c!;WX#Y_uKkx0^KUUby~+HqrdcHT&p282B0s@@v{CaqAyK_C zUZ&IcG=VFp+}3OF_-wFTp?7OR7dDIew^QZQ)kAVJ_zq%QUw4nsB!Lc8wbwsYW1Nb1 zN@eh*kC}FN?hK-eaF{dgosU~GHP}>9=%_bAeKQ$p93p*lctMPY2dJ|KI4njr;+;VLO@L@@*PoF zavIanbSSc=b$MRE0KsQrXKhl8xN3!uPj>E}tv!SDpNVrA!@>}SU7LW=Q6;&9f}->c z+F?YA`1o0@tB!^ni6SHS4k_YGc^?uBS;BEopZ;x=L-u_${O5B`U+3_`b=PC`Pf!5G9np9Db(u4z1!MF z)?_!=7xqqFTJ?MT#)ASFd?ivp>vLng7xm+&iw~d_0jCYO8u?<9s6<8omiZQgZYnu2 zTxgs_N{(7(d+G3Z7DEQl1;3MzaG)%hmzl*`T&`+PZl`-kyES)MjwSqMUH?(qVJ0g$fh~fS_Su5WW*9wxop(5BvZm)aw1mGyji${?GgpY*?lA&Oq)3 z_{T;6ccR%BqTJrzUTSeDkeIZ0@)wHXnITX8KqIGeTdHBbzQ7m_=gY%825;>CCam@O zmFUgEcoogrb{?z0JTmK%5VIJEoPkpo71g~+G6yaxQM)69f_lrHlMTF> zZyg?e04irWiZ3OtCQb5#6~1tivWuAdBu%5YoWOx+4NuLRAA?EaiCb=CI9TYW*?Kup zDw!6pn`I^lL(x%b17{?{F_-ywV%3z0oZNW0mj@bv_aJR4oiLvMX&-?I7}4YqXz>xy zX+B*OQ)9E%GQ-TuD&pIfwfB@hKXrtkg^NjNJZqN|9Q{VC=I{OX0H&&&9B!;X-rvYa zdYhsNIS@?`E{9Rl-EY?rB2CQ=G&V7o>i0iytiD7}s>@j)V4ETc4RV7b3hjP1frrhJ zae7VG#53CS=UnBcXbds>tQx~vk#$~|A~Rmkuh!=>N9ou-bJHZZ#o9xcL?{Mtjyf8d zUXkhgqA)CuK&G_mF_)>2vhGrGaICX438Qrn&#e zqJ4TmaFeiy$l27(u}I*9N+X@k4yE}5m6=3PB zOCOv5Z9@IJ{34$v;Ep|cFbVq?Uew>~6aTruwhf?C49}kHTO19fZTtpVClM*E+iR+N z@m<{=dZ8qjA6Ls${BxXVYj_MIK*KZLE8Ow*^2yJ;gNf*nfoLcjX^nGxK!|#&l_Km7 zG{Beh7?61ExQ(k@#hp^b$YY4K4M_umepLVy) z2)=R4xzdpS&<8SJ00fz^B)8W%EX7MMD9QoH;kFIW(5Qvj@z6epR0+U3Gxzm7VhSee3^Q$;(VusT(q#a^%ur0?@(cZSc5tLKP`?M z3gcaLNDy@2up6b_i_9*pu@X(!5Hab5KmL6F?nq9B;3nfVgt7`cH`J}vxlX73zwGm9 zg`Yb@6m>t<{y9)denrNnCO$s#=4WnizrWTzLM3L!rP`r(($kQ|x`j{Q^HA zosKjAd(mj=Y7Gk~F%L}QmNS1HN)OOu?8>Os(R{6g$~CL?OQe0>;4B73@iW*ctk@%vis zRbQ4)$>4o34AXX(5pHrK%KYiWbd!;~B66x*|5fLb@6jkxgY}{?Dt4%RPH;OmF*CK7 zYtH<)#9S?K|E=G^W2Iw@Au!Bgk7Vx*^u!@(r zG~5o!c0Wf8b|ceVDu083Jh1J#qFS|An)<|vw#=Nr+pNJy4)KUQG;w;FnxBBZcw_xziyx6vEtJ*^X)uSy_9Sf3a1 zI7jV_J1WEFL{aB=h=*14Zn8N0#`A#Db;?_*Rso=Fu_D@J6{WX_35{uuoW*M%Tcxl~ zsl@k3g^;`0Tr%}#5VJ+ER2kj=OsNNgHJ^tlKY;)pQ&a|LEV%YetHC1n4aa4e%VXN( zP=r$+yQ%qn8gGc>qK6Csrml@@<4?G%0Sot2$L4I#_~2ZHuw2f3CtVtfa2MYGeL>mz zgzRbefs7*WdRFT3GVPwC;hYjYZbadtR4y*m#4z+>LE^}0_-Q1y?i{Hq3b_ZXPa*b@ zeeN@>II|LMjD}pR`{XuTB1d)?V~zf|5dO(xq0Ys98yEJ&n>1=eQShM4h4i3`H>6nh z%P)^NA!ef;S8d)8c$7=tt#=!~Bg^z3;Rkhk0(K5RCJG;U6FN<& z+e{%Q$^k8If8f=a_|XhVf-8DdFpEtiN5do7rYP=(6YBQetA zx^kTG5sttEoV{N)VQ|>=qOiztJ<$YtV*JG#t@MrvLNs7mM+{oy>{VYIzB!|maU}I zX!L{@i%pBnAyWV47W$?kD34>O*G9%9pVD4vMlpG)-IgVBjK>6UMrgq%1t|T4=Xgj6 z_dJHIRp#IPa+_qj{r2Prs&+UV@TJ$TfqL^|`W}i+z}&JZnWg9x8K(~@l1MQzk!+oE zo(!tXHB=thYnF*KV5NGAdQn(ryx-rPNF2?@!uZNjtuwg7Va05FLjTI?$?GYr83GOD zYg6;x@mZ|B0`T)v^cO_7JP4``oEl|3%*bJ@KPzj`aN47~yB)3Q1TgXE_0&kRISj24 zVWaUZ`RF3ZYBd1(X+s^HQnvCcNwysUoWINRe0Ph#>gACaGyO#INoB@`rZk$*F)CGU z?gGve>}e2D*R;VKxm2!bbh$9ec<<^Hr-b`xO~GC+8V$@6ar_o9-qM~mxIRgq&%ly#n1Bh0bo)HR}(fHB}gSCq@CZhlg_J0Eafp=W`s}bJf zZ(FLN#N7yf%rPuEwYj*PxQA&`+~#*8=G~1&yOH)!l^CFEzOXK!IKkA$0D*j8!I5^B zpLNI#oom5nMdOmkIoh@a{x~E?t?L8Y9KcVdLL0hX-EL6&p%g_!ryxb)A9=A!$Xl$G zTvn=6{dwU+2zvJyJHHDeXfL>2p~@SY-TEG*DW^rGYplY+J5 z!g_w~uAt0xMT*>f7%inK)wI!v$fd>nEUp5(3##n_rj;wOUZ6=WAWTzNew8(kFB(z? zr#P5Gwu+lUnSobHP zNys%C#Hxz49XmpRynTZ}8@l4Io9@pRY*WRR+oycU-nXW$K)@Qhl7OENjuM`YmcE+v za|IJ*%d0|~ro^{+OkheM5Po09?pLTbWkMvX!4<#X49Tgg?D@0GMgI{PyIBadY2zA) zmP?5!sA#6Aq^FYUbQpnBzehE#(x>O}-$4F(MB*^G3n@3fZpnCccXOlOQuoSHRgu4$ zsVDrl<)qF17x8dPus@isuq1c;Y@~$S<+b+FNAC~R{WBwME#kKpg6$vvC}4C3b?YKX zA0cAO4l+0d7lw3cmLwp?_v#_v2%=*f=LlNJ&+aiX1o(xVo*vfL)_AOvV5!XpgVS!= zpU=$&45pJuwvw(DaqG}t)JkcL;-+WDQ`xf(@(A}S_03^6ixk43=TM{O9MbN1SeQuC z{ta?ymc}%J3KVK)EKl9ThqS{11#!1 zo`7)HII&o}r#Bj3iRBOcG|83fDh>JZUasw;F5_RKl83CXA3p(rECG>lL4H8CRlm`1@_C76C9Ge&^w{x^s(9-_FxJJ@Kkzyv+ z7$%X@E$M--|jC zeA8ffHQk-ensQZi2yYpH^FDkC^@ZAP$xa4-OJr;johBGu%L)Jl!4n)kdo#WAO)|*E zLACx-pv33NdrGIw&$r3a=e5@-!RIUsz3fu%ZMinOy2y_ICya=f7|HgBP7mCp2}|b)$=+2lRJgPBq6;6UzKL@Bdg9Pi`j4+I6qTRHYhnhV-(U0TF{{MK-7EE z2er^0&A5^*F#QP*$`;BdqiRHmr+&rm4_{bS%1;hYdVK}jtmQp(SsNvUq7`L9pz0L9tO*|4Ne&%fxtyOR)r zc0Z2z1fRZaZQv&V5@3=-ZhU+~V+@KP)a;(?E?kA_K9vS4`~!}lj>Sc!D1JoY@xjvq z>!S&{dh&k2bcIXMtOx+n3;}8YB}-^n?_JIwAu=V$P-wTPXkr1a$4b|8E$%W;e`ZE> z;m_gRzFX0xbaMC(!iX1Al9E`5EEy)V93E8GfHc<02xQql9b1&wL}6~nRop)GqI)k9XTA1AtS`DcJpMnf` z?|G>o?>7m?wV7d}IBSCDNN^W$*?&*Szrw-}+fw?~OvH8UU*&#Njro4S=O&C~v+DM3 zUdyG{`Y-Pz6chyy1%jn|Rh~<`o?^M~59^gCj9#>vFlnhlw0Waa@TH?N)#{jYJ~s+- z0CBtn+)}F<6Ifk^^SYewOrxnfSlhc}F4(OBrIP6i9CiWRJ{J%Pv#iJX!Wwnft+@0{ zbtZJ$UBv+nXWA{Eo<ORPGdZaBfLM?rOd#G2UXP!2B3d@1zFSiwV!%wT8FbVeyrZXUc^+F z%-MExu$qV$R%tj&vwnN$BDkSKTYF|&q+G3XP=9GqIu)*6nbql6o(m)qudhtuo@6g_ zIWbOGSd4t%#v92O?~7$W|0XJOdS2w!hcof)FVQm{E(BSE)iDDNh)_VF(K(2rRJVk9 z<$VP%wc0B3wB6%L|AODb-hQ>|{FjR|4j#{wy}fIH((hUSsY#u-ZY&z-~9P=$TU;lEJ8@g=1NF!a~>>ovF7D3 zmrX^!KWFjhI;SdCZgIZL1&65p9+fVEQK$8b?t6d)m7jK7ad@uZu|K}ciP2liIV@tN z$ag7xmDt>GZ_h{~AJYrxe5lx1kza56b9`V1dZx4*t&BeM`okn8#9-&EqcfW$0`idv zIQvG}%tpA#$~fjdw>G@iq*ku14{?%r9coY?$SOUA+ z?s^*Hg+c;H!rjth)H{o1NG0>cMyK9NTRf2iBh3eMBaW8S?HMHK^Bm#RFCGI-FN!YZ zdLR}ou4_Z+mdj$tb5$XE(rUgV{v{o|sqouVRwkQ6d``U8z8dzWg?%EqjScu13N&~t zo+uT5FIB7LRjby4(es4C8XWA0kxs1?Yb>xBt z>)H!k!Yw-G*moa5eyI1tKE$MqN=aavT)7k&kKPXr0VLnNM=T7{>&H6~XBG#2;>jKY z2Stk4n7#s3llR#M^`IvvQ*P9=#1F!I-1U93d0hs2JTJH+A`-q}I9)_LB~VQYpq+e@(fs}=@zGgO$JowqX%jd-Iy$i6hVbE)HhwgaUyebqEpQsW92xdPR z?cTsJd!L6#jU)2bp+ZB0Lj+OsBJ~bZ1xFH#Qs1xWuf`aq2ZuceRW zct+Xh?r2$gAh~uI)W7mBFz*#X=XMF_Z9teM=!BiM&VQ_XV=t>rQ2FzN-ri{@q3G%c z;hU5gr$GyE250gxp4EcQH+^%codme@SR(Px=f6Uc^DxLn!rF%L-wO9hT@o_t0C-+R+H4MEUzmsfjCFsdU+k^ z%yDruBOZtTrR`ymiNUn}Td({4i(9zF2pIWEN!I&%73~mX^laQG07I>g=4ZFj8Y;K$ znJ)!yHm)<|;2$B0LQ3UmfQn(wsakKq`n(rAFy~Cj=OA@w#l~awC&dOfI_e2Q?bdDs z^zw)cFk?5LMjevRDQ0Ee!Xb>nDp$iGX5lG-o@3NO!#&#G4fQ}s%evk@tbKm}5iq}S zACtyx(q>+8NAgOo+rfhAGB`X3(JvkgZgH4rHXL`yjpP;4sQiHF^i8bsyi2R~8?-E= zQ!bh6@#<^6%i=e;+!V>=w1F0!)N6sw>3W}Y9RUSLrcQS}$p>oJSx#sL2*J@DD^P7R ztKGhh*7ByD4AS%&0FJ&2j>W8dZoxMyE|IK(R$FXfEEjD27rI~J|CZzTk$88CMy7^& z_PC^++Tza>RE=quwA#8IO{2BBT}avRi{r@Tut+}^cwGukFC?ENYGYp47mfyxb$g$; zmBwm^hQ#8AbIk!%l8#ED2$cn7&nKHOrD=`&9%v;lV;@_RV!>HKBV|fT zNXgun2wA!B^achk@`$gx&}ed={W=pcg6bZ@+X{~uIi6NfJz&shjp?~3=-xy7KH$_w zp1aE>xHB80(Ird&@|#rm;D?DH5s~)R$=(+f929qV&z|)mq6^}SE6#@yF!&(c_29RI zVt3)@ocK)S(LE%7LI!$Z#v7TPMJM32{`I_}-62G$R^eYzVC3L!I!;UUAOS}qDpWy_ zE&fvsBPW#f&LFJkKFJ*96fv(CU4-776TDm9)zy{Olf9ZIb7t6wi!r5vt1Jy3#jzd- zaKG885?c*me7-9D9bx1xlFPEJw(>-kJo3}=`r)BJ@5jrP^h@sb?t`jgqc@7Pbt`f` zwRUJ#F;YHx>r^J49dS1Gc4H5Hog^xa2ZZ|hx0wqxI;CP%Q)cLlXB2=+%F z25+hO-?W5i%JQf91q7h+Dk$^fR_!t}GV*h1{+-7O%l`+jAN5oJ|MK-D>3nV%m?VX- zGXi!S{P7wJGMFhTP|tvUIcm9a2c?K)KRR0Kzb#JGLO;gS@D9<{*I4$w=5aO_JGLvg zk@Oh!pw>U_c!i%x>Z26y!K`cu>dW)URGfms|iF!g=~CAMtG{c0JB}h zmylOi#P()>|35FEc!Lsu@qgr4i;h09pw}v=jPD)lW{p#}OSQkJs@M`xS8z)XNlG=@ z^Z{9zo885SjQh5VxUl6G2Y%(0Ep_RlAUF9MV^KFQHxVkPrb*DCSIY42&+{#-%jo8} z-MiT&x_x&FJX&jYBLCe#ac|Hc(%aMX?M(R}!u~Rq%WfkK8Q#~Ar*{f&=feRU+>DMe zH>y-54^i|HUo=FpCVnXd=S88H9D0x14*}K1Os|b^qT`2?jid~HKc7!Orb#wH8-}Wq z7fJSRPN}@~*;q;MQ>);gNc_e!X~Xua;TF?a2E4)i5QT(-R)R-1Kgl+ zzl*fv&F=0i0_qj{EN(^cD7G~5s8lAT(R$vS?d*IRg~fjjv0I^0lu-jTJIBU-N!9?B zNfffPvi3b*@4}jmMabn-h$G`9Uf`;A850=c2vJ`oVGxDw-EO_qA&U?<3}mM_bkE&N zD}n=oRkGsZ;xvAbI`dq1s|6@(c_L96dVx!Skt|-Yn0d|`n43A-!n?uPNnA3V^|la| zy20A@A$2p~h_958#2s=Gckb~uX%|u4Z*tISuB$^&bhS78Ss;c4J;FxIi)hd*$?di# z5%-lEsryr9IUvro#)Oa_l~R6iQcd`#A+a)Lr0MNk)2b-I{AQBkVrv^&+7`!YD2m)c z{a*^`KWUm3@MYzlj=bIEUsmWzJpwmOeDmb5(O_G?#6|bJ6m0yrJ9|9lliL1#ImyC2?bp_vpYCWGElRokYwVKU?Gcy*C|8SxR`)+73+f`Y|y;Zxr zUovWH!pf_g$rz9qjk+DpcfxFRxHFzL*R%Iee@4GPe<0Q|cvZuHwYa(G@V#76wI+EL zCt1qKj5xQ`>+G@X^b8k{1~YYmp$I6h^aBN6o=109Fy0^Q4{2OpoDe=8Kmp#BjK@|N zt0cMy3bjg&kkT4`QX!aTyNz#$$Y3ytfXg=O4|Obpo^cUi_oz3hRHp#ja{zqtB zcFOnnu)dVPR#%Wjdi7GGS%SV$(93vXa0tDlg9xHLNLKR$$q0u7F5e;FYK`OBw{BBi z&wsi7Og&@puMQ}xmh6F}k8~}m6v)m7JwDOy6v@B`x43BO5a-Zzg;r3be zbxtEg-=X&m4;JYP%eAiaua!#4VL{-$G*09T&G6)aN7)Y~0`_m<-?zqWQLYd$K+Z-5 zCyq?`jiHRr#c~03O(8zaH6jrc37WL|saPas!frbUdvZ9H$4rgEvYn?f23g`qAFC$R z2wZ3^-wWi2dU-LNfe4p)I;}o;xSV9D>-@A~%a|r;5^*IvWxIZTv#jh;eIbXO#^I7s zqoX(!i;E0HXHnB|%=hE&@*(tUvxUWI>)=t>+VA$2$J6DMY&46D;-JM8yT-9}!{Nw#IS+uy_~!V_AmwO&)4 z10Y&C%Vg?jgD$zJrP#)}90OlZY)1^Xc!J!3H7KR`%3mHMg}Q3Q$2O&4 z6GE`K6D%w)B;(=Ll(_&=a$jU3`d~+B9BR;fs3~~HNay_AeZ@j~G!29nA|Upje4@)q zl4HyrlXT2c(e>?c8z@7aojBYSU{VX_@6Gh; z2P7WJ!LGG9)C;qXaIMC2gw--N>qx~QH-ndF@#!v0NGBtv$0uN-9Y$PMRv@CMuQkqL z6i+kJDQ-{=j)9?}`n__XANf4fZ+Yzvl6Qutk#PPqKEMqkfuQz5JqoYq5^6*}V29g@ z0`l&BS;v}W?$AvmvkPMtNw-tvG6%e5!%7(-6BwIWzEm}342;3_>!yorWiun|SxuyM z5wu;O6U)p6>(U4lX}EI8W0%q1`N$LVjY2%ylFkjm8+#?^(hPgL#S=N!=dC;}mCoZqz#yb^gE9l$+!!OPlcemK+jBwZix6krct zyVV(Q6s?I4+})ZsQ_~b@pLQMU5Z3&`;~v&So#LkQ@toi%@=8T$#BZc+1it^e`^abY z?EL82CjCCW@GTO6B+7GZCMzD+9oth-Zh1IU>dH{-v!4$ghKz*%;JCep$8NcVs#>nn zTSc@QhfZ?KqLz5`??|3zsahW4#E6=LrH~yF4cq@bU#^&^4c%b90g<6z4eJM60YE&| zey6T&lUDC=e->FwEU2UkK_Mo!KAUNUMASX0N6_!Zy~|`bIPl--)HgAtY$Axadycbn ze*u?T3+ex*fUd|9g!E*VwNe3Q+}w@d67NZ7x({oXnBK_{Gx*N3q!=Me6^JceiXzxA$C)fns#LsFLB zW&3O}Eu~$pKg!)p#85~+BN!d)ypVb*C<_IdP86wfQp@5a@bO763#Q~7TGQ%a*(+~z zmG^M=)df~q2c+f>%u>QhKc!{a_u-pa65!t$ufNx*H)Cs9`hswCxU^BP*`aEuC{S-H z2^9%{ZbFj{ERzHT2*Set75i2b`$&X4a1#0H`4nRd8bt`D#k0LP`UO3kf6HQ*2H-fds2Hd1e76opM{-B6GHn_oP9$Pl!p9~E*cYHc~{0gFv8C)~N zijN9&i-(;?6!~R~ntyIIz+GUcW1$!?@w=oX)ONiMu01VoP=tdgK7pmN;dY<)IpnzQ zH}G?9pafooKY=h96uXjXfNZ(Erh5^^k_?cE(rWhQpjAuQ(LX=YVKx{bJ6nE5Y;HKy zH<6o~VnVNFfir|q&nf(-kFBGODmTJqz|@C!pI|@1_yx`wWtT7Z4?5 zR6b5AQiUCEB-y;q=-1W@QJPowA5ihE3SxyS%HnKzYSnt>-wG)SpAg~`cJ7|)^@Izx z>(#atZ;K}!o)V%Y@OngC|M`@9N1`nUIJV*m@a+tl>xbUAYEmWS6f~M+g4qF$0Ey)X z3~=3qj>yxWV!5EqQ=G7*)aqZ*+tR@#bozhG!0#pI4$}husd#;f6d9ej5?MZz?ozXz zGz&{Irdu6vx)tE}zJew%sw66wdxD6+IcB^2WhV|QkemZKxq?}^OIlQ#L-2#6sz5bT zE&r{-dl~$FJRuy4#soNlS8iB~e%FSmW399Q{uioo_ayv&Ex-pxgkM%ts<*52MWnsj zwQ^;t(FteAa0e)`NsfJhJqI4eUuTM42(;Tu|KqJbJ;46|;)gwvQP008;pzEZArd1& zs2WdPehs%Qukj<2@y^eF_-=D7Xz}dHG20@_O2(|{KN2aCjEX+S*lDto?x{toD9FCT z)gn8Dxnse7tOnS(?IG4HA29P+z=uX6U(Np&J$h3Bt|6fX9YK@zk%>8LU4Qd@Dig_~ zq*s%hHA&P-PZ0PmX(16Kiw4Jg5w|<}{o?Chp^g-xo0<|{2RQ{1(}ifUx1D1=I5+U< zg`>#H4#!~1`l@f>mvon@;Gt=av7C~S7Gb`M3$?MMiVcZoi%auLYQ2R#MxQPuR8;54 zQ%mV*8X+PjmnM_NjdmFo9naLvKwWZIXFS@4E~$2-9A!iw2p!KFW*zy>Py&5+7_gx? zE0mDZqL&T^m7YOtlGpT_SrX~|9uV~OGQ;omOIpEO)3!eJnbpx0Ub#E_e;%$JQG`{T z`4j%|glEKvY>LpNKd~dCf$EF_^VvyIRf*0Fnw=QWcwWF8UtYO7#IW$_!SF=tnJnww z;_D+8itEV%{~RxD!m~aH<4pwm%o15nLD20G@|80JV09-h33Lgue2`0-u;0h|OfW{5 zDMm@bz(SOMoSrYYw|5XmsdfF*#~H_P^YFVcg;L4UFb+elKNLkBe1$we>ElPAh!o(P zlTt?FQiRB;yo>k9F2oRA3=Sa|u3hVG_^x^xeMtD`NXLm`M9&`-?w=4=G{jV*Gc0#p z8+4y%Oj%$(FuyP{*e&HW2aUifr{&fHZ#i%t*YCx*)=2|o-dE)`_p>z2*Hkz{DK>ALC=rRxKuR}%yeX5(G05lwyV+nf3^39 zxx`=`nqtQb-NIf9smBDGj`6bL$s)s7>F0N9Mdduug@q?w zMK@_uBw$!zkz2xg<|kC-B;ozJY_$)Ri%pXl!HBeP zPh0f>M~naA8vmi^)V8~tJ|h0lHI2Sa{~^`be1lHjomHQaz*blUSSbZ7Nhg9UMLS01>@ecf(zNzP|o=^4)jx?yWS~c3?k+a5_ zyStldzrVOS>Lp1p!1*=S{ zoQ#B71Ge>2}T$FnN_$TVmR!NLJZ?87bSf; zJ@x@oQm6IcH2;8b;hGGeOvU_Vu2YU#WiN-e5p4^HtmSwkFw&!1H`=8%o*0GLio3qs zZA(6*D}6U)2oP$v8a`Dn1A$Qmd|Wj;36Y5jQ7Lc1((S0b6M@WQ=A9l+;_B)d_RRYV zu8H~iuM$&5;44H|pw#=73{vPG88s)gjgX9VQ>;Xn%xCmTnZtW2TTxpg`uOp0G7 zMlJey1`&BjDd{-je)xVmD&ZkMRoHcUx;=}ar|z!x@=@5BfGUv zjzgt-R`{SIC;9MRy>FZ&=#I~v*S6h~Ca6g44|B`zWOA_rgSO>7Ha;chD0GifjI@oQ z#5YQB=_15CFYtBiyb#p}E5xf8P@kXAZD-?=QVMwm8dlgA$JSMrn@N7Ar$UBASQHxF zZw%e_`@en18a7M&Gh_5aOKX69pcxONlyoSDda9)DLT zvPns2)|1sHj2u|A_u)FS{`~_2qpBmP?6^so*wB}Etf=n(q@&Rahb@l6XsQV&#P^Lf zS6+(aVJ%b|K=04wU|w-Tz9@Vv2UUQ-OCYi^KEAVCHaVOU7% z2=-bxqf7NgCY0B3U=%Q_6Y)wQ1V~|z&`Xd}g7HS>N4Q6L<9peul_FX@W2L>tF{-n; zv34i(+2s=X@wjUZmBo{FZ$IVL=(pjjs0Utss(+VfI}1Ac3oB&tjX4Y&pWfl5qLmXBee3LDYLRe1f3iMr=gi&gS#zR`owR!2J9V+I;I?YXU2`{)ti<%YKp}1qojFl58yL;pCNCO%G2E5Z?IE=wqm#PFc{QvAO|y4RB%hr@g^9-HU(kM0qK%KEc_9D2Fti z9+I9uc@1VSgtT-%`SxW#lb#A}y{5$n9>Mim1q6#6zE0!k{mwHT(Bfu3t95&x0Mt-M zrNrPcGf}l&?&L!}kZgheLDHF`^{LNT*A0odxzXRi)(TvtDBj1-D@ScO6{D)8_kos~ppi&!4)U`S6iLJ#CHPQM3=6zj`fHkpqYoz7kMfBky&oGfqf+?zxaUeL0mb$Ub(CCAJkez+gck=j>_d!svACj#z_}i#R^q@5AeUNhdPGkPEoEjY#bVuD#(EIi;EgnHDi~V z4#~$-0Fd#MrtZ_0@8*gH9&mmY?%Hw+!?{FlRdInUy$3DCKbSRp<%A%x)8im|6h%n1 zm?II5_DdXVU2Uv1@1{~lcA8jm4hs?TIia$)a^$n;d68PDqUuX7pIp@iU1SP3XjWEO+@-`;M#izy}|Q~2djX%Ck}!@^BYLCsJJS=`o&?k8+64Z`BZ zZtkP8;}B@ZoO>yvBw6hC@Clft_3Mn8T=k6=p6VQO+@@A>6%#ZfL9bAzUQlETM%f(q z9d%?%0OUu*^iW1iZ;KOJGkn><%&(X@`Y`FtOj3|;qiGyXw*UAa zbbxH2FvHII5i#W54f8ml*^=q7@9X6SIc>2oJ(a5Jb8&{Wjaftm1_UxrXMUl!qW-8d zLnK^uu8aqCIiVPEu)@TI+=rV>Nkd1X8l(1jO$FVkyI)ts6KI&Yp_2@@o%%cpl5zM5 zfhWuLaLX0TpDg>@!TZX+KK@U6H86{>KWQfE00EXl@dN_FUpZ}mD4z21h-|E8$`G$N zCJo%vybGydBC#XI;?qJde*rdqAq61&Z&?0LRFF}b5*Ra<7xn+_;7|}SRy|HvZqa~A za7hyg`Z))QC_?G<)W_Pqtiw?l?U=K0Hj;{5st4jioYWXL?f?99Qhvs4g(s$Hjs%oR zra9jcxfu((;yC67V56qpzSMqx$b=Jp&R|N5)d^Y-*$3a0Hh%Nl3#Ir&QQTHa@>>X- zRgqO1ULKVlEow0vu&pX<7*H$#rhiqCnL-_}qgh3XVtJbyuMhp!QR_zAPZK{=>bw7J zRf6)=R8_T&$u*PKWOaYmi%PpI!>?GEc7va6sJhIpkDZ!tm>9OR;|7@X|Fnh}v~f!k ziYFOWZ0BRbH4lvAxH7B%g4hDT+raZkBR7dPo`*Lh3At#Od5SJ}PM8_Ov82@e`#)^E zpcY{MQcFsT8*uV-2M%K3sj-Qkn0B;RO;_)d@bFzC=tQND{v5BD{kd6=;LT?x;-vv$7TJaB$tHUW*fNq4PD5GC`Rf># z1ne1R+O!uDu9DrP^WBzI(}Y>Km609920aK`i%%Hb0*@+}6>wa;y_1GqT%FRJs}d$C zfx^NxKAf$Su1@z1J2#w8_Lq6N%)s`%p-In7i(#)~lPzPLV1%$F(=;%`j;~jYDW&tp zV1*_By}FOtDC=F4294GY4G;h0BUM1}CbV(V17_rW-*=sZE<=-VUB*dsww6+p zBydG8Gr$I__CF&-2v7!N;jQqTuvj%~*k0o5Dc5dD1l;6;hoS8Vblox8VJAmG2zKAV zON?z@mm-N4xGxGJTQ)mLo{$JnKA(Z%3Bu`~Vxi*o5*i0jlOzhlW0P&@TAYB3-}fPZ zLpSg<$c5sVyNS3oj#ia4ZdxsE}Pa(i9qO53}NhHu}l4hWm>)THwxjJ&lZ^iie|M zv}n{CAa$agNNG3OH_NL!vZrXm(wn84>!n%yvh+M{z)SuhTHeE@zR)_M7I@QL#a-3G z<&2$_XBGP`YSe7`;aZSoKiDU9xxWnoz{GaLWps-A8Q;cNbaLX{zVQpD9APHiS32OTe zph(_eyLN^DBizNjrnr0ev+Gp%N-){e)`dTe9|Yl<|F@4m^Ab}Ltbor{6Ogxab!x)@m51fNN}Csp-akh4}tFM0^*-q1DuUgfsAiw zYrJIC(;=VF*Sp0x3SmsKB4of2=VwBV;1C@O!|&g}gCmEwS_0n#SU6H#`Xyn*4>nr! zmxTNdzG<_n)Raf|l-EF7{$MROT>Ng7C(mNYk8*!UP0JTY58I&CqrJdchQBPhH^BJWElb66ga;tV`-w# z^hUho3>=u1S~Zf7#2|24sqhwvlIS86T3FbK^&sV$c?Vhu$*Zrd62EjpQ|EA{0*Of2 zkK)UNx;Af-(}ob_?n#(p0Y#x9;d=XN zR`+o2;m~I{$F(+6&Ge_Oi1T{uIkHcm9*_d{d%O^)zH*C)5z|2irp@pu$*{(*f0Hn1 zJ>A-6bf#FPAt zaWOH92|6yZ=Id^B!AkbNtXJR903-tQX{9}7`lBNKaH2>E^Ts$n1g!*Zw-#P;t>YBq zhf-8hV2lHt6XA=6^qY_U2D`Osc0GBws;b2FN(OSp~~ZNaU|*TI>yx? zm!-~$F@8B;{IlPuYu*&KaFPs&nMXl5GxSj?{k#NocWGe29r6*Y${D^5F+)kl*#*c3 zXgy?=r1sNMD_=Bk`(r@7B_CHr;l007!F&6~lDGyy14Y;GM{i#s4ma!du6B}w%OlB= zk&$74{SC3=9fWVtPB2Kj8-Wqhw8Xemm+{BKwcF$Vb`C2qpWSbfAOTe2m1-2o=iWgG zgVSbzRSmiV&ORAR#AsQY%@@+dAYksG1gtv~UBWM-i2rb888DP0=@%AVA_uDWOk2J9 z?F>{ZJHG+V5R$$3X7Z8XAa?_8eD;@r!lN1|i`kmQoYB!a4k^46Oc?LHZ@fgMLQs{E z$;Q9fC?>rwb>q%LVaWudFKX;~^(hzsI4cx99_>vGBrp~R7S9`^OSpY1{eRHbVXSX# zU~EW72k}^N*FZ{dZ$61EO8{Fun)$7=ra)s8bieAuc~yK?4n&1nyv;aL$-v3gmX&MJvv?5XqC)J8y9p2 z$FlvlJx08muEZZ}BS%KB-FHEsNaLSRP-^VfgsP->oqd6;aW94}&ejOc)R2JI76rYv z-`$L7WMle=>RPZ^H*kTCqH6jC%}&(KZq}qQ@2Aui^p&#*KsIib>$%J zvU;$>x8snRR8X1hO(k4%T!e>~1cg@2)fNG9vLbSt2ynaE2X@AjRhm^bnx5MUkwHfdgU|{ zB|16`T5ms;grY5tN6jj2QcV>mheB`1vea1MFzC&!ieh)%j-2KVwt@Ie768!-LgC;x z{u^v+#P)VU8A5Eo65WRj6&N)Jom5ARNWE|+xy)Lkk~;z5CO6SfpVO+P$1`q~v>*YQ z=znUBn8)M^oPJm7NCdcxYfGay)#{qBTOKWaSX*}0YyJ**lxK^*C63z7%FiO-U+!6aeSd6wZ!ertuLXWG zY4oe+2o577qq&8Zzcx_yPVizbI>mJ_%Bcj4Rp(?{r|2 z^fz-ohRcmy~ zL2nlZjN}lMnF5)yG^kWb(+=A9MAYg6U9KRYCYoLq)I-P{aXE}d3wasyqB#mXcUCrG zK}sq9#uq{#w@@6;!kYgOhw1PC;pqq3*vKsCccUR2$7t0zga5C1t0ZQ~bB#hC{?)~d;rh+U)cxQmjbw=u z6Z@7VP2-Xze@Q^KLQA)it>4o`MoIla=n7|<3V2Vk8Y<|`=1X9TR*XaDhw6w)YiW-0 z36(08O{FxHkXT)o2a?KXF>}I9ugV6TANuro73yv1NDJ`@@nu>3QIsjQ+Q*Fe@vNGZ zsx=}1nR3;Ot5a#2s!{549m|2f9daTY9w4<6>i*t7DGiV0L4CHQXgT^=>jhim|%zf=nSRQ4K3sL<{U@osT2p{$_JXoZYScXe4kvf?;=|ri6xA< z+NCSdfCNNa{SjJPmNqzEER%U4zMZ1a)}6twT&yq-jP>j=8& z#QDHXPTa;jK5bQKG46`Bu=)q50B!5y@_x{rk@1Bp8`$RjtCYv*1eeSVQ?r->rg9e) zfaWFA)&~H63y}`8h_#6&4z7}Z#!Cnj?iIrm*1=WU_=;!JX0LhH@#V-&c+1giAp(o` z7r?jt#s$*>yeNkE{Fn*zmwa*)ixwfUcbN+>{;C*z#Ih8ZKcK6wS~Hh#so4`nY(RK& zFFz#<7T?Y&s5UtwcX0bq%lWw!rmzsh%hB{HUFwwf3coplEY=%U5xzNlfTCBWQ=-YF zy!wLoot_SZM8~UHUbHv`vIcU^_&v|Xehk=t8ebr4Y`u;+>M6@$dm+G9?1my#UxwwBn&lRW`5jSp#Up1Rjbl4NzhD}(UqdYnYP+LR_%W! zV}ag7mGMvh+YEn!NM5FxCk7#whx@v*1x&qm^x50_Y zz>r^~N=1gbKL4~xcc&{6>QB=vClb5ZsVd<8ugcv&=z2K8X4~~PuT*o~%DsmE z<+*C&0g6HcSC9w8WifbeU%Q#t@uWlc;;LikJnfB~UuJE@O^#`*^9DoPY79D} z!J`TIC|cB7^{+i|{!PzCgz87-#=k*27f4qajVv}c)&<^;d)p5sF=>b!-;m#b7wH`c zl(VY`ys&(4aDE-(De*x(lo7b#BZQkF04!>1gk3pJ8&Hl=ny&6S&=}QpY13{`jaiUWW~au33H7 z*;q=zpRu~*z&!)XZ??naj%d8B~f zONNTsraAMMH@}-Jv;e{jdUe}Mf(GmQmfGVyJVtsmL zLi^TvcNT4TU(?SthCJGoP@s{oStxXyze3Ch%U5^V+F#HZFHe~dFdqarH7bo z423j79C(z4yaN@5C&S}@`1y!4nd6ww1~9ShW4tj*G`g1fnH!1<8TR^z6bu%7t=y&O7r5#{d+*$T=>2rEe1q%HPc&3@ ziF0|7$C!k`-v-UcogXE&gVJFssgmZkF7tL7>4O$}ku4PIgBJ>T5*BWCy42wlByRr{ z5pFtKV^A)^di_is*w>JXgob$(W5*TlN_NP5 zIX0N#r?8H+g0yIr2G4-gGnv?o(v=QWH@W?vGC$4jZqr@Qs4!MVR9b2!bpJ`R(lNJz zC4{=>ly4E>^G%r$n4Z`SE~pmd`8#e&r;F8#4S@a`XAMr1p>01^AM7*pxm3|v+|Z?~ z)>K$vqOgYTX6c^7%fbuwoM(gi7J4Xbc(g`6ldTb8Q1Q#9f6;k;z>Lq($S5NEHNqlB zxkh6e?yoxEQO9D6(pf)7Yu%`9R-NE7Cqf3E8T#Vr!OaZsU&R z2PMNsKNImd|F}Rw0&CRf%^$hMn#Ec%#5%>g#(Mt49WUtYL~Kk~mq>ezB6Y&U3MR=_-*W(QO+YMH72V8(=%fIs2YYSNn+|bvRLT&R8Zf*e&|C-eFZZE z5dZhuXK!sNIRqmKqc5j1>Zun8g(|KmOO1eQrhx7FXGUf zAphttP;pr-$05@##+8v0x93Ag8)@G%RuEIMBh$9$_X=t#qUU}k<`3)4{#$382wKG4 zZBI!qI&O|OM`v3>eVfLi;bHxgYXoxXjN++3H6&hgIjxZGz5P-ya1&*2i_OEqERQuFy=9FRV}h&uRREi?DN zMbrPOAq&gHkP9&BH<~H4lXgHJ$Y@eGze2oHsh18Q4nHV+gTgV56$fHwJrof3@u)WB zsH!{Lr?e)ZKrDALvZBX| z!ev%EAABJse1<26KOaFK2xf(a%dHHz?_T|Tl|I5gJKG`Q4-X?tdx9i_0o2<*l&y{h zE*~i&B=UTqLeh+EBN%)iooZICzXOmxLrR4po4g%KDLJ^X_As)yn&o!b-Ru;pN}}o? z{(5@GuIAML6*(!Wl z@4XG5!zZu*J2~?Cb>tWKhvAL>WVpeVeqH;(l6OSGj$72x1VTaVY2<0s=Rq7udP1Nt z+KgpY#>e~*`KDElm&r{@=Vf(1f6v^ZDj)f!t{X}tE3bU_yjBaPkemfZP2xuh(jWDr z!5pJ@@v8*Ayz%Trm)y9cp7Ff|=e%dGI)!?tgwyH0u|Q||~>ma1Ej^WIJ`(yacd>J#X}UcGA8e%(oh zggd}j`rZtzYM~$gULM3_Pn$tKZg%?U%jvbrrtOE2dsyu@Thd{>r;!?!FV~urgGkvp7xF)rh>sCh zN%Y#J+Q@|rvie@s22K|cuBm?5cQ;u7>ioiFL;r8C4}oWbVwY7QQ$T8h@k!~#4$CS2wVL-onh z(gJacY>H~NK~=TfuOaSKCedCtAyPb;@Nr+|dmZha0leyKk;GN%=~OJeNldUHp0l}~ zDjVDUufsQCza7`I!yxg9*&iz8z$#pBTaH+x9!V8l*!!(ow2)HH z!xTMF@LOM@L@TSyq{hke?Puh@b#v~;m@bYTt43ms!~7|Fh=l!a!Z`GU22}esSLr=SJ{WmgcPk?k;Mz-AkelbOqcFs0N z9Bznl=;4DbkDS*tPR`<$=osgUR3OHBco7W)hBqeCRIHKN+lkFXg^B;mdNq41al>X4~C}mVJIb(0;?WJ`9>I6N;Rrtm;0GA&E{l z?M;tyn=}FyxVEvUa4ZaBuznPUzq}b~T=lH~w({A{_Lf}|g&-FHC>@oUfX>uIh0lax z3wiXiYKg}@`O4_6w~y^guC$LXdc0?cc+fb=LPZHG5wMhog%^`ENv z{%2ZACghYKqe`y}(;&bx#>D?w6nx@61REClnz*c~i&tfz-A6ZoH1eWdgld8hb_n)w z>ocm)UqL9zHOktfpo+1>DJ}(fSMYK%8b#GOte8lj3$=M|V-~(ZBa9TsyJA|B_K{;! zC-e?yTz<{qJ*ILrY!z`crbv{?kn&2)O`}+mT4UO}L-%YM(Th;wKf<@iIE9A5)2SU( z2fG*>cFP}=_ZvkJG1XF!Ge)pL8(7BHsNTV3y@1CT^Z`s|ZTu9AKig#+F8v;9y6Q4N z-lE(PxAJYErSna?Azs81Ab?&(zO{Qu^)0P-7YFsb`OkfUWjK@{+gviR%@aFWsviXs&rf>eA_guvrG%o@;-?Dmq|-6bmis$V4b2;x(Y=bVW*T0O9Xy1>C$Urnal-L z#^sm3hk^#_%tmhs$0nyfxqRT%;9RIRB<7@B=$p%xQpwPbd@1xhX>W(KfK3%`7oON! z>NYEkooti0*Kb$Z@%gD)gLtcUlp`c5<^z?MV*r)9PFK!f&*X@^jyfv!AZa{OjJNG& zXaFvl7O(%y3_>aLObD0t#CmDC!0B>Mf%WdtZa1DKo|lag?7Rsb#W1k{Obb`oJ@$}hLCs6ox^GjSPBLIixIU^{VQ;h{DtF~y8K4HziIFnY920A%SM+A z#_h3mWf4PGH~b~(eBYoHSHi5jgjV?r-50OjR|Pw+uqV=vUJfEDy-4U*98Hmu1Vme<@-K1RU$^;G-c&N!-Q#(B zoCil{e`;>3)dkcfZx;c232B)C|kss6uHk!?}GP+VUpBiqPhtKT- zaNZ6&YnTaMN_wh2XEqAdo)xzrwn8EXf32(82U zI#SByHX||(F&)0MtF0~AYs(sVTRf@+(z#&t9g>%+)cZ*|zubLU1tw>xon$wJOl(IK z2yc%4B`=JvdSI|OY}6spYd5vf=Lry9NG+bEU=Z#lpzE#tF+IdL>qn2~P4-I0Y6oI) z_WL!WP*BGBNJYW)sWD+%a`~ghoSg(SE+2mMspzz*HkLc=0 zC--Ri-=eyQJJZQ-PMlvHr6yCkoL)N*2aP)@R30boJ#fvV3zE%fi*$2Uyk7O-Cw(@iMstH6AX_=P5Jb`)@o-Y z%eV(~sFl8Cb5bMG@c&!>`dz z+(bzo;WEjGS3UZfr$z_cz_=x_tinA^1#oO?PwX5p9Kx9tmoJd7L?k_gZXEfH*S@Ra&Y!ZxpZP;M<-?2a>;@kCF&fHL zem|k3P>@A)r@w8-S2gk`S!5)rpjGb`+HIWtY=gdnevW-FRrhUUs4Ox?O;RBU;=x|n z_j=yPj-Gf`XR%zxZF_8wI<-`^Jm@auR_`d^j9?WuKA0=WsO2O!Xhm(gDRY4*#>vx< zET^bgU+?fh`f?;oksQ{DL&n6TXy5yC#bz5@{V}zNPTSLl@ULe_-%&E0QlVHo;`W0< zwkIib*f<}|Qe|T+Zl%Vpx&$6&z3ypfmKU%GyOMYL_qj}IFm9}yf8#vTzLkq`F6c4T&;Kz2>Fknd_UyM zh%aC7B&vFfy!Vr}4eb1?XH0KfZdVg?Y2r%S9K8su_nLx1GsJlo&!wjYm8JC4;QxTq zR~?xq94B1qTXIqg(I)EMeYcFIkFK@T!%IkJDo%=mK_wR9>7wV|-K<8wLL;X{5tg~x zQD$~r6;Z<2??I1Q*Yy`?V)B1-nBv>5{m?`&iK$R&6mCA>&RUq@`2Bh3|GdFQa8A%0 z57C<|G+gi-T=^?e`B_YDrXqvO{Ru?R4N$w214wDEuB6f$iP5Wq(QF8!Sdwu8OG7f+ z6)1I>f!9PKN{$KF{H9^cNvgKRclR|ZG?}gH%^xFxg0{OdzgrwZk*=866 zId`!zwLZ(0Qrmz7 za{MXYCBhg2qC{RcvkSu-@Nyo;hWRhhmN;~wdYc=WcCIBa9Krv$5jmeRg)F3Ij1AaKK7eqVkS!;>_e_9`?1=pWyBz)b9*i00hfWlU4F>I z@sH>F8s0U>zR6O(2@Q8mPTx}Agzt843?_xIx}_mbnoBr0LxAx%6nuQ^3mIa8xRvp3za)RRY!k2Rgxw)l|8$Wi$1*Jv
I)Sm9Xl6O^}bNKNV6$PTy{G7-v7>vhnH zuFpDo@6?{!NX;KO(y*-rUcqryoWJL7J|4QKIJF|% zEgX+QJ)rQ<$LsuBbPrmGO0Xo#i`vvi$Zzi+I;9e_dKx-}zXnoy{$^hk&t7&=4v_Y? zZ)^HUn;FU*ijuX@Iaimao`2*~86z`FWs5@8804|Tu@bLJGy>5Fa5M+cwSb~?P-PV|- zHIP&(a0o)j$B9_IKQp-mVP$aoaxwkP6G5Hn!WAJNAqCRhAc@sv3$rnH0iOm4$59M` zFW+y_4#DVjtD{jBetJ)+_ekPDEAb~C4>kun;&|FU1aF)U$>{jRJlrdOu@Fn-sG)%x zn|G-wNV!Qc+s^{r9E^5+9>{0dKX|Vf3w<2O`|Rpee9%d{TY=9e-!;UgxE8fTNSJ;z4KIt2xmBBBApq@~2(-R~8 zTBbrkaE2^E$}~mymcB=Y?9hXJQ{Q|-y7Q8My< zJ>(H83cpQw3!MTp4vs#G?sSfQx?)_uP{|~|A&2bSe+x6epX*yjDani!nEfo*sI94UVDT)?$^_jd6_>yZ42L{2`ya-qj5pCURQvJ=zrKQ1^B3Vb5QA_<>uE88c~Sr)Br~Q{fmbeAq}(WaoLLR+*#O=n-QEivuET98r8hk)-L~ zT02i!DV3%0jz*ofY>%Bc;tnzqOJ6QYocYcYBpPdTcOt!^`#-~t)2o+9*B2%uoqA_8 z(b&Cdh4zc*nuoWE@m&I9g4|r^g9lbfLKX(TW^KP+F8`R@bwLJ(3@f$#kYIe^p=6%4 z_cqlQgPE;Nj>So;$+yx1?oI4yv3KK7nHk$}<7zxD_LNKx%gEQ+t*B;Z32xkUGsA=k z$coZ+)Xn70ud!DF%g!=;Ow!(`;R|J$MMiC;;|mfi_l6#^Jfk|LZUQ!0Q@-XaJR~u9 zR=g_N{ry;mPfnKyL%ikHt77$!@HuCK5~WDXM7Z%}BWxX>L?kduMtvcE+gmYWN&~bb zR4`ShC$iL^6qLbXHflyxdKFrl6B@HlO#j`m^c`%K{NCx^EB zXA*)lA+d1wRJmt7E6P{~0~pPEhfu=U$JpA~#@N<1D&v>bb1QwO>ewV*lDgQJZTw(q zHT*8k&gdBY7E!zXk4x)5-#MsJY_nDPzr$&UMUW2Ae#r7PsCx*yNujJc!Cj|6T-kNMewe}{px{V6TAAz zPmz)!4hTV7J;Kv{&%tKOo~V)%6jF;)2m;ZGq4e-ZP8P~jNKly^cT}4${tG1rPtLKA zDa}Z^X>w>DR*PkZSC$^26NJCV^?5j$WR@y&;L} z!0+S@xA*K-SH6m+Vj(OI=?BZKL*) zhz{xSeMdNzlJ3FzG@-n$i41g0AHlXb0ng^JjBTM3VCt=|t@e?Q{(y(CXKqh&>Fygo z)HW30u|&q+mZ3#>DPFhIu3!aZtL^Da7z{4yZ*h72jYW$E_wyPNAkVTB&<~Yo9IGW% z;FEMafHv1Eh*pjfnwSoZyp_c)hJB0<3f{axU`$jf&Pq&)bj6u2vaqu;od^nn13$*# zm_li!5p>jOTl{QFE%maXAu`U-4ZGRF+%B-Z zB&(eV*q9FL4WkowiH-3M`VONRK#7eYTv7WKVKxPBDtqClU}IIe_}!h^=u!ZX5y=>G9M z@dE#WNxXF70o$kQ$i*(Q-&XZmHNyiCNV$~u-;h%3mG>w{ySlRAS*FUdsbY>A!hbfJ zg@i8ZGc&Ci0Al_!{$PvEGh;l2P@OBtSymjt{50m3Fo?3Ut*X$P84@fA$gG}dE!;*y z=S_~1$HLYUX?Is=PMd$nldDinH88-&($o@ptlw2>i3**vuubhx2thE@QU_#mhSt6q zR+WDrPp2LnlhfrrQ*-%sMa6e^NV9_UQqz6?WCam*UDAhbiy{sKKS#I2h%Ugo6+c<+ zieWu=&+3y?4+-ChTqp#768tQl;!lutXn;YFXQ^smyrl9qzQNK;uAYL~NEgj(2|j94 zz1KuM+MEA!eH_gMB3(lHoT6Evu8gBfrFM00Os!R{%U>`y8T7U9P7LYLlg$Ci%`K!d z8!qQBL={C!_)eKxD~(5{2Xq;4uqfAWMg*zmWOG`1KzjZtKPOh2PGa#;4tjJId088W zkdgahF13;JlT_V2Ji{=ylkJ}SQ(oD0-kr)-N$WhMy9y6fd>f!WE8{5Ix0YsI?$b0D zugT8OcxY-PylBplu$UNL)oLNLNCnXt)kFPu)K-|8g{!YWgy{JY#Ai(A>s;I1razF9 zvfhC9e)U3$x?%DRgRQD^g&$6G1+PxmfD*R};}si6>?59ON%X3BXV>_7LV*CUYy|pi zk}5qHq(L9z!M)U0W6N!s8Ls3!S5h{laz?3hF%N4BL;^vCuJSy*6=|WOJGj= z6eR{e0)d~G$YpYKiL}nW0}(d&>!%_S+5n!}PFDN6h2MvNi@0O+CNzsbvx@g(yaN18 zCtHxyAq}qwSddsnrg&n0KL-7(3ZY~Hx4_6d-_@AosWu_#9+{qD?Z1VYP5-3JKBpng zP?bpYNC1J|HoCKRD%xSa_)daAUSwB+y|zj1>HnZVhxiSGQQo}kf9xZ6{5`>ayTHiD zJ7O@@|1a(l`|bB@nf6rAa*Ly0g?9JTx~&SA*?Oz#Ee6W+e-xglka0~4!gNLfzj)K} zP_b-NepJde)nrIS9Du=p_Zd+z;Re%d$m05EA_33;fWHXpPuq8x6ABU8*4;&%AZA)- z`-I6@fQcrlo74>61Qq$n4W`sh==H+$R^jKz6ekDlj z(SH*1P@^McA6p|&GXGb5Bc!F}J&^_Ia=kZIuHVkq^L)lXnaz!Ic6Qd#oy1ZgUK5Ru zhZW`@fn4YuldoJYi^YJ?jz-jhQes{iBWw(gCPSjGxE^^BL|m8A)sDtKF9JtT=6sN| z!p!QG+QWCH5ablu#%C|~eIOGCix0$yG1C1GhQgE>E*Kk^qtJ+vKT$D1qp{yqlr6AN zs4!1Zeljo@rQ2ZU-wT-+QmbHC0ve&uKQu%tC=RScpqHAYW)6ot;>o%kI}K=;+zD$3 zdd~TKBloi8y|rwM^D^XKLX2N^Q${9AXwT{66q&?C47O;4ue=cbe-Z!Yq2itPWk?nV&;6sa-9>XEo%wv(?nRjgFIEY@86;!InMJ9k5z!^b}#qS-@f2~cR?#_LbzwCMxXCU@FKFX?6$ z;4@4MLw4zIj+JueHD$iuW%X*gr8UW69O4cm zU6s5ag+_y)z^yD~5WHMsLzjZLn$e-?A0Wfc#9mXG)*c!C)=p^;_V|9HYt$6S`hSu2 zS3z+!Y}hXP5+Jw*cMI_ z$x|GH_+HnoHx3N0(R_q#X?KMntySqibKd!ziE~^;LZn)UWvK-#W%ML1m7$Br`aIhT zlmt|C0uF)jICTlbhsIe24#gn*e=J)o9lhBfp3GJw%#rw9$R!K%y$kagOdvh|^$Keo z2YXmbbNN2#3 z5Uv81Zo}Iidt8mazsCo%R)6-}3ICj*DiG62+Zp)F){oogG@z*E+y`6DPiMSb>DvoS|*zvSL8cV@6;yW z?a~p$7IbGnXTSB|vNa{d7L`*eO$lgcpXz|3jO4x6MaR_dX?v-sERk)zQYQup{d*nF z$hNi5H@b-LjyOZnxDn{b@;wXv7&Rb0gW+>R91nA9N({Gqqb^(1yPSqJo5O z!=XJ$>>10TCkO)I<;!BzzKONocx`EP)zP~5l2oC-_j&%_M-2X}?3dY0%Lh5jFYneK z8v)$3e(8u1g87lXJAMJR?(e4jT)vDK{FcsG=na&x@2*{2G~Z*=The!4R`+q(JP?CX z@S{H@p89t>AtL=F?N(lZrF0h=}I5VGSz-Ox*&FP zVA#}9fr)TrGLh*rZKBWxjM06v?HP8_PQen1 zFcfXJG60LRir+xrfQGN|!iAMuHH=Re zs{to}%^x|ivW=s|NE}o%6XQpt<*sbVTrHlL{<|H*`tSH?tBv%$i)-%+isaUsyUuG* zF*W_0aY-IE8)lF>O^%9P7iTx>>a6|Da%V>eDIrWz-22(ewar0%aN8cha$&VRCM=~Lw16I=etPTb6=M;{;q*JWTDxpm-w^R z*sH^&eExYnmiTal&+nClX~3zgfsEJf=iC&7>?>~Ad9g{~;KDaP^=h=iH# zpZ1VJ@k&oajlnftVZ^#SaKa?m4u(sH|={LzEuWP-Oe16E(z#{ z2u*$Xd90uRDMX1T9#@?))I&h*<|lYZeA+F#mIkmAbd!aiQDC9#yU8RfbY+`qlGI zZ9lUM>rll%b-;%O2Ofdde@ST%1bp#JYQpt$lfcIvwGKxQsjZ_$cGQ zq*pU&|Jb-NPUY~$Br|Bj-`yvcDeGH;$%wXCV)Td4x{Mk$Ww3R10h^CncHA|7BU&-P zxZqRUNcFby!(2gVU+fsC(JUiWmA_qR!|S^K6{TT_z)ACb@@AwOEtfaqY*fLRM&`Oe z4tD69aTNvzl5YvYq7iKon{%6&mOSvSuA+A2=;X_dcia zvLMAkWd|!RdlJ3Xd0PwL8{=Y#yrO|^ri#CM2Y&$O_iqfGjN1NwI&DUyiKlJ_&;Nj7 zd%i@kiOh`$t#_O-!>-wZSl4hR6C*@K>jNMDkx2Zc)=&Z>L+nFJ?(h2unv%oh8Ee=) zuc5cM{U)m6Tn}B*r|T$q!!e}2+bA?>G%dc6TV5D zD_m@SVa*?H_4x%b8QAXmee3fzE|lV)7Th1TCj=w9?{S|o9pC%;eyx09=Zw}S+6!e} z#ZWz<0%#jGF!_i+yg{{&<8thkbX6Tx4L=MFwy{>+ZK!1UWo}l^StvJC1BsVELcjCj z>(B4YGC#2e=hcu#;}GtN2zh7R8dDPRVa+wKHu0V~f(KgynnCN;81giqX9COp zb%%4!O;xlZUtdhL4EQjC_kzy{CuyW#lXuqun6zWL7IHD7}F(Y@*J zxg5&?AJM?{E<&UWMBw!f74R(zv4R7x!el%8jWTdi3lpf>?o`=j>U+rq=+y81w&6qX zEu`nt5ec#U9acseS5j&_3FOUVb;tZyi0o^&b$BwmAj^W!tNDo!l=lh4PH@7vn9`+e ztBZX}Ipu8EzFYJv%>uD-q@FQrrzZje?!rXQ5890hLZ48#3zqzrfGABNo{4;0A)Uiw5fLw!3$}ZoyacEJ$y&;qRR0ehKGrQ>8kPJ1 zBA@)x)+Sh3To8j9BnIQC&JZ)7*feEO`mYX zTIUx_-LnPB+>~O<&K8$Mu^j&dn6up~c9Z$zO+`1pmSiP~%EoOnM6ok;NQbj(`=8Hy zBl(ln3Y0I^8dJ*ex)7^z()%BO_U92|(SA^&U951DH%;a_dA8Iyv-2I$Hiz)(b_Vzr zvX2t8jz-H5Fhrf>uo+W(G`>Rfl}!3ICle)IGYVkKDJ84f{J|7Wr~%4eS^8{Q$s3kG zM82fm==q4Vj!Mh;Wd~yop%8^dK{k- z2UcEYUGA_GLISr>GUZo?J`KJ!XI$irsR|`sfOd>O>9ApAl3=^FlhKk!pL?eJg1rtz zmmQE2&j_h{2L+Vey{yX2r}HZ~3QwK~q03zJ8ltROwR66Cg+h_Fngxcu0%pV)mFwvXN4 zT`r{{9Jf#0$2a;m%opuBh0%ObDieLIUCcu`UYqy@?$@~**WK~ly-fHrv?9*!eSlKF zn3NIJjkP?;R>xq>)pV(WBp1h*k?jua+?|0^>H51t>~aCfz)!(IX#}zF2o3 zdKKZ*(cXhAl)jwX#Q7~73GyKEOr1yzr0jDT;Bcg;Pl zef*3iD(jM%HLo;>PuR^!IC@zpxRhu^=-*-7-HpDgH%~TO5yYJ?;V!|8d$${@YZAsa zQB-p>nY-4fAuw_@+_D+f9+*9!-s}SS;B{&+al~cBy1vMJ$_ahp6S3nJm5*`~<8p4h z)Z1fs1@31ET`Xy(&@!w9pS0!PAdiLapHXx|7-I#!kD<4fFPP;pu

FYeElBh-26cWmTi4?V-o=i2($~pmvI}zn%vq+bL&rK*RsAMWTYZK`FFG@{(1By zPL;mriY|$E$V=wawVyKG+=M#IE@H0+hqoUy*RzHi2$4$oL5=duzc1P4{^KB)!7rSiyZZH4A*b)zTWxWDE|ME;}WruW?2tH^(Kxr6nWw`^2>fz5k z&fGpvcx;4PqI8;F463iR6+bc8>D+c7zwt)%u6$bX9c`osAhqG=+RH;S5qAbY30Par zZjv?G`qbjCA+PPdoIfo?^EgCb5m>c1BJn;u-|WpIXwSC$H?~=_JU?k)c+?{_XW8tx zE<0*BbcL$Qs~Kcp@n5ueqIjS@1Pb8AumfK|O=T9cL!+tq^3RgX=V*hBB;PA?K{rX$yQlpnZZ9{a$lHRE(7Xu1i(S^t zMs7Ng{q!Tz6aLk3NBccc$&6y*VPW7AylxyJ(EJ4JTj|q=mtxHr@!o#uB#rnh%h3~g z>9o4P(Q|p=W3$Bp+-j|t1ZCn_EsZ;m&ye>L^jxEOL&Ii}^HpZ}Cr>kn_^yiLW zt2Seb@914T0N1E`Nc&=TD*znihWBJV(dmKD!t9%Z6o(lo&z|Pb-mM(fsP$j{76r}i za1To)=oU&9lf84WnXvbXy{Y#Tbi1<8LewsRRgp4wK1QQ6%B8K2yvg(PY^+XVeBeL8 zF232_B;8#U=j+j%ROxp7+1SVMvps0np1!RfES5OGtO&VY@(t1CvkU`2t=NzC7iJaz zva~~Y;ooG5x*6B)dtfdI0f@aBAA2{n9PJ-w75SHZ>Blx4d=T)eEPsx~>P$KH;-0g) zp}l@#WK9D8cZ$W zKyl=ctx$0k5aCG9b6C6xs-+%l1J;9$I5)f#$bD|~uu{plJQUyQ$ zr5ck^!`ok1>f3AJGsWZtBuohyueL+%-a5(rKovI$8t~E-DXU~wqLp~Cb|6YwjIe?) zvW|1|finL~bDUhPjitv$1_3>_b<^?=1s1UUD@*@Qww(?Efo6=KbW9B2VlNj9+L1Xs z`?LI9aCrcuh)hV{yqNW?Khr*jFXwhua;pUEK|i(#l;#dMVi&(E)tgPQ)|y{3z$+>5^QH zQ2yj-*IA)_!L=(z*nSajXg3bI=TP&lXkJ~F1W!SrwhQtn%=d`^uVF!qVW4&0ez!O| zI>kEZH`>z~VdS*l;Cg}utWP16Kus=pYFdcVwk(s?yxm|wcyr+ZRGotIns%lDoFMj! z0i}HOJ4;`&5#MRpHB2z3U4p)PBzcoRhm;7Xzr5Cy)tl1UY><5v;?QW)3S|Ln#_s5d zd~7lU+j%J=`Jd5mWJC*VN(kLKuY~tD)BX1Q`-Y3;(bnh0H4>eKkD?u?UX^TeI5|C* z*UK{vix_lvlk=$|om@}9ud|6BPCpNCT|YNpx&JyTgm-68+YNyblLfVD4bS4%F?`|7 zviZII(Ou>AYo`p+d}A`^oHuiJ;@-c8w^aX`#nG?-Z0X+v_s_4YBhL4(@G^IxP;B6? z7$JWDYeC;&a06^ycJK`8H<65u5 zuG}g&cnoYz|NJ1T+-h(}FSbI9ME6#+7Zxid%)sIlux2mtKGWh|C^=8|tM2PMKjDP|0X5!iLtaCoQ=MHe6x7hzx=WXFQUBk;_Ps;uQ!y1j*t=yL-0 z`oT{41kCsqO5yI#58(M7BR!&as1zM-Z+S?ew8WTbpI69>{Wo5vA4U|>eJZV#M9DaZ zN6E?#XC2jSyp?CUz|H_87$?nTxGJ^g-@Rqfi!>>-9%3TrNmbBCawoRsa>RaO_gJLT z#q?uwbznTz*}(C2UbBCzmU@j?Ofkbur?`L>J`V&jvO8=tZ1xLWQ%kswJ$X~;XD(yj z{uALW9hyODZ!2!H7XRWs2P@gb>gDZpMy_Y_E0M`F0g z@eY(3$cN9k)%@bWAa>`&1n@9l7bHk;M1?V(7=C5&ER2q4wAa0XC^>wF>t!~isVNct z(oMY6#U_*jhYjX-vnif;M>Zh&XiN;>b|0^wnOQ*9!AVRV{yW_c0#9;jNYjJSFCV4N z$&@+uUc39O#L?(4@BTYKg!-gCNqqEmyg|Cg;*liZaZ;Z;QwM?HO|#m=>G-nj{~moK zsB`@_GUYuNoN{}5cFnzq7JjQ`W3-p7WhdYRlfx*bZAde$Lyvzi$Y7%XuBiLjHnH#` z^46CVpElyp8rQ~ee;@E%x41&iilEtwMeGGhG2yL^*b>p2!Ib~Cr%w;Sjzo}<|C3FY zGCqf0eeRvky02SqA+2L-0Wuh&*KQ4MRSz_8ep2H5;AQo&+lK(Z;>NJUHO{wH?ZKxs z{O(hOQ?&R^)1bYmG}&DzKN3wXP*95gdM7gxKuMRb|DxL)`j2D9*GK3==Tgzl!XT*V zPY8wBHA81o*SeD-y7(n-k&}4$;Rn!ZbB_6g&n=46HPWhD)EkRaeeLE^do-2rE~O=%hV zEn0RHS1j9V#lUG%mcAOMy_&>2s7Otkrw~t-85bR;WSTj2jrxd(Yz#DoEo!r^ju=yO zwG;4G$9hy|_{(N+8B8|`fGy%Y!8Ul|lojbD(~O#8p%$j8T9ol6?CW_+wYRkHPP;b16KylY<;_EvC!ioVLS ztfPfrOb@nO1!y0pb3#E6oGhb16J%Jy2Olzz*EJG;p8dgh?s}EaO$eA2O5pv+3l`S%b(OwTZ_WLCo>&D*l-gM+R&`GDZ1QfHWJzTQxNsD5@Dx( zFz)P~4g)+1?OLD-;u&xF0c(rucQ=AXIvSitaU5Q&UDPo@`zA$`kMkPv9Rw~e zE`DFe$Nyc(PraFXs%g88L++(&K*UG;)BY7hZ~S#3mFso?sy!jrBXKZ8|L}g>yqYYS z%9ahKWEMV^_et)9v+^WCK)u^aDw_32z*XvDlV{d*!Pf~mS|~n;D#HZN`_va(~^)qCMgv$Y-Y#5@l!5tw>O69ET5oxD&l>2 z{m|^LLTmjf3c8K?`9rwSWC!xD;G`%5#%hWRC#R!r@pVPh0iAK?Kpb`NtB^og{5aFJO&RH=K@w+TCycm*e?nokwPH2CAf^puqM>=&^i z7NCdQ4OJ+sm0+a(V*TS6^OoHP+_QdERzZ&)SI8?OuG-aih@EinrJxa7b)mSrEt48?6qGt%U)tCENZqNM2pYXY0c&TGIPb{O*6nBMM~!R|R} zBc%mE$1mDKB?lFqe0%c)7yDWy_F-OqSMG!J|91n*yphi}ulvByRanLUp-g*-DdZyF z)=zt9#lX{(|N_QC|)}{2;{J<;6KIiG$*&|Ioik)w7Ve^3waOtvB4^aq^sK(_Q+BKZmgmGkRlw(WrP3t(j@($agSL>LVP zd;e!sAG=fovkYQ_1RtGo{bcZJh}r&Zgaqp(%HYbTQJkS2d?9`^@;r*naKf>?-T5v9 z;wnE>_rn*ohPbI;zamVB*ZU9k-!-|%Z`q;ST!MFzlL4w%6*o_lTA7{BScGaWzcRP% z72FIBtRA*QpNY50cTE3FXEYB7bQN+HfSwQfB3gMgctFbPgTRG+%rlMg-j9t zKGaBB{P4Ix@4e42iQk^;$)Xl$Y>1bLFkwJ-Vgsu%I)=Y*BT=*Gq3%6JMnu!`?QK*! zN)e53CX+9xYD>;=L3mi71X-fg><(sNryjGSq)8Zq=g(nD_9vGi&9^VBMl!2h(B>h< zVq?8DEH=~JfnBhJ0cI%79>;%w62}sph7=A*ez4s<3@QimBlh;lLMB<&MURC;4`b~d zwx_g!c33?sPyO6F_eif(JM5fXRZI-5Je}k}nmp~NZW@t!ET4qVv4lTG zS`WDtI>N3itVP~gw&yc|YTowu+hbNR8xRJmFhiWxEf@3v#9drox5A&7tw0z|LRt%N zl5RVC1H6hkyCk_rezd|AJN2jnrx^befqXjm;R{lF6UB*b2PVzuznYqJu5(C#LT=lK z_pcI|mPMI#S^{l!+RM;slnW*Oz%rrzTO*&FvzXEGb$nS(b_x10EoLLEN&;5+oEJ2f z?2X|@iDvY*;h~pW8K25a&sFK}Ht4;1MIkTabkGUzat(csFOw$_QCuUC9R8mCg7h%< z4yc{u29G7ATax`}4dgu`Z|cj}_&UC(G{;Sp`*ul{9ASM#^p8u| zZ0E=kzusFM{%p`2;PdYZ|KRE@IvGim zEWNQ~c=kY`7&7$)J7bG8bW6+g8l1pI&S2zBpUU%zf6nsAjE>@m3vChiqBB;PJgwBj z{wjZ9y8W9q&5MDf_v>_9j*f4)?-F4w%;U7SXoQEC>c@lhzb^duy|?~zx6dOU@OqZ8 z_kK7OxT1%t=Ztb$mlT>VG8-m`$5 z+Vr;vPhk3r)9w`Xq1*7yLQ#2iB^@|N$dJZcorIb18WCL_kzSqv6($ifO{n%F%7a$J z&*(u7B8x_wE=>uu9pKp`{9ozwiiQ#vG4L zZ;$ylwME8@QcfapF(yaold;ltiym_P2aVb`YNakcU{eI>QK#>m8@yQQxcLe`F;+!@ zw;FIw7pQrYzqK(9khOXvul%$gw2d=-T%4(hGvrwJjXNjfcv83=Zu%+bg0-|h|Hfr0 zdQKpVkM0uVN4*K99_Bisc_CbNIKpgD~GECOp%~(XcTl@>a03e|4sQ zOp(jzG8mo{Y4WVl2@g~%?CoGtTGP(;)tORxzCDGy!$M8d}#cy?Z z1gzGZk}D6%fU6rZggCv}j`MBd=d1A*mj~)XsRX>yeX5=rJ+0lRWbZXy0&Az2-uo{o zX@-ZIzQ1RrMFmbej{*}Q2A+Iop$V|3;EUD@mM%rt)bLmxAZ0bvuRw%Itk{Z(3!>H< zlZg^~QB)jV?|Hq(^~EuHp&K`0o}V!(1}4_s!uB9sJKEiP{?qWgX6CwKh<@Kv=Ei!e z)fslbE*rr}@9y9SG zNqt`jfo%0f0uG?2&uWi6w_viA*?RmZ)T7@TlVumI%7_X*k$!J-Y*@&t3UM(Wr z?hjAQ$K%rXx_(SPbhceX2Lc?{N@aK|55sc?PwJFDBW6|Xn`h6u15ZZC_`N?dnti*Y z^0b1^IYsfL}(1zxq^Eb|4!W?XgjIFk1@hogH4OPLG&v4YK61@@Z*<=MEAhOP-}h8!}9 z<=2IfXucOI+~K!*Q(p;oM@ZNb86>~c8{=dM^LeutFv}Mnq$U!Bc0&T(94IyBjyjUv z2nx&)7qj&yWM7+sw2;f}gS-B6x-qEjMB%4ZQCJm0M|$};`J(%Br%3>hbAoi z4CH^|ID+mT?ClA0JM;WA$5X~|5hVXUofr~L6fAS{Pq+j8V+a6VV2In;(3He z`KSq-pyn!rsY!Mh*K7(MBL_|TkUd9!n$plUrC8LnabLP3NJHuwO3mc5Lj2bA@!?oMsMqGF)$<%)CF}Rd=}u#1K!45hlpI zeTi>$kSui_o4X19uG!k_PaAjhUO~TpY8TGp9ZA6Lf533YUY*g{?iVKz&`sT{U-0(j zI_K4?jsaEo^1Se1gRV5+?e^_y>_ zw#t84<|Vi<-g_LmLfcRg8I?h$QQ9$UxJ4T`J}%&EBexVBOII3rN%I7m(L@w&^qpZU zt_T^DU*$v*XH#Usju(#$pFOD@?0DTln@WGANF_lA{HCY`-KuUzwHS}{`~1_u>R(NX zjva=)QG2_GF=#ZWdF_1agk|dX1nhl%bMd>@$A3WE_ZQUH*g=4L-Ar}@aMGxYnkYLj zu95?yJhuoQV)>1=$7D?nyYR^E2}n+73>My#6A!=J*`VCCGHD8;n|B(?nmc*17$Sk+c6Q9jguH>Bp3mhdx`h00GsX$BpTza| z!e-ojeET!KA~*a;VgJSaM_?!TkMur-L}Hl$SZ)GcQP)QiD2=d;RqRL+#bf^8ijvu9 z@hpE0N0788sa!wzNqZ?1Ka<ym9g%u9?Hk*s^eXbaz=d#UGw%TakJX*PZ zZk##`*l*P^`tm(Q3pmPa2H$ei0mA8!{`TLZ434M0n`lB?o<(%!F;b-65L z@>_LRCRMoa^EgelNvz_9!WF}qC@oozBJF9H`l=#*%Z=hCVp(<+NVCFw|OVetr79E`C(%)EQoFwMuQ(KTn7s$)zoReEUG4Hrkp; zk?;4(5twt9DY?Qv*F%)6mK!s7p15L>++eC@Ev@8lW_8J2&pTi-{D2*>#nT(jA3DKX zIc-P3O4&>@pwBY->$U16rcBW41C_ljFzc+SzOvA$=f%nW#hJY_daCS&BBNxBNfRo|2n>FY&9@=ZqZa#*+FhW2u=K&I4T!Lcm=Zq;gM=_ zSx$(KURxY+S6falQ=pWM^*cb}X+1k$y@VS0syf#9IW9QOt#lnPB3=I3`^o-1qkV9h zfW5>~rC4N(X|>h`SK=jS@VWL%JyY=S=p{}hm1?F4laXvf84PA*W;)W+0j$NDSKQE} z_s6<>P;9f+EhLmQQxGQ-SgSV;;$3sxv>pZ2cjt)pWc|l|>G&Jm5i%fL!~=8Z#UqXw zfbRFEZl0d~{r!+3C=v9~j!1$Y57b^CAiIr8q=XV#k*5y#L<0|cI!mW&MQl&XY5(hd z)tl6AoRG`ootp<9ZgyF>vFF=fn)+RScTQy4tcd-3tX{KS+7~7bB&HBdyGA{dX^Kex zV~+e6y;~JHA!{P!snnX>5$_NlN-GQSmKaF z?y(1|sexObaXX8Bhz&cqA;Or5mP==7uIQZp)lH%s-6pgUiVcW%T)S*`<)XMg&5daQo;e1Xg&0hBZEKaFOhtVW;4qxUm`xZK86mqK8wdDVKL2n-Zg3_+ah zxY+KoVokwK*8$|Rw1h#oJtZxBMQCYRK_6{V$MC@P_r3)Iuj4RmuWs`ZImlPNAZKp{ zo4-6o-I#Kh4H8q;JO;|+-#H`}2VAZ%$42Ajj)Om|xZBA%bG8ttGqQ0`*ir4%2mDk` z=oT^*P+fee_=DnKhabD{tLR$ZP5EOZwD18w=C24tHiQ+x zu(#%F9UWDy3Z*`Nw6X$l3u3*eIORkG?|OdrpR=t^P(FHuVbC#rW|ub}!ZU+tG$ZRL zYTChR0!%~9wFKdQK6QS>DQ#2vQoMkj4~q098y zbIkZG&LHQZ8oSnmZ`Y`{PI_yEif3Yqysbsv(gcFu02beSq8ygUWmzW7 z5c@Q7V?b7}#_MYwgFQUlPz)XO=ohz?0 zF&$l|XMt;CK#xHE#y}=!MIi4lAnyaa=~Y&kQ#YKNG;ug$1>IyB294VF%_h;g&%D7LxfABqDiWba9HPliGNyW->H`*~86iZ0_sEaIH*uK#7avkw1 zdC5jwU#)SExyHLyyQzH_-Buz0?d?;&t{>~!~|I|H`>j&}15xrl;4h~B|%fW9@t?}0UHF2_Ch*RfLC7UmLJ(j9Clf8-#Bw`K|cMv7r1~*6YQM2Vh z)7e`s{l*mc{JdmmsQo}l_9R#r#W;=uG!`)*9t*nCfUwZ(33llK4%%-6O{WOViw5W( z)%=lLbSMVJ{o)t#o)T2kDwT5vtv`K456PN>r|;>KK|)4l!6gGiX1z+E-V?t~yY7>F zyvJlHA|B=5nTJ0ZFPQ#2XY}&-?eW$Ya` zKO^bd9#A=hhu z+qm({2=NkrK7(p!Oh_FbCyJaTr)+p?Uv>wuqX71E z;JcXX#lv=3x{+nM*B(byNbmV~I;#x~ARMN+s=^@|QIv+z_pW8HU8f1SK^QFcN6C3o zB+BdT%CqK!mmde`8S;x6j$%`|VG@}l4vgj_0gf_fDpNc>&j;R9VhpwEj;ebkhr$Fq zZhnrMQ`QJ zr;!2iCt2Q?F@S`?@~}?1K$!Dg<<(x>in9T>|22%!+Ligp3QYm0%ffMf`KB1M1;MJu z+2z8IcgD+rcW2iJ*Nd71yk=c(r>67BeTnG)^A_yylZ1gkC&jh~E++a0=4ATlD{5W( zSy^O@nVi+`^$aP&Hiyd?@y|)b6}%pI)Y=<5 z4IITEQ`{#6w{HofzDUGBTzCp^4GcaB6}c09<&Lruo^@L&o!%bI`Q7g>Q6N5isc=Iw z9_0U@6`P>f+rMMt?ES5E&z7eHys0hjh};C>oBPLroET!z2Wa=2i2ya%UjILk+=%1u zFF41e8y4-YHWc+GtNvC@eAEUfBoG!K&Cw7F*Tg5AZWYV?p6Q&w>WwP^mxT%SXsCEh zJ*H%ZwNt1+XFL~6g;0&;S9M8B&2UlUx}C`;a;D=(Ao_&sCp^@oM&u4p#7?Ul;gaq1 zpz-rC&ha~*G_r2rE{7*-!(R%2YzEfucY2dOD))r`F-mI(lMm!fy-sMV zxBa?ZP83 za=ro{l4_7mNp-%>OWaERjbi-_%3@J*#X?UM|oRx-MJ+0 z&EJH&&Ag5SKR^cp7|5}nC30DPgAv&Fhhv!9Eq21VSGWd|H3Aw=zcza+Y6 zYWkgf{oNb;GE4dJDWv%GUS}BK&4_a(xUp%jD-Sd;Vd_Y!QlFL&z52{tmg>cqxIpJm zV{Dmou3}2B5%McO5194Q`PY}ZNas@F6~=*f`2Lj@mII!*?Q~e7oAMI<-fq;zX&WM> zQ@aWpo@>diO;gk_V<3`Q?hqaW^}VS65=vYu7_#r&J|_)cOX^snjln z0&ab3ST5L^L5R$PIH|{I;nsX!JB?nD{)C0HL8_}=BjY_f=I17s_EYBdct+aEENi&9 zj!Y zzavg?^(Y_PwfUqRt9zIe-hyRhW)|{4L!jI39<_QkLAhF$McpXnS4^waB5kQs5q!C7 zMR2e)UcN~|@?WKHxbPIF=%1|#e~}Gtg9;F+P>T)TYtju$SdkCRaoM$tScuZZU7>># zG)bj~sHx}5Vb>-s1Cr^q$d+HU)APW~ti~C!NY2R%!i`~zv2CUnfFj`Ug$qT+4omw6 zy(J>qiwqK@%SfP<4Rl5(=g2Zc4le|7?8wD z#WVTUnXtLG5JqymkLLLItSUu2CE*6$MLaMA?RU1`{og4U+{)NSRW;|gKaaSJan-`S zfTH;Kw5r$&YsQ5v9c2$R4PIS2k zic?JlKa$YgB?a~{!$QW$X$$t#qf0sRu;P=^Uq?Syf{f?_^u`Ka{_Tb8NLEPH-Hj@E z8d_s^%>kuC)d2@oyS_A!5e|1{-MNDBGPJo_+!W5zLaYLKRaS*^H`J?DKl2Ymi^me` zq@+{`wJ@Er7sR6NdD#1v%)bcG9dd(|5gIfWzC&wb&&Z8RGB{MFpfyr1|CS9&AJNYc zKG)KzRIsxUZqQlBnmlHgX!^d&zABlS$>5NGB@;KfK?_EM1+j`A{=q9UOA!xoCY*8e zFfy)A(Lf;9O8rQclXY?`RHP+j{JSD$roKzvE$%0aW^RO+URT2wy})N*G+^*iZ~%;# zs$MFqjAKz3XRlmD?dEt#0)u@dE}Y1OveO5ZsZY5@$rpMnMeMniqn#aqdp0iCJoDSqkF&t4nfKibhsQg|uz zo#8RXy_3`pMx_(d)Ud|iye9QVD!EP6bW=2N7pTct5j;OTtw`A@nwbvoI|-K5`siWS zV-GDztTiRp;_n!=3Nh|d$|DI5Ey!%s%BfW=Bg-9;%6uO~$E-9M4L(0qaa7eld>{%s z5qx@8@BeBG=ou^|*OmEDI#g)nlF%Mc1g&9~7wnh1<6Qpm=TZN+$W_EJ?bS2p4Nm(W z(6g5-;bS@+{0_3>`I+^N7UijmX>5oUhVP}G(DC$zg=-ws;0PAOMBYUrs99$(}wH&+pJY9p&M8mUkC8CaKHO+IN8CZkQ|6%?h7^x6UPA zyq1Q6Rca6Qm~-Nr{Zm}cBgMHSpf!^8gjv^7Sk_re?U8ixR(e*CeS;AfrN~;E?nC-` zG!?pNYoMU6I~OGBt_O7u@4^)Nw-ws85OK6Toc}_U2;q#>Hl+j4MjBUXQL@M`(Jj5+ zT5dA!*I8<(xeL4A41sk@vsmvoyv1`-2QI#e=;SE}=6!Q{0KXAVw#5i{WW~YH=d>er zKo;GP$|+L7rXtXzTK|#0_w3Vuku1RN1FC`$s$v;lEe5TUvC611QZ@XUvHCIWbS-QZ zF~=%Y|M5rf*`ohq08|CSr+Z~JtGF>boLaNa9F`a#rs`o+INkIfth8Wx&1|jz%TR6B ztsfF^_{?tnKK>pbA@fg}hpySUZ9$=(dnKW-Yv#(F&KW}i!IIbWv6t80) zYvD%gE+kenS)E59wq9u-Fyx3&u1=1d^Wz>G#YiG1sxN=u65jNOe1&?-v$jE5bjh7- zmWQ*V#7%=!(4Ax~zXne7Py{AE1vHgK3Uw6kpmcaO2pu3*{Fziy^hqJOfR#xSt>~+! zPVe@CRBf8KO#i6mJX^CU)?G&TW$Gq4zrV<+jq!+pDGW*DyV)nEpgbKho#u)JXQ^-Q z-Kv(rt3Ht~G6sO_|1LjtrX##U4Ei?ZU&JTZ*<0sr#w_|^+b_kTDE#0Q1SS}Z-RZlR zpB$F!7UEb)XAf9AR51Y`)*aZ4hqgJO3(k_}jMZvXcyMD97y1;6(F_tOq&MkJFoztz zJl7b>IPXk6YxUTvMKYOUJm!)UEyd!@<9=Yq)>|PR&}tD59=MvcO3+hSo(A?m)r>tk zcNrX-;0>@wXY~P9?BsXLcG9&irB*yxul_1D`R`b;sKjv^YBgW5Vgzu#8ou%9yTbxc ze*mXGkSV7(Lz}vrBo4ix6&ST#RHhP$RS__j&MXw=g6~q(=Gh<@`n>J(xZ9`Mk+`_vWwy>B7KH%iyu%$=(!V;acTO>aXw1U-IW5<72RE=rW+k1Pqa}DWj}_choDEeA%W3YR;^Jgo@PooK zrRxbsE8uLTTk{XYgZ(!}vPWtk_ad2ju%Wgaw{zSKOn<=-UUU!==|n8$ z(Ew^5MdM1qtJM?3V%c9wuCnLQ0X8LvEqeV4CdD|Pz$N9>ht>Ou091t;oZ9$C?W{`g znZQ*x8-G#sG;S(rq}76qVE-*|KB{a?duw^~`LFqi}La>fp^C{d}Oz--{KT zZl2Fzct~0jpSTyiCNa#T7DeNlCESxh8pnMZmox=$d*_8LWo-AgW8ugR7F2R)L=1W* z)GGW&@e1*(*cwSe4n`#^KF6!^=fM9DS8o*+R~L0{CLuuZ;0}Spox(l16z&q--Q6L$ zyF+ky_uvk}-QC@a0-E>h|LY#zH+6SzYMgQQUUSX)%;-2TxuqdalmpKJhk8h63Yrp^ z4tWzuKq*y^7FYsBwG+Nw3wK}|wtt?Mx!lHzJ@r3j-v^O2%=&)R8tdJ)H$CjMMZjCpY%~yUo z3E`0(+@^R{lCVYpe{Rf_d55++eXm742X5nn??|uH=8BXJ=L=Z$8I2-iA9md`W7-Cr zb-c>eCc#se^M7sLJPjxRbnG)+tfy;5X`L+BFxF4mgo-uq|21jSHsxu46tW%9=!=PVN&gq<8c~n=F&z4#(*p__1pyIh*c%tn{v6;k!)hr;}sMOW9)x~B8@jSg* zgx0-Vv(Y+2^~UD<)q?&~<&^!V>)NMWHT>h55agqkM%p&l%V-Aewm(@NF@uhP$PtPR zNVjoU1ijXXC;j3>@+~*QQ4^xTzyDGmOzN$$qLRX8b!+Kq6 z=eG0kMJ|(EiBTf`T(dz0dm4KVU;C6*1h$8$&@@yW9!j(s{((x>Bboc+y~z5R-q`*R_k-( zy9+27qQD7P*wT8}E8TM+>9l`t8*%$1>~0R|S#qgt&l_4h$2q&k$Y=PBUJa!*`DOP( zSFD>E*-Wh%P7{NXdbfNAxPVsCI1GxiEs))70&X0W?Gi;Zx6NDsj-I#3mwBc!Qz^=` zBX3{;B|jAwS{bVs1(>M-Xf$6ff>hTl|E)0~t?;k~uGeZ@br2TBBnzO+IZ$R8{kJ;* z??+S&?6N8+=#k`09S#Tx}lFyFLWjwntvO6T@!Dj}M5SAznaRyq7Bt3gJRH1cs z{g$KM*0AO7pNl`tBIhMUH;bfuw4RZC#XH(A7gxDX;yse+z0%&djQ}s-#a?-ndBK({ z!D$oGp=8k&5R_%%>ezZ_FO(Yn)9l5Ly<*L zFK-t3Qn$|dB~6kzYz|7DSQmCOLMnTK45u=%)ZEiR>*SchdM65fe2pTRU3EO~TaoX< zx&BUw`VW-cy4OaGqrHkm6*o@#kbuCFB z@MUQ4tM)j=s<+k{w>O2-x~kUE zJ6aUbQX8Co@&(inKgEm<_&gZvzS!D}?1!ara*Z|<|MusZG*}=vAM=z)PU?Hm7EG$j zk7Y7$W9)r5wNkjmAk7KC;keGLo}7E>$J564MNMf7MotvN(SHB1*7K75mwms>vpos# zLR}Xl{By^&d*WueuKHGVi)Sx<7b0UbU0*3;>ymg?NajW0E~_0U*V`0d2jtCaDGe-f zbn>=X*Sx@v?Ji9yHH{ea=5}a^ec?rl1hZz_nYX@YtN{*Ysed|k^%jM5v%k`SIUB-uQXWtiGL*=4&|Mw?n@J%`aNZxhDF9*uA znYSNm3rkEf&hO(TM0N5my9#oX5_cVy+|y5x+nW>HyUX}!`yQrl;x%0tWu*N`-jw8X z6~&n%3Rf5s45|P>65r?&PoXvG#(5B&4Iv_Z;1R_(biK9WNn5%zdrelVs8!q*@48aZ zCFwS8=s4P#2Wm!W2t*IQR@06|6Mb43Sf#eauc>t_@ko<( zu7I@{KPfyB?<|}0o>Lb+07=R6X2pV4E*xz}xQgNvw$zr^n9(XsI>RC?80a}it^;W( zS7Yv9h$<-Fe5Tf>V<(6w2tB2ijo&O2;@Y&cUnMJ4Uq}8bK7b5R#>5~7x@-pl+^Y4L z%XirBcL}V<<3(4zrF$bxF!c~Ckat#3TlZXRGt-b2uf5{v=wk{F-h|jpO|814ac9`P z(;uVO(D}1V>4?{`-JfF}ODR$Az`m>XZSN*%LdNG@0K)UK)9et|I=Qa9niX)lYs)C-lZOQ#Eli`XOL1yC^@pNDF&hkuM5^zphZ1S2S3xFTnDd9eC)*+7%j}$t zZ@#m?)!9s|bat1t2a%}s_KzqO-hM+|^+iJO$jRT|V;fGbc3a9bP)_@%S@fFYa94t7 z>8q6}lXU60{Els%e-l+=h2=d6v|??Yidv@v-}@?<1g14l7uoL&il-g$G26YEOW*hg zB0IX0h>y@%kYrD}v+Z~>+#Z58>nyOhk8XTKW2h^-bx*W+d4^26%e#XNZ@gKuS#-YY zOS3QUy%TD6CDi5(2ti7&^_Ro6arE8n&E%?zUig)QFrfUtN{IJHr6a+;bU)u zzs+}DxsI*4X^j%@4Oy+2=&w44|Eg}OHj9XN$R#j}ngtAF2BlXu2kBL(4UY-X+2a{+ z-}AlRWyU@5)L%r`=~rJp!75Kzad+rNgvnjM!zA-nJEJ%mq_X$~EKt&-a;qNoeF;;2 zv1__NAugds!drs@(~Khm&83a^YWr}Y9IFmSMM_MuQOxy0F?)3`>pS3>I$fKT5>sXd zEo+y#anP4p_`V*;T<}&Xx%bTb|J+yp&!q*Z^KAY<)~e5+Ki4!iik2!C#sb8V_oi}m zv!u=c6US{^mBSRNl=2Y6F=zsm(-h5NN?GT~hLX5+XO4#B$q{HUD}UpuSp4wKhlFl2 zyqWI)#2XsBl+I_#y;&PA;jiVsVI2+RJl-`EJ7ay@JBCFZntk8HE40KYz)wRKEy57o z77U7OQq&Xyp~|pK7EF^N=^yI}2}9geL*uw$fX$t?7?g;uwST1EKT0YN&$mF;X8GC;cpp-v=Gx!f5dK+ zpVQZ(3VITs$;wlu$8v(xokm&qMEay!bAG6O*)z)#o(ITn@=7T4#+iH_MaMP&E@}8H z+e)g@Znku&0wrL_#vFH#!d5YD_-pHafMz&KMb{0!OyqsX9X(< zW+U7TXl73f%DkXP`l!s~VZb>Ky*q0`X)H_-43^L|wP>7=vWLhy)_sJ}pG@838o+&6 z8U+P#ZrqQwFXGR?M4^*8Dslk;Zx-S)251?y+CPDTt$}YWTFX2T>#OMF3`_yav-RE< zAaRQ+4kltpNh}wO5=kOZiq>8Xd}tZ;iRs$#lHz3ZVBo1Vl+J?|p2e(L+Up-DgS}&b zgMsf!I-xy6c&1rNMRnu9D-PK@PAZ*0oE9o&K|*ZsBtGX)qw-v$-56BNjbCY`tNhli z9RWI3Zt0QcbOcI!iR^eY3!sRd{D^Y&O^f@Apc9qye5cYG30(kRUUPI+CSN>?Omv6g zE$L7RLFhV1lj_i*s)Pa$w3G^U5RGy9AL&{kmHM9_H~VA7shkM?%?)3wHmpQy?2V2l?RCNxV3?u{o9e$f0ypLJLBCLn}3+qY;e)nCS{p=jkg2K(p5 zrz@;&F-EfymJ=w2t60rd_@R~gHM=zG4@7RPp5x?ic9MK8jYsl&agAR%YE*5{T_@?d zV)-qJ3dWOB%t+CyI?p6&%O3m%V7&*H77dn3Lq)`0nsIp+kdB z#13+)W9=jejQ5C4N*LP6+;8@%;@ZDjBw?@5)?g^QNR;BPDKXAc3tv(BY(EXJ(>Bj= zv~uqY<#pr@l|Gg>A6E#jn;gkcyGz1QDsa~TqaQ{4IaSCn zN#K6RFAWLfabo@M{*Rf-ytZI?m8Uv*im;x<#%8)Z{(y17S8$^XkKfcP3snIqt^nrq z8F?CJ`GFEHLlrDd43os3i|xEx#z?290=`JvcK|mlO~relH>y z&I=zy6FJw|s8pZS2bc9F%-M-^yEIfWnKNzo2k4fLioI*;QQsN4=R`GN9{p=MCA=z1 zEIYgGqsi*co~Qw1t$Hsd^^XsUd*}o%VBT8<#>tMUS1VGq3%~r>Ee^t>icKIdukW19 ztns}$rC_RwX|%V=sFQ0U_m;d?nTgHiU}<=rm7`3iEO_*mrX0O-ZYji&-`gu6{B_7V z-Zk^HeeArfoo9H*)axxk@|jE%hx_(BD1YRM$sS{GA`P2uY(Zq%!Z@z3r~@#=f8E|M z{t*X|AL&91zoQS>D@?F_&5UL>dY-7&|8R{en2V9m5$K?^;V*~;DSpVc2D1GxDjN|D zq%Zz(vEEKDd-xeBBQPMI+Do>iy7`E}fO&*Gsi67NpXHxEz28ZS2rAQ*$ma#%p@-;G zr(N>gqt+_>9OiFGrl3LxflS7N-~;k8q2=81j0JmgyfmQYO4UjjaVR;MRqx>M@tq@c z-${3ww2e>~6xGDA4z$MYB6C2aLY?Atg$#hnF~<|@lS{9Fq_nyNF|;0812dYQ8G^ja zq_-3%scz8g&EdjHirmad5+k<5{)oQ;P|l3kgC9(c*t3W5cL(1u|7erzWh(zPwv>u~ zH<5r^iDt4mB_p-BA&gqTB}>~*Ycy7E)N%`q?fi_*bSgawcqLa__(gi?B|(2bMc1ui zd!Gp;tdh+@xl;PB*+ z5#vT#o#s;Pb6DTaeljpNVqZ8Hrd7VA{VuG>+z68KX&M~iQ_P>okEu<-XwSPh7LPIy zQWY=MOo}a(!4kQobL2i@_Zb(jVbv@$8=eCu^oD*3g#TgOniv|dJj3jSCh$cs7kl?E zI`C}QIGV~MnUaHoo{Uq1PiIX@1L26+=C7#UV<8gCvR9%tW87J6Gi)*;dFUb^_7H&ia)AW{ zA&-`ok$DF6z`4=*H01{tiE=-M9uL?&RdMp4K22u}@w$$yFCR}6mE~#=vze%#vV5}t zHX7zAGb)+Gqo}5|sYEnRX~NzE2rdRt3dkC6r8I!%_JCNWq>e+mKvxKsDtb3!#lm59 zQ1Lfa6zqzoOr9GH>WoOzj9}7?=^0821XXcX*FCpLW0sn>!r*xV)9X9MjLDg7n72AQ zg6bpn%0cr?5PVeuUL1U3af(gF+A=O*DU(zI%nEMqiPCfw(xRiET(wI^kRf zRwYiUZSGh;S01_%CZSnSr{A3ZwW2-JT#VwQcLobrMI?)rh&=Gkp2AyawB?wkNPK@M zNug0n#i%k4bNWO~iI{nmmy~66IYl^4!8o?oModJG_7XXZ`rggnaX_@mWm(=vifgW> z++Wk$veeyVyDliMLI=37j#pnjyul826EhYQ2>@D9Mmcu;upVWfN)aFq-;3k&)^VRI z#g={>YwGBw z&m)S3!YhfTec88o`jGB076~77G>V_2oV9V}-V}2etQf+sS$ze597kP8pQO}m1Dqt| zOAb54KBSlOo6}2Bdc%#+NW194icn4mXZO8>T=mgUFm* z_Jp-Gj=OQte5QbT*(l*1)~X}Wi+FaVJ%dZw~XDfcisV- z_%!TjWVnwJI&$cq55c!IOPC8NEb4dY5BdWhE#G>J6J06nAuSSEj70F53_+6s6T|E= z=Zdbc6^NfrvY=q#HWp6!kTq^F6CWgh8;zg?*v1BZY&3|3-#UPd2NS0K;>i)0p$cLH zXgQe-33vAdz3y>9OBXV_6r8Zte$m=mcP6jXp9H+Sk@(%4{bR1xcX9RqM-BdX8Ji_n ztzWqQXSPBi=2xCf^nAP+XtG|dF&siZ+vr^VFSeq4MHKaTwh`F(g1o!bWw=!5gWwT; z9M*s|V=?)aHGt77$ZdW%cmGfFQi}&5)0NAxe+PA#o2uNzP69SASar0dW}psh39kXu z6Y4SVvZG64ovP8K!~!P=4<;n4GBM7lcs{F!%Z_D%Kr2wyr84%9$rtC7z!g({b-cct z%P*=D%Vgv*4EUj0f;_c<-9L|_P@!bNvyZmUER0~a2f7-bM3)XPGZ(MqOU~0_idEp| zUc_v&5~Z!0s5+0#Q6zvf9<3LbNSz(2^M6lGGs6~H<>alW+yR>>5l`V4s1~~k4lZ-5 zZwQZB(OOsbXBJ9m5N59u_6t~`k;G`#d)lfRU1DJ3jQZl@CrNq3`s}cB`@SN{xEsU| zQ_1YI9&vS3Ha*$+@7jyA;;epRE*}(`fsvf{_HngBSPC{4PtHxYrltC1Nv;=4+c4Ja?j!OHc>HUV zK*x~g zd<*Y!CKnTJYEMZ4rCVv72D9%jPnUlcV+u>Snu{pZf{U%9t|~ZALA#dOgCzD5F0|pe zS|(e=tpGdD3qQ13klA1kZ*6!T9iucrH4gga^ULZPU%kpZPP09M10-445(;lJe&4k; zy0FG=z@X*KuIGq3Kd#h?!r8;*S$3b<^pL>nYTfUR7F^y{I8zi@TR_~c>NQ8Ggohvys>);rs{~rrw!MF|!r@2G7?SN7WKO72 z_2EIr4^(kcsES+*g zTw4t{JCf|`2xIo4LJSAKQ#U7CHtse0r0&snQ^sTlZw@jD1zyw6C;8s;=dk=z*M5T@ z2g?s8-XpG3A2APp?vB=tj#j)3%P%ra`mMp?gt1UFRTBl+9bU=y?mMzfF#T))?7(TW z_GxG$qv168e#QoW-&Vhgpk3s#!trMi944#u zpIHv)YCYRHB2m5WF`eU#Fnr29`9q;}N78$odrD_~X98z!9$Muz73hd{Y(w$C$cWn9 z7|WU_vo#4%LWH29Pj6Usja5cb6zJ)HM<(F#z1j07?$}3%=jAF*xe!`*9jCX z8=~3rY_()9!(_TLYSx^&Xi&;4oXqb|rl<8?V6E;q!&Su9az|PyLY3&Fu|kjo=Pv00 zrvvCcW$=|ux zUK#DS3@+JAVf-NQ4}I^R6a&(39Z@FN_g`n<*8F`yU=o!CacI=g}M6fm{cIXHO!1-g9bo2pXR8A_zM zyV6DcLr%|$$!@y-e4NS>Rs+&2$D?@Bc3K1LvMU$XuAASJ#G&j$gduz!oAK&mZZ+Pc zHQY)77OmFbqVhZU=v|!TebcP_Yw?9?4Q8i9`Lk~u{sXYs`J?}6G(DD`lHYRj-I1kJ z`96oZ5)Q^cgXF7xxYSJ>#ga8On5;&atR6Nasd~={)Xa;H!a}8@9Q?ivFqpw^>X;K)s%pi1h z!q<8-zW)ZYeIOAI-zZV#Aibz?Ph)o8huKhe5O4bRRK%6L)(Rl1yD~L>cUXjEx6%Sr zzR0EjoP1s*fDvimHN|w_PiY1310~Sip8lp?i+egG(-$rXz@U9T{+P-R!)-2DOuBl{ zu>w{BViUbHsJnf3ujSmY0;UUL9eTeBVPdlLPo&dO@cHl~#HC|R^J|g~qiwg%jjZJm z4Rrz8QJ8dEH4^v;HmGz-4~pt#HAzbp-CP?PyxIfKcudk)PE4v%IIyrGQK=4Fz@o36 zA-icYc0AWO#cK?I9oPA->NA3pfZ4zJeMnUqH1eW2(x!e>Yo?`gjPW}_u)Rh1LBEXM zC<(>YaMfuHfkT)}XD#0|RAmPcL$XUaa#_(HB8rbiPe`V5aq*4%W3Pqn^iDrpZ8YIp zn>LFE=m291koR%4oSbh4N2Yzveb2tdyZ3GvmY8axFqikwIYl!s7Q7YcV7z8p8?i^O z13WZpOeUkbO8zx}sThlh-p=$p#`8#aG}_MNGh(Q942BnQn2<2CPEeVU8GE3OaDO>0 z_3~sm346NVDR)=7<{w~w_hT<6XcMYwONySKi<_Hrngqb0VIO~#83%@KA0qt1KcYfNa=FTkHO`YT$S`xZ0yTwH<}E*}BasqYmJ z7!?ob6*>&+gv)CRH)C^j=rD8`%TKmL4paLjY;rX#sXRb}KJ;6sim_FG#zD@go~l3E z>TX`S>6SPBGC|!iMQEVq;4?}B%i4>X;3N~3-d;n5`CpEs{?x-Xq-i+`U3=>c$NpJK zv5-{&fF?ef8B)5Eo1}c)6PI7bQN#(j?Z1h~5UU(ZrwV)?fWZ!wp~NpBOvd6{yziHa zNy6#0WOay1un!w%G+!(@Vk(7FtoH? z88?4H8e$NiI;Lf^ye_Lbltt}ldp^{U)=r_<3MLPjvRtU}Z*S)z;&uD;KQ5$A8h|7)laKwbCnLg;fv6z}x`_E_l$@pj(mJvD5r*SbNg(mVF%4S=?oTp0)`qyzf? zZrdGkeM08@?TPeT_)^gBhzAGxHMlpodt?v#HCRt@%18ZQKFR?KVTi`qqkfqu6~#+u zZ)D|LswoFHf(MHH6!snFvuNz#)G)$t?1;1EJN(Gj*AV@qKX7KuR#Mfy8` zr_~Dtbg33YXP#Eo!H)qtueI_k;(hvUd<0l@etkQlcIs4W zaP@i{U+6OHzaz5{GFh(BNvE+wySTWdGUp8s1QasUr<33hv z_CWf<5G6dODGpSjptVL%LKq9EAMqp;&)1J)vI)@ZPt-_dA0To;r|h-qmskqRuC?5R zc3Jo6n{>fAE0PXgca!w|tGDEQ^10pRrb63v>2(3grSqlFX3lI$CIh~GnT4$>hhclj za+?SA^q{T3DA;27cxhNP#@X?J`>DOwP@ls)UA0S4`2AOU+*LvJI#Z#cnls^AE2E|p zzW)i|V6IQh^wEa#k3mWAXRkWmcN!nqxDNxFVzO*1rvo>I^)+@D1SUcIQg3GsspfP; z&U53TxrP({j&`>Gy%NFX+p5b+5c_&?sn@Aw*LoXrIOnD;ILSeOJ<7$5cAR!Kaw@V$ z?8z5L4uk!Ky~2^W;ewrD-ZNTw-6sFvezOnGY!gC}1il;*oi(2Vm}Au^_^z}xfMd5n z7m46YI|E-MxNaj+bgD~J!05w=pf}W#sC^oZ$CBBTyj{2{o63r62uAtKX&%A#Dz0~! zcYkHig%tB=v-WyidlhrYJPIPp5lg<=p?1e>PrYR#N4Bb@khE+>CeHp#Uab>%CIqKhBE;EcRVR+wR z%n{&l>h5@!a^Qa@j(ExB0^8~3ilIqo<4={9 z_vi1@m!+L<(FsQHD)67lOzsm5^59KRhw8GFQ+GAxYz2+=YR=A&&#y_rlB)x;lr&xL zsEVhowVUp>>M>KN&@MbRpvNR4ecNbQGU@W+?>QA;YXb#7o_w8G@NEeB?Z#tG5N}<~ zaCA@=!u8Gs!kUj4J`_9h&&&(^SQ|TT^0EIgW(SuX%uZwS{g=492@!>v>e)@IR05S9 zK&#iMV}R@?f7GVxTQF5tzFBaF72^R&SUWfcg3oA%I5n0nC2NDd{+~ylp0DpIar++p z!5x6oCT!n1%c`gG=B`dmQ#=5<*YMJ%Kwd#Px=aSA-x@<6!0VQDuDa1{`Hq%T8n)Rk z16LlzBTQsaCPbNGl#DrBAEdI>HeVV=6e&Uq0OkT`rSwT}lc}!P?HiH}#0N^a2~n^^ z=enT|r%wObog9C#LGj&!rF?rUEwGIE>&7>cQ{&3U8m~z(6L0lRv$MG6{3fL8x%7%P zw34g5D47T;7+;gbBBkW0t_fk1pEm-TT`TNPU!xZ3 zX7kh410nxfM+8bXCBHyQO%54-i-a#$m+Rfv2e*wu*6x(l-CM+=Xr00OzAiu4?{>Rb z{9WGVaMIJ_Yi@6-S~gz2iRi>NOD}Mc^{TD&+D{5UbbhMi3Z!5&IBX6;5$|8t4^8PO zJzl0By=!Veb6nnX^?554zaLu7Wh{;jP3mPmLf!j!74pZ}^`4V%lrwoToEt1pqS~ka zqEGdX^qx=wAEk{wT|Jd9xE3byhx)v&<$SEpY&Lpea}u7YuD84hIM4hkt3KP^cZ6|$ zuGS;If`RqA*m>NgRN>kA!^2keyq%t8#1 z8*`1IYm=v472g`6-MWENDDvm5Ga*WkDvvbF!%vjW)9*T}2H&3aod;xel=v+gGqP$d ziOh1a?iBB4LTo;8!~r%i)ZW5;D)U_@rk&PX$oH)f!eViPyVZXvYQoh!=P%9bm-R%V z6d2RlA&d2SQ;K&V&|?}>I>MNlUerfG0ROQoq*Rxje#fqyyz;vp z-_LCpLT0xz%q&KHE>=ZwhFim=DWOBmXyu*r7&(0szxT^FeR4a!_`lk-V9 zElO1hu=++lh&TV%%t1emexJ+%BtXV@mUW&KN!01}`xv$eF!6^~Q+;&aK^g$&-Awhe zyK_=@yZh*>Owx14?y-Ohq=EufxR@cvfNpf)TD8$CvKiGUfGiN*-}59fLWx#K7(KHw zec7Cs6ud?n!{f7=5}qcZ*S^UctDiUGwTc}o)|vV`bRSg>%^a0KW<3ti;r0VgP*2czigOpA zIPODIw$SZI6^B}&&Gene!BX!*wb{w!@1;ywUJ^s@Z$&oV;9uqF*V!$MyPr5CY`FKL z7sWpS#6HjnUO6-`ne;l3cDGB^GVh>Nxw(X)*X!+GHZQjaAF8R4!isJNNSHvUnon% zuaz4pF)-VHrr%74-HeMi5lSzRf(!?5W42ehNRe|w@D?R`u1|bnh%b2mhCE&hJbti& zxtnetp^jlGCqcic7e61v!meXv!?x@8I&@Q}qCFv>z7lPRzd-*SjO8S=Hqg6oEq}U# z1SP+9hQx20BaMX>!gig}>h9S-+l=szxdp4)`o#|UZHoR{>B0q=G>DdMNU~;$&|$9k zKBhS?sM+dnKjg3Cw0e`FJ<3!}=$3#)x>0G_U#tgTVlM5k-$d^;R}pI4hZgP<{FUbF z=koK4Ehei<2cPF?=oziUfWP{5#OaEj9byFz_DUAcI?T1$vfx|%33KMV~vvW0hh_B6&ajEOZw0rH0cj3(8qEK~igc3HJ z+z2OY{!CE6SaSaQjZ5eXS6MtcbK<_ScL_piJ3Sj(K9 zkFd;?IFl>cgNx?8q(1OE6i3HJO5?nh!4)&xA@=H*BTkodOYgKm(EQ{_8VS1GIZ{!d z-blE@B)#9lAiMWOCtzNh2d$zAKs`3e?c{Ru(V~?1aZZ^);Ujq&y*^8y%z4>6HnI!u ztU$>XDVyWBOk5e|BOx8|C5!eBoTI8uKyy)g-9AKV(hhUd0SxX#gEme*cMtM2Ea;7| zrw4}FNi&i;1Vl*eSrC)l8_UPbH~9pQD&->yfM{^&6e4v-BTViPBVJ_hNS^8oy|cGk zi4B3)g^!t|4kc=&ye7*~2*mC3mfEY~^E0CO!#!Cq@S<}o`uco7zZChn{Jzok!aD`t z(dKv-F!7pc*gtgz61BY`Bgl637^MZ;v_h~ra=K7F-@-iD0-h+|Ga(9gkDE3L`L@kz zcXB`eKlHMeQa*##Z~w7SYuko|LiP#2+p+z$4v5|GehO8S%h{eEuyk`?L#cis>?`jS zzdrH9*WqfZ~K%pi}D`L)+JFLhR=K=hb)rwT)A2u=MDGH-r@HnNKOA z3crJREALcNg@WIg);W~Q2j?>7(90LueP@2;*6scZRj1P z%~of3{>9b7JHNNX=NYd$XROn-`s(bOg%|vaDkLm?{o~HRE#0baT9;fU=-T~j+R-tn<9!Z>Lb$(xPhW^Xf`Nrp~5%IFaC8XQ+^C)5H zTc;0tuFhx8r%KrGZ4(-xTTi$4f{&@7j_#wV?=CKJ`+@K`IQz-bT&y?7g{c3Q5O(gq zpGdBP*X?tD%$on}_9Ii)qk;&8 z`Zkxmr14#-J6;hC60}B@hEy4D$_o6pN;-u7b$!=Q&D-ILk}H?<%sCh*4b2-&sP#$b|*Xt#~JjGZ$|DZ^HpU;V#VK{uCIP`qdf%HAI&F+mMG%a z<^@mqW0fNEqvO)PGx6-JuHnP6E2rH#w9Upl;S^EO&hf0c+wjd=N05BC9_C{N|A>oT zR|dI2&&9v3`fr`S#JN)iL|y`^)%!1F-Na+B;xX4x4}2Xwj+oU2m+jTMzncupNd=oZ zhdF@=6g+YRvx`#=J+-oHA6%OMjqQw(f&LFn7@{wp}6W!5*Qfzv-wi9y)DKcciQqyoX&DyT@ zBw(8-1kiVdILZ_kjrBaVuQnLqRCd+269hPn(fhmbS%L)^Wr8RnJh-4b+7dk&#VU2y zRm8L4Q62Q|?0d%52urx&^fRv~j1@{aIHe=sB_dopADCMvtb+KFidqe5rrv#==Py@q zw=rJ2YZ6?j)tEn)X5HekJNOiQju#9Dee?t$WG`)X3l>f(0bguSV?02oxsS;kC;jl; zq@2&3xi5nkG`zda{ImKV8biiG&Wx7I8EQ!Q5cZN67UZd)ZzIoa%Qcy-=> zcKz0+=A8tEm<5uOVJPG>k>9AG)G!)n$7?jjSYvFZ71qJ?YEqy1GC+YP{GmD}P1~jR zRN8}a-;>#C_&YgG2-6Bz=JzWQC@!-igOQQqTz~2GpAui*!ZG!p!Kxgp48X@=j;4iB zn%}RlU?&}_i7~$zP;u3hSum7^#f69)TuyY_b?$}t?7{$y>k>otF`-^p?}qBjSJH>O z%3tK&JoII%oYF09g1!c#>GfBkKE3Xz43>5jotbD3G(*koBOw4ZAh72FkiL5KqfzLnELr4{Ef1s#O&}uSxfXh zwEfbP^7;K%(=8aOgNjaV_c87C?|i!^UdrXC;wpr5($@NcF>$ANzh?Lc4*L^bx zLp~lp(x-3eU|x%t>ReK#15;kL?KI>u7i8i<+urjvExOpf6i^eToYdMU>URyv!yZF= zLIe*A(lzuiAKn_j0iBrdUeYs#yF<*jaWXZ@{9|&e?jyH;^(T+7N+>llHWP6jZu^@w zksb`&%1;uh_YagTof1Y@F$SZl_icVdl$ZK35H7WoP6eWMUh3CyeOY+j*tfiuXMQ{F z)Nc3vO^Elk>ep9cpL)4E-QT~z#1vF_AuY-$_lu)sTfF{N8{Ko%93AYkgYFVUVP-s{ zKYP{Pj(tJuaJo)-xJ$H~Nj@w@sbkcbnN^FA-a7{zyGe4>O=(CzX8-nlggQ-mERg44 z{NCb2z7k!Y%cZDjs;~$rtDLI#c?^==^Ij#8?8zwJdc2=#vooTED{d+h6~vaPz;pkD zkjsQPEy`dvqNgk)8)g=*Q{=F@7aczTIsPEk(Ji_NmeEu1tgCjIOOPp()945^?LPub zDK7aEZ`NJKm+Zk1^r&J>!0q`hYr#p8o9gZF)06eR?I>68Z8)V#>!i?ZlFamIDdquT zM)3veDkZm^a_Y=StwF_=X0FrA!=H1r);jVX#L)a>^An8|tz`dH2`e%&G+K87(xK0R zQKlZ;?44HfANlf%Yi+3RQ=im*AVTZ818wIo8&$4~yI-YOW}WXIPU}mUNXcyS9*&#G z-ke?+OfXise#!tv63ra5lJ1f&Zo;%}j;~fftLZ!C?R7*8@JZa}_CdT-%%spBwkMTF zBAM#jonHtST}6)53gZkyw@}9_4q2JT8KG!zI_1^-8Tpl*$a zzuvuij|I0gj=GHWe62^^Dk)OA9GvPUMnyKII-gWcdbHsCXVv;wU#;7Wc-)uRb9Su) z;&h!6|H*t6Q&rK**2Y-JU$P>{gremL_M+;k5-(~bT{k|x`PWVSE}BU4N)*i)2>K1}VT>wB zi~5ihO)fZp7Ip~1X`B}wybp^+O71Vpi}|nRMWU~xK2J&Z=;;Vx{uE9`YJw(!l!wQ{(10n%r0&!#Z^Rf$x zd}PECau03E2uC99y$a9XdIeMLkHjrUF6*FGMt3; zBVdWRgY4I@o;lc@XD)<{#~5yN2v>-ScN#DtI%D_!?1EQ*&aXq0-GPB*or?YL;K(hn zSV-?~VPCyI$rJx=LF$BPR(9!)I3ma1a!BFgE(Rpzw_t`to{(pG-Dei_;w4g%n*UJ^!p5LCRfZM_h% z!wLzYzJo>}6P}TV{kuuW!>{9pEQbA)s1_Fzd_2!vMyxtj+w5{zhi?8$8pbx5g|jSC zBL%WMdo5dAt%2~uVTJ4LE`6GtjWm!ofTMzu1!|JOVPd_u2`#S!|7oN>?4T(3$0)R* zt*llk?0&}EiZ1MeBzO-!{~z&0P z6-71u6FETE*%G*uH~S#Fh52ELHX`*k0;~>g<5yWB*cCuHVoGOw%h4K~#d;(0jek__nbh+@9f5de}^F zgp<5jbUAgp*N)Hh#KJn(`$N)y0+dS&esk2ZvoTJ zSs}wA;c3O^2MCch%zby1=6Qy*?6~Ojt>(CpXutlj4i=&g&ZNw})H@RkMSBAFc8q`j z{{4gOiB;%_656R=rAZRy#E>BI1o zD=1%bha@YBYcAyIm?Q_IE%M_YTc;(TYXp?o5vODnf|(@>-BrMi1+Iy@_xJs~0RJUd z2u#sS*YCiFh<5}&D>s8*HU@RP8*2nfV0-k(-^!uE!fUaObgPg5E_2A<2-j8{VGT{* zf-{BdeylG2I;zd(K+d#Rr4+eBNc(?RA8~R256UYbFp$}NhHS1(1%VutEb@G_uh8k? z{9n}Cw@2kSE&O6dv}TrN?qolA$^S*yTSm3jhGDubw9pnS6o)`@cZvjSiv)LfEneIq z(BcIW+zD=lqAgM=UL?3naSs|?f}DJ3X3m!hNuR@6=)!kMZh zmI2$DBJASn{`9@ha>bNA(X_1&=<3%}ZLdq*?{+3ToZbV*6uH!>l3(l;^U$DK;I{PH8sCrTnoaQijdBvb~^#Saqg-F!bqqmiOq2ZdLu z=2HIlD*K+>_l}_Q+%(#uzQX==0&tp+4-k4aPoi0xUn41~@72CtMQ!m-jk}7%hhMx} zbD20)E3aphNKsV_U%B&(2e#`0oKJaCicnN;YfN=`)n4t#kJrT@V)V*bci;zxG8Y_vi1b*8hSm8{v-I`5Evh+Tk|M{P70&1jUCIwG&v34nx!!2>P%|g8Qmx=66^+m^T};Q z16DI?{qrU)-Y7}y-P-R3)H~~cue}n#F-iDdJdtiB_{(z-*695sE(z_I<)!F!fv*&NW%RVSdr&AC7D1c3ys6WE|3vvT$L`no2`>+;dhsX?{{G zPg-nWqx~9Jx@;N2ILh%j10UHT8mUuFt?b(MDh>3tF`<8jQnO&Pn%QSOx;5#$OsY2L zxiAen1#sUeqUu#yc%C%ME{_(kwEN?<`WD`U+4LTUp=`_e)7iY~G$`8r(Kfqty31yk z36RU;BsDEUxr<{qW!`(X=+M{Z(E|1zT1$Yv7CI{Gd%e=V*dYv0I9)y2_w^pra~zx` z`=ah=wjAz(u3s*hUr@W2omK^|EWMWqKf_?MmSYZ2e=sNVFCHNu4y>j9wDqTxDN6-M>!ZggMF;PUr^R76VJ?ow>;6SW} z08~x=_thxLZbM1dt)28jzP-*pTHpNkVr;5Rq_6;(kx2Xc?aZM>3mKYPomLf?>7!XY zDI2|&bUpR{`cFsrU4vaiQO5QVAq9m-3q`8|C(kWP3|yZI7}D`lWAgKf%+{RV${^{vv+r%S!niJXLw~-#;z1{Ds!c zyiQ&DwKE$r`tI-K?Q{(J$FI*!LRM+kw&)@F9or^qM3`ndyYsU74Qq7gOoY9*mBa2JMR|-hF*fP1KRaOHVMDl_A%mLRA-rg z?~<`Z3%iE&*1UOPDAV5jRoiqfKW@Scs@IJEdZLYI%{4YbT>|^0G)ioVe2af9(Faiiyh}8A}!vRqc5=!sd@ZZH)R z@ZIG^8X6HQjVfdb;P-{-6(7zKM0rqal?xV``O5<}M`FOjwd}~}%x4?Buq(Iwn&g`r z>{TAWMWVn@8JyAgDM6Fc3mGT)p?n7hyM_f2y%L%TLP#UgA&4 zg&en<%XB?`sbOjOQqX7_7p-NSmP9gK-E_h}@Be0N{pWAVQ9_#y!RHWTU8;6ftWhn_ z-**9~X<<+1FWtn}1B247f-G@z=83`MwUAqV-lnmzkLd{hH=k!0Yf$H(V^;(o&K7H{ z(V8nDZ+WHwubJiKvOoaI@>qG|wRvDucvHUXM8}W|-${}U9Vnt$88i_yD@@}K9Y)K9 zLxl*s99E{;A0=!rJ3i;;2)kt!1NyH<%$g!aw%aE)#;@+)H>FK(XE_3g>bv(wQ}_5Rp~laMK6@v16?7jABV|0Y9@F0)%|QRzbpNfyskc+TR&4m!MifIS@Iy1 zKMA7zacKE#{aw1~P6@qHDs-e_ZD6Maimpj|j|NcGSyB^y>x6ceJ&QO+^7C$+!WA9? zKrSR&hX1G%OmW6_$KE6zK6O7%r!jVH8?-~5wBAL#X9{XWH9vU z82j{tx5nbldG+j*`~4X`@w^WVxHrE<;Z=O+AtexQv@#v^s|P4aZD%%EHd1$KY_F`8Clg>RXLD2!>Z?b0!jSaY(4-r zvnsWC-TrzIk9T{Z6(J8-0lHX3qgo!{9pGLc$ooy0Sr@F=(QNjgO2n}w9)l%TQWB35 ztC2){4`aEJ;lg`E!!7aH6JynRxOH~u_~PVP=|TwrKtiEAz#G^`I&@3!R5a@E7CL6$ zP*1mmcG|GqH6QU{RIQB-kfn26m~u}8-S!L53&}bvT+DG$7{cWUnE^bRRA}&I{`ttU zA#KqHeNG5ln%?oxIC*&FJ!n97eat+{OzabNZ*dZnaJ9*w5a}ad&pFxvsA-=k?Qp_` z@FF;fd@1$PGPkIfC>J%b8ma>LJ$r%wm?K3|C}2BDw+K3u?t7xSP`v)QBM5vs}7l&|0` z26EP&7_-mWop#UEx1QwT-ri)pr3dt6=I^Bf9X=Ff)+3wnYY2Gj=q(6yWK2UY%Rj-XN?A6DDq^EJbI(`{6lhgY{g2X;` z_$^mHypzJGuUgdn)FqwY`Bk17MEcM!mro{RIZ@^fg!aOcX}gX3L$PuNUu_49i7@Jm zW{n{=!_&^SeJPz4eYXdahJ7(8qa$FRvFbMhtrnXK%}Tz&zn6xyIAq5r+ANHp@#elI zCMh}~_HHUJt(%MP1jPX@j+{r5%@vY0KhbXa;T!2=C5xc&U?u8H5}51l!G*xI9`j*N zk>scE6Q9Tnt9R?x6zKPZW;y0-s&UaBhyo2ZzJ)Fcc+HgViQ@Z1KQL#{s=lN9fpa*u z$(2MA7whFZab!Xd4$h(Y5LK`$>${3%-L`|SQ}Ew73Np+V+@4)5D-sUVqbgmjwbf09|(?IBu z%dhEwi6P?gTx6Tzm#*(I9hqf_UiB9xVZqRwP*_NPD^68g$4j=p(3M}jspqIStI0>k zzWq2N>xK8*DZv8wXR{$YJin08>!;|AuJMHUTYCWl;BS-P(oB!wtUM!?AD0-fEP4?e2-|P4k8%+CupTk%JgNzj_2zH!G7f=8>@EDgu5Fz z31B4nIznLUtT7SNYWBHP9=VHQvd4xIHfC|aKs8xlA;|#gN5=o?YI28mfGuzV~IbJWG<#Dq2I4=67o{C+-b;9=|Z;l@U`~oy#ts+3Lct ziKkc`ZbKgNtAjzQvh4v0#QIEGwl@AqRB`O6|A))<->g2@TK6sE#-2O<_QGMUR(f|^ zM^a1F@b{6_dpVb2czjR{`i{m0LFypts+y{o2EZ0|RZiN$fd*|01AK^V#S50#q~bqx z+DMIkaCBpCSEH-AbbpR@jVnZOwxh+;wj@fHx1PWe0cNQC_x!WH=qwg(v-}O)qw(gH zRzG@HHPg7{~Z)vNKsW0_}hz$a;fNg#`UA-3QByV^)o1ct*Ym)Sf^xgXNT<9aINQW z8c|{E4OzSI@0%wY6MBNccVWh#EgB};U0lad_%wi><&=`#_HSe*EkVflt^v@|Amudi z9aMW*=`3EWBy-(NZZP2LQFNa_KaiCL?%43i(e!(%b+09KHnJ{E^PATF4JQ(K zZLPDj{-h@MDuq|ME+Z6f$xK>Jh3i#E{cu2&#UhWP3>A*e|Q&2 zT%_g)``P&342yov4;J-VrT(}zip0|0zZF&;6@fR85>+7dub%6oLAK!tSc?1E)cZYA zcqnc2)`QdC^2x7Lxe$=JtlMMx{VS;IGO%3Iyl9hNVReisr#95aF6^geciIDuz0 zTA|ApsA+H6R3NOC?a2E$nWC=xMzqCKyjGvvtwI8`X+GRn$1oOnR9V8KP2cfI4?kO-!ynKyYj z$25rEK39Z4Ta}nY_t^=@vnU&UZcIJ8k$7#X&ov7) zYKmc7ZI{I#ae^=WPU1XH4QO!XWm%v!f9Quz- z`6?*fZ%4AzBr%W#Jo?eOV`XX`t%9hbl>4w)iTiIVEzr)6%$?x+I!^Xl_*C_@qCt?~ z%E+`mQkVzUeVECz0Q7$URq|!Lo4(wyFMo$QmDc5${x{7Q;-9nBbA--AIV&sci;dnm z=`DDQMwvPtdi9Dy!miDGW4rc^WcpWNvpcKNZ(_wXP6&UoVxI9lznQr3O?!_`xDt-u z_Yk-JTczakP8sM&qsIrdc~E*KJISH@8H3H z%I*;LYRN?k(oX@#rd%k|%DuZBM{RB$nq!V-eP7RfevG0{iv>FSlsA-krhbNWaUT)J z1E*taUHj+<^-=D3(Mvv)du?2sDS1qpZu6wm-Pa>)?`?Gm9!lI8@aP93@(Z^-?i+8j zM(v)#Ym$ZcKZYur;uR{EbS4pI*^NXAo?1)T^~;w=2n{Z<+CJN=?5N=kmf*tQlJ8cm zY8;>QSomTOUrjkouTlHRMQ~)#*E$7t9-OS1lN2l{9_pXop4PGC)JSAV;^`q?^t=J_;|}NLkbk7u5Bf4f zD~^cWGDmUx7(rAaIqKdH2E(kWbsNzvoH0Mq7A%B%zm~i{DXf3sjf6fvEWPtWE;Ws1 zx=g58*}x@%EY97pi|j1Fn`@R!U$?igzF-e$D6-U3Ui?yJMpXP}5!ty42-OJu=*H;u z_H@`Xd^e+@P!2_@-(-~!thI@rCGs?z1zc=TYk9GpSyNIDaeJDS#X8#GDHWWs<3%N% zD-|q@HZbLYVeR0mqk6oXSeEf6KkAW$3D>qfLWxE+o*Ch;WS%o)8^H*c;}r7)OK?qLSN-R50{J5J38<*iDN zw5L9Qf=^B6E#04B?sW0{amB63x_Q8utmq66U^z$X3ClN*+gaNPilKm=-jF`pWfJla z_WH}N%KM$SZp-^=?h!6`(u+%gOD@P#2V9Ga#CIzL%x+(!s8K{Y9tdTHl5BL6B`DP~ zUJ*<*`bg}%K7UsDL1fSEjmMp7m*g&2Hm39mtNdmFEQkk##R5nY`QzW-eazN+OJ~4A zp>pnh?(x%Ehn4;PMp~)$Hye}rh%ZwJ?pfpGZqzFCPnfBiN0DIceEB37U%0>gIoU~A zsl>8>pc0b;z~GZ2g$k`MS;=yWZ{SL^?Sz9p$JUtd!8+eo)B8S$VzTnl@{03GjB>IJ ze6_F4l4qEhp5$u^V{n5I1ktg+jHpQfCVZ{%f8BpSY^^2|p;gszilr zq(5wq$v?yEB@`;vWudPCP&3eYtP4y|`_kQ`5|K}BfZYr-QS1(aLsT!s2fkkytt(=^ zG9!mtuxUlBDd)YR^%BkbynFC5wx9RFQT*Gq1St^RX&h8~Y45w)W}M?>^4UM>eee`=}u}OK{deHU1Q4LT5%_-?fk2!+uaXx)SqaP zZF_huJS^`%ulsT@jd>c1X{io@HhaL`51o>|ZM~9xXwbAwGPBd$Dt8Bw;PunLUL0$j zE_5WexFl7RCGV_ga#6yA;nR3}jiOa}tInC_`PcEeH?7!IUxm!koWN=%-tLRP=(8a; zi#k~O>J-y&$7>xV8ijB&f=G-mkx0;6`_VI@o(7tI(U8ayY8OCNUR!lQ|D^%a*okv%rjjeIm-v|fT2l+{zB!p9CLWgby2Yqgo_ zs@Y7-{LrKVwdn4}082{3J(ry3;9ghdW1Isf)w>V|rZWwMd`31JSC^F9#MZl_g42yj zj=2^QXIqJr%ob38W8%6vP!rK4a?$s;YL7i-OeK2LDqDqX)vR|dF;wFK)i{lb4QBk~ zQp=rG4PdKwge13VMv6`7waL0HJKRG9A`hr~v{G|71Pck=IQx^h@NjJ&nLO?~Z+YaySXtSwYAsx*awILqZTXTJP(V?6;gCOy@;C`1qrafAizr4whZMvyn*5ii`s@JsU zB}|cP)qJA~;@5Pf>r}O0J=iVr27BHxZ(Mz=4!5}}@9SP8RFfq3)P@}OFq8}< zzf$$EK|rz141nGCaFB9Lsg&k&b|}FTzo63*d7)A4s0S#bv1hL$SVH#=v>R>wpFV>O zX`ML$ed=-Ha8%T2d4=h^>zLM!E(x2Gb?=Zu)d9TgIwCcCdj74|LAd|$RX z7|ffJK|nOw?@`Vmtev#}P&t)B$fe+*FAF7cyXI~9FrFI3>w9dYj08i_ zaSl4~g-XJ(dTT2EidO!>5svar5(e*Q7LUVDp>xrR^x(RwC?YY_XQPik+4-I-g1HS( z|IGO28?LZLWeFLDtv$Fy7>xCsevl{*bB90wjN|sO?050p>A&P*FvwWB_{-Qpe9|6{ z&*HVRgvc*L&ni?&ZuAimk?zAs9JfsVn9_M-UG*?%$o zfoJuNhVhK-wAq7@P{_P#gfvYKR&#>SCHs^ff?y+N_8E!5wm2wl(3TtA7n6Z^_<4DfhZ>u(jU2Ab_XnOwz3|R3Ewu0 zIwI5u>iGXeLQfFrbkAuTvb0*EWMKovLu`xfd^@^Cfx-xUPT$M5cWlig+nAq9AjQydfM5h8UoC59c8tTy$=q{ zzIW?xzjS*hGwi0yRF(2NrQ(?F&s_$b1+6rI3**=E2#(0nhD?j{wW0Z7>ENy8fphyj zd4>;^_7l52ssu}`NpeX;kr8M&ZSgoO9=*L2{B%NpdV+6)*LX`HqVOsAVE<1>@Z4E{ zmjGwR33=oVuUUjJZg{b>C;zZR7KwP!w}?{l`7lRYPa5>KD#o}M<(KwY1lje&8wyXj z@r3P>3fQ=mRuRI)CFmlXomEO|fRa7y0eHZK!giu#dg3J1#@gt_ngh1nC5RZ4w$g@q zCt8M_)0GUK)&v#P2wHC0=LtG&A=D2DP^w2-5K8!5YDE2_)fO7AQvpqR`3$H)s?%&V^phGaw zRe4U}0|myJHalhi#C!b<9!hgPqSW7$V^bn=y@{r+0bi8z(`ryXpU+JfsVM&0gz4z8 z8BNZy@)kTna}uvw?!Dn`;U|7yU)so(=CPGtN}#&jXl85A=WP2BS}R4%nQOR`EZGh2l!Ui^w`Qyz%08$guKUAggx{?pU7;6ytl>bex2(df&cIB?T7yyFmDK= zpH7WpK~5VNtuo5rL<7W~V}7Tn_q!8mMP>ZjnbL)je3u+b6JZ0+5>W*wx4W|D$EI@+ z%8C-Eu?biTT(lELTx}_u$oF9ZKOjS2c|M`rt{rhWc)x>%>#OYIsLJ{hDXc;KIQU;TY(6|fCG*LAL>T8JGsSe->Pmne$=?hZT9oe^=f?L zDDtfl$v*#jg1XVNHcg}SAj2wL$7mmMm6*c@)aGaE_CRCNSO1RdKp9_b%(c3)guI;N z%hi~tGEHzo8}Pd*bKk*F_>gjWT~3x2Ym7a78`n!4I+;cHrB@sV?oQ(l1{}r#zA8&A zUY2R@SQ?OI`o|Xlw&;MnCr_?&cJJgte0$WEoEMlUu>l&3!2-PB(C`T=qEOiDS@oh7 zUp5DVvHbEc#yf!gC*yVci?=6V5R+?M;<{R9gtiphAOLopJ9`7}Xx(E7L$M+#-Pdc( z#HZ+7hlM>tRP**O2a-%Q8&7fdY@RupV@t_ICF7p;lH#JaM@>oBiU$6nOP2T%q@Olm zhW?Kuf6Fu2U*|@fXeD|nx=sZkYi_g+d>cyM`$ztg0jNoIjN3OTM!k_UL(TSlR?qhV zGVtNJ=HbrBxS8psDHo-POh}z zYUOZ~8VI;RWG{+w98XI(vq;bP`AGBH|I;tZXQ1Z$0?Z|UFI1C!Rd&1ypN!%Hur-sF z!}LhEUp9Vy-c#k)(#y6Z8u81gK@Vb;4JsqRFaTELU}=EJRXuuMj*y7hzEyR{&)+6N zSI{Ug61CEMBQZI%Thy`3Z_wvgk*R3lB^&h(fhZ@SM5$SxrnOI$@FZcxms%Rh@G`p| ztt{!5{R(36iPgUL+PowDZ;jnlxc3(cq#3u5_h7<=?rO`6Xa4j_T)=_6fD-HSxD+Cz z^ktOSTXlz*x`qMmT@Iaj$DIxhC|XOeS)N+|`o~WsFj|yF09&6%YIld+<;xkfTbe%V zO5xhLk;3dz{-cBLdZ|%>flF_~LyssGiVSd5DyF7)51};kwwDrv+rII z&k8PZxs*<9V!w)VlbF4nni1A#hTs)*AJq3qT2<$q$ux9A-iWQbcRr`llc<>q;>uLB zhlIrueJAi_Jd7u{VM&o?DOF7m_H1J(*eUjOmGIntO$sn6nhBWG?Fq0HjV{fkEd5ju zqpps>Uc-RRz=e3xXTSh!pk}7^L>k)e@PDNkgV}=CXKR}HEI(u?1ARr_UiB<4$VlYW z6rZIIM5XYNoxVeSgWs3trygQx)AD080dZyPzHZUux(qzeyzI!6NK0n0S<+B4PZAFb zTf>E9aAThe9esu0GgcECnlc4bK{jRCJK;cwFt>*|YBg)qRzEdugb?LCyHJQuY!hJw z%B*IXhb{h>vI=q8G!fewaaw?oS@?~S$Zzy@8yV7##9x>3R?tT{(R^KE@m@O_?7OA9 z&zM4ehCyr+6@n?sh1sbi5U*y-4)n6L+ZH?|1%%iJg6EmjWp5LT z2Lb|n1Ka1KlG>Xs$RzK`I7g>@H-xF#ZoIr~eN?a(UvKns3lDu>e)@G3qwoH;`?+9) z|H@+kfQ=2?)Bx-AUEPl+Hqds-e7K`wz_@Re1;h82;!1s5SYAJe@U!N%-fD!0R#uj; zg8;;5fkzV%Vm&1$L6Me7r8chlnP;&F+y{BNbj`m`zLoqI90+MOn2uU*komH+~Q8&yd3mY`-qH3biXM+6w^WGX78#S9z;# zo!4IABGnezN1}FNGw~q{yIh3_Op@ITAf#ZC5nL7;_@96`m?rTCr6%p4qQZ}U>4C3@ zU}Rjq?Yd_@laMX$Jky~!xVr&g%Tc6yewBySn0xwoNkQ(XO-w$LteSbCimESz_Ul+50+J zaKgRl>)d!WKber5fhWh3RN0M73*J!Ws3&)_;n7fV2QEr=$~Cw3@y^uI1e3$uEhS`B zoeEVRvaJ0dO(}RL2-PT(PetQAC}0;Be;8@veEk;acK#XL7P=L=7mZJ9t@))OIdO6) zMZdTgBw=ktZmD(KMA)LuI74aotME_`->bD8_e}K>T4m@V+}Fvrq47?IMTs&{()aSo zsHv3JNFDqp6v2o4FSPQC@RF?x(B^xFaRpn}!m1F*(~+>HE^+RU8al1b)(oc1caKZx z&E7f6mTsJ066~If+GO;b$u%>h&`q*?U7>1hMZOf0+WSKgR>H}^&<5g=&;^5`*W7mw z#kYKCQf_BqG@12l% z=i_U!5IbYXLQJe#%;uRc0t>cK)Dvee_JVg>O56UK7RhQ>fk0G(ot+wkZ^YVJyYX{HkP@S)90w?qK5J z)OkHfav!%HB$;JI(F8nut0>d++x*QUxKCZY+V+(Sy+=S@Q)f3cnnLT_@{o{ZW1sDo&R@n=NvEOifj->Ev(z5D zxK6EFp7Lv%Y{%G&EmGsSeJ0gS`8Rc`W@4I#{Ko?Vd&WSwv5*{KrYgQCmX?3~Z_qjR z1*sZJEcmK*!gk8hlbmlt-5>fp9;o5T5O?R7NGxUDm*j*9>3Gu=yTk6x)=gkB7M#%y zzm(W2kR%gzHU4M|TBkp;OIl&}BGL}?Wn7D9g0CGRg2MTFDBnS%(c&+iyRv7s=(836 zySg(XqU#3ya`{m-dbe_OvK#db2iA8Drg3nd6w=j3dHn2ATW z+z##q%{X1S8xt*<_X~?f_=pYeSr3%RH8=YAmnMZfZQ6_}KyOo;e!Oxhe*+|Do2NkT=QNxo_{m7bYRzTCmE%@Ay4`A$u&=nX^8WfwAPtD(aI{CW*N zKHZP1d_<2^o!|(a;Low3u1yC%pG`vyz(+QgD!wx4*Lnips&Oe#Q4R3V@U;O*@*63d zJZeLRPG@wws=Bf(#S!VMmQIs3H%8{ig~lwAX{1-nIcehFKP~tCLpi5 z$7S~hgo+GI%2GqAB}f&20YdUoRb@?aebqfY8SWtJRB9&R>6Ak>B!_g4g;+@VqMjols)JPD2m9t8tS7^W>3`4EddQI6yQA$MIH9YLiuk^Bo zqX|9kA}_+DvY>&=tKX((6g=@=E*<|#;vPk1&rMG}pMDk}BY%6G-kKg{*RWvxi;;U~ zHeE-WOM8bRjX>#--Wr$Wdr=3I=2GV>*TTqN=EbPB?eWK$IDRu{hM27ltTf9TmF@&7 z!Q_M;hm8|4YBn}ZO0a8vY?c9!j3n7ju~BeX6HMF8=_c z`)eY}R;I}peeop1&k!>G{^PFUW|?^H8S)HNpWpI3Z@nGlk5oE~G^lQwQt>EiJ)2KB zEkBaKdHB)LtibTDH@}lo_*J_OXeMr`x{B)5!goVdnC5uT>{IbLq_%lP1r6Pv!tXvW zJzf6u7md!q;?>f@>wxVXe}cvq+IH_E!Khd@jKQ zST#AO5mzd8a*hR5I1PIV`wn8zp)^08!l2}LzqgHfB(*84ihZ{K;!GyWTQ>?lcn02o zjffh`f)EITckHp0K@_B?#0dB5E#Jv0t)V?3(G=&M-Be^5lnq~^)18n?QV^D~Lv zBNqPUze((yR$mOlL1~tEUay{AdHFhog_S;itHxO;_!SR5Fa%M`Opqsf@#6Y5FLMT; z$?#S=pIDiDc5sNarri5ZaaczqjluNNFrBA1D;6^#Pe|K<4#TkR(`YDmUSwmSD zL?wKzQo1sIFJYUVZ|M9ip8*{0)XU)@gBnwnchU-!2@`CcH$MeR0O=*TruN!{lRvb< z$yD7jt$w*I;mBleSV-p^0ew|Um57{@kIg3=1w?6e#bY46Dep|FzW)#bsa-J`?qWQ&BDmFJ0Gqob=v}Rf|QuZP}N%PToWL^&3qIUVt zzs$*uLg$mN#$iuV^W5ybnp#9QuGrHs&;4<(Ul392zSo=CoQLz$6E-IL%mXnj?h|h3 zQ$Mu)apUG7;%pJ6Wn<$JLBS`rf4}q8=8lbJVEsrYiPLIMRou{}Ooc8B;QPRg$U7v# zRYAltj)k6_tJptxwcn%9e5kB_2O7EMEVgnhhU z?!K4!mzaGYYv5HvT)Q7-a&^)0g+j?mWL<15MVeoPN6~rESFJuC_CB2J=a_n*9ZEr` zQyjoXa@vlKl~%Q#mzQj*pjt5}*u3G{Il%p9NdjPTi3FN&J}->Xx^>v-H#5RV8(c+3hgn)Vh7^wyai2 zk!8f=RJHkfJ)?q(I~&>2CV!Jt)bh^yr;BBx#y!)0-M1*M;w5%i!on#QL6#y znc0^ZenaLIh6?bD3Yp@zr^{ugI=#YW`!rdQ=kn{!Qq~~A$I5>`4!ILNaEdq!3J~1d z{I%L?F?h#iOZ$U(UU$})Vos?O$dGN1b=?=8)FJ;pecR|lv%4QwpRSSYLCa|?h=?Ms z5qI%FOwMig8lor< zY1gni?uwpI4Lv)H0vZ2f=GJWRBrOURx2aN{|6~#+i_3Gm;cGKi^5Io5n7m>%Fnao~ zEIe^O_pd|3JAjcs2pAN*%x5U3qrKTTS?znvTAOhnQmSDHkG@aeS{*Rm3$s;Y@meSQ z$J$+kYlxz7PxM|z$00Lm_BMGh^E~ODf70WLT)_A8h;w0nl%)uT9%Br|Ig8c=Us_Sfac|5 zXpsj~j?J9h0U1~7udpk;@ef#kl6_EZL%L;Dv0BOHEw}{&i~vr87jl{|>MmN52A1Xd z`_qvhE?gHmEHlLc@rZGYY+yJrcP*~zF6%bpBo4JU`A8oNYiFw9-`%R|(OeerJR)1$ z%GkX+M*ke_^|d3;efGp*F_NAVXXN#2t>J$j9jVWM-(QKMKj5p=A_<9B#7D)o!%egl zrr=aJym?;SE9#ppXZQ);g+!kQ7K~CK4(@1elCmu#lsr7PF<~|n)%0KN@z5)8EM2`2 z9f0EBAMjXP67fONXJnh4_UpV#Q<|CZ`?w%zfREmDkLdOuO~}i*B(Zri11U@XKKh}J zTdwepT*g0I+_qbQX#gA3Zc0?+gW&qIfRyi`8!l=~GUzz5j$W540K!>6E_8Rz14wPg zrl5CQ3O(757yB3}u?oIqrEX2l@2o44pE@Q}!HzFKEBC-HS>6g7FP#ES4N!wTT8E%< zWs`e0u#XZdOVR+fQqjFY*j)wnWLc!>?555{X_ACg)W<*X{8p^DkiE@MrSL1xnJ?IP z>I$Gy(w>WjXF}a?>ZcIjILT@gMHK(20N8AbXbMzyuDec+MtBSe&?zi4_{W2vJ(D%< zY}gSm$s{>d269<0wV1Q3CoPg`r3*~A`^b0SgT&Wg&h25oTSG>jIgaEc~U?VX_{?$IlDaw(W!CjK#0T~i=#ci2$4E*Wt5MI=v@arM} zN&y}XNl0#YV+SG*BOCRP8jJ_NSZP4GuJbWqg76det>F{qzJj~5r>F>EwAL*x3VWxb zgkft%?Z2c3bCANVH#$rH?0?Uazl<_7-A+ptr8{b<71M;u|6m@hh0Dq|EZS>`cyJRB zzzCk7k-hK4=<+))Fu$Z`O=rp)nb+Xm5B?H&SEsPtd}e3!l0$8zdeDkOQtvZcFqoKA z|Mhbml8JP;j1l2I&9_Rro2oglnl%|g(I5YgyN_wdic(`l{_Ci-?I=+1w?2~iJ@PN& z8(t=Z%g8~03LV#}?Z{V*jCy*ty{Y~j{^#GwI3rS}KbcSEXQl6+VJz(&zAxU3ix)Nl zUWfHoYoYs^K=qgZz(dLVKet z$vSN>tVuGmA1!s=&XBH7li2nJY zZflcU>F2l?k2LKY0!HF!v6@K|k7OvN2zcBo%crtS5WOsgr;~^lNs%P-hRc3x{Vq5V z9@ZY8Uu2)rR-~ss5vRXBA*IvIq>Rrdz4nz=v*pP*@8QTh={oukYC&(xa!3@FxuTzg z$iDM<8GLT~@4OsLd!htI_YVGTM(xz}1if?^`4N5IJz3bWTE`0n zZ?!r#|9et=eXhBz2fja5e&L!d$7A~?;CJEbnO?`=EOm(gqF{kXuKOnxb`6!NdW%06 zCfN38H5kim>N-ce z>+TpUf`dgh=I0}B}+1P9msXR}Oc~p4Z<5#9#O!PG5ECWo+x&P{WF~9g19+Iic-k!Q$NE3-rsg}Sh5bD1QQcxJi@gHZ ze~iedNakaVL+q+6*gmC{Jym4E0%DB$)(<7~XHL@K0r0x}XLQj*#2EgPQVXd#9<*}E zwvpEg+&z{c7k>Ctiv#g`CurJ;LR-jiOy1+Qg$SkHgnmLLRriE%vfNo8H;oonjFnHE zPQ({Mf1QXDbaw7zIRT#5f2#@7;#dLubVUZ8Dz-`1R5^%XR}mlm*4yAm+JKd(x_`(2 z5Jdf9yfn3fa%or{FU_^EUgAg11snrD$ZBZBTOR+3QRK0#4*BClsB!u*Di%Oi9TkmM zwLAv4Z$evmYCf7TU{pH?pySR4NT5sw$8LahUaG(7mPe@#~8LOZj9#! z08(?Q#!s@xO3xcg@tqhFVrHBE5tHTfHzoNs8NDK#n#nfO!g)4v$avWQmL4mQ*F4di zi55L2V>~jKrs9=P$5F6X3KEt-BIQT-=1eooGLw9`B}7quQaA7@z~H4IxNEdry|dLiM!52JzO7`w0^|z9Op}> z{>^Ld7h9kY!16~#cbelCZF=x?*VwLxoD_f){Y`COaGQsGr3vc@N8&ndj3ndouL^+v z^0e+5q{2qy^`1MBk5DC<3PrcSF=IKQ+~4{bnBythmI@-=CvLM+c&@oTgTXc(xfA&A zezbo@_%98Q>DuL)h*R{pz5^tI{@No3U7PU3|HIW;xJ4PiU0zf|7)qpJXb@?Tj*;#V zkZz>AdnhUC7U}L7x^w78x(0^s?pl7k@9w+1*Y$n=f+w!~Jm)^=?)WGZ5fEVN;a z_5O&+@>ALwQ@x=9M%Y%>3ZdKp`3J$>n7rqxyH8a;=L;2pMyZlV5OrYW)~zPF$%Jeg zzl%Ito&e1`>$gOI#=~%d{k&&9A3`x{%>lbIhKEQXL60BaH7`=dgwV*iA13&H6aod{ z{ra&wz}C>CJx+g79Z?y`y%>9raC&$H~}`d?elu9fzJV5#sDm|-vDKQ%1uQLdqv;Z;CaWb z6q2XC+i#6I@?Bii0S4WJLx6AN3oWX6V*{`tWz{e5vK zW{;f-X3}6QUk5nDci0Z8n++?Nr`Lez-sA`JlfY{LIcUZ+B_Yg2h7i!aDFTKE{rgUb z9{v7h@86FqDP?!+4V-%uxa?b?G=CoOk5&!a5v{9Xdaa<%(3$`e9+rx^6Fk2fbJ!FZ z8`AzzX~Y@G@N8RFaQOK7P5-xhg^05Fr(k~;!29g9FZMq$-3`-?jH5JpGT zAhy@^v6dyEAo>8Ru-ul?#bhmsRA~?Bi^j3n={%!&AbICQn5Jx~g&4F|o14LfXrt`^ z*`zR0JZVzXfK9AV`0*S2L#t8=Lh&>~lqc)yygPS!ePf<5=N#RF}O6p6{CwY@E*7E9%a9Y~FGLXDuS~saN{JUE|H|Y%y_nns{!c zKUc$Z#^Vd!pUz36RwDc1XlEK&mw3pEu)Z1`BlT?+51g4hGDPLLKl)LZ!`}Ku(ccLK zirfL!;8^vsz4~=`Ke9*zV(bvYvhi!v7{O@3w%IAg%eEvYPdhy1gSp$bbjhO~MWDP8 zT3*805QL-Q?C(ZmyfX7U)nd#CG*HqhVbV}6-^E6e{v`!(H7xeFB8gy70Lqpm%FpH= zXZ&uz|Lk4hm%Ha^--o{f9)u_it z)UzmI3xGmulUu>x21h+v0h$W-&ymJQ7|f)f>WiB2eM*kQ^GU|AceQtY38xh@7l)b> ziNZ^pok?Q7mq+8sgbd#R&jR_cOVaPcY#5VUsf{wya>g{|8(Ey&|J5b9zzP?sAkR zIUqa45Xj0Eb96*;x#0q@slb3g?)_`u#(JhT0zK$6=hMjY*FxO?BzNT=veYuLgr+m3n-qOntCI~48&)F1QcEkW|jAROa z{?~cQg09rWEeeOndkFosfX{#O=-Upw>-;IT#7{#6DDva&K{EW8=P_SBkNX<%Uu#z| zA5?BsOhLSvj1Qvi@;OX+0YRIka0+{T);~5@I{e#o(7Kk+`0nT}c zaDQcga~;rlk_@P%SFK7SO zgxs)~)hq6o)vVBugk0+9r5X}R;=df&kw;^1Bpl7k|BXyM!0chWWx~@%N&>craYh|L zZE>crI?7sBSEt=Fc8A;7k1=jcnC&x#B>&k!-#11loa3CiGr9SXM=aRKx3gQ^Iy(~z zkumi%3cqMFRCV^E9|#JpZR{Z^@|Lh$NGd`o9!hF1XP{frsi-%+Xlw1=xW^;8*33V> zDYPuu1l`|zbQ-q2d-YlTVp}A-Uz-9#D#)N#t?c$bGG3Gl+0QxKtS46fj>h3V zRwo~i#J75Q*3Ny%mA-8qx{%*foQj>pe35jpJ3q9czBYFT^b!WM-X8ncQ>3cS;bm0Z!2ciL0rkY{yNs zZWV9rosbYZ%Bs;5gzaBat5t4s>W7)Cdg(d5BPtHEM(HqXfl`i=OL3ay#WVTMgPDsZt>723ty*j+E#OF_E3 zw_@8Udv+1<$8_h5Gx<7qwYCJS{I;)fS$^gMlonjmwfls2E(~rO754vi@_lXDTWufI zsRM^zN}Lbp*s z!ZJAkoTt&B1+gjl^MaL1q#SwG@`$OUT#fg)C5wci2)4_lVd2V6Ei-i?bJHQajeZ#| zvPD6^bo}O}wOjjF{CQ3|6dnn-prkk;L^FZ_>S;>F=cGFdeEMoRB+FG#Pg$m0Rem1( z^=ut=H2J2r*t-_1aBV`Yene0FV_l`{V#Io#3HrI201alWO_(w!KJK{>22QjNO6idC zU3VdiDW_iE-%n?J9yqszsv?5s$gz=VBE<(QJ8sw)LJ~~pdA62@3@#?=&A@Z+KtSXC zwfG2H-D&3QfX|TdB^p{CA0vu=#W7zvH1fsL@H4)^xv{^_;Q(TG32;^(%sfTotH@Dz z@F@_$1KaMNh+^QglDKXY1L{azy&NIkYHR4NqFhgfO}DX$1=&q_I$xzmvwGDsVs zi*1@#6hQ1*y{PXfPxDjBC z^sxrxnr89zHGpin{>3d#)uc*cU+(V8bu*SL#_ABpmBBSs(YN;;1NlXJQAU5d1ks=2r&tl_O@d8o<|$AuE#0U`*>Kv=N~PY=*}SD(KC91F~|) zbG(0o^kgQa)D{hwn!<%O6uwQ$G=E|x?UN?&KF>{XD=edP%pKd+_d3UCv`4|FCE?J% zFKZIF9TL(>Pq;?kP_|4u(Le(IYnVtV7aR5fdm}#-n=V(}1-(o_|C!39J;1#jQF*BR zRBpcGuk#Ws&z~E_cOY~1q~UW-Oq*fMg)>nPfH&OMSzz33dXmNO-;VvzFru0Y5xEBp zwh(k~CDuW4!x`At*q9--$m8Hf?gnyG&CulaPj3Hq$M?+C9w6-UxGQ}5&%92B8NY)8 zFy#|=iy7qfsO^n?794(?+3r!|$_hW8vcv{GC7fby2wfCPK&_fPIB7E;@EB(waYrdssf4FVDv{; zf(QiTOI%-?KgZ+uT^%yZT~h>-xHRzB3c;hixAbH*ZB#Tabj55Tw_7(Sr&`N!NTCk$ zB{AOn_TDZPU&@%N8$~2#T5;dLie{TjKPjZ9>NdinmpW(`2hfg`IB{*-PPHT!h}0OKsB zU9<&rf&(r9d7n^P&$Nsc*(bT65+=m-nHodYw`>|l)@94w%ZYJauUdUep$zJj@$#8; zCwAG)Ft)MI37M98H%!1)las9fVdeJuMR3X|dehJzKk${Iz@Y#r`WaJ)`lgT!TW?GI zsufNg%KI3K@Wc|hd^-u;;uv6Q7d!ihi3S=rwAY8Gqj2`GE&F%55A96Y$+W+3-B@Oe z9qGG}HKz16T7~8r@0mR|1C98{)Ct}Uup#W60r>LlXuy+mPVM8QXidWuPX=-B)SmVV z&`znbKmxhJHObjKP62pS&w4|5qP{5>og0hVHC4xP_?~aRH=G=_-)V*d^|R}(e<7>!IL4@bDqX4X%k5QhdDWbm7&sv>XkaYL2Bd;3@)0wkq!}8T=QWY zg4d}RZsVok9?$)ecyP}(6(~9(#PPQPY{{q6^=Q)xsh;><0Iu3=9_+P$8O~#Cv7rT|u6)?x@*Fz6eT#MVi44{% zdbmUYl~@rz+*5)c--sW!$A=2K6G1|rhoO8L_IMTCDrwU6BHaOS~gFvM%xOhgpptOl`A&0o*)dS79GPdP8p2p&fxZM9=+{4 zId5?bf?t9m*`3fBcgCn&zb7}i!_JW;w8Q6}7))fDuzUn|P4ezKQ^`Xyx_FS|4akP4LI1IDV)U-7)2j^Wpntln}7 zH!ShhiNY_r&!K{DxDdq*3a&AOQW!jc1xCrGytpzxFeW{}e?mFROn16p1$tCi>2!n; zyFD*|Iz{1*l3WYi9XSx7O?+_+yWi&{ITJk$vI_NiehWFt^M(0@&Ud27H|X|bwd^$Z zIqA7)SO}cC-HA{D>@Z$=xX&nzg07hV-s<&S?DOmR5QSr&82e)`vT><}`W)w8a$nDA zPpQ8f1Ap;tNbuDd0(lWaqBF>^pB@ys=s-4O&mOw~qaJ3caKwoWu5-) zp?T#+e8#)Z!jP1EI37L=fv?SQg4|%jGymT7m5OC@AR|?E*8XFO##RR^3#j$0&J+8Q zpzmAsq^}_!AhZNhHI(CH6~8Q}&L*#KyYiw{f7fiQ@HPtqR#dApC}Nl>vW|TH9>r{r z@dh_~rPi7Z4B($05JEq75K{BS+(f+5GP-M0q0r|VJo~8cB*He!$@gAw zau!8mdW9H}46&lHJeDLSqgij>@~k1b61=5X|JB;7iDbxrs+ee_Q#qe3c?=k2$p&8Z zKgI7yL{nc7N!f+KsEolYYSS;RwHN=W^$gBSVSsynFZo5CpLwJ6 z$n*HO{0?n>TQ8b2RUYs4-s|6EwUG5XUw9!4~) zP_Ul9@`nBY9xZ89?#<|W4(ffy*^3@4Ww5ca{|I_<{pGfcBI2~ndgYjyFV+@^JtI!% zIZ%Bq(Z-1+o|X&&V^nE-k>EVS45y#XFVm_4quO=CxqPj9+=Gv__g;H*1sypi|B}pC z@;Hf}7E$g}H$yZzQgU55L~Z&PHE^nsLMnlMe~ye_Bl^sjr42>EqzoO@6D&KxB~g(p zEj*y>YrTb%tEc9r77UGl?1XjsD08X>^Mn=L@16&V_b^cB*d&ZcKGIpDhgbNRzN>ZW z;l@yE(Ww7o8CGMMOQi2h@d6o4RCN_j@+ka-)_SHRD1nioDA*y4ZvG7 z8gs7SQ!)mlYgE=qyemW+U>6Ag(lK=?V=n+Ndi!zvIJFcH)e)lq+Sl~m%8;oSW-d*& z38d$9U?a!pb3`a;?^Jr;wx#N&!;?I~EuVC;QJ+rJ$I&Fo^VZuj>StaY1E?{StDcg( z>7D~Fp`qne6I1y#;IwwoyRjuhs;H_!8U&GLiw_m`IQHnpPh`SJ-i%8U7r zwNjH+2C+`&btuW~=WVKH9GgUmXgv+)*Zj`wSV<&$Ie4ay*mmt~cLr<|B3?*iqzsKp z%7@%G<6lYIoK=si2Hq$zyO;A7esPQ#$+j-Q%X$5WDcnHj0uMncJWLBvVBpK{jzAp{ zV63^0NarH&7+4&DV9%!MW=Cd&9Z_W3mYyk|As3vxOjQpZp4_H3XOuT?dCU0|)#DYLX^!(0Cu?NIM3R9+xdtK%Z04*3M&*$-n=rC@fya;97~PKWJfvk*pWs;yWO}8 zvp>9ODSS(xE()U{S2|p$^SDaytbk1hP&AgVx7@ftQUaH9!7BX9%}DJ~US9}3$hB{c z?<(z|l$yAsf-ZkZQRip(;8tb-1fc)8{pd~g0M?r{EVzQ)Zy33;2)O6ueG4i?z9{v~ zWopj4hpV05HQNW+HnI3;JQ3#mDIH!5vwq;Yd)U%m#{~;t0=>u!oqduTsDMMj=Pf-BJ`xb3K(w?%LD!GT*w%ybs}8{^PVi$@PvVoNI?d4iZGWX6KEb!Rn`bB>_N**rRy zx{l1g0a#^cG}^t5qj+i-n}0q=)95!V9rE@CA|N9ip)mBj+FKpONAyj96bVQvKt zlX&fBWErGP$qiyVoax_9JqfqGI50*-SC89%U=e{7zWMfbI){VthC-&e-85zpwhEH} zJz~v?V#&V0A*j6@zSLJG2ZN%)mO9^j7o9CsJ^}SL3=7V+p;G(uf_DuDVim7OPbb8` zdsRRAcO{v&R1fD$|0L-5%Z9B2v@hMv&8Y90a2Gy{n7FMbGK;OrN&Jx*{q~PvevzpQ(L6oV76WJ)?}9DZ<+irOSBIeT39Z zv`drt=KLl~kN-C(*vg=zOYbPD*Fd4pi}Py2We$>HV-b(RW%U5>{&BWo*K4+l<4fo) zexc$WA_)@hkP(=9!g!*G6`uN}oSXFtG19Z&a-PR#f|U%59~Bxi=JB5qjqWb>A4zHX zur9)I8vyO$9eX>UTgFn!`F&L~&_Yp~bA?)m+xjJ`r!7h9pSV^ZMc_!rJGAREa5? zYs$u}4i)3NXiwf&ptK5hi%fbs*aH;OnoLm0X_8P2_8U_^Wjr6dGeT^BsV7=>ni-Mw z2Th+O8W6&2ss)=wFVJ~^3s&g+AdI7tLB0iJX!i=-Kmi@8=2S_8YW!yST_k%j(igY?NF5Hx;ExOW77iG%rN{=U^7b66eZC31M;DBGS76jF!+ zMcg4!aYw4QNITN?obmVP-kopnHdintrRfCp%wZVc1g&Veo3ER>L)ikp^!rKn(&F|^ zuc-WBr8x_|uz+K`@s04Z7tUf_mLmz|U=}`2FSCuXy6G%@z%^47OEP5lRru<*nPxRp z{NqI*(mV;5$gKMNIGubXqGO_KWOl3}vw*2DP}cW@B-x%$3Q1#|FkQ08W`EReB`$W@8p9`i{T-1K>kh!SwJJ_Nx{g!T_%kJ67_zWr) zU`HR$izEzd>sD-&v>^?egQT5?4SRaxsNBelr{dZuxqa8ITlxxdUaYW~CusdXs<<$| zU^au1oR&Hp??^PbT$_$K;ziUammv95(JT{#RF}Vm4QFQostl9Tw?>8il5U~RK02?r ztVlOD$6yM5?ZDK#<{Xk5Nd^zHO;lWDy7qp}f3f`?vj-cJJ+t(gs84lp?_`UhPnja4 z22-zP{sB}j$?f@45H3RhGs^$3^PC-~{{@~*f~hNz*X}$#$kZ`iNSngWHo|_&f0;V{ zR#KgB<1A{!9nT)2p%NyxiJskwC3Y+jDm8v2vV^tQ_AXY4-#28F&*ND|i^V0j{!n~# z?~RyVOVq%Clo(Qw#kqT!K&yh@tn7mGGmaUS#~v!>$+E;|pjT+C7jo>J9r+Z9XRj6M z_s4}3cbhKV@zKWDkP^lrX1`-c;U7F;^x1l6-m7#&iSA8esKf$3y=k!1>tE?47dzn# z{ak6&xX~^>++sm?O(KBV->Low=ObDr&LqkL_-#X5!}@FY7J`7FA`_U)$PE%ydOPKOl7tq7?ZKewl}O9dK_f2Co=h)YzlC`!7(OtUH`@y=};ZGEJs5 z!_M&tKW@F`boe;vO4*%6wqSecT$;cP^ijjVZLU%+Ub&=V@3kYw61#@UD|{F?5&JNn zK4HHM^TC>Hb2Vs~!yZ}w81>z&(s(cBb6WGKAnk@6hZlufJ_!lQFw*?-$zVp1#{E8! zKGU&D_7150EJ6Pg%0fA=E4Il*l?qGnisXW|>;J@ien+R;A|{=JS*g>5)$@^8icK&aDoD7zs$0!>0MBHjNUHLzVvFoSaWm6}+py)C~sa{-l zqbGi3h&XPZF857->G4D>fqZ}2!onwJ z^oUMSZ&-#t=Xs>E@9$NLZUcD#XEKUR5mnh>!2qp2=+-irjj z;uJ-P5SyX#h&pz0n}2eOBsWR7*G*wk!}QBRAEvC^k<5DW`UP(cj@GR#y{iXK5V`Cy z#X4nJOy*GbQVlDVEBC!b`nDc3K4rIq-e*PuK=!(k@22N`s9^#Wdc@ey-k^ZW+l{FW z2KV#h6fQq5ndR63{j6G~XQM@djVKw(n>wMlYI zZRdcoIPmR8P($VEVu57oR(Tb(J1H}$BZB8HnWrt%D;&b#Px&-)DP@@X)`#n|D{L2s z$MFK?-sUjmMA93xM%eU!0xWpAghzQfV<#21!)HwgxcSHm4pRdkG zS?zH!onUol*e>9Z4%qM-G+`9s)&UZi#gB`XJ}8Q>R#;QC#= zV1Ot`kpb%Q;b2e=9do7MB%EkE?*x4&s2EK>M{v1ZVvfT|@+v+VRkKJs-%+ zA~@}}%(D9T%u-p7Hv8yMUrI87?A`u9l= z{nk~iK{uVmKS*Psr zod%B-E^JEA+gPJ}mA|E*doR>a^QuO_5mO+Aeom*3p8Eb;-_tN%IV=s{SA@fMY%P6K zo;I6m^>uQ3vy3v7*m}S0?GKA@aY%d99hdH#Joq~t$YwcdZU*51KsI*>^nbZyZg)C zn2+Z<>bc0E1x+GLaZ?_xH>Ee0GYF+7dQ9SMulGmOf`qB)(OumPvk#&qt{lqrZhHkk zh7P~qzXnC)>5T6Kf)ow#aei8seU_4FBs8L;HJqWbZ~f~_!5gn}8`M%8u6c7Zj4T-2 z`%uRngJbX@dGM~;WaG=V5VKHQ=vDT?Te_M7+E??EWR{|M<+^)xhVD2DUOUA%vi=SM|T zuTP$Z`UgO%jv4!Ob7+tMhEm3do7-e(<`#;4<#wteY25%|z`<)rTAC6`U zc|rG0YjypY>lPv5AI82-#j^A-2DCGgW*I3*b|a*L--z+bO> zdJci=4?W@EedJ-l`1QQqN@B-~72B?Qns6idqV{}wPX|g&ztZd512%mpx4wf~<=NLeEy+-@k;jtP?x~(GYzVgF{De*_MA*NB;Z)ZLYIA5zV##e8{_vhQU8f!nQVz z{@9m}gIn;+$`On%tEmv6i{62A;cZIX1)Y3k@;hu1^VJK^7%RPW=%??a(b?O1<>M(&(ax3cW91jkOL55ZyC#`7IZc z%DGQjWOC&B+$PM$1i$Z)G!UZx#wVbI*-+RL9qn4|0loUP zLs@R!yCpxNB=^lzi{k;v=xfae_9xt5cOg3)I}0FROOEr`olNv+fv24p7L8F-7W#*Z zP~BJIxfK`6aHyXkJG2&p^gEKFU_0uuv$Nt3&7=e(s0mNr#0&lcM&j=3rfGP<`xt(m3mo0&{7=CktZOXZ`ofXi6J=@TRzsal$;o ze|&kp14mS6n~}?*!Jn@9WUk6%S}0Iu7nqmX{*v*pMU`nv5(x=(k@usDuyb>=kZWj( z9@7kUxvsfDD)ZCxJu^DVH~XR@zx#$6>{m+=G&*E?N;quy5nf^Ea>*_g-Q3BT?F;i? z3}k6Qh#lNt5}%Mh$nSNeGY@_p__53nN@{{JOO!`2Why z=)y{LU{hl%W<$A7Iji*sYaPSZp-;`^az0{v@`qO}QKNM#a=JEWXAGAv+jKLTH8LPz zlsp^QX7I0_!&ldtdTH- z_6+g|yAPTH_pr^AS<(0vLlo;^-cHk^nDot)8SQf-XST7@VU`_aF3aEkSHxWvKHL$1 z+drz@==L98bS@vT$F{s5IrZ{)SnX%~xlaNhnkReLqGhPWU#v2u;z7*mpZ-JfH30_v z7Y*th$s&-m2VsN==d$k3;StO?(j(Pjt!PQ>M*7a|Th8I;7BKfux6Rcy+oBFa9r_51 zt+s#wLROvOr7AWgPMfnqu4&O^-G&Y}!c4jjhn7<};@BlEYfdF=PN-fDzKwu=mT35xL; z-!PJ}151lM^^3~+UpoVHT9L9p9vs1nl!5G3CZ}|4Z^O)3XX1hOOEUu^X_6DLs@yb{7*_88sS#Z zv=8NtY^7}*Yf(SB3)w<+UC4?uzq9^7(!_qX+2fWG5sy)&Vm%tbuHc(`ACif>jW-&a zn#Rv*wv8VrwZAnHKfXQ)J6BDHyqzRToHy&EI-Tp4GH zKbX6eBDoJMqbcKenYEtjU@3bDOZU8*KJ2%uBkpW&9?))MF0+~qbSb}I8@TY#ox%eTwoQ}-PPZvT+2V-R_Xz&>kp5xWdAQv4T3k|U% zyibk}6yvcW;T%ELygz%Y(0lCfj!i@@rUIst$Uxx5ip~AN(yFUkaimnhfN3T=hub(b zoSWtJv{zIP#!Jde;z-SirFsSN7B!&6Z{uL4U}nacBh%@}s-%$OXDz4Ar63n&(xV39 zA2V6z;V~))#|&UO>n`BpwK3It6t=%f4F4XKDNGC?K#2lKJodYjwA&Lz;&aXpK+XR04-IOz1Lg8(S;`8<~ zPTSm-+E(>rx*`8}Cs+B%=q(kD?flkrZv4!sMRwGN%oPba9l)~p$~4?)MPjIrdOPv_ z0x+B#K$_9!5iD5=EY>A!3jE~OzDB9Dc=mkp@Tbux=h|O|dET0a+Ge;n2LN?{ITN%M zX!g$*5{(5bd6rw(3m)a)*u~boM(yXxAo_Ja=086-H@S*d3k}wiib&;1#*53VW(|i# zXW}K*u?K4_xgezK&1_Lcy=vl%@f;s+GHLebr$ zN%AEuy8V) zLq86DXi7*Bz<@ywu!5+|C%wZ37Rk5JKc0^l|UvER^gUAD&-G z`woO|jbb~b&q#WLIE}}Flm!Fv4LG|q-7D1V1xTjffyAuaYbW`HFF<9SV@r~jHS%HC7pJo z_^)AcTQ#(=L2=h0$Mq$3QRw-UFrPbmPC+N;;W(_G%H)(GP0$I4tkG!`mVYxBYNJRR zjZAqAM~Ak#2Vr*Xp@o3pnsOuE(l;XykK;qQSYr^(NF6nw}VjpL{q@^%pkPjOb@ zSRu)d@kpOWaFl@K$%QfEWiKFuOQG*M_}S0ur`6NBZLma|eF? zp9FfPDy^FxfqmB*>3#_+0eA=*!TYXgla6q&5SPNa>=7t?=91szBNJ4&VdpqAywEP= z3n@N{hTkLAxz!xHC-nfii9P<8hJUsthuVsEh9lc-1Lmo0>&@^&+edBZhZ>C)M+@0H zQTBejc6A?+VO_RB@3`lC`~{F_%Lg?Wn}N%#hSTY?9Fo1e0vF#%12qeEHbOAztTX*u z#!uk#hs1SG>R}EvwbjMk7qPe6pI?d|_cew3U83~_x<_<5Vy(=XEn_{d6kHiDhhn7eVaK8@asF5@tw8Z{#b6U>>@((q?elPt(|lHgC;9{)1#@d z7q%}%2Vr?iecba6!a&u-M!w}gq=W;7*lu|OF>}XTZcrS$;GiO8j}*z%JL}=TQZZD>R=g5uNPVtv?8{F&#L+);bD><%y zbR{Gdjj!pGA?~{>Q)6jflLV+i2;% z&(~QB+4xvDYmn*?SF65Zo||{w!1j=XCV~+Z@9?W+Bm1qei1hcbNcOWZNP<`dbw+!* z`10t|V&i5}ge+Bs`FwE&@nJfv>xW)R2uGBZ_At+N-`FdPp^^I>Bgq5niPneYM0a(g z8ODBn)oh2;Uac}z5}hUx?NL!{AaiI(U@bwi-f!_muGp`|Yhx4dc7M4cxnj7i2Z3e(|KyJBv6C`PT=$UY^ zHIw$K;1>*4o$L{EXk4sYD6~1}pf_aR&`SDfJK!yBpX#4CN|I`Ee!(|P(_y&Whe-sm zC-WP=VJ>}c<}_z087nH$rAu-|Pd&OJ*kqGx(|=(kygK3^KQUN5w%8Yymm!<&xuaVu z$cxEWLd~ugX&v*R59Gh{lBV15@~A&m{iB6I{Fa;i*qEe3u(ja+>qC8YWd-*Y`+TY^ z{36v2asp5$^ezp1<_f4q^f_Ic=Xt*z-E)57u)nZBn@Z;J5V=YL@2&jMP7@0gdr^RM zXl?9tzCeGvub9fbpbbvY{+|R;!KJT&1AN@KZ|`nt=TEy=v*+iL?VnQdjJQ)8c@lqBMT*fAWXAI4EXbdqi3YDei43ZcN1B{>C=5!! zeu9lwET+iin`=e(YGKkt#RMmb}Z>48q0*$9K(Ggt}N3%Upu?enmHji9GF}F%O zr|bnys()slnZ2g%Ir$e127<8|8>wAZlACk@N8`UnUC*xuO9M_5} zXx$SP&50?~QgllFlKsmI4&m6rQ=ljDjg19nKF+WqVQYbYvZKoIovNc;EG2GSp-kW> ziz!On2ZE!58`%jChuga zhiwsRemahCS$^~cdTIX*;2nM&%;+lPrLeWs6x}_3?672GIwy55oPkkl-tCg=M4r@U(P_qP|PQx zFl&L|nNs4J(AJj^gpZ4b)#zKkXkj2(8qa^21s@NUpfLDN0#iJrEWe~c?onu1FSP$g zqZXGV0A_d_6<#zm10-x8E~?N*$^R&Kue!bC5iYZO9DoBwgG(IQVho)66exNOj^ocD zJ$L8(3MYpRv(4RL>mVAdqgIS}yqWhv%(4u5j^n;4Yz_FA*)V*v&~HE5sQV|m!((M$ ztvT|Rdz>TM60>-`(Z*TX=8}osJF-?_a5VoUOb@ygkpHFIvLJ*gGh)FF2mL-mxKD0j z+J$pW>1xk~aGzuLAbK-fFZe+k`(^uX{Lr{aw4xACCYc>^impM7kK&vr*(!-%g-YBK zg8SfS%YU`BYu9E+FefZi)#MMNPfbNT1AbM^?&~lG$?EU@40fy;GThtCcYk`WGo*g< zfXm{8zt_$!>J8Bqu^0{U{AHOf8LRl6NT*)XOVmeSeAYyt68B%{=^`$o@R@Tk>%8NO zAo!6$SXg)-VvNjXyBx}-U2pJkeMEbYKM`f44Y4|)<+b&{S>3P~a*;(WWkm7z=alS* zxb!nOwPW))*ebAhggt{7JFGxahk^l-+FaeU3wVgZxD$AtoLKkbMXgGr6wEOja6Y86 zAK37_MG>7xi)3ul9apH8v-i$ig4^mT?k(eAc zRA3_Ib-d>-$7PZ&h4hZ%k>dq+$QWJ8qFkWkz+Rj9$<@O72 zLBp`+57lHa&AvRH%6$91u`#Ck!j8897(--ZTI=7E%ztgO5HhKd8w(Ta=#%sqlr*~Y zR-`^}$Xoyl5qnn+o8bq`5d;W~o}2UID&uWW;%omN+q=&f?Ld60G9JdTzMhZJ>+zyc z5rv@N5lVG>Ha5olBl?UQtj>_&b!c_ACN*6{sy#)NS=_`)I=os@i8P$d(ZQq_3~_VP z7&VTL>8jG9CR1Qa(FH=284UUmW?*+5sjN~@1=2{#Feh`>qjcyaV`hs;7^x+5NwMqV zCUX);t7(??Ni}OJ9Eo@pE5>u%x~G@tVl~pEwOn@0P$4Qu z+)O2s4Y#QNcC?2z2f6#9U#?Orpvc+l1aUjEYZizHb_nI4X2&lWwxCdx;iPP}O0B<# zkGn<=6ivv#uNhHOXSg(+EYZ0#mm|=%xea>m(dRqtKx1iwfj&DoU54@RUbEg6gTh9n zIszlt2E2%Q0n}w6iX1$L8HVU+Ef4L0!a1 zrQ+QsmAf~T!|UHadv(Z}o2ej&2Lpm{s_0LNgb=NQqx<&_H-7aQT}`lQ9FhM7$>dVx z)>%=}8C(^G5uI^c{MsZQ^G1T7fMokTG&A(B8)Al7*rU@UW}NViuJ&g%j*h}+h<&OS z`_W40Dthrl`2?&L;UflXH zYBix%Y?yZ1jJTI+wxW~@E<;Qc8I}tadWb^4+oxQ&*@xaq3;Cs;8*1{<5{!w>fkcxukD>c<@VzF36d zy7oYZgb!cTtwmd^NEh^+wtcHdX(6LXc4e+kJ^LO;9OsKsSVU1Xfs&RsLi3GB`DwAr z+%BxUXJ!_or;xw$n~m1bUq%+dYX5_+vtX(tYP4(!!QCAa+#P}woZu4N-QD#Dhv4q+ z?(XjH?(P?d3(WoA)SG!zH9w)Ny1GuEz4qEZId+FH+xA@A_W~|NAL-GCZ=ge|Wvs{k zT^<=@Gv9si$t!6l380UWxICk0A;CNARC2=RgREMu9>#IbUo+*!zrnv*YdxtMq1^$U zS=^Sle~^gFvW+#aqtSpU zJZl1_DxY4sX1uw>vchbQzab&pJCeJ3GvAZ9)-En^PM-Bokll`4pl56c@}&KQ#Ce<6 zH=-9W@mO`}pfg@&X8lLE$V#mbjQ7%;{`{2eink|Q5{X_EG6K(cpYeNIJFIhBd+oDO zhAk~-(;?ghb2Z_+;`{Dyc(jmEroDL9Ra4>VApTm5dCDLOWDKI1?$#Zg+JukLt^^RY z_82cYQ!p2@qJUfew77HXB2?*X(cDdB3*F%nZW81>|C^E3ifW)Xa&K#n)6M2SLa~Zm z32#+Zuv$g->zrHc2?-RAFWwu%Q6>7usw?c2?No_?+z>1e@f;v7`Gq>3kS?kUcDOO> zAK;_!rDUNn?ud;W`$rWUlZ~`DX1Dq5)K}~Ws5c9}GqWDDE7l9*lc>&JFBZ>5bI{BS z3{rTg9^v}Qqq%ADK|oyp+9tkMpGg&Z3lSs{45=60?ZW|?DGSMMkgvA4dr@xcf}HvW z+Uoi;fht=?Ojm6KmO}!FQ0>%+=#=1P7_a7a?^>V3#h4_{^~GT=I;G8ywkgDBI78M+ zVYR5vH4|8)eKxi|z&xroFI3~1t^Y6>km9S)GbY27{~-N{G&bQoryk0=Y$LDr?|rSU zL8U$W3T`vNU_AH-fLBD%pnLIURqflf(vxiD)}5Ep+tKAphi^Upa$yzKK6@`)y`gZP z*H9APtgRd`7qR2O5F0_Q#WbaR_0v#PCGQTwNjOHboc?ZXkfoY~iojdGfcT!>8d>)S zxDMVLv@2k!x2G=E9bJNRc)St}!a5+v`vWD%o4N38dez%n!Yndo)@`gy99hF|vW;27 zT|-E@_7pfg6Ly0qfMpdDUY%>Fq;7fBd!Nsf1tfe=xk^WWYx?{q{TqNKKU(hH3Ca>6 zC{Jz=1z>cG(NpNogfL)z@~L>IOj%I_BKswStjfh@gZJwgQarfuou-HS&p|L5aK3@{ z5>xvFYnL~vtSD9a-@MGG2Wgu*)Gxb`t&S!G)^BF*iP(suY(tojC!8jby#odbzFprA>mIwP^LnzX zB4W#+b$lu}&8`Q7tR;v`yC<;Ftwl7iqZXwz8Xcfn7Q|~7rv4TVxsTOiopTHo3QEUi zKj!GD%4qzBI*&FOIyJNBgRzcw583(43%f}W3OW95c}OmvWeT<;p;jsmcglgp`S zkV|%0ipK0=k3yYa*Lw8T6jl|3*CR_^dV->9N+-wIp`HNBF68$OF*lJ@2#UDTI<4g5 zIBLO=N6fS7UE3by8oYy>?nkPtF^!`g4xt_@q&GA*i7WL1>f^I-{zt~|wKyj~6c;@>~!y!Dm%$rtNwC`OsXc{x5pHgXd5Sh~IiMWxEh z6?~28#nl-@U{DR!nG2SngEMD+!ghq~dwy`peb~JYPtv~+kKhBp&U}7X+pQOF)p)gG zT|?SqVqtD;NBzJ%*o*Zhlq$xmlC{RNzDPs{HvO4@LM!NXP~e4Pv3-`v*p4bRVzllO zlK1ik3Gfk+<>^NIQ0vslYHfJ|RCBu#%gNI48(fvB0WCP%T%GVk9(pFvuSI}{z#(3} zzKc`xk)9!k$#Z(mnr(b$pCySNiMnjFaGUrkJ9mo_+2K+)%gj_mcCIV{_YIQrI;+Bd z(IuM>AH^!dxG~oY8uW%)Tg(I3Y05gt7zHxVv+2KwU3$^{}K$mdEgDets!VQP0_zR$MO1zCv>$|Pik?`YRI)c>A_cTPP?GPb-{y5rk(NAm5 zTEigErAF18mxIxG_xqFB-OC9i;P}v63=%q zDKl0!dws|;lC9@^JQfGXU2h^@n?07lx-*Jf`_6Zy_ce(%h7~`>^9-*|&UJ7a%Zk*k z&3Po2mI7!pM`>=~74wi(0=1 z#RSJE@?rLO_oGHTF7Rk7U#D2s`HdN6J$-rfEkJRdJZ4KA$eSC9_&{Fu`7{zk)r+jb zmM3}2YQD>?bAcVSYCyuGzxd;i#Vni$@K7jfVw=p4GdA{RrK?Qfi~>kmh*N!+qL94% zv%20cUhR6FFI&PFhrT4S|3gioijEgkRERrS?|w42`wQ#QL^z^`(+{U4!jVj|^JFNO zH@v6Tz>lK!pavWC+=r}}5oVn@#%ZfF9wp_$`IQR_icvco%jEs( zcsNxUMadc)Ca{U}G9V8W*nN*COu>k8B?W+wNhsUG2g%>n5%y+{Ff*k)?V}>)9FZCGLsUg&TKleD)X-A$zJ!n0GJsw6Q7POjmgj(vN8`_5H$Vuk-@D%ttEgt zVRgg3XO#sobhYdvUQ|a!C4M zDo6FX#{mWNITkRf3FvrxB_?IgZa@6hY+&^~Heo;i2_1wG+ASL|Wnk^QnTwAXV?=3gn`eXbw6x?W1D1`F!0i!+E^Rd(y-h<`*dIUoU@T5?w{EPsM%DM+v$k7NSBFWLElJ{2E0SB(^i0waaOjdn^?~oqNImSY zWNXWvtjNri7{RY>JRbgM75Lq!X=5aTgUS(h=?G&T%}j7uQv@*oChkwD6vEhzzdhnY zTnc$!G>ODHrT2@OC0u{+srB1-J(+Umb*zm(=N}yfto_G`{$1&iMXt2{(}A#dWmf$< zuu#!51n$AVIx^K0Zoa=VWDV}4cN`}49ksj*Xz_nTzt5-vH=EBSV}%#JME`&Q7S{{) zKULazV&T|gr*nmhwT5C~LbU`mEtqRPYWUo0ZNYvv1KDJW{D!=bvLRX=>!i@CiRAKL z%vu;X@t;hPHn}>YOi)eiMQ@BuEkJv%9f?u(P`HgyctbWC0o5Zae81&O>~S~Fp2x2I z>`y3oSH@6$B+DF&#UgXfT$(eLl8`hP%REaa8ZSc+Okzb`n)BiXS9B@cU>R=KT%S5i?%FtTQviPYWj3>9g~Dcm@>7(-=7oMOhhjE(F#ONvA5*h>P5_Lf2>U(8ps zRl|e7XdYPiFh(jy*AG^tE{u?k*8G~OYo>s2VhYuKu*?;Tgr?rAX?a9U?HB?jgdo6G zPAb&c2rbwu5Fq(l{8b8)`k`~=%skGx+%l4YfIlj&T%PYlr$CT#umoqov)s-X~plYy_l(<8=%S?kAg`U2spSE{}%;rvFb zcXnimM14aKbFp_roFy}x&v>@Dmw&c+lrLjMAV4TMOM|CKOZ*j}iKLKkiezk&B4*|F zD9dP%)$T2L;Ps$b94uW>9g)$JZWCBhTrP|eV(T#I&&sd;^$82NSv6f~4MoNAQ^sLTz-mu7V;e?^1pLbU?VkI(Z8OJ}dNtsSVNU3$|$fZ92AQ#dlNu27@jwnjn z7u-h|Dzw!^kT~m(s!5&Eq;b&wGa3DNhE-;;6Y8N}_XNMB^F>tx0)Yu4-&IFktG%>D zq3G+s!!f_Tph0M*t>{AOT=gw&>Qr8oGfqo{bQ7-zvx4^OyvZCmYBlXDvq^vSR!5&7 zOm~=*35u%?2IIE44SRpVab+0v2AQ9V9~1ME1zj4)d7x73UEatOo+4|=eQ~!TL8py7 zy((ehc;ccpP+IKrIew+c9q!VzsssOU>WRCw=`;R;Qb_PPqvYNR4=);)o41qZM& zmH4T#pMMERaOz;>WJoXn;yb1D%>)5cs4KgU4DZ^)5CZaR%QJsG9{s;i6mv#_zfVj! zO*p7|(YXLKd;D8lXK~C--9MKD+Wke)mOra1L9&rc910eq6dU|t+<%?_Ptl6{IEFxm%u}e^2)kgrFbcG?R*y6rOMEI22pGvt`W`4Y5ky`>17I5 zV!En${@Gr&G|1n2wa&sf;jyr400LSOULfrWGh1u;>yZc2^GJ^{^tX|Rj`+L0A|qM$ zjCoX(%5hQ=hg!T^$)`8GK-@3XUFj>z?w$q zkA_BX3Dn%} z&v{YYz%fk5C-sJjtM{c5XMDxP6f(h95C0TF@+~IYkMN>f+z4m9Dv5eYe2DSkJm2+Y z&63DJPJ~1xrl9Lu3#{-Es^lsnEpsUe)>JW}U#x*hL$VDnLP*O4WdJ34xhj{ML&Fe3 z27)u6Ni6YV60Om%p^>3}3B28?*ZCR3g!8Cw9tS=YoTI8g2+9zv@~jmcY{iW6D2-qO zHazwIv};Or*PdAT$;h(i*r)PQr>oVls~IH3vY7b;NR#41D|wRUP)>cvaiy`ce_U@1 z(TAJhzx8aBjpdAsbx>=G-`NZ(q5GshOS;G&|2$xbC4>0~SM~mvRr!9X2V>oFIyA1bo`*Q7Q#LPvrUs6Z+*Z zFHD?tl`3rn)%_%W&@Qii2YgFd=dzf0kN;%u3Aa5T?fWyvKhvoQ!@g_ya5nvD0RhZxS#F#{x=(lB{qxx5>r+6!#&%`Dk`@AA7Gl|qciE* z?e=a=&|W}_WQv>aICigp4p}xFq+C#eCz!h?dCOsAmFv2=R{F-$_!Q1I|IVNYL{87i}xt@|y#P^OCDL2$5mM%A4a zBJs)$shVu5L2FS#IV;Ymmwjdu( zZWpm#Fjs;^D(4g(C8cQeEop}MZ7i)pMghMv-r_VjT{2r8+_y#Pl9ppqon+2Z4`s<% z5k{DA!YH4L1d)06b699Vh9<->0b6j?$C%+zS#=9xz-BG6JFL|@JWqH|&H^AA&Z;w( zv%3*o(%Mq=iuw)muZm#71=!W!%k|Tw`yvMU#WGBuMj8K5DwOF(@ycuKobq+OM0B=~ zT9ul_msSsr0Xnk3M%DmdbsMXqi%gvei1-+lRWe_J^bf&qR`})C==W{!?*x-P04Els zHtq{B3{b5Au|E{qzglmGWM}q~`rA8$=DnI+Bt7N7qpH-o&)xx>iTJg#3_WH1T8nQL z+Lij}CgET|x#8(-p}oLa1ozuf&(<)p%8`o5S+7NGnVI9CYZdr?=!d`OHDO?MMj(k% z_iHhQFq>(w#eh23DpE-NB{LTiF$9$t+1@svJU$P;oN`gWMJ-u|w)Regu=z`!9ThM> zBLndvD&DhjLkQWv4zCRDQ;r@?kfkw}XN4HQC3gJNn~L8yz|5eM3A_Wfs@IJnAvC=i zK9<6yU_nfD!qFS=1j!sFS;P6y7eLvc*&!5nK{nPE5*`Z$?DF+sON-0(`Kx#_uRpPv zLJjI?-SEokAes?)aXk@`zalESa7Si;DQ>ObZCFE+euV0~z2K#f^E{G(sIUG~57ITv z8g}54%YZrV71^ba|5iL0zSCe$lW{Z=Fkf<;?My^3Vbm!p?pf+;;C1F9K>$QX)sO`7 z+oES2O@_=XnOQ_1>NQ0>5O5<*S8xO9`k7+@t|9AM7sh8RAj1XLE1;sIyi;b}sI*2NTkD?O30Nl>|rJ@%-`OHcGjmd10_- zaz&<=|Bch57IQW-DrC$mFj82#ndrZCn|AuCLN! zQCOVFXU`e{veJ*FKw0YXU$xxPMwFJ9LSA!KbZU~DbK>)&oL;Tj1rdn|#r-zb2-w6r z{!320-(B7t&cqadm&c_v_@XamX@vU`z?8uxotdC^+YaIUE}T#(v!Gc)YVNNK8m}?D zg`Y_0Kv6D|={=x{UvxNID5Yeb?M{oh4tc3RHY(hga_PQrxD1O>VY5Urw@S;sDrie- zF(M^&3-5Mt>f7@Ouhk#=I+4Z+R`472VMY-1L&a0d4nkL_w%~Bf$GG#ziMfgJHj_#& zZ4;(eu;%(qzp9gecu%fqsB>ovXm~I04f@mUrJv-V;GEQ>zabfcasQuQRY!CuKTqo8 zfXlzpJRbP&JxHIfm)*bn|)%p7I?wUf%t1G9u9Y_##nhZv3ZZ&oH*zO{5AC-=xr%6VvPQ{#D^yhnN}`bIrP9I{kd z72IIxjY@Y=T-8M*Vc-=_{#j}JbFWqF$eo0+G?mhg+c`Wfe>6yS|8IlT8T9Pne6|2bqf{WNj%sXRV2}rZIK7k|(<@?ao4D(Z z$iYS2c7R*tStm6~bIyJZZ1spUNjbTuXe5BZnWJd_YeFMkaFX$r=B-#1=s5~5Ix+Gc zeC1&zJrL%;%ISe})t(vtg~W(`Agq{moF|gcsfwWdV%?aI7xy3;e6R?HJBAV@EhrDu zDUvyt&X!+V6=v$vD3l&0!!SjZzOT+0YxaD1licZ(;;-rXh0RUBAcsfu2LGu5DTs69 zFq>@|Psn3@Y+q+yZ*Mh_pW^L6UY;Zr76zsg+6G;G9++Pq{0c1>KvVjvjEWyK#L7RPYysgu#6vt zz_wDy+hnVZqzFddB*zI11ob62g`QYby-#Sab<}0dUuI&4RZak~x2EPK29J)A`=;Ak zLQ{NV5u67Fun)zOuas~uCA^-n1Q_i(>33w*>1ms4pLH+PL@t)8!n*o`DuUZ+0AmMp zkJxibrgM)C%4inTs!5hlN{!=)0Z}8{vC<3C z;y6`}V-c}O1Kq0$-juW01ngwOJU)=;#$~jZo8wkq5v2T?az9~BM%8d5UmTYDn`_D8 z?LEHGx4|QBqWhicT*NXOC4JL%%J(k#DyS0h;4hnk214#{%9Kb%hKyx(NeevJD_`E| zBOJ717jhfE)c<~1*WOddt1zvpp*vfKgzm1w4H)DcvgzNx-=rT;8A+Z9WukeNU+e!h z)P_l`hmmRKQG&9|h?SYV4Ra(83f^LTQ!LZ9QdigXo#S=T7~)a9>b6ATw5Ek)4HyKxleCKyWc zp)F{VuLNlW{YE)HE8eunMjZ#Ewf;2z8ry=VC$jFD6C$n7?EQ31riE^e7mOd#Rs&T> z2x4~YTYi093u6dXzHdfMVvEacNva4vS(7quo^@`l!SOZZxQ%I%d#Z$Icm4;0YzzpkB^V`0)3F?4ky&Hze%0hoARiXA@sUp!->8I1?8# zg)hjB1`{$dB6T9%)qh^blcwHdNkb<6%TzMPp|7M;U(*_+5`4oxJW!AAWw^*jG$kZ| z7hl`Lm5zVHX$|d72yf!J=U$`mu)P$JO4NJS!hcSO12FCu^REoI)Z^BsxsQVAhg00M zO#azSSizbsUVF6SOd>sTUAy5m`|f%<>*c(`iv>IJOm7SSUc94{Jnio?kqL80EO^RI zu$a#kF3+mDSw%{#lts_N!Ser>45=H6Rr$t)p- ziDvIXP}vUMxWcVy18UZO1~X!n1Zp@v?@2`e)44kbR;m(%vRZ@j6x9&m0eGtxt=_d&geInNMNiT55q-gRwSDUF=Qk^Q|22cAaN zGr%0m-#L}j!dtBaEIj;h#CtlC!D?6mI)GF*kb}=M6*l_hoZD^T*q`-Ua!d0ligj3q zU$ka{*{$GOxhvGk>Qxz<5xrXq7C^O&LrC%A7?oz2Gh#*ch)Z7gfvigBn+kS|tyl>t zJa@BJb57IPtV&u_?jznk_6;{G4!I}DjJ>RF#6IfB6eirQZq$aZd=VTT z>AWA*Ae+GKXOWL?h;oc)07`i&9VB(+g+Z+BeHEFf)0H`s=X&TC4|Hv&IV5yg9SQ6b z`8cKL0uFycHT8#I*z+n%$xle0(QGyFYt!9uY~~Zh2l^5yge>hOT_9CuP}(=&(5I(6 zq@+{8k0&F;cg!!;K}SFEcsQK&EuC9jnqy=J1v*??l~fIHG+7FGs{#FHlJ`cfBEDNq z0rKt={)o$id4qpry5==(6cAt@QbeDgZCcP63Ooub5WL-@Op5zYT6q2AHq(>jcPb?V zZNtSaTsah5WFsJ*WYnP{H%+BM5cu10lY0N!~%Wr8}k?0$?DDJw0z z%$;+cg+$|2eg9Zh=8Fs)JcV&k_qgRR3ij@KuJ2*LlJS7x!o7!Wjt>4|yX`P=%^SS( zo@NbR`;F<2-ai!*%(&@AhAJ)({j4x#R`_To(0H1e6in>L8bz|mMmFG4W!(olhhc&@z z-S18kQby8`Te1$+^fy5$)y*$pqkhvCL{)dx@Y~O7G!mjnbwLpw-biBsHz*eQ!fwUt z^(WMQlB(Ma&Nzwc^BIfC?Du$(q8qA{)a#0$awr^6NXi>Z_sqM9HKH%L43TWN)%Ww2 z0vk%8H&Dc_#&z37dxIqK$?$0#j4J(c@v#Erd&7uj%^+xYRxo{#84CFRnjmO>vK1ve zDxf5o3KQD$?x|0~QFk7Ig9^5QAdo%c>@B4$Ua-~B>Tk+ByC_@3X?q5irQ*@IYvlFk zA#>q6qB_{^eR=sj8YJNJyoW_0;s4)6ZuV73Gt+k2+rj(!(~7qx8?Agv1vcNI+eoyB z*YWPvU3=&!F4L1aViupT|8O)hostQkcOVQ(18}R;gGLhMXC48W%$avP=gE9+<`>uG zQR`d_se8c>A1DEVqG##*aH~A|IVUmub4G&vk)Ta4zxozo9)#lq^^WykSDXF8&-&;< zYA3TYxLy6Eb)r?XG{Q8WJiOf&^klcavsNCxVlQ381R_g%r6i#>gvJ(=#6C1joc+n$x2F+7d??T=E^AWs2&(PN~6GkP$s7NohuF0(zziTznWjKQ2#e;kMGavQo z6)SJsdtj=)$FO%5YuQgZ`o}X+H&Q}Cn|Wc-;UD9jMXbiP-LzP>^zx$nDVxWN-0SuN zi;}gZ?^;aDxPUAD7nWqZ5*FQykf&z`0c4V`Zjt-=71Fstaal+Q< z!H|4=kixetLau!KB%_MySIxYxTRgmRCstS%H4~W^;j3m8htL<<8r6%pqg`b_l$OBZ zb@~05E`2{Ae>lW3YMTYd(Vh(N?PaOVrbSGR>7y27J;*XVXt56q(A) zz3&16;pDW_6%_)yz?(%8$maj?J(`dI??Rzk;ob4H(QaSR$x1C5SjrlBG?|{S)9wn! zfECu4Dre7@hs@{exZ6;OBV%>DESIZCC2Wmmtks-PHpJSu@-*UJWbdDp*Hvw1o5Zvl zu2+cp#UK7gmLqw#KZrl{F2+__^Dz913g^b#Ssq6`ikxixQVjyOr?ocW#)g03?(~S2 zX&VoJXJ#x)?sjUL3_F`I&tKeAJz;OcsA$v7wJNVV*=pmhSX0mS4R{^Vpf7F;P<3ES zxYWQV%H+vQw4!UIONO7)NBu?f186@>LKA}L_-E2@4Y|?a&@kwTExh zyFBBcgDI>8nr1xU@_4kk5q@sC6%M=R7VHbv(K5D>V=)ypGCW}EOk>tv+X(TV5cZAX z5qF3COQx!`aAK=UjEnJ-d@tUHB5gVzkYgf5l})pEXc+o2{c?6WpJ|Q3E(BOVw*Qv9 zZ51xqDou`)%<3M5KvbA@UpSXaWB6%I7s|6a}{L^xi?HQ+cKc(~o z;cRtLBwNL2#={1D_RtX4s*zl;@c~MCVX~k2)rHRcclM0I3_lJJStM$e24|`qc<;~GP$E6qg_o`jA%XG91HQe# zq?$Tc@>ikhScQp*e+QqA;%rY>dqPMLbMt*sl|;CD>t?a_Ss zUag^A2j%jNL$p1qGGZz`yHbbb(sDtl{j71e%2cPpYUUXuTE#4q$L;m5;Mjz_vVbp1 zOM1*qhi?hpqbq1cPu93x^BhA3gOxGF5uveCS^m{kr@{Jz&J)?SX9MZ2mVy=8OBXV0 z`frfh-&?OLqn>Y6&X%<5e{OLas?>jvRfIJq4oW0e|K(IE&zC^qjnH({uA#w>f7~AE zaR{Hu^~Kn&4&_uS^C?pvRY0*hTM{WBLK0m@KH>J|47P&@W5V7{&1C2+M7P*F0T*A$#!^*`O4>i7>t`IZ_25Riw%b>5>)Tdd?Aon| zxc23YZJF6SDt}=cZ<1h1qMRqVZDqU6>d|Vsr8HO~Q*?;aW~RyjgWGO~n`SlQvR7cD zV)6Z&3VMph_YaG zFdpI>=f#;;4M6BH;LYVU9_?kR_lf+%A^^mMDb$gf^EOHXtn<=Uc|3$ zF+Rgqe~D9HnGoRSSpIw7<4H{$B<)1?UuThTpQCzGp&wN_scT^+=W|uK9UjckcK8ul zR$h5vFXRY6Wiu!8cUNXq1#ho^aWo380F!&|MP-B1OK2@;txY5(?4Q4S;h;DwOutju zkaER*9N)uFzg3jM2{9ZH(;c2eAZ%QQw+LBd62)Oj2FEc-=k-@0pEP%o z;J34jS2?t@dy_WB>v?y0h~ZT`qgoeC9|!}+q~AlQgTA=|i-jm|VK%i~B&Kco6)#n>b2A{0g zGV$D>{LR}m@fOh~@(RKYtp9Dp_vl z)NQCve$R59in%rTEyoMtJmQVXf4vy2!IEn9L}lt~LMX??Cs(sNm*S=tWbSg_M&IEN zV;*UAtGFuGG<&PkiJ+YcznpW<>3K;3GEF0=7&8^kEQt=x6GYCd6S^~E7W#YiqMAJ` zFAD|qZTD>;&li_U1nUKWPh%+Il>UGLS7`h&elRBy5S&R+#xgmxE5c&^!hG*^9r9{) zPG+ToS8cB?#EV+a9h@WJ|Bjfa)LR1j5)f55$3*W%A=2y0tyt~1VbzG=TjpTpY|iw= z{q7Q;l(tQ7JNM*_3J@Xbxf@V>Ret4sMFP?Q82G|`ZnG))?X+&W@A-Lb0pZt_;y04I zV~?rP7<09H#|Eu&#j^apjYW|&xmrK(Gg}djT=kUNwOa+TWxVJFJ~(Su&cD36Tt}-W zmgT+3AI^%~CkWKJKpCDhoowVmUL02rvu{(STs@&9N2Qy{EMisCsfeHJ(dIH-7M?9S z{|Iij{l3r!)wY5Zka=z^!~Kpp<-eJp_qQ{7f7jy~opM6wzq|<^*$9 z1aMc(D0fZR8>QQl^>E-4={kFi6RVvHpH4*s*>5}fCjMdRiF5^5^oI|KS>(zA;z~ei zg?HP#H`4^y-PiGJi1fiFFB8Olr&Fk+s@2e{cj%m;iFmCgEU#J{4YR&y*#mPh4ahO{ zM&^a;R!ZlPz~h+lLw(IpeN2G9n}FRa2TQ5oNn~Un^6waPity)57IM2Fv z0!gvE(QB5KG#F@cbXjcV-xjx$z5LEtUO-3pmdpCdJ(fTRS#GL&Vzdd<=(QmJYRgKp zRGR==h~|9((5cS*ZYU}qC2yG2If~(hgEN>b{`P#OZ7IohHR~Z3sPUtYbR#riy&amw zYSEmk_tcEJI~j+Yb$1&-ynIY+eihT(7nZnv^r-o7UIa$;4B}P|* zfG3~MJ$JJ&#sj+J8MiU?h*b~8 z{xcZ=MJ6s3@ap5F#LToWmnNLuT~Xw0aF;+>oy`4ok?CYwuEYLNOu;uRz0xwDF*F5QixNarH_M0PzSJ%xPuBNyj(t8unAn3c1=`eP! zIa8VKrK|{@bpBEC%I|p0P;9i~1(kqhx==wsESG@|8FHi(UKN)aXCgxGf`Jzcj zB-|;k1CV&_wW4N)-N1g`u4Ps2%?=VT6rI0DJ9HCpVL{7b=7CpSe!Lx5wQ$W#b}BBL zf`=h6V8@`;0)sVDoBwZKVU`{$#DK@GKHN`SdPI+qN(sB~+LRG)oL2+y`&}&*@!w3U zXX-=OeAMH0R?UXh?&GY|pDZsOAKH?B(tlO-iuyjL1#Gd41!)DDq2xtni!Th0&mlLX zxm?rP3|o~&+LF>T-z#tDAkts6;RSXrF+#8A6>fP^P4Db3(zReSxWaY?hw=6qTw_E~ zgiP<>7fNn~{Tt}7vESG-nsM7SmAwMHdR_J+mbO;_ebYZIw-sfP&nnkNoBJteXH&8{ z3qS-x$2)MMncdVp1o!PO2(yOeyt6+Ym|mf6r3i?^eFIcx|K?B3dxNq`-J3~ly=?kB zAJP|Y5p*ISI4GF{ywgQL$hty#s2-$z6rZjwT#tPu)~~oi&0{Zne*^fi*7dx+iRd6z z^INT?LF{i-h#SnP5>;lZPf1Z%Lp?WHYa zckto+OW;(IrFESEu|$b5KBcpNZT}*PU9ls7=D4Nt8k`Oa{mc_Ap8oDi`M5}*EJ|#Y zSFN~0-xVbgEf6XY!}UuqwPkC1WTctmxuxJa?)$6J7?bD5mKW}mh_Hi}x7SQ1)lCa_ z`06gnj`tZH@)g|R1Cp2hwo`cDAH#A7?&d^mzw_v4CsKb~*m~#;lqNmtz9eBOer?edghvaAJb@ciXk&PliH;r$W zjag6n^jg%)_#Gd5yvjBzC=Zbk!^e*f=%#dONKSBjCuEL$^=}fgT0D%1vClw+dB_H# zf9P*{3n5-4jDP==&pRfggh%MafaVdETyUV3rw&f19L#sAA!aG;O-fIli}nS@<6@%F z_J&qCdE1@5vvZ8GTsSTy?Y2XPt1kLcGZT{fAF}!nt(b4mK(@(PHcMWp6 zNU$U74SsN8d*a#V$}hn95Q=Q;dzrKQ_xBxoqLLnXD*e~pg}FJku*nrMHgWKI?6Skt zsZOA1kiP%&w}Z=AHLaErs3)Ci<2?AKG4pZ zD{#cc{5yb65~1S&`?Cd_=j{98!=3RKbWf;sS6kuz-AEOItEpLl2Y`?V0Gr;=nSVLf zq`8VHuKyPz4B?|iSp;TkB}&ATeH@q=lFvsac^NyA&!Jkr`}LqIZPw!C+g! z*FhM6WC*zC(4&9Ssrt(P=Ov-NNWqA0fj}9>R${~cVOIsb*7s$ZL~l4&T7ud2O&!Gb zB4GnTfkO=s03MCbx{7OA->&6>>{-aS?O0+0K*3^# z;0kok;0X3gn-Lx3H@%*^%5eox84DW-dYcL+O%p&)#>3={sSLrzYC8;?5L(I=H_=PT zky?9BgPTosC(dKvbsC9yH9;i3sz!s@jJEoOtD*k40Q?|Fm&qW5@{rww!gwx+%Ws67 zyx)gI3Dbxh!d95T&{xVC2bMvs!C7k81HP54T~~g-_d-BZ!IqLQR6D$Sn-|U0Hh1); zS#$pCoax$E%_cZVHM;a%$!5}&OCEybuhYA#0}L4tya?O-U9e~X=Z8m?K=Ba6-YTdP zu7bQK{gn5ant8SUL8RKN37*RBj#%G25D#)DZ{RF~M84=G`r4i{qb=5lv+L=M#cwL> z&2B8kb}U=7h5YSS8m0dYF2>vM|hHOx0s>t)}-%3JZ3x<**7 zv*4OUV%WRY;F^3Y_N=>OR98k}?|_6mv#^(x?86#9@Ft?plEtvfIUt0O!!dE297sj^ zjz2o6qj=JW1iRIU^W)>A#s0l*Bl`MAe2B0)2zQ}*hm`sHz{q+9`|p=#S+3(cXf(#O ztzEjMT@a9itKE?(%JX_SEkE`Q?=+50zSby2&|mFshwmjwepC{#Bzytjy3d&*$mohi z(x$fNWburEC3%X59e!t)y{|IB&nM^wxje1=t7L`pS({6^dy#X&4(cJ^%2oh&-RX+~ ztF4m9YLfN*q2r`QV?+MQ9_3}L)>`7Fm)w~?zuB~9K{HkLWs>87?wBim_5<$n8xm&M z9MN%7wdyb#L3GdL69l#%WXHe~nxT`g_~oF)&e1ze*~bpqFlwEUvx&}y6M55J(ON@O~!-}SJTdh7r%a31mq=a&s+a8!G$M8VX;Sr z+0(fsoffBEu#Tn0f01e_Zzv@#4UpfRUmfq&{890$-fh+ith8OED6$rg)&gc8ZaEcjxTO_IOvz8<6p;vm>NN%Rt@96G@ZaCKuEJud8n#c%s=LuPAey<-aqc zzv1PA(wx05-ZS}yi^NH_H(?Y8R`nc2Djn2|RpVZK;`Y?hH&;@u2w!e?nI1G&PX_>A zH;_Q$P`B^M0kKDCZB2PE;5UNqo~DdauUY?OPza>03;<8_e-ChF?SBQJyHPDbG8S~u zU)J^bR`JV`@J4>`{vM&u|8*PXRd-zC!a#SA`&RB5!IdF&RGNzHz!_HVIqR3*@z$DL z_yN`9%uft0B%@eXpE3R0w82o=(4H(ZuNg~SI;4P+Y8Y}wr0;s=>V)%;GRp6tALVZ?53kr?^SKT;6@U*tFHfy!9T>YU zg$BJnxo;tOo9xBTXGU}%H1u(cz^YFJm`|oS+&@`&7Hk&&Fl|-x9caqr0<7Wmq8-<8 zfUvQlx(7DuZ&w~Az1yB$4bS(}pX@E(AOh+2!u5+)U`=#s?lN|0N98Y?4eY)h!F2U@ ziefsrwM^|Co ziO_I~23+_^#|L7LzGFZn5>1^_*O@EZpz9xw+4~Y=tBGw3CBj&By-NB;gMrqlC@!tQ! z!mW$2>GAQuxO(fisM;`GTSY)g5v5B|I;5Ks5RmRJk&oW+9EONE^Y7jHdP@jpmX@rM_JV_Z)N;*|sm>{m816Ch*A}x36y8W=Mv^ zRX_BHXgHG(^LW8C3x|}lzTGi~R8`;n8v~@r4}z+AkFOMQ)odyH*$eR&j)0@+IBn%0 zD{G#N0Lh!E?dXJ2)(I~?8(X0oCX*u%sXi=k+N+MfVT>l3?Z@A3Y8J@)y$Kg5; zSRd-Z1o@V_{I2!2= z!f5WyzE@>`;^eKpDn%RRYicb)A;^)2{kUwlam9=NVS5Xd`eb7wN8`&*ap5;RWa3*r#~98_^oi28)n9?O9E9<}Q;Zfy6atjn`o=~!n&qHadwp-O?0-Br9d^h6k9bCr508`};TrM~Y2<+aN{eBEa2 z6c1R3DnfNpQSVp}p+JtcU*`t$*W0+>{Q!PaqlTwmxWxa{u8@U1T%~09gkiVI*pfYG z(Po+VTrgP)@N^Vf&y6;1h}JY#X64UIy;@g8{fki`nYxmTI@9VMuTwM?sIBR%q(bAI zAET#W!Gp0BsXT$-h9&((5HpIdbMWp`~ zh!@rws;dbsD?5ozlo5Vn2~rvDFOb*U33b)$+RXDgzL8f~l z@ED4B(#>XxAh1-(R0VECB4Qyz-+0_h@njWRM-k1I_n*o`ofvg1D6Qvg0_;bZI-kxj zZ>rU|YC}dVMmY-yD@bf-uuX|d`!6=|KXWr;5W>LSofhwG|2^rBr4_$V8Ms<6y_WR4 z_!YPdgRf9Q^sXfqjD@pYTLONH4U^M>#X2Ykg=Y?51z%EPq~x=GE;JOL$DyD(q;>z% zGQLu)%pC|~45?~=?e#iiI`2S%{CXdMNy)HopRLD;jR$7ea_N7VDXu3>+K{&5J0kxB zLSuZLt|vBI(GbfX$d0*hN!dE)<(mF-L8!}Uh?KS(H@YV?sKlT!#ooxFO{CnWU2OV0>lQmC&~BE;Gvf<4cF`R-wI|VqWX}Yi+m{nlv3@! zhp`lZ2DqH+)I(8BL+~-K_FlJqkmQ_oE2$l1VQqb>04IwkkfiU&mGTIe?cYI#)t#46XDLQ)v&zW;RRD18vJbe>dTnwaH9J7A6ZLn za&N0Y@dWE!&G^mH1`M;i9>4pSDR$-gz0$I$Q-8%f;ma=c#%!*4?G#1BmQ+6x7HtwxNkV6gl6CRxdHgU z_@w`>+s^TO&$<@tMtbM?;9wa1($D_HN%oXMs`=izc5nQ3V6$6L)FBN{%6_D*_n3*+ zuD8Mxb=ZWuc1L;Hdko&6AAReRH~;!bZ!OjS3>1$_VWyz820SNh8~d*1$eK^t6g5#Z z*HLcDe<*Y=XBgP4h4*l0S~=ge?=N0BQO_dBcle7LEkC;;1duPgvJm26vh1pjW6?JH zuRA~G#(XQH*QkeN=t1+&XHtHR`9W|gzJB|^D#n4S(V6Q(_8R~2T)%ACQuM8C4|>9! z?qaCho8!1Y0bA90>(NdvF*wx=ZirtW9*|7J7nN0#-RvOM_9kBD21KwH3~A!OEA+LG z_{vZUxc#B;txXYsRRg~{-$2hPDRj?^p&rd``i|l7%d$D#9Mz1F7vsb zBUmXlOqSe-6Lo+ou!50F^&%oCK#+mjWLX4%9*77Nza*E-_*uHpL1N=qLG5fS=c&Wd z{{;=xB=hk5#lf+^SRln`?_gXBe0Q{?hHeM&(wd{Ab|h?>8cig`bonaTQKa?d`4v=s z!z#MufA+~2!qo2_P7%Ga1(1Tp-VaOTwuoN*{zVFJNc5J?hVq_`smK4%kPFFp@}E7v z|DQ}YCmdpG>WbZse(-CE;sumpAjw$z5#ez+BV*fk`uu4Tq4HjW;sv$umjldhx2)W= zlwF9t*ZQ(e8eC{P$rx?>VYDvD8AoXKg&+pauCUNvr&r&OY;e%EL64B7`)LUCEIHUhV&b(_)g&w=jDt;g`}F%#WD^G>$R_zx{t*S$yOBoV?hyd6L&1 zE~CtK{#)ChxOl9%`0p_KZ_3e_6|uI(=PUw3^BqNb{8bLq-XDGA)!Vq&*JWYS7)zVf zan$Gdqy1C-%I`-3pQ&%9x2Md^sm{3YiEZW5%<~fhmPq+8RkN3r9ZJBsTVx6HaWW0K zvQSHf)-mTTplLy$fa_L_S2?A#@Yh4egjxDBE&SUjP1h6G`Qw7QBJoQY-a2I%T_QL$ z$OT*Hs%F}3`quN^kdO$Av^{wkxw6Bm;k|I_iD3YB-=lv#np!r*+i%&!RT9)X4TBqE zyJnS+4AX^iy?Rfg{|pZYdxwhL%K^ndlQ-b1I=bo!oxy>m;q)&$fAL8~?Q<=^($>PtE!S zP^RAKwUi~r`KNK_TvZZd?kDf+xaXgf7)>Tn_YNgSwXaQ0734NK&20v!kO$ z+}~1iCJv`dn4NPCoS_gO!qfgd+=ng#znu^30G}`SoX2q36;>({rmkClLR zNp_mY6}l)HGqw2N6*rQT=hdlq;JoFCPyp=~wMZEy7~>w~dV;f#|2plpX3jRSFtO$0-%d zFJro!3)~(mY_5|#D>tfy9C6~*wwEHF%wB#yc@6&F?=)z(7UQm+eEk^L@lsz(JWV4* zDSH|~)ls$J>ZtkqYg62yP_w&7J1b>KXZb6up)TXqI@L%I$%nmDV0 z#73jjK6bhFKzyQZz+LB?g6rKJe$|+FX4zgF_@uUeYDo4wzH>w~XO5^Flb2BvnmSI|fgxPR(&NZmOfPbPAyq_Vl(44F(P8_U4vaEbYPo}3?l zAqy_{_|{_;Vbk?RGtmv096k>l@lewY(zcU`Dj-0fC+T5TZ1(wk@n9P#~D%H97jc5mp#wk_zEcBs$;y1 zG4|mIlnLG8fOF>pYVs|qUV!##N+ny6IHusp>2+BpO+iX52GQP>PN0S;+x+$G<;%2 za~THxCyL#5O>ernpFKle$bJ>q0K3-MwkZy9K-e(#vbW9>1l$jkx)5=5%Ef7bK}i^1 zDj?HmFqzzPe4;L=zMJH*Q|PjaG)$NkuTq2LkJoqW+}#WbeQ5SRTXUr`9$dr#{i3I@ zw~Jw1hJ0T|+tA^R@O;bRs8Y%>OdARp3prPPYH_JZOr<yOwn0WW@y{|%SGPn9(-wHoN5kBrt7GwWOVVFCKZ>b1tBV%_6E!$~e7T%M+ z46G5J@Ue0k{J!H5nAdevfS@6hYLakS81tRpJ0l;;KJr_?np{3HR z^tXV$h>gwrG|bRzK*{YZlWw}V3-$R5_`@I1SrOL79{Z``a5 zauU&?x#S}X>G>``AWU)662Z_P1uCB|y3^{+g7*YBvVo+LYiI${hWP%TJL_w%+k#3| z&7p~RO3w>=Akwe<+Wb(1^%Gh92!TMa( z6M)>S&FR;33U%}}l&!*vZW{bcEm}WSEeBJjs#w<9n>8NZ@+)IK;aJK}!Ev)z$X7Ly(`lrWui$<<5V`C2 z#{+5*#~B{!xk^qCk~k74orZZ(1r6TiuZ5ffeqOUwY1~hO<7#g^mhc@2Zdu<3wqh`4 zX#aHm&`1GPP3i+LUtI1+Tm(+#OvkG+X9B9goJvpNb7{1G3@mTKZGh9|Zy~7v*L}^| z;`^7V!j0IQsVAOaiRaqi5U$-A*WIoU)A}mP=iuNb)8@{-@%}dDE*}l$9(Z1VLNR!P zIrRf%Rpx4Kfzx^TTTor!-Vt>)wdGDgNi@l&)!$z9uQt9OXtD6gs1RrF8pyrd{?s?=7x?frTDvW4e29 zNuxF*2T$EyabiVqs*l#C_<2#SXTtZqCs8ds6PUVw{%y0=D5VwZgP3H@gwa92S7Al$ z3Wf0rooIhKh5F6N4$c8fPa5>#Yg4ZMy3chTYz7l2RJZ4nJ|cxi7h?AMFwXO*#l`hO zh3#$K#Zw2C*OFbVs8NgWF5n{MX}Rym^tLJj4bT_%ATgR8GMt(mP_Ue)vB|{OPO?pz zS|EmDmfBeLsy_}9C|z5&`^DP{r@$;vsqd>O6J)+(tW{{9q>;dhT5Pn0uyXXPANF2) z98?93+V}Tx5PlM!%lPQr4}wcp3`pDD$wmX1OG}paiI{7c3-P|?FD+5!_>Uh(_kZ)l zz#rRR?Ep3|M79O?bIwXa7JLdp=ckGyz7HhBU=Ho)@z!01%0q&J6pTCrINOFJ zCc2+U)yx$Gcm~xzM46N2%El2DP31`#p9vZO@+A48h~K(?UJ zHy@~)w2dM=wDh3q2mh_bT#*=z`y@#=m_v9@KXq;~9ES1GXEO5m?TH!|#a<(ND%r$b z$z!0LJR*T06fTgB;>osQp3vvu*PJydoUo*jK57di4RDxnoAr9fu70b&5}!?O_w`O? z2VMtOI^(~!(l+vD4R3Tukp@uW;rkjDeK}0RVyyAlX6)|jFty-`t(bU zV0nU#l!R5Qok6*u4R%xq%@p91>_}0`A8E~YY(XO)Y@bH330()HksG zmnUSqByZ};Eok(qlvjSZa(3MJ(2P0=Dy+-#hLd8N5hvp=u^11LnxJ! z@MrED%3ueGzn)g$sUh-s#tjLFf(ke5K5NhYNlD3?=WrVbO%RQ|@(Ljk=E!kx$hu)S z-G-hqAG{Hr3T;pLmC2j7r7EcI@J+4dlZ=zlHXDP%C=K=WQ z6Ng>#61-9IFBJw=8}7WjY<)(S{gWZx6S62Byl-qrOcnGKlL_p;nnWjs;Q0a8_{JXp zr;(|ex%5*-c|~*`E4aDP*ED9h@t`L@^XX^@!;@|i{Pv!0Z zNwwn)v!kn|qq1x#*D&}A*_IlfxKT6BbtP9R^#@7+DKX1Fmd-PrXA)CjTP_6a{p61_ zdFEE{gcsMw$)+Rj+-Bs~!M{v>`&!XhPIqSr4g6dwCHZlr=-ogU!1H-*0H2D zH1IDkFRvaQ;VCOC|L2RfX~S%V!8UjC8p`h0Jl6BQ_ynLRQiCh>A8pqdZm=a&!~gp7 z#+jEAR1!w%CktDFxD5P-hVK&6^}+`+>2oTSji+@~&~z!Pe;$i}^TGc?$MfYIzQXEy zw>So^vNHE3Wd0kiW#X37Se_WtuV6 zQ-ANYYXuoHZk>s-FkHSRn_I-k3LcWYZ#8Kha7Q&g=+R&e(*w|?b4RSPi%quMldbWF zgJQg#0UOajHo~p8xKz}Q9j!bPm~W?#vf6M1fCle|&L{1k>gK(T zppDAIbv|1Fgi=15E#L{Th=5jrS+6GhYRQQ=!$+S)wyK^c<_aR830BrtPF`Jgls?pG zbXZ3%@Se26u9+J-;7L9|tFqC94@oczrj$;?PCEZ(@>Uwzlp%3}ug!098oz&!v8-gs zr%DR9Plu`4D+zwAk-sWR`#O7&QVy>Nol$`Wq?q~g8X}rze?%EHI zeQTsAuP(pL=X{oww+^EYTB52qWTR)}Y6`VbXJ6;5wR_TSuC8umu6z=S2H6gvQ=AF@ zUNpC{fsRkUn&EsxUKFW9^QbJS(!$tw8dg{TK4leOYQ7L#4B$u7HX9xc+o%8c3fj0I z$gdV?1oSjHO^~`tIvw{9xYk!xB(bT(rFq)U0O}EI`RfgMqu{}k$30wH-LiYwvuQPgVfN0$ z1h)8B=dk+aFif=SWL&WGA27)q!IV%Ti~}A#UlsMiX_bl6Hus21p@sB!+KhZG)!oGC zhwZ%Q8wK1xW0^hZM9S8zi8`0|0i+RRbj>Q%+i9Q7n^@JGD};7Kq{NjqX|`I^h*w3X z&`S;|D%~%BdI*HI^-3G1@OQdIygA&ZJ>&!(F)T&$R!2Q~$@-f;qIQU7Np00PTBpo}IcwVmVkw)pknCA~7`N}p}+-BaDPu%!^^DxcZBMF%n;dWCL_4-Q#D zrk2^L0neYD&YaB(dcVT3Q!AZ&)k=*U8j;UON1%Awy9%_kKU@wd$Zn$6ZiUS)}o9Hy_qQTkPgq}uKv57uxDq7^hE z*z?pe-{1TB+$TwEuPRJT-!TbS8l*+K&LDX26;tE^>W3X(3+W=|&Vf6T=*$(@sSER! zo}XIpMXI5T$4CHRqgGdEv{Ii4&7tPc&>!b21NRlt4yF>f~`xtg^|>qW#}_&;Nqu$ z;@Hc$^2!`PjJ{uNPcV~+ACmM+-Ktqca|(`kw_%E)iOeiwiAsrvNgUU;@f!D4-;QbC z&*R7Ws&u|vl4vM;Mj(nVgPs$lj*Xkbh!1Lxw)q2fuKYwM$lo=&A^#Vg&kBfq6rYOn z5EmVE`1-o#%^${)_)A#m@wl&@cOyn~aaGupj+*{BHIlvDFy2qwQ-@ym#w7|G^fa+r zBiIgrB?3*O;AD{tLKue$b&_kGL3Pey;y9IWa5#9H-XI+iVBSufRl=j3O5ko^*3b6b zCZx)<;T_@qf7muZR`UarK(6)7ODxOSIquOCVS}IITR-(D_B57lXmsq(o|Nf$*nY6( zTgrT#M9R_gV&oWc@zK>M{L{iR)CLAc72uMw+2%UxFT_;K3gWh zP{)_w|Bvd%3ZcBn4xjG)%swcaNJr4xRpevxo~ zD)vWq0W!~b6`L99D?T1M?$#ShITZTLo=n(x0n@RgAIOC&4shn;$`O*}Ug3D)KL0DU zn68l%rQ%w8<-Ml7waTgI``xpq@V_7d$5Vf-mwF%z-u#1%Y}+Y#2(>pw<_iy-v7)d8 z$r_u75KthAoHr>_y||r8rGMK}fqI2H1BQP zor2eWq<25g*a!@0lz$kfeo@Q6)~<}dWP-4LMM;Rw&0wGyeZN(Nv=7c}qZo4Uv`9HT zp!&~_HCd{Brz}bZD1vXrInW7Bt5#3US@j_VC5fy(&B;?$zFis~VaFFg#E^DO{oWTZ zgZ>_I6PXr4Wk*i^!UO{xR$CXS_br4=Y*y&{WeqVhR(_z9Z~k7fQ-P1`>!X8Ph&-_*fx_6!$XJs=jDjT!7~}G zgg=E#3Y~L*e>ELwQ=mDvcC-&Qd!${G6YkF)e)TSF&Dck54SKfBe?jIhwR7tbA>T&y zrg5~g=rDUAJl;DBI=;7|%oCNCZNaC^i!L@uYwu9I9d!{3c2ST>4$Es*4lUyfKB3^J zG>R)Q?bfrrp)kg8=y20<9U{m9f~92|q8UZR?@Mo*D7u{sPtWpQQYx9hzxOpnmLOlf z-r;%jIQ?gPn7ys&&TWeupDJNMI_!dFTNpYIYsp{GqKSDocx>Iiw+)^5-wP?o0V`AU z^6R);lcu+O7nl3p-w-TGHn8~VnJc|FBl#zOPI(c#uB|>_&=JEXdBP*Taouthvgl%t z_l3FuN@>onW$yc40WNF^;#uqNSEj~az0bY5S%2DCzhM-cm>heHn?_a)rhK__FOq4$ z)*18(tfvO zTV};%MaRP<>z^dA;qtp%ne90r#vR9BOsT?J`m8P+blg?@XY97o^QB@Gxll^(`S4L! z90a`>@EbFayH(_;f<(rH%h%_s=LjSFHWd>+bUP#EyFbR8AGI0`X5L09v-Jhnkgt06 zy(e^6P&wb7B$O}t?s*hr=%r`n>UJFd`i`N4cR=%La7-96K2SuUhA&sP{ddMvv;PY? zV7ES>+&A?q=gJ_kGxTG!Fj0ygPIouY2Eruta1lUb9{-CYZ z+vH|!O~=FeL%q>__%1(J46oe1|I0gIzb57BgJY+AeZbm=%Ka_@8mZ+`a@dA;v>#%J z+8n2K;Wc_GRv~QcGR@EhJQihS)TTjB-R?wkhobgAer}-|TjrPZRVp^s<#PzBTlvPW zz;-GD`p2E=%~Y2$MYS(d=pUH{cTxj4zXJ);!?17_Pab9~u2x3#?JQb>+mC>XbcCg7 z0d(cPR#|b)3YLxLVQQJveqR$Brx0|n6lpvMbWxwBEsiYCp2KL}?h`t4{8Sk)_7#w+ ztP99AhRtn?&FmX18~fY_a$9^k`F&;^)8KE7P}Em!aQcv z+GK)_5NXgmyK~NN-0jRrjz5D85)i+qE!y}c@7tkD&`hx=axKJWUlY>5%C|(j)K|9fgi*^7-1?=ji(;EEYm(Kz<@7Z88ti`d+pg0edJ8uu6*saNcxLgTtVb@ z!YJY&-z}SW4VQ>ZiZk(G{x4nUB9*>W<`}`2|2W_;bPu^(K+xD>hDT+&EZ~B($KD^c z?NX{(W2J}`jts1KjF~UcvB?%J+wWIgRwSFw3%`8u*3PXY=FqkWcV5##x`HBE zeSL>nnr`?%f>HMiE{xhp{lDCHWH8NL_BO&9-LmbT;^9S?Vj;)u$E*7i=2YR7GxKKH zx`n#0dDp4U!zu^Y$1d`$^t3~7U=%xr!k zi_?5$)zXfWZ`XHFebiUm@1*V3$X4>MOTSEWIVt4%8BPVdwc8qtlNUT{H1y;)zuJ_2 zh%uzeSiVYYHqbV%FgijxwtTEFBB;jhUo(8ek(qgTlhNpT?qyEvFobWGJ`lKt77H}8 zNtEyv=`W}&iP%UyPShVi8*_-~)>kqgi`6D|+0w2TL5~mdHQB?OB>r_4PIMZ2Tr2E} z-l|qUJXfK;0kaO!q`1=wks;q(i*A4;Q3aW-q042po|X_qu;)L6Al&(L4+N{`HJ zIQ-RGx%d1_=WTJl=Yf6W!qp@WB7~4Dx8nO^KmRbCnqX|PAJ^o}d`FiYe;O#fTx9D; zKRdDB7V+MNwvV)-b^IFry{*=G+S}kNg@Z61%TU$Bb8991#yDo(UDh}WzeA)pt}ahc z+Ik<;hODw&)bDRMbQm~&0%2E6lJMp!LP5J&#cTd-_;{&<0&t1$HUQ%(1r&q5s$lX1v1O@?@u?B`aY2Z-qL>fUyrYGC*1x{@+D-*udvenP>nxx_*fBTS+ z8vSX)o7r;5?~cdDyj_Ly&AP42Q7$cRKOzaycD~uaxT|1CZILpzTl6pl$MC8(cmEPl zz{we;@u@;!zqeBD*&yCn=op{mXWvYS`%xCLH%O)2>{;<76Es|94V@@-h!6L1C;~+| z^A8?w_X}hx7yu^9^vtrMa$K;sJRdvcLDeZ?q^&0KD^lXMqJ2`%yB zG~!RWyU><(sysf>OXoeHtnhTduVqO>XKE+rtL3ICpny4R?M zvu|?2uxr5gTZWlW_-grWba)x~dZZ$dbM}hJR&-at_FpTgcywi-$Cj_YZ!TMQjQZhi z_@bHFQrN{mV_zWmBPxp2AWn!{q)c6kpH3dx^<>WVgD%iwEVrfF5@>ZiYWxLgF4Puz1$2nL(0tr zTk|;Oxa8WN^vbnqwEj7&7l|+%&27QfC)->zGppV@H`+)Px|iUaWe@OS47t8N6s`VR zUdwiFUsCZ5eyZl9#tMR1up$W{tgPj@Mdn)cyNKB8H9qpgZLD&mLBu{1&*yDL(hMhK zA~d(hVf~c}I6QmT4WBD00n8-O>ANyNBGGUhvvt}XnykS^hZI*` z(PTmT@7?*m(4QB`TV6o#=AwK;zPcjO4yjbqCfsUo{ec6eE@Mg4%S*za$}<>}eve5p zI15mDm%TjTaEx&jqGUyfP84VXDULG^9&=l=l11rXxRV}9%ee~PcJm9xT;%UGJuoXz ziQr{SO!Vpzo!j!gI0#96<{iZV9QdMUTdQmzGBn8;F@-wD^PUsLU(K!bLRnj~tlPu8 z7NGr1pF_$2Jx<>$Gv8V1M(GOo?d_ur6BUkxav8M;)P!&0V>sQ>-c5dziFd`r`c8yL zyetuPuft7U>FDoHwX|oSV=GXVHOG&zbmnLNgFi;S{N+jmT99h60AB(5G>92q7Clbv zP*bt1VYCIT9@Q6`|p{x@EFQnpo#+Bw*;e0#jPjQp38-YzEr4HWP+sJ3fP} z(48B+=n9sy?Opi40Osxlcb>k!gB4uK#ZLCdYiiC5 zIM8y`f!5GZ{9RaN!Xnt|9)kq1rW0XGxOP(CU2ND~Ke-$)&7`K+3L(+;UYXtH16ICx zpx!aFr{9Z8{*o0|w57vUc$xr#u*w5>rf_bI3MQ=3BiH~n4L}?Cg><2Y5ilxwX zb4YKc;@EYO_s*Cw6m;1gJ6O0#l%dj2<_nzh9dvRtaMF9<>{HxN?I#V~8Yma8v1rS8 z>7<<`;%YPO*!Bl5-5ig;Efz8h7pf4gn05J~nQdel(k)dzFTn0#kKdna3(}?KUwpQZ z3F)pDx44H2gq+b-{^7}$s{d~Drav*jyH9xi;}53I26B=w6q7HL2y)v9IumUGId9ru z>Ue=wA74oQ9X;XE?-c$EJvkZkKAvd9*8z2^F){^XZgKhMD4o3WTjN}by1_~hYzYuC zFl`z0H@i$?rb{q8DPl69+gv8W?JiD0+d-hRb1!LQ2V8PF zJ!^09r~tQI7XQ+NTD#{+Q>=V%20weey7qQ?<_Nv`D3pk%-S{b{wE|_!st{F;X4^_mgET?UNRwLX5(Edj%bx z$?5q&I41?9+`S|*^ZBK_x1SGepqo+x?OeLKZPwfL(Nhq`RM|_<0cLy3C$_s&V0N&c zEq7&pW4>fAtzEvF6k(DdYvn;m$ITEUQATXmYLr8nOUW7EXi0yw*tS_%+x&{Dcbe%F zPTuIk3~h{itWuDVKfRj1L+53I<|3A0ln!4Ptp4CEZzUl*MJ$$sWH<|5)ij&OZkPvn z@)GmU@qA)h{T4j1x!wGEZ zN;hd34h?K?eF-}q;{JE8{&s^KLT;!#7VNQc8l~ywVa0D{{^55RsNaebCM_ZjPwfjq zdrxSq)VTFqq~R6xN1&n{w}xWHj?H7@;#bXy{!2Y8Gnf{cK|xiv4Znt~2A$NLUG}aQ z#^!nYsO}O1HpT#x-m<3Gr^B&x+!e7lT)eay_@zMF^iM(!?j+T zy}6jGwXfKHL{o6rf>X7ic=tD_U3S{tq1R=LOwHO3apyEremn{j z)a0jr4ODX;g)<%UbD7E6OF+IAkeD}F#^{m(wBWCB&nD-H**H9%w`fV4n&+UX;UB)r z))PK_o||u&4ho zbAIj@at7EXajD~E04oJt=rtNY$oQE;b9jG#2RudX96-16IDQd_`R`#Y zk3#sgO@Dd<B&F+U-qvlTXZ4yUNa8aouZ3Y1HaqJ8b9l$ZM$pcgheffeP^Su zbA$l-UN78R@wCTIJx|LAItPCw(bhng{%LT!Fu{W9?Q89*z|15FEei?GB_k`Oo|2U> zsy51Vn>J?fqlmn;9ULwFGy6{oO$@+LP6 zXR#&(9*qZJwRo=~J`VJPwzDw5+Oru5Oo$a7Y>`HSursb?|7;Ofp1QWe?IsY>xN4g-(z z`xMT-op4?mcQwi+!liEhJ+0`mfK5@*2om1{F zv*z!|XFZ2E;wi+)DY!=doPg!Qlo^6u^wz`>=Byw5E#t;dk;&(2&7KJ>`M~%Dhh|@? zd_VG>!=QQ9p3+(AH_4z$E-^_ugA57d4;5{ppfjGqoO*bzqHE@>7Z}J=Qkc<>yCuz_ z0jJ}?Z|rZVO~rR?LnpEwbdX=K8J3$57O*X0Yog1UJ&Nzj?}yS&Q(OvZqCh)O+f9C- z>9^c5D2`HHe$RxscB01K%sj=oZvv{TjK6Boq~ng<>AgeNX!g4U2%q7lmU12oRCmKV z1{E2=Zk{77@b!)Rj;OdE7wJ*~-S3S$?jU>_u3Tt?% zd)M27qnHnPuX+|b2ZIIP9Igt7yo}!!cmWxxu*K4*-gMd<`ef-JE?(7g86zN0ehwLo zL#}s(Ratj!y_{ok)bV%N95|0ivlgk+4X|J!y(k~ z{6f#2F4f&e$9t}6K`^$l{h2X6`Pmx%pEAq_B!%bNW>ee|#%LEC7QbR91S^9kHxQpEg7yd%^+c(*BfI!;{#T1Po9?yu)+ z74uk$tfOd-P#CvcAyxFiSno@tCGfP<7HQo-pbE#db%C(+W_vJi!gjvOeHT8U$Qi^? zJdDJ)zPNN3Jr!qzIhQ=J|GFt>pFbCpa1P7uqR7(L?7 zD>-))F-nyAWXK?|ILu7pVdN?*Vi3Vfo;(v3_Th6Ux+y;ne2{JpaMaz4D79-4 zFKyc~7+zhmv+O{YHVpKRE=OZ<5~FeawzUDH0w$^W{B$Z?gbcwj!Z`2R?2g<>x`k|3 zd14J#^JOSFJ}&~dvLwq`FFv{B?<(VQtR}GR9QYe?r;jVHrMz}hFacfPDEHSL&x_SP zHrKUi#)?cpcdZMS#_#fL1fKb)FhTn=+%Rr-1$PRqPGf_*DTgE=WZSEsLGu%%RwzJx*YPL&V}V$Nrv}a*KWnls!FaS z#`MDAFHy6o`fSg2(YO80&EZhxcFZ$bCBCCJ4#}ZST^iHP!}ZuP#G7uy5#6C|-we=u+14mndE%VgbkNpJ2E?Gu+H=s##fM@q^ zri8q<3!6uF*GEC)#$xUQqG5PAuIx$X5UcG^0!0K@l<>qt{p5b1c7zK*cr)L?9)Mx$ zm?}vef_5HI0x)(%ms z8QetYLg-rF%{=9>D;M&77Zj0E=d0DAJVb%z^8)IJtK~BP#tSJ_%C}4>W*$RNfQhzT zjY4a6OA5fv{o83k)-UN8;`HifYg17;CK`p7TFtk5^3RQPe|a?J95@2xazA_Z8GU7K zZe5+~Y&GWjEOjMI*5Pt12Svtxlz>L&UFng%En1)dQY2gTG<5y3U`2!hFS?|h0v=1C zK;2}UOK$1-=9!BO137|vRl=VsAzG}Hf`3i!SIT}(!(pmUk;@xu(zO$-y~et?GIE=> z&L}xxOP!7PS+0W`{<{83?eh)(KH;@5e%&j{KhRdBSef&QM2jA{aLEDeIU(CfR3Yh3u zSfzQ=$LHBdsD-^p*e;yI-xR?4QszhORJfmmjYtj^H3Frc-;Jm;M37w}RrKkjV~K2I zi0o(cnpoZgER&UoQRMG(Ur*TPkVc5=HOieXT`@lPF!eGRXtXL0Y;YK>-6z+C!<(Qh z6uupiAT7}bqlvkY+{O&{{WE0%Vkb$*(4hU1_}(D7?W;E1Ly>1mo!J5~ z+{jN!l>g(;v*Mg^-AdWS`)lGIRz+40nxTh=Yd=}uOI8)zHo9hvS zA?!Mhon1HQring-P58avS?xMBL)&NBq_<+Z@J2VGVuN7-g={udmW4AA_Yc&i&A2pg z3B!>ux2W#KPl+GuTby-I0m&l};mn)&V)*LBjJ}Js9Ab8$p`G&%g5HY7_}@_TW?Sdm z1%#i)7#3R!#1M^`T62dNU^SBNaVSVo@f-UTDcE@z3FepkrgHyjpF3V&AJZ*FMl7yO z|BEbwSR{u{RvErjD|rg1*1iwIZua>k4lr)cq=xp|T&`i0)w6Y`>0HmGzdRIk`ars( zz1RSeCL?t|<)-?dNl-uN zVHKP+m10ha?$TVm_CkO7l`eSJwmxTHcawlA@Y**sqjR&YMWpSwuY@wXCkE2y(xR7I z2+<3$I_=!^%-`ug_3PZ>VCr;5LFv)NuE*fHPQ!R5cgP5`<{is2k<*&7KI3U9gYWoO zSup?VH%3$h`{9p7_lzjb|3TJS2DKHw+rBMUindrF0oqca#ic;7P)dOoE$&v_LU8v2 z#Y=IA;_fb?1cDW}65K;@cewoTxpU9Cb7%Iqe97$DGw=JXwVvNXRvZxv1FH9=%QTxc z%x!j_Wcaa8UmUKH#Su{MH#jhBHi=FdjQpGzvu=v_eE2Y~5cYZtwS!v=7kS$v5!vkp zHX_yY2Wtg!6{3CPnfk*}J zQbx!>U@gOHKCNdP*r&CEMG? zdg3D!xupw*a_D2mBKqNgs;t8<00wgh0IH-<{>kL9Jo__%fuH_DmAB+lT62^ju4Jz) zJOk80&1kolD}n_Z&?CDO9VB%G7Gn1W3v0$9jWIcpH%;I&ZMIo@vn=xsn;tvud#_0? z20MwEN(klZzhWpBL7*7u?M&-8#4jMzjLkQ)lI_3cL;v11`S~*ebnar0_TDS4)F|~g zih_OtXQe;B`Sf2;=l%SWUBm6G0E2Zg)qhQn1-K-1rC}7T#cqcyELzpM{fV6P*9+biR#@-6q66c7VqjKvdygEY)}JU6Q2^{I+sc53bm2Ys#L_|Kv%%O8mKl) z(2>BvK5;oBDb8=1S%B|bZ>abyWKvyw={i(Rj)qIQTa#3}@9y|Y7@-uw%Kh0nxJDgv zjG!@?7?GBRIN<&Sdw%l@JG^uB&Tv$CJ6B2GwP}WPl%+t=dHZh{$7mutmPzU!^t)$Q z%U_APA>ch=x`XyWf*N4zFv4X21u^*YyuM9f6isT3;9sn@4>=;z2mhOlbt7#siMwm8 zZHamPFsN`6Ieb&>Tk9kG0%ln;dHNjQHS%I1@+FwI@_tQNno9s_c``6*(0pozkw|%g zkO3RZntzhLYv;EACTz3>00bqRtc8fc%-(ROnHTQM-s&Gg{cA4(Ip4a%4w;TR{T7_-87TyF?(dbmgmnGZb-Ud#=Z2&;Dz9?!ve21HE zW265Q-79N?jOm-|{9<3Fc}H{}UF)%(-zy@8tP}R5E-p$sFgAs`eqv_)Kr{BOzZaUb zmuPk2rQsWS9gU0Hfbc+(9}@Hg5p~=ENl2+>rQ(lQ?7P8_*#s7rmdN>D$%s;&s}668 zs@3~wQT#O+;ng27J5hd?SDvoz>e{SRAqn5`!5Z3%z4H3m*+%rIm^;H|)JNxJb-%}hl?`2yx_dTL`-#yc4eK)mr1CPNRD*em#<3xk= zANK~e=EK+a8Qy)6SNHek`X}x1v;2Pe{;Eq}7~P>S{`l;g#EnMT%}a&#einneu=(U+>-IX zF~V#t$4DT{dH*)c`A#^?@tO+D^$tO?QdyO@PnFz!N4_9;@w8bMOY2!wf9pX&e}daE z#`yHD`Nc{3t=)RUZI7e+6d6af&?%~9ca420rh0c;N3B3yI-WNOOP;26O(Z6X2uny4Wcwcl28M8V>AblqXnUz25PQIT~Q%2R2+%pcf$oW7z@ z&40#X(2c?lpg$^T`z-C_uN%03pm`+`Exkh3;GwBS5doLsZ2$d?vf+Z&Zo6n&2D2>C zkFl$Wn@Amo7dap$@-*1>@dp-9v1Ap+o?@=fTJ!~nffWY?O8b5xSrB;E8)ruE!t!;t zXr2lHg|BqH)udXWxIq)Q)bOtIzPIu)t#VIZ&jdQBuUHpe)gQ;cUI@AcBzG`4rQ1YQ z_%%!~9-;gpe!4$NTHzKVZN|1b*}wbwOLNEO`wMpts;zFE8Hn_GG&3u1=8Qi6j{Q_Q z{D&ECz4(+iJ)G`CW=?d#sUq>4rFwZOg8*ukg+EJE^*a9r$SBADf$hyC|BUS&RjU7esGZ0_$n7K8sA~+O5WHERR zuo~&eW4wRi$MDs3NGI6OL0$p;xJoL@5icoNq6`@LyyxJ1)uZc~-c-cP-dJwxU4+r& z+VE}PH9W{{((=s0aI;I^!bFfnNQ^+Ma56Q?zhT$|KKgVbNc029Ah3$=aR@b1rZ=LB ztdTGpJlXv4olF4r(blzOw|*D3^cQ+t$1)gjL(UcFPQ?SAXM6OaBD0_TUHt3Fyn9Xt z>l%@=V|235F!+*zB;aptd0=qK4ef#RsP+CNMhcYn}7n{7AVBQ{Eyg>#0 z&~@C-t{CHJSG3}I*0L!OMfddNYnC};7)um1^>D^kR9uQFY0NO&cf{}nKSrB6kv7w% zd5Mm&`hZ|h2tNp97hK#$g^0sP=I@Q0k)AWYA|*V&p2`&ZKC-8?pGpur0Oxs_5<7Eg z{iP0G{O!nz*%pbM9S!{8PW)W~a3GnjU*xnlr=L;o{`6WVJu&nBjc7^!XslYE31htb zo_oCe0N3w;EzQKKlcGr0{^W?aH9N8QU+YLpN-le9CY%Qaowc1*iCTPqhuQfWT4t)u zG|1!OT?zaoYt$Tb`y8O6U?(v_@)!SLY9lAKIhFqlD)=T^?u%^NBiTQ|F(pb{3PW7m z9^QqRD1LjM)8pmql8AId29|(LpPT7Yj%C(sQ6Q(YlkZsjM`PjtKzZ^G{g<*iAlTsnM#kN`79et9-g(B)U&J_d564=Bn6RT zBeU#A#MVMGzKM_^e~-h42s%+ob;QxQoHRRJ5lzI$NmGlp3Tg1AR@?-xOe~UQ*5#eE z@Fs=^qx!bRadD*ZAvLhEDQA87zAGrn*pC{#-QuC$mK0l+DC2c;Q>Q6^!=)~P{=C9V z33HS-!AnSie)1VUcVFnn@)ZLeW{(dTa#eS{qqRXM^lyDda_5F99>k>5>K@WHt@4I#0nuZs_DMKFU8L33lSP+1~jztAMgn% z=CFs6yxW6qZbupj+ejsV!Vq&5Y{qF1gDNPa+A<7i?Kk_k&HjV)F2c>PDSvPjVE2^{ z{H%PWzW0}V+5m5d`HGG&_O&JOfLd$Rz=DAo?fTE!VWkpliWsmzx-f6;5cW~BR_|}a2H2gvm;}=J{pPfP`V=?g2&a3ox8DeW?DbYUJshsUoY=MpBUuk$rmQS}jIj5Jb*-CAKdEkW;hJ!ClalaLBZJBbFK?=ADcC6+7il#7OmdVQ|y ziDEhHHR|4*t@uY_O(E=_@Qqn>MwV@8!8nCyh66Kq@vNONT9qVjz@@AJ#OqSQocG54tVA64bB68kUJe8PkI(z?Y z8{K>=#AREaSTU>!-p#x_D%Cy!QG zOZtnjPrlg@>bF0uLQzP(FtUH%?EsH9tjb}!bkg6>jMe4FshdMP``^4L1_zE?i8_r~x8z96ZE%O_H5_UKBU1|hakAZgvX(}9 zL?2R975tOe5ru?G7;yO%UB^_kkDeRDrxq&mtuf#8FjuDyR*-99A{~kXwU!kpv|(EN z1Cj%8m-ozX^wqY&33Y#7Szz3n(f>Vyw%Bkjm~qtJ+`9M+^b=iiALW+X z3X^*t_I$F(h9BOsETz+&GMA0&Hom0KVF9QaF3QK&fH zu-51G4b}`t8c?_=n|kwL-gIQYzOX<0i`UE10I<^j#HGsB*+>I4n_hyCGX7Gp(m&;W zqt5DGP|bLJiP-kZC4Jo>?5u`j^a|Fs$};vkNO`Jq5d??#zdK1pl30AWXF^$D?d_~d z3PJw(tQv_@jKIe<1YQ^D$VW$V>jmn6!pl}1VMSAoTUISXHFnav^)t{rk@<Lb%dC;hw4HJB_B!~%6H0av!*)N7&f5BPu$N`D+-#SC2@k4zcvmA) z11Z4koHJUH+#F$7^uda0n6U`y%BD7|q7K^6<6U)<)ol0q>q!c43a@#|nS9a~j8Y^@ z;yn1m-g1}La@x1;lL1GBy@0ZmPE$>wgR9N-@}+Fro+O@dgm~~$($=>S>(HAwWd+_O zCO_jk?PERnxgzRoyet#zf{PZtH|uCM3F>#=@95b8BIG(WD-$kwH#}D#U6{<({@o;# zGl?)UrGNj#oP^=n{-I2BMY}GoStniYELNb~(lsKaMw*!RD@YTUiI$%&XHKuBjhlK^ z0HcuMZxcJ_eB}3WKR`&E4ljRbG=^{6My^g#hZr=zCMs6EzY%WLa2KXs0WXdMa#;UZ z-c6flt`$DZiUJJL@i!w=Be4JkTnV{)0K86(5so;jTCyWuyCkbsM5Fh$li>_Yce2;n ztfp;G8Xu|^3a{UV6eD_u(bv2^btc2#Ch1fLXoUi@v4eqWxkLCn{|U1)=PxYo`80%L z<2shGGwU-G+5D~aN9NN3vutn&XZU-%H?so&hpm5$^WT2jefeugSYW)x2;U^nm=xx?Mg>cZygoMpIC&Pk3`Yfx z0KQ<>Z|_56LAbw4kA)ztZVEr<{C;r1SvM|qTbaXmB?W|R8QG+wL6+YU9gEHPgMtJP z0PQ}kp|_ce(3B49?}36?J&&fkG=T^~*vx_{hXK_7-#x--vo~P1&~Xo;IqK@tyYRAw zS9P8A74g1FI#JVC4MJvnLg&MnvOa1C=3$xVESr-v~@i zXG@QLdHWb4=T^;+fYoc3e1qf0;8Nnk{%g}b^<$$FW8<=5NC}n~6QQmyo^4rT- zVzI)(owa09bbzQ%=A)!`(`IYWvppF455TE!k8wyaaaKyJWGOaqx$|+38lhIqm*1-; zi|qg|W6+@fW2vd$ARpZOgU@#l+~=th`nKX$q9aTKvz=1E&h2b^HI1k_Plao0zmJys zqRl08_no20c7# zKo@JSYb`rAtd*N?pfHx9h-BFq=SCf0x((8cs(3S(sw4Uqiw7?1QiQDXT{KZiedD#R zL8k<6_d{3sw=WB~Cua1u7;YWj@itxE@HUs1XUMrkX5@N1ZdfnoN1|Fi;rV+a<>mKehRM#BEJ@Zlmg$-er zKS%jjvECXYMWUlC@+~%3D2Nr$X(J}H$)sz~|LM!4zY>>2?FD5N&!8 zR=6Wg-Njt~$%huawAClXFAPDaIfN(73Z&p1a8r^<2YzLW1P-hNrfJ)Xtw}TmE)ZD> zmb*fiFUQ z4dbH4y9#^^g&Ttr4@SS|9D7eKiGV(@0-CEV5NN>7Z^2y@R3n(J2&SecIUxcWcF^`4 z{r%81NBG54_;$Gcy#fo8)$&gp-1#~cp^EBS#xllP4fpy3K~aRrq}gf4n%mO#Jl#wq zTjJd+r2}V;RKVJeKom>7L%e%PyI6W+P=s(HeH1W462za9*13$H$${!V3Fd{shL~y- zPw|i;;24KM7VqG*dC~@P;5PvwWk#`7@JuxZsv!i`^ZS0zwxHauk;C+yN4wFHt0zn(yWyehR<(o(Vnc*jf-y! z(D45~J-X<360ongJ+GvkZ!?dkGSA=2;AFaNMorJ(zd~JaY3>RT;#`}_X_fd7C>CCC z4A9iwN|((&-`=plKHnRIUMxUc*}3P<|J4pSt+hWlpt*}0&954NZ#m?0s^zNCHkCfz zI3rxUfMmKxrbOvCYPNjFW7popG!1Z>W*WU~Y}+WAp=mSB^eBgC-IWYm_18wCu7V<+ zdwk2<21aV~eFakP(xDC?hsg3hJgB|IU5E9T4%|1N#-2VMpYM8XH*L!$YG==X!ILj< z5e@9)w17Wy6l)L}z2u;`W<^D~_-C5363r6P_l95OGLpao_m>Vj^YnFI_!f23i%puG z#rL6*FLT<*QaB4N)*ADy_#YbC6y|c33^+!D6`3(D6-?G*JjQH(f4A_K$N}%ovjx<% z2&bt^b^F_u;H}S_-uvjqq~5~KF><>GyM4}o${A>?PNkja-L!0pWM`>QD+O;7brda| zPDAHgT&>_nTYHaWm+dk+ftWCxpOO#uu@2S0#_vL}$V`k^xu>BurMEU-)w3A)rHkj? z>EJ9z&xFGH374TN5HmP!Jbj2@*!n^=hj}-)=^3$KO~arI-X%=anzWG!kd8&ky}-05 z=5dRlRezLVbWDo9L55~I;cxZ73fam_y{zcv?!!6muO=Bb8yQv4K+t+X0eB^ zbp2?&AN){y1(7X1vob-OzH-jGQME zn(yuz8tvgb5xNYdT8kw7qkj>9x2LQ4zHeDT|!2zYRNkMN3>z-riLJnWEAv zcyx@$`XO5z))kBDlQN6%P_lJwlNDJC!Tz>!@8J8c)@# z>u+tBAHLriccr|uF8D7KmBqTGw5oavQ;Axr!A;e@dU|Vb(GuD4&vVB1!fUPfx$DT) zzF^~E{pSl?Y`FaYU4DAKEI^rdEXC8HH#iF!mOo3fs1EI5!YKfAXK#{=M6_t3P5=09 zw)Q0dlKj=B;*bpZ$p59aPFYA)d4n#V{jiv(?KqnTewvMG)B31nN2xIZl=5o7%=o=f zZ@%AdcjEs$?7Hh-#CKq!#Z4eNh24~5y&*UE#UsTv<}WCc z{t{D8*%ox6@OPyFs_mrDrP}JYts+yXb5(6c`*NN)yj9!jUM_u;UU@o_ zYSING#fMoiaK=n1@AA+;G#HnzFl8PwAqwA0@>O1`S7a9|_w_Q%iIAYsQnoTz56q~a z4E6(Y8meWeX;gV=o!+fSSh4P;b%?-C<3k)ky>zFJ!D}pPKk=+T1KKC{g9Xi5Bb(YN%g;^|6%n)g;&&1cj&O8nkTXkcEyhr5zlhvS&gm8sse z9paP-bCJ+}TbVXlmB3n}FpnzM%PGe2TNG{t_7so*mcZ1%65q|YRa>PCR4vetvzjj7 zgXGY>LFia!HgJ*raYa?x;3#HHlze%qD610+*{O3)FCR23Qx3oTJ@o8KdpNh;&{~<%cBJ_;U2O*s|56~P=|k8S7{8IGCX_>@`*%f{zpNH zU-EF|dH7ANU`g$&_Lq(!(vJYatl(^dC1&~bo&y@UnXS@uGWu(@_(V%>AR6abn7oZE z=oYGi_Ah_uq*6!TugMaU@$OJyl0*NayxcUO*MQR_A(oL)^lPUvqSN@T$PYb?(reER z>6+_{A3~v_#iM|n#)?U6b7P;E_*A~8nhfI%axU!WprqN9mOV{ z$;-|v?@Cp`3d&ryVV?&*y^5O|2Y^HE8wVFuH?5|Y21nylR@p9@oOKSXlkVO2br()y z7(Tn@c%8BSH+OjXfupPbUMzqNe`_P3EEw>RqvQ7)ioBJm2mfu=u3VJiyCm)5N(#A0 z)_)RE!4!sEThxlLjvLs;4#ei7BC2#jo{BT-P(4=oADwPn8x7s~#j6h$a2;{QRs$8q z#IlkXliMZvr)04D_Lyzvug0vg4wlwPlY{tLlHl#n$^Ih0V!wQqzTgp@;84+awwnBk zP!OH;*m>=&<*Y60@>$eXb{-zTyenP@fM}g+*Yc;88Lhs&%9uP9TCkwX6zIoyd%7lf z$yi2PqAh%+Q+fD#J}h2!8dY`HlBb&Yi6Wj;LxTdt|8*VethPNaWF^uRBQ(6X#*YL@ z=K#4#O}qxd!T=3pP$w9)NB!OXa%l0oG0M&S`!ODFAoXt^FvCs9k0@u= z4Igf?E^sFo>8f(Z>Kp2I#3qsN;hP^s5ThJ7^UymN@;4p#sSnd;S0 z&CNjsJ(+bkDd4?vjEVzTIjDSUA|EQu1itP>R%1hr9B^X*Yt?52YDZJP+$ci4u?N{I zP4MR^P-@?em|{|_Vvl%>5N|Ck25R_$8W80WNQwI!01L*FiYrxN;H9ExkT;3St~78> zdkdrN_Qwq&h!9SXl{TFCe3QFNN$Ok+286t}P7_Y@9sVbTpq6_PqSFr}UJL#TVvi|{ zH63B@rJsRsQkQ?rYQF7taa|=Kjs)O7tYY@R=Gev@sCPO-*ET3X`i+v2b#5T=6A1-K zljN_uM}+*`deFqK3o0Kj2y!WLRv5n*KjbS4KX4Kq zG|F-Ra-{qOYx8@k5tlcvPbnL;Hm%+i#d#$Umis3nK1}F^TqQ7%j*tTTtnqn3f_V6Q z3m>YYw=SAiIUoYrhK4(s&Ru3ESs&>e{WIFxO~T?Wf!@x(bRR!Z%;Os^K}q16N+MeO zLAq?NUDL5Vq|{4+%itfY-9} z`JU4g58L`hziG~>+h}MX_10)G!TNHr?&ep*DSehKvS5S5(aGeGl)R41hqkGV>5gqC+=9l6RgVNawG0>a3qpEFRC@VSO^8`HWTrq!xOrcUn~T!@&;m_$joIQcBFSnitL zdYyPylot%WU7nqn;i5K3-^yzOWtK>{&I7G$esHcyd;Vfzkm&WniO;3_Bx7GGS z>-st}m~Bu+-(w5fHhT%0UZrk@#~WTUv1#Xg*Sn5CSXGoUZ2cagksM*pAa>n$r*b(X zyz-Y{yIvpP)40vcryWxldb<3b*F3&*{K%mCOj~#C7w6(~(3z0UY5onb7>iLzpUtwB z-r=@)cmGTqTF1L-rBJ9supn9;G>yDm#Qa{*an?CGHd+<5G!XpeoJX%jdF$u!;_{CE zMJsSPk+LG*C6(j$uv9^>_4j&Dxz)e30W0|4FYVH%-1;*?^sKD57y6eE*c>#Igw<@a zN;Yi}GeD6u-fqJN|DKFwunm~AY?1I6VT)QMd68iE_2Xah>EXbR1-v1%In8aZ@I_7! z|3#0a3)&p2U0(9~UN~-nosHDexZn6hU6!G?Af?T_THT{N( zSFhU8f@iqZPcgQKTNS0_t8YL08rjmDgFxRJ`NBP5jjt5iz?HB@tnF)X>vyan);j6w z_6;wY7VUI;i+jI;W`U)<5q*o?b ziR>=0S=$A9!k+#Xxo%s2(`6UN4ZyR2r544~8b@XkUA`C0udokiarKlfLHe?=S98z( zvb}Qm-}1}7+VGX}he{fh{VV*wPyBXy?$X-hGHftfF-^HMK~F|=X*+&juA&R*6Ohwo zM0^{#{DpK=EKfq>!#bJlvt{tVtHjfq@1o>sc@_T`g%`vh^e^?Fk_D#uWHKAOr+k8p3FNw)So}^erL4h zfmqJt*c}d@4WWXL#i>b8S|cPSE3Ur`Q0`C8GzB9{_>*{qcD4+hPSg$V%jZlyW-2#+ zZ`o(q&93&D1uwsC28zrzO5pb>x&gjN2mtSt7Y!K1LYgS;{#aG~rDqe}{opymaj2g7 zPl65-OJxgMs)?4zmz{D4%cWZ?uIO9~B~vHx=nK{xwwbYos|-(y6%QBlrZiYoC=BV@ zK66N~<&;VsN+&p_h!r)C+4XFz`Z!YY>*#Kgu?Idmc?Y*Lrn)@{f-_1S0=`?x~ zas+!M`%j}=YhiNN_s1l7f;nUoEnkU>RO)zUVCOLYrZJST^Rbt+vnd-^{k5YC7v4@E zVBP5J(gb>eY}f=*77E5*>FpPP+?!z^F+gyWEo!NevqMzVa`+7JeQ!g3&`bX4op;&E z5R`0|EW@#dclA{wo@HN%%OkAP1rVjU_7o^d(O#3&y~X`~b*7x!%?@9Q9UWXh zzqtG`5!mYOW#;`IfnA6@8eeiw}!Lst>-)4Mn_W1A&z2&*8zJhsG*I25fyvyn7Q~&S&ybp2_8vcFpeqESFdb zrsO(hbUullGNwmmD`Cen9%3q#rx>HqkS~*?qXNv6+4cTtIfj|4J>$^(aWQoKawzhL zSJwNpd-ewO)GTzoj2a4;YM4FOv8xl=rM)~78-_NxZjF0dzGc<*S#kB022m{z<7EW! z1UA3v@lD$CmI2bt+r;r%bF`_J)oK5YQYzpRD{>khWjX34lS#*zokR36s?!DXsp>^H zwOZ916X9w)#oeWVhUe!-4^-x>0{FYSd&s=JfZl^aX`2A@$aZk#%dApNpU%)oUN9&^ z``$_vsT7=yl-C8_C#y0ogN>f8_1=e8=g;%#F1oByTv}JOnw<*S+QRX$RtLL@xwLuTJlNRF1erL_W7M~{i<_HCE2_=8u zw%EV9i(^@rZ|+<3k^#(1p$GD6N~7@XZ{O z41Rv_`XAoH%F9&8G}6@fpVGjum(MAGZI45jy+%@@e@?Q`NCbP{Qf~X&e&!fn_nh8J z{}azXEPOIu{_a6UdY0aj^s!`Bx$p)}E`zlIajj7%=hriWTf_Ko2Vc>W{~V7z6B(g0 zYI{CqFYx|ju6WQT^Gp-#bwrNAWxt}V0B<^-&a(s zXj{q@I^*6$isD*cecG97;y-&>dQ~wzX2Z)1f#DqTKN4#N7Izl%xSOv>eG9!7Loweh zQx#_O%=-mYk#brUc|-#NweKqO+O}f~RNIHKWq}p>yl0phmch7p<{Tk49PFld+Lzc} zHNfSsFJp7C~^Tel8&+%l<5>0`Mqla>5! zV|nK&@-%rxy2p%X$ys{|oTpEZ>7nOYrCdSV;UYKfzc}&)^1q~Gm?+#1I6Yd{Kh$YC zsbaOq27_X*_I}aG->DC)LyJo5Rm2VPnrNrd2Lt4a2qt(6F_^rYAWX2j$R7Y>{>?f{-C3l29(fkH|fs$k8 zV=3`I970j9owc~H5z;?~cMoh*f+QS_@BW8r2dB8%trz=rP;wLUEX}Xg@XwP-kf3rP zF45RXwgjKo#lZu9#-gi--g>R_&zYc{M2}ZaJHc7A#FR(5P!amw0N+ilV%s@A(c}-4 zF=@1~KZO6P7iG5g)SG9S%$xt7*Sqz^_%vl&R-TzpZRWXYx)FV_2UP40S7V4KeK&gH zZZkMI#bC-QWO3=f5_n%W&~r0)6+OqRx)k#>IfGS*jozpFY!}6@eF7spdafR zECNK1wV&W$CGq|aQbdsls;!2c5`y?3dUp@I8eceU1Y0HNH(bV3u@)xgC->BY3wYjk z-zLx%$ZS{a$kt|#>K`VGB9sZ0-_e`vZN8ViExUhZJSC!O-F=aCN^brZv=F;C{#N?* zFALpi>D7B^7Pq|c)&P?B{cj^#BD;~!oZz3{c5^a_)Y^9L!}geN$3qEKc+*4oIc9yb zz9yLD$JBwM*ysVL*z^Hs#0C8R1CiZ$-#~#k6;U)@?sBHL2YZy!-9bCn`&MVXfu5t1 z#lNhq??{XS=r4jpx;y|u+s`+qhwVPCCeUa#WJ^i$ja8m`PuT4|b2hk*^{@e(AI+6U zOGi)kievFrJ5ohjET}U?B*rKa$(aqluicc!@H&@-$cIP2^+1~t*-hy)=+ut=fOw0v z3A+6VcHJvqmM<%9j^wLW7mchGBHBA|+G@Uih@0;3v|Tmsn_sos6L>G=M?yl+O!-IF z#-w?uP=8+(g5!59sQCgdVs79u0BD->kt@3r5^24cFykAO0bG8nS z1RchVaz%b<&(!w4om&gADP*m-jIv?#T}yvUvb}BOt|KTKBjNe4zevyE+&;tU7KH>$$tcB;$ zHz)+B|65V$YD#tfqv*sxWYUEb8{h|VZl=2>@@e~Ka)ra-!Ihet6X3@yvq_!a7MS;E zVKYK5bJ^_&FSb{Z^XqzYknS!4PM0T!9xM>3o0QoRz2fFmh~lNIMm8eZ5%YdgLl>;+Ku~Ud3~VVKIeJE@3|_ zUyA$e1D^N*UJqmj(+7xwv$$~G9undl1{nX+JfZ$GGw?ajr=YbhG zbnw{`R^n2+{d4zmu{k5c6CmbMW-tQO`zYUN^-02-P0~2R9F-taMrZi!jB5>#1SIE& zg?lP1;^%*HA{qO5xQO)@o6THT>Iw$$cpmD(wfAJZFZKeY>sP~c`}D@Ob%f+4Fm>ru z=WhDiz}+{Pu?g7ge)asyX3(7F{EMI{YE8-1lP?wO(~H`CHGtJ4vwC>@eBXNe`L)uu zKab#to}{mcq$m}wQiFW3 zb@;h8`rkV!-R8-Lc|M+W#K-{znPVhuDJ?~PHdSMSf~(^+bM`X=|zI02L6 z(niS3rZ8Pj!~OT*DIvzP(W)BoIAfx`;`x$G&mBhCs7$!AZu5x#y-Amow^^&#LTM9Z zcYa`e8ofBwerG#B3Je6d*<8A;H!WuXtuHh~TRWg!KZc3<6~u9ShwIEg>whto@}%Bq z+Bc*ENTvGa{T$2=KIgk#L|!}{!*+09jO^Tv{A;1%dvmCDn}79}%hB(&377pEeakPQ zR(xv6XNQuf7qH6XW}iie>$ma!s*R&Mq2OxMQA~`Uu2jkTCpP_T|WVhhxa#rtt zl6yX4Y{@kOA|0KQvHA0nlgpT2&Kta(obcppu6*-_M?;kc_|O&DU|L`k*2NZ->k}vH zhJX;yGkF!;U+0y<)_s_OnDO4zWa!2t@(r>thd;1X(~QNggx|Yj!#j3@gsJph4G9`o zp*!%$>LHs^0-aWC$BI=c64(Lmb%z1tAZNT11m5iu_(x7=Y6z}-YB^3#$HCIdGuPQS z9`QmidQd@!xOh7c#kyrTe-9NrA>-TB%*JjVTEA|ZB58UQiM^JxXi;fG%N7^P@WeS@ zXr`IZQ+`T%?KxqK5TA}4sySXdt>}*Dq`D7+<1@qR)yyjz-?+h~XYH_`T}3aUGG!5qtxSF%ub~O9*bK~Z9KsPP+?!s%$uHyD`+U~|&Wri6LruVi zYkaec8Q3SC37HDpgSs_XofKwAhxnFOWkybJW&(l_J)=i_ziYCZ4#w4~Ont>u(1N;o zD$m>A2^o&+M}p2HAp&W1r-z2)gZ$BQzaSrd*}e@2wIwRrmbaMny`U9#Sq+r)A$dR% z{{Pe714qE4Nz!_#UJ!cJA3#tr$>TonWEd;__($jpf|lMToL%mN;Aymqjo@w!ky!+u zvYPC=EjE{6DO8m=NI9WRi#kK0VlJqr*lzlD9iVEuu_l~9ybLkAvcDb0?Z`YJ_ zrEQ#$3HMXQ;tAtYI`I*xsOm&M~A%cI6fqb?0t!eKpJ!edGAtKVeT zG8msK@RsV*qGvYR2H|)5^Sr{RB%px(RJ5=;uky6&&nGJ9fl>4c@ z_jRFhl5bDVZFJglPhZ!{$1X+f#g~xVnU%GM8%Og-y$3j}ykyvX-n3PnQn=Rjr13Gu zBn`i`ujd`@`XDUCAy;7?61zTFM_{s!v-<+d?DmT8Fh=D-r`KY5kBiP>Q}s-mmvtP^ zwa{YwcQJ2O6y?6&jsn1VG%iw(l0gtBf~?+sDE~#c3Y*WNrz?RkTmO|5E1PzeMb8re z^9p0bG8ul%fhPgmN~Vx+KYL?fC|lAI(C9dp?DQ9I8smMuipo!B;2k~trCbHk+ngtZ zVd%-n4OTbJaY9~KOoKD=ANPH&;KHDH1`jI1ev8DV>7^=H^cKC7LY8mZU9CBq z$>~#8#RvtO>%@$dzLn8O`PmV^Q|UN6WQqyHC^q~-x@A);6aX4i3SA7f+Ycp(p%iI+ zevkh5>2@>rUevDMra$JAczrNY+Sj`#x0oa|r+k~U_%bftqfA+aqEF?6;8?CpW1?6u z!xxlD!dcbyqDEb`nHGw`3St|rUooXDNkFL-H9O9#aaP(-VuoW(Tq3bhsug!j?3u{g za(8qjT+HLt+^mVB96!fIT9v83H+Z%FIaR7Dz#;nsWF&Hp?BGZ1pH$ze{I18Y%Y$v_ zt8ePlpC5)w&ls|4%3doeygYss9plKQ|3u6p2L^)gt!a=hl%|O=#g@7svr3%J^(XNa zH~@w=YEG7R#JaWn6-#r5eLHwp2`YIlT&G=QL-(Wmj@AdZQK8(Ene;v%^JWRvLh_j3 zANajLNt&?BobbYMv}xr;?y-0^IOJEkd`VQeXLNz2z{+?#ibGYNrhi`ZGq92%5%6G7y5egqD#q z5nRM+La=%KN^wKSXn98Drp=0B;ecDlMcQ{-{20>z^T4D3+hNme^Qgm2m6f#YHOp#M znuKq<18?lZ49YbwVC97C0}xa{04e=jeFbxib{z7vBUH4Hc-cOQ6ZrQ(h%gWU z3rphyku~1^3UaBUIFN|tr-_9C@PYw^44*fuhhD~B0Ghsi)|uh`xK8ENs-Bx!{?qix)nhLiJa(zWQ2CBb(MCMb&)49Iqma4@P%<@ zc&x*PIso)tYqrCJ`azjtE+G&YSt<>TB}5`Z;i7IOR$Vlfk>oOZEb-Mhx5N}|X*v%y z&5>#%^iB+0 z@Qjwe8LsidY4tjqWxiqr^>D#0vOD#u#oL>fnFaN4_775fWgSkRcH@h3rtu~o&HJPt zE&8<3d7tbTkC?^@m5JokhesEG+J4-852w>;pA(D|lXjta<#Pt=Ph94w_?x1pC~{wK zMVPTy5wkZ2R7>41|0o$RV2n%AYbx?}xKlm)$pl~KGZdIEa16=m2Yz>UBA%A* zp`SN9g4M7i30(iyh=5xEzq+Z^qxqLdo?dwC^WF_%3znCZENk%++KHJDlyR8OuLORV z%S~18qq;_%Bg(H)UP1AU2G7_3T02)eoo^21T_0~w>PeQ$VQe4jN=1DP9vFlQg43r0 znFj60A~EzBs=LMejM{Q3$sNx-8!g>y$iX!833NPzANj9jp(W1;FAwUOhdd_PPM^X$ zU5Xuk>w7mB6*jjuuud0C@UYid3Yun8XF7VjG7t#HDZ;x+@+!NNd;oesiKbFNdm*S+ z`9<9OU<)s$(f#*$4WP;R`(DJwD8gS~YB76pmkjR-?5(BYFb>|MsgE-iT}|GncauZU zALF^4h`HGd-PMK<4>p0G`8i5t2_vqai9W5*Vbz;iqG}#;`yf!G_>7KyzGk9ZvGLau z;buC5R_f+X{Lw_9sIt@RC>;Ml+{Vb=pm7a!JL!TyH=2(tC^Zp(3H#K+;nhB=y7`a( zpKR*J1^t$3v`2zNz@d8KoAFHpD$Nrc+AmQx76Nr$;csbUkipu$$U%a$C=tYIj zGEf7Tu>U}~R%tePqJ5z8=JmN%H)T{Q}r=A0b)FMk?`~LX@L#XL13pOqu z4J-}xOXM5A=4Sz?z-r!wJgzjjMfpv)`jAC)hYBmyWQI6D(~S|!30olEtuD2NE~~)-g>;2)W1TN5k>5D& zryKf7>&FOr0KGOfIB9Gz;^EjvhB6OlI(ZRi2xtyF`zRF26da+VtCI7~TN}I<7(^ohbKW=haCZUq>S4RBdFJZ(ARI-za<^EX);Rsky+NZZ_DrLq+xnVR z4w*3V(5rX3(VbVJu12@y`5!os+q1R+C&EH_X=`Mn+b22eWN6zHUsAmJNa8{3{eYW3 z)*>Im`)J}o^PWJ1JLl7<7pE)ajSM-6kro1~@l-zTCQ-4oyJDi`y$w5@<8^1DdKmY( z`@zP67(8r^$2;Yac)f)okj`uAdAyC_*Ii0_l^%)$)q2F!+shc{I59`2f}HRygD)Y3 za>JBEF)Ei(*@`FBJZR2n(VQ?*WZ^g_bHW9hCNs5ND!o_hVcx12RHkd9-gRR4d<@s& z8Ilowv?S>K%p{iFZgjWzk&J?m2*E(a|6=Q|-xd(P*F^B?TG*m%BP&&T6_yL&QmAqR8B zKZ`9gsS!#>0QkZ~k+_@&^WH!h9|zJb)rr?G?|lSQ)9G_p-5;Dzrj#RDDwjKa9HW_X zm(Sk*Hk(;O<9Q=Cl4n^vi-W=5#EaCcKBEr%fy$SAV#_sI&SH#MATDUsOlq$-ouEr}&SJb?{E(kgVxmbC#Mfh8@b*Clq>e6jB4wPQ+Z|z9Jr?0WvH|jT>b%!+ z8TUELt$LPcc78WJ>-Zo7Y;f_JeAQgri9=)u&MAHQ-(zX`+J^qedgj@jzD&{n-dyzl zQK(S=Xx8ZQpI~9E#oc#-oQIgB;wV(ga+4do9ki?&*?u#VFHhmHxK*BVXB^hC^88Up z_b81JH53-i4@g>D*L?l_A!s&A3IBPc2+7xQ8=*tJcY9Km9bzfp z;2giI_J(^P@~x(y>hQbHQkx_Di{!Y`<_%|0VeOS5fR#!1Ptdc(3C#fjl zY0mAnaYr%XAIH31;}t=Z9##auTX}QkjoJznqET7RKHH(hO;V<9FsWtd+sdr8R8s%T zPk(VE)w7S5`*86r)iVX%aA>|QsOql3-T?-a&>!;HGfd+N_pyssEHhkulOXS2H&+<@!{C;sFG!4} z?lfpJPI`&F!%Y}x;AjgDZK=(%(`8Hp2o2hN(;+|VFTC>uN)71m$olu7-LqM)k##ne zxfpbDWr|jZomAUwRju)FsH|m)kVeaoSb+fqs7^cJau1P7uO@ejOv;Z7i?QBXE=%cW z^{42_o^9~Bu|tvc%dwoRYzo$SGjOiGlo2%Pvqi0We!yIQ#K&nP!4=Otng@TX3nKYP z$hOc9e1}h2Rso09g|xD>u?XSnyLss_mh;KraQqn?M=HS|^SWy0d96v4)|q8+GWQOL zbNuFz={4tPurI3T1axAKLifXuxLk1L}~X8YPQ&yABC_spWeftu~q}u zXHWLUmeR<1r9-<`61uKvvqIE3#%Y51Nv*}lb|}1w3SFmsr#fTlsT~u@V6K~l!XICI z<$ax&8r+5ak3pvc;Qyo(@3X#0W7Ck`n=UFUXDCq06nYBT^$fz$|9S|7akC$dG2{Aq6Vd2I_{d>$=~WNS&87Q5g%q%TV*Y^8c6(Rm;Is*b}a8SNy>cC#QE*i+0!Bm zgmZJoqbT(BZqMtd#LU6O6p3WY)YNX9FQQ&r_5F^?u4b40)`yb@%9Ls^BD!Drse#D9 z3w!kN;;%Y8yOYvVbE~I)h#89cu^NCO1p&T`SPwApsyS1RNoVWJK~^ne>y<<1 zd<1j{B<4&(Nt%67SuMOn%<+{D#C)~CL)~rmJKBwyFbjlPZ{1jA8^{zm#z$VrGb9R% zGYMNm~}yhoUrFRa^!N@N3lteb8O!_VXfEEV{pMk;NkU+FxzZSdA!BPa`y{AWiL0;g0}7FH%4oS zB+R&1;F-hMjy^^~07uWsoa@mDJh_UIrVN ztjcE8ZYlbcq&6JNwt30Vu`GGdik0H?mEn>a*SAGP zm-spr60AY}mEaHn{>!v-FbxxOd8e)zF-j6#QFp)A?!z%YE=^1`e$%?UxAK%A>n6uz9 ze3@nxrLcZXf9*VFweoEF!Ki;pLRJw$Tx@%tW*|6eus`eafl%WfXj|R=dm~) z%9LsB)xn3BtMjmXKpXsvpND5nlH($BHzrE-{kr`Waj%{O0=t|b>QUY0c|FoBTvDgZ zk}zQ@NdW7*|KHp%Ne-=FrpxUVcxI6Cc!b+F-}_If+fM{+*8iwN(Kb^3!mS~_sldjs z^xd$hbL0NYeR|KtAnVZGt-p&%Xvs*wWpcBvEw|HvcfOLyYmvQd@v9-H(RyGA+#(BDLmBMY4i6<9<-q84-qViYz4Xe*AaD=Kkr) z*}M4Hi2m2jtYuDrUA)Q2wy1VDdrq&32e4x|F9OqZdVH^328JJ&$#AcF-Z1=ISaQtn z^Dnp0Il(PoB{?$o-^|D|cF1aL)7}uf+d`KK&h;@zwza#z7rCX1z4VDSE8YuPovnq@ zRVa4cn#6rvxCVM_GK<_ZMXA^diD|27Ih5Dwxf&_hxqh_XE>uufHoZ>tQkBXkKzac_N4=E%a5?#-4QX<&WB< zpWj*-@BB7(-=rk6Ch^3}O6z?+<$2s7uS!;Gz4+|HM)wEW^Cs3cuVqx`=+M3LSDKs{ z+?IZ9Ugf__(Oc=cpRgCxKD&HOa^3auriPBA)s9W06z=9L6>|R3`Efm-(KSX-!ayX1VwhXv0;{1`~ z5A$&!$*=WCDCZiv1`1%)_th(pQ~tnWCRb0lx6UC=72DBaFCxi7{oSye<=B{kzHN#v zdE*}81@YN4Hm`(xsGZY>@?o2%Tiy2ZH)Ql^gHecAbx-2|Ag_!`WsGFKy9;JT{ z!1HP1{h%5I&h`f=P{0cfMenC-*H-uK3$vrLE)0Y?6x05m6WJ;6{&T4i#6CL@kD=i&aorx$uiaTZJniWJf61Wu zU2ZFltKL5-&U8&S9=uX6H46z2ClI1)4F5iD<&ygMg_!pkn>a{;VB9<~>O_e9`G0tPgIEknVwdqFFiB zy6_^7iEEo^_rQ&}S!;jf;3|-r>*(h>0w*V;L3g);--I_sk_B@1Qv1saM5X)|I^?8{ ziDGh`y!LO&B&G1M*5%7>yrLcUZDZqxt^rM4<&&W)&8-1x6%g@U3OKjz1`QG0HXZ@l2x~0}SR?fps=fw}zXh*0%^9ZtZ60z}3epJFFOA22j}c;ndZoJ-T zRyGY6Fz`+_DTq=A>Hi}_yR(2UL@LyZRrdxqsfj{4eiDxJ{x+G6)0kmIUNkn$4_G}R z`oKY49jv`zkpK7HYtV@}{VQeq#N!~r*K=MAQT}eYCCuE6Sg>*~{6QO-?)$LYLbl~m zvV_n*#(lI-K)q)xhH-oWX%mZx<*aN~nlfxKx%+P#fErBD7L_m$sWa_x-~CcV_D>CU zKT0$g?Qm(iITSMrAG=?wouT}}=_ycACN0wC`TMk!IRChaQ@qkiHY~&K$G8bMT*(2O zDCNqxF~zO5A-SSo29wo29^2ocLpv?oE-tgOMzLZ)qv3&5*+6bPL&!|P86}C4&nI@O zqp1uLc6X1RSCI{K1@*4n`b|2pO~b{uRL|DEts{eq&ja6*^m)Bnl*jceO4*@%-?xz# zz4I2eC+4nE;JQy#UPm+W{qeT_C$+RUMxiNwdNSHslcyhAJVb4pIwIb;ir7D3>iT4H zpL9}rz@l4-%(~0Knd+86r%Pwf6KZwT(hDfNPnjBY@UqglNO}L(lg@8p z9(F5${t5&znqdO(uYQl8#r*v)*xZa1GeYml9ZE4&^0thXtUM*Yy0BX<8x`eULO6o_ z7LG5dFs}{e`cz{Is5K_%3>nHvrF6lBFq*K*wcUnex3DX!IP6b$gFkyyt=pm?>++p) z@v@go1zdjl7k=eRUJ5z(ZDm^Q<{qv`wvn}I=1JSJ0_MfaO8WWcu;=?T zkXW?HPJXiU2fBEB?Rs9p)5Jp6Hj>JxkFKw*TmQW~l zeC-~U5dC9-kfca%l9H&9Yt(qe%S?%lgk{4Q|AQ{R=~{BQG5_CngVy7WvDCcpahX!J zCfDs{*}%~sf?B28NeV+Q@d;~#_Qnl6%MdABD z%|e$+mhG86`_{#IvcU9s)Ah=Dl-tfXE}!~s;O@#|aPBMR#4svSPq)bzlEU^0R1xW8 z&b1Ku>vxjWe`_N8Udr@@vyzf;2LJp!O62FKn1Xw&n(z4gGg0(~@RZC9RpcYbFlX87 zzIgtf+fKJd|6C-It!Qqs9R(@igAgdQvzGr`0rBDl;x8u?DD)o$j`KGmzyB8*%IA*i z4e9lY^8UGkKlbxkzdor(cXec&;E+3EH^{~$;p=44Y!=GHqFeuFS1>P&;`ObG_(6 zz!sy?OiVYJlT25_zX$T~KpM0wY9~SxcU=J`#}{@b;MOukN@az9{r+!ljBm+oRlDtM zX{o-T?QiW2*AkTSqgh{=(X4N6qiq{1J2BuiYn<#;srL5U63Xo&W}}ri$Ry+~xS+(g z4g9Iz*w3KeI8dYBIAHijY9LH}@CN183a^~^+dOI0q=_dxEw;T@Wpqg@+`ef+mTE7Y z78Vf`Aq=i(@EL70$KLAT!kBU7*tv>weUF%{wd`5{8;RMg^?>dlLnTY?rTQxR#TEOw z;Oyk3?dloPESs9LV78x{pt0`-l#Stf& zhcsH{JN5W`18H^16kPlxVbRvN(!Em0H*&aSbxCov<#6#IR1se#)f`b}TV*7e^AKTh zK3mg#Q(xnITR-*oME}uj{%U1gf#}S1Vv2mz7I@LS*a|~=N2^OGBaK;=>(dT&#e@Ya z1{F$8O6>tj5XFVFZRi`MfnWPCQKXJ)t#RJ05)t*x_7@4BA z-IPW@ON@4T7owOn#I(*Dg)i0P(=7?Ev94?_r`=Zgt>0f$B2p5eujo{O7Yz`#?8^uD|F=|I(g0~?8&@2=}#7a|MnG0^K({G zX956$V-zmUd9}<(u!biYdeTaE9I8TpUd#=!|Lf5wqSW zTo<|V@aFIG!Gnkg06ad@_uS^}p-K3BJAIta|CTXBSdSA=h(Qtd&dMKqY%h}6E*;%V zj^znr4TYxanHvgu|Cp9-Im!?YJ8zvh5yDI!T@e%|!toD*$EWz2oKg^K#{JXuB!)QF zb$%GlRA*jG2z$(Us+6l4$9qGFq76QptU;ya&SoAzXI;$ItSq#(Sgb)S+-d5SbLMjx zX=8O*My;j=_UDD%d}R`gkLnLC=%+o}_mFUW=Ap?GX ziePf0wvxQCWm~yKM837s)gP%k-e6*%V$*m#QhHgig>9lu`=b$@o7&3R2g&l?i1yp#bE>4D3B66&??!pwaDZ?Q;i-?*9Xn$_^!KTc)RX3C~}Gf*oa5W@(K2 zO<6#c^bvpQ@r<@kWjdTQ^Y)aEgRdWXcSGriEBXk|8QAiWPKkD+; zKm3a+zR>FunHb|+g?7wjq44`&ZIP|v%5!&j)bin}%2C(PT6tAIKnDm7@6+j#{WR)i ziL~rmCKneZzL&S2Qy*@^Ww1Z2Kb9y|9mEq^BLK4H1B8<;5-qz6v2GEp>eKh(uS?jG zuAdETGi4pPjCh$d9n%~zw~r=faBDESHJt4Ci~0PyE5y~y%*1k*1?W0?*PZ2Yfh`oo zCM)I_Uat(ZIKPW<%m2b%b#BSj?R%h~NU-;ED_)5fAUg?6VD}zfhDgRc7^p`MX;>>4 z4_g~0BPBB7QdZZJ<~>&>HjXKnx-vVkbGDGIY?t8=-pAK1s1Os!xUi!7E)QMWU*cM4 zy9Kn|#j>NcwyMK{O!bPukgw$E_$Oy-|JxX??@g$j3D{Hf(D#z2l;xT>r!?5hhObSp zfg61EdxuKQ&*05MWMx^3#^mS#ihyv5G~6Cosd1Yxt)Ojk`^}LUnEh7r|2g98yU%)3 zEnnpfe=q#in*Rp3A>RA}k3PqfabZu$SP#dzVrIT9dkG=fl=8Z*J+g6&kM6i$ zxAJ=W>|}+7lZev(?a~8LgL1`^g*yjMMoC@PA}(mg?2@}T((9X;tFB9bhtBdO)3+t3 zH616_3<-yH3w8ao32P;Jii&KT5Cp&7_@myx!hkqdfcz32*B#L++fW z)c69{coz*y;lRb9oWASxlmgbANie(PlwvQZQ9+|o3#Hd?!e-p{Z4gynqoDSYz!h1F zg6}29j-jefF137SQlh0EKA|=mB~gU8RQVge=pAcR1 zSc{t8$%3v=`Pf~~QWfOh6dc)&?GO9-)t~J5ir&sX3vjY*aqaeii=iT)=FpJ$!$$`# zz7ARx4Q^Epei6dl{oXWFWU1>Fc5A&lOMC0s&&5s3Cx-J%6&B-=yw%?fI`mxlpL=gl z0@}|l+wJQ&FOJR@ea{-cW!4|(^e;5_*7t^-OnZ3WnK7(XE8UPWO#Wp`6Sxf)Fli8Q zL_KnVw10{$JCf^HoRYq2ZEu%7|FGz~N!-h+pWApS7HbX5M2lpX#~q9FKa!I6N6;Oy z_JC{ETr_qN!GkBex6GpLZbcXU3==b)YsHu{hYJJ4qB}6xlbujnSQU(>js?yTS`aBrKOv1#dTxD?* z3kSwssn#|uAWtnIg-#hqLcfYTb=3qcUS%LF4a)8c{=aixkv!Y228Vy}26ywmcn@H$QZH&Wa#8n=B)FmO7`aKOKxXb zQn0qQw*K{M41ML$Ef=Et;l=axje|>Bz*AnKpQ;95cm-^32weJ<=Df^{*sTr(7;db7 z1R9^l*3G`L3URk>7=z$|MZeTybov`gJC7oMdP^oE*c^!q-EstFWFk-djtFZm|B==0 zsCTA|D9l5d7OttL%&J5SvPq8BQ0A}{ACoVPIpY<#SO)&zB~`2!?!V9DNXsWl%*B>t$~2OQRl ztS2^NL@ki!N%Fv4ti62P1&#$K0$e zI%YgOGU0aI`P&p*Z$divT5`-KIUFxnOqBxv6O&`tPey7g*8wu&m?t^z^v@&m?lpj# z0`gATmZjg1pZoTSnDVe%NyJ>yEA}jbAo^UM*Wx0%-h4qwd) z>|hmbyx;Rj*?l7#X7IZ;wxlKg#l$?`?`%7c#tFI(Rn>u(c5ER1B7aS`K1r;T$i1Wj zN;=zmONEOaCkF^a8C)DK9D+03m;DfturL%mBIYH#uy2f!7*yotD>})bQ z>Ao3M1g7f+W992jhoay5v(T1KNuGoALe-jPS`}!@Z_YKcepJ6_g&sQZEAd8>vF+S2 zeG~CkEAd z15PEllzB5dv_>EwjBmMF^dwWMurgazs>d-kQNm-{oca~%xKq;{&0;mJ-8)iu+D8rS zc{G&-Tw|rpQP6&CRn#kGajQY*J>R0jJFM-8%-z1gwqqDM!LaOJr60N7!#aqGRf$E{ zb-&mps>*DG%HL}^e?ro()H61xpgWHhF_A9+%`(USKo}SITA2SoOtYy*C}Fv1fzPz? z=QW*acMCK}-wiC2fo0S>Kq8k1A4z#X2p=&U`pYlGo|0*&oLfy88RrcEUQS^A}I;_#; zfvlfFI45eMEbH{<TM4vyP8+^J;cg{+x@9lTIRFP8+|CsZMHnmc>LVa6- z&BK$f-x;>AVJxBkp&8VrdMooNgVG|6 zV(7Tt;)F3e@Kij@xcx(t!NCa! zYSXp(1agHmaX+J#oO3Y8fs@Gn$nrhm{)xy16fnC(w=NqK!d0abE4qg2EUM>#ppFei*?-4;;-EpCeyo}rCdhMoKSJ0lf^wXobC(7QpMWyB!Km0od zFQix(ke%7U#ReS%l7@~2FJsIfL-pYlxTf6>-Yj*4{9(IcVWr&oPu5+e)#F{GIS?v}*;g#*qhXkP;YC|cEt!Cq{J<<-Fh_W^c7 z-ls%^>r~q;-`nt8qO3h)^_rk4=7Uz;2QOk;8<|R-)DT1u``C(DrIf@NA*<@Xnc8Mn zmkO93+&`oiQ<5uRm5VWBWB+U}Sv0CKvKaf#)QEt-AZsH7&8b^R-HqsJJWiUI;>cML zDm<%R*$2vM&*9SvA&%=7DvT8hE9VAC;LC?&YX@m&UfHv=vAmyjTc!Kkt7|wrFyJ2t z15~SjaRF}7C$6}FSFCoWjZRkkk(^8Jw^ycg;Bu`c8iw;Ut{@j*0*T$eZWDa;g!{|J zLCDjU8q|dLMndGBHCL#yT)gWGBA8#_*+%Cr{<6C$o&=uW>N=Sl`zcW*<&8E7A_EV3 z1X|4vN>2;rUMF|cIdAP|f|0dn$ZTA7xQ|c$C%(C^cYGh9>h5{oBift^W0LWOl za&183AR?QNaI2#M>Bk{$DcyqXM0W{nXH4`G!oc~1#cv{MnPRW#b_)IZ=IUH1g_vh< zU%;^-RdNEP~6GaT?k!<3@}6V@&zknt%oL$syLU zV~k!b4@nk)j72h?17AWv>G4}0x`P!V&krSX$(OiGV9n7bQkQ;ObeH9{{`K0x(WSEb zr3=JFh%SQ!brJsV^=qFGyO$~lO*lh`8W{-;oal|F3MfCmA-?l8czydkbdBZzq@EIw zu^P@}bc4&D_5Mg#WPUNP$FeL35ikIb@*rB{P_Akk9h9{fs;U~Yk(AGITU2)r+pfb%6HVl!3t>rJ5Qm-z_yS zvo5tR%b%{oZwj;;)G24n4Rk!{gwN#{5o;HMKm}*bADSp6RRz zuW77!NvW=EGGlN4yLO@jZb=(I86VPAUHMVpTJwf~y`v_@;O|fXKkgEFqbT;R)+aRx z<6LvOexQg!mQI%XoFIJWBI(*zv#t7o4+fy2`o_Vrj%o!=JQvOcFj zl`?6Y{#5;8;pym5rS*1zT?to(wZl*byP*F}ry^k>i)*83J#Npo^zDBwFS;t*QPt`BYXzUZyc;?TyOw zrNN|D-C%&h^-4&Wk(Ij;vE$Dy`W*&XtjH>b-M2o~L9&lM9-y0KmAEBEX)qBLMCJ~`K3f{Yr(7DV!}$I%ij~tf z<|1Jw>)guDvAH$Wxl=G^S8+%tQeBApyJ6E7#qQCiq^hRK^q@!HOylK~`phP!e|xdh z5bFBf^J+w!j;tEw-pyiWDs(O$?@xe(9u<-fN zc2i+mz2}vrm8FlnDaMd#-jr`5W`3uzjObR(O3h!rl|~O~8dV;uXWF#P}Tkl{I=B@x2FhAmvfcH$t<276OL(?9+_HdBmo>~2fkboS%fTqE`4V;z|A3Jq2J~F zaM``4!F|igJ9!@P+3%Fi^w2pEbpEI+r(N?`J|Y>Ts^(!^EI%H?vd@5M!L?GNS?d`< z(j-ILS~yo&V}`vFTxzEZJ|#T`G|(vUX8+7i?oJ=yI^dxUyq_VGp?h1xlH?q4h($-t z#txvXOY#}Z2C>*ZcYt;*KaHi)mNz~&^P~4vMp?6 z-?}m$nsz>muDH=z1I9(#1gM>APr7Y>P}e)pOaIjP8=~~zIbo5H>pWgj zo7qH{mDSYxxjJ9-oBuJ6_QOTaZ)E=0INBM7&|-f5`i-RIYKzut6qf1yrYKrghdX<& z09WmLv_+sapZSw9lgnn{&x3Mte>Lmz-hKF&vu1mj?+Um#X=YJm0HFpKlwS|4*xCy) z@BJhTax^W|6Ak~@T?|!qIbf1(uy%Qq#s6Q=%R~HenkO(7`UfvAOnKtAL}ahmFvnT^ zhL%T>TzYc6wNxi(?Iud|pjQFlaw+ounK@t(dj zu#8qkXdD@_PVBDTGM|j$R!ANpVJ%3AP?@?+Y%?ZGhDEsutTiB?!1Xfur{^L6(Mj&oW|pqROql zU*&kt89v+YVc!ZgwkpS?$`=fd+#mD>1_1Zsc1sR>}^Kv`u)W=50*xx16T`PTW%20-@?iv7AyNHpz>G&GD?-KZ20< z&wCT(RNV7k!^7@gw;M>xjw1u^LwBha$hI)c(96|UO5O@YR3(rIK27h5g|fZj?u!r_!E*RVjz&wRCTF=glUa{)O1q#ZLRG zx3*vE!2|*OKBDZyr>ax&Yux~+k9U4sk^Z60*?-W}lDq)Q4*#&rz@hs|3$J>)^$!Pk zW^5`kKZ&58Pq6$Kn>e{ep?!d^39RE7wJx|U^;x)dzn6m5-Q!Hi2-0GBv*QGvsb`&8 zLoB*f&UF25@aR6t44k^KY;j*b&0QT3u2!z&y>^-}ykhDXXS9K_r?sIc$#9pLRpPt- z=d5Ut#vq79X{q1EKD@xr-G9e*6jHBc1P5wHUIPS7cb@n9*Q!#rXBQ|;@91S8Q_P@p z2G-}E++KG?vhV* z){@#@(DVJpqi0otkKjo@S)0mr)2k!_etXxM`15ZOWh-575VLj%4W_UNADdRSxIuo^ zCt101k5qW(>xn!_l?wVNE2fzJw2P}}4*n$WZdvgw(;HHT0}-*Z!tyS|b0G&ZPr3`2 zT4B=4nam5kC6@^KnG^r-QmB*an?IrrO&2mdq`)Wu_CU=5ykUYzN^vj8xT$c%vek=6&bI0C3fA%U0-bN~eZ{+{C%6W;AZ1}#>?fALM$Nrwm)dN#r zpU}iiueX5}rX0u(wuuz~$Vv|b%AnofR6rve2e>n+ll&Cy)O>aI=<@cos~hbz>zgHb zU?Aeu5>W;!V8ktlg_7j9-|W?JW!oekWUN(A9hbUwiG0;8AFG(Ttr2JVs)I7DwDiaSr>!<%sbt_&~Z-ojDREK z*#oCZorq-@DuSq`r1ekrTA0a~jMjn=unJJJI;*5H1Bf8M@aKtEnldNqx}whi3sf8 zHF1yIlY=Zc-?Q)^m*$(t-@Lc$9DLHS_xW7Ht)ME5=A_Bt^ibN#?+ou;?2KZV4j@4a zdI+Egc9D`^nUdaa1I{UkjOsuCfOj5+z7tj=u}Dra$nE8`4>szxK>u59X?QA zB>pXbzw) z_9|6V?fg=kvi~iT+nX8v9WCur+nhLhvPYt#mu3Q{kyGlEIpB1gi0uX}PF?-Y&HiHz z!Dr#+?inPPq&Rs1L7imCusJ{JHBIggS;+Hr^VlTNeL7OW8AM9@1Lq+pjc%?)XTg|s zM`IzNFHh5vFImx}{B1cd=BdZHv9zv+OO0uq9Hy+p%|P%5Zi0-xuUvZ&9ky1-FC-j8 zP(kfUu<>pLuikD-*@qvF+9~{i;Y-h)^1P7R8w5m7IGX?&A^nd8)Cm1wMcz}nc0eD7 zQ5^546k%pOjUy=^eTKR1?Rv%oqh{yT z@^0gp__EqNa<*3FUt?lFz7F`h(9)}o2J%~>uV4O8#0i^oKe}07cq-!ypUut|D@!KF ztEwl%Uw#a}C<4@UMu#y+KT@p8c*jq(ZS zh5z_b=ED1_BgM&gg>|2T&N!P<&?R@fh^5NO!wU&ad9z?vn?mLhZ2^DtZ1$QJ(y77Q zzfc7!L8Nho;Y^kLQP(W!K^q_He<$|ej~;RLeW<2n6AmD-?37dGx?MB#e_%6pwH9L{`HfQ$wq1*G7>1p8p%O=U8`^e0&Rd?1~m+y?x zP1IeO#RS7_2=(~RgG@Yn#m>Pmw@11Ofpa zoNbCNfOcAVH~YUVdyDym-;sZDV8Yh9+sDYK+=^e3oWMI)qhA&BvQ!w_U$^h9nR{th zv5T&Q{XwZ0g_#g#6?4}{IFh^g{ki7!HPy^k=Am!1yOHHc!ekqO?mMEtE~1Ugp(K+* zG8rkQX9P7;oi1)US8R$HN@puggF545vV|OI8yZRDmQlxRniXdcQO16Z-C=O z_B~Fdd-2p8%aXcJiEp#i^OcJ-r#z zqza~gzqE1Ru%KnYoLJSThlY@_CP_i+Rj#B;Qei7@`ir~BaO zfio%Jx;p|lCR^g2Z|#a=Z7qIAO2rL!@8kJb&Je@hwDIM3;Q>kH*3QHKaecHzyz00F zWV+J2lL-$4klF5xWYB_~e>Qs|D^}b5>^g(-$k9xy9qqIeEs=!hhvk7e{sq}?UL{Kr z(TMg<04lpm6_W>GZ3puF#Bxu|?MkU&V$jqi^gnS={cnAJ>)Zl&c^e`Z6>VU|2fV~R zPbO$MYM$%&@o!mYJ?xi@k#|vd7FMlN{+7GzmmMN08wye~Ya9q9IunN=cw~Fz6J+WU zF%>Y!@bz^CN3DnVg&(>6Ho_^eD~sgHq#&Mk-1(6}8Yy7i*!%iLHKZ| zK-y#!Dng{5LltYx<0~BQ#&N!XiJG?qYms`__d#;@7I90A;i4e z%twG#&fMO}=UxrEgoK$7F1i}Ex(EB5GCOsrM2wS99f#LV-fbzZ9uZPn!03{iuc#4E z*A{^=^@}MU9)=dHvKGBBxL@b4Ppdu^?u|7Y&G&p9(^=6ab|nZ2+5 zm9;(#16uDAb`yoYJUxq6w$`Mji3r)3G`wgO^TcPiatLu2>ggcxT4cp7Sgsnd=RfD9 z<=$4P0T}_K$nkCO&W#7{Fj;j&3PO2TR^@7GXUoqGe>$4Jv@+)Ly`T?7K*>1^Q zexD-^46etx{ImVl%DL>ts4TQHNzrE|2Bt(-D~p4>`Tn_mMRWIbyLA&47KO$m$2+_5 zp3_nd1#Fw?6R#SV;^_*@+L?O4fD1^=MEAchVKc1W5R8f1Vv{;cU(~1NN>IDb3XyMb z=Ii>Qkp}DsQ&I!t_+&7fgIiUzuvG)@Xn8ElanEUX2C|D1)rvEd1!Mgh9!XC;WoePp zkXsGzUAh{@xkH-qhF@1@Y24Lp#U23U#F&}+!LJrv-E^sYWx!>%2Xd<8n#G<7@ry4G z%Us1GrRyQ&^6yHl6!)E-32??b`%xda7+rI)+rG4W*E+$Q`yfMrm~KZ?(i^H5iQP0+ z73rqV{B7|~*A}~|XjyZnx~Bn8$N7H^AWS|&8l%rL-2auGv+ll{o@pa-n3Iy;7199j zUZ_{=sI}^})*6&7s&2ARtEclNPB(wUxhVgk_+Xnd+)v=bS22b(JGL!I$}hILsA?jz zo6?)ea-Rxpe3-FSF?55>EIhFaTuV2??X?*+KclSeSJpJKy1yoZJYWk5uSSFBqo8oktN|4X zbvSqXVwV^J?TK?ikM>X9;f`0c^%)^}=A>|m9Xup>ieogaB()jn?25&3fL?Q#S%gS` z@BHfEi_1?J^IhVk)3TfVmdKR9f^2z{g?o0UQGnxll$6dln;ep`0E}fw3ktPg2kdXq z5eqs%tn3MnX#3?2j^*1sjo*lb$rBG71!O*stO8F1;m!cq&iTv~*XU@in0mhFt9-fj z1Lgedcrq6fTE%aI!XvK%4ta=Bf!#637cF6${-(kgNJonlhWV>w>aNKeb=AF-_g96^ zGbA7Kl+=hEXB0!c(?>tU0`s@ocq-K>ryfSicbJb~hs;$Hnlg8B#-w>Nw_JF{gM6HgAKT5&|mCzeF=Pa`=XZGSncH2&Cno4ivTHCbp{6~nS_oyWEh_<}?-$gC?5!Fiqat-*2!shM^ z4#o0UoduO*1UU5lbg(5dO{#=Ao+@AyLiIeK&oQ{zn;r!V`=Zbkg1Fn}AjQ59zI?N5 zA_uXwf+D`WjbxIs4E7P<;_Sx-r5b~P{rum6td6&`vhg?2j zXz9=#SoA*YRKSYJ1=_XFxS|#`lSZ$iG~VYcSOXNJcId*`gMh&c)8I#`1*|@t(?I1# zi4ONk6`Pft`9e zd@b@^fW!V(G5K^sKDn_(G`m*X1bG3{welyBF_=JS@LI)_no-JVKRpQ zODvlTlFMkvpQ``n;Vw%I?%-koJ5j;j#bE1p-6gN3HoNfxJ!+cPe}r-2fV+fL`SFaE zFXoH{l-x0dRmc}KM#&!4eP(kU-1xhjVoNl^xa#x2dHHqW2t^B0;qcWFflif0TxuMU z;_>Lo={Fn=fyRmP4tWxu*bQiDKnwO#pQ*faOEpVHUypb4W84= zkBSnUbbNJ+xIUNNm1}nP{CumklL54XdxW+iwziO8Zj5MR$_8*2Y47u*wbFC4h;%-D zZB|}*veMOvKuts47+&?;nc`J^$Re|sS)sN~Y0(;6e}a4aY_!8j2&A?MaefLqT)R}M zr|5KyJzrxxQ|rUJ)GjgLRo~ZAdAPlrI+p4dFw5SdfSxg(XEAmY&wlf{aa_R%e+jD07=o%oAtATLk21W|!jEmA z-lvFXomvj$+^1G~CWsXK2@R&{-&8N1(TbFl!K*FK5c8~Kr(5ce_cw{{AF-J4hAnS4 zhc{cpTsAcxgD=aR7`f?>`dQ=puVj$090*V&W(ZnwO3BM4S@2z|e7{A*AqJ2DIQ|c5 zYJIxU3`srw9^-25X4z@t4OL>T&7>7LjN3we$vod3|isfbiQcApynqyXOE6}6f_iOqv2$GfA*{{Bz@*aw-An%dz42!q*U+?M&^BeVwt!XBbKnTu{8i$K#7diHhuR9_r?_a z$p88|(rfAXvNM9+6_r?eh&GN>6SlF-Mw7UGKjy7_#Y$$O-xK@wWr{SeBpEDBSJU;;_p%wsvF~qN*;5(e}<6 zbfvI@Beu$E#QK^l&H1;{Bt{d{?xM;>4A@`e1|T39VLTr6IT!;VcsLX;C6RsyDdmYW z>7J+lrheg+G*)x8@|PoE=+J)-2bAhCVA1a9YLbm1vqE>Cx_~ef48lgYYFj?qADsp*31Bkrl$58y1C2iEvpFWV8~2E4SBahHpG41P-P=4RYN-jWHd2)sBrt>29QLPsH`bk8ySTkkq|yu) z+!VK8H#4yw5VCF#+X?jxynm4RNIQ6|>3r{dY9`p2ub8X1Gqo27%T&)dFl;=LD;9C~ zZgyaO#qLsH1$QrYvEWVZHZ}2!zNVk196T8Mb!ip_W4*{Vh#^6A#*HbDJFZ9i8(E(P z6-PCH%QUi@=)!Tc%(;JWceBY?GGZp2{!Y{1d`$DBm!iYK21l0Mo%?H{c3M_YpUk;j zWV{%N*!*j}eyZb04&`H<0;0ViI+Xlk_vdNxQWr;4xxU6jVYk|@1gOHDe&s-rmN&ut zEGk;54-P>NT&JPzUYY>=x2V#cAXS6c=>WN}AweWm!(xPHjh)PPzMY;72wlr3Dyw26 z8EVTI3YzEt_xuR(|5?UWxNOSL6sarV*b{m$+1I~|98uI_|2=+`b&4D;w4-*^EZf(< z*akTk3}(MO{Ft?cNmH3gD^)Mp&N%cNElEur+oB)XB+EhbZ1N3eDti$i)n{Q<@1+X~ z-_QO_q4AsgYHKpr(EU~$ZkE+UU1DlxnMK86vK&VCM&^!+MATGt!)X31kdTQz&k1jO zeLk=gHJU57;!6T;W#Wu%XnYOoBB}(G@e`Yi|MsIIt^x^h8P8kwEOLhWEibvXkW3Cz*ze-+sNMBcOT_uFu29IQ)RwPF?6Z^!$1E< zA~Zg)_O?>F$DeF8K*~swT3VZu#NTQ1BbT7%7l)G4%C>JtrG(u9w2XA{9w;qRvlm4C z{IdFaKW>OT%7|>)>FJS%$6}yMN9YAYn4L~Jy(TKQR?FFhSjcf9JbKBeQ2m2lb+>(c; z3rAGQgvYV@I*QlKh)_>rAR)+4;_rP%x%%n5^`pLPo36y)-x;|M#)$+Uw7`X*+tP3FaP;u_zAbf&57OOLGHrsI@sfS`5yo5 zV&5?HB1-*;$GZ=eg`q+lRdrVW!q#68tNs26RqB5k_71a2Em;rLg0b_x72nIFJ=Ez& zYVzeDdhTmr-dj1GLnB4Z0%-5cF9NS0{RJQWH-|D{r6gNJNh{1(0mmy6AmsT5Qt>bA zsjkj!=Ige2u6SdQt&+iHf%GeJ5vDN?%!Q)xC}rTgHMp6mNV`hTjrol%J%Y78QG47F zy}=Kj0k?Q6)34$5pf5SVCkZPRZ)9UiypIbhf0SKOVJ3*Vi^Gz69=jfDl_pngcbq#3 zh`RGZ+s$grBu+(}`q``G_V$t@Y-oePqy>iVlqj$GgUu1kTp=LR#e%=>rPtWO;9%my zq>i>%bUEwqWX%h(rI_rX6kfj6EK!qV+uz*~=$<2Y^7l**?Lxd2`jdFuFi6{dFz{;_q19ebU427Luz%n^2LqBafq~Rs&MV>7_wN!}39^kz zhKI|csCwP3A&53u{O;fTtMohvz{?NwyFg^Yv~BtiRQ#7)nAj#KLu90{{cy6@SXAg) z0zQL(X3Sbf-LU=XrhbDjj06ohk@OSLqWr>A6PfR3o6Zsm)KID$UPxfHRa(h>8^&ij zSOoDfbG2ReI_h^-T*Xor`qNpSGu$_2pY#~RF{r|k%hv)i5ZOab`(!1I&%yl<9J(_a z-Tvv8yWYNf2$K+?3p~@$4&WX22zzNX6zJb$-7$9rglQ9T5D}+$cM`z@KjUG$w(Nvq zw9{WPF(}ObwAh{24qY39`j^?6~28)o4Wpn5Kr5ZUd|kmpY`o->rdMNywgw(tq%Sz=PBEAcR&V8}j(VT_jBDv`XS@01E@Ht;W9odLQ!| z4ITwzK1Hu1^<_(UB@UGS^0gt|c~Mf2Hy(M9Lxg(iWNpIM)(3g#>ckM{w-^7u`LoLi zt4~N4GRIUO!*aHdyRIXojTZuX2G~xnHW3v|AY}IW3!!%pAnU~3ak{|(SwTz0ttgJjH`1u|Z2vKMGp@~5`R>JyR|6Q&i( zW(7z$R#7LdWUd}?dy6h2c*_&Bx+`7*5}PAepf43~i&p*~%^3H-OLVx3JM=kjr#%#H z&VDlYZfbICAWePY=t+I`PG`Gh`5!t-jpnzo4{KuG|Eb~mU42z#adb<;WTBFEtJ*@B z?3bzffsM}3s=hc(lVF;{tp1Ir%5Xm@F;YtOl#%|Fanwy~H$eG!x71Cn?a=o|ES#1} z4=c%HNtX_a_ss*Ft9bMiK8m*m2f^Jmr0hf&ByX*Z&yYg4^>Y~>t9zGvan7sXLUWE^ zB%R8&IYh0Uh1;!zEu{d>r`QIc;M79n^imJo%z3q_{q#Qp#NCrop}F;dOp6+HH6YYm z>vwb#7!`DnP9^-q?D_oGK}I2KH5cf`&yI+%f2Xz?<=rv{|6sK9@j#>vwo~(uJk49_ z=QgkZ&_9B4(`BmgM|1z3zU%uoY&t@jw9GA$+ZaF)b#rdFi_qwSG5<}DV<+oWp>Q_n zm_O)5nAmR{+J1H241k)7b+&K-!-$@W(unW7I=lp$?1Qk)aWht1I7Hzk7A zCDd~5wITc>(@7Wy2RnJY<_@41zCH&KvQ4@sFk%m40vhpjXN_K?LFZh85B+_i?bES9A>T_^hKK zTK#f9b{l5{iaLj8G88XU!k3=$OOFtGmyIac%(S)u+Ygqovo8(ak z&ERu{WufVe^dtB_DiZXy4&G}ZJ8Rt9hZRyu#A1n`^JFkB?!%x6xJm}!xP!_x_#+z% z0!sSG7Dl}ZA%BW)znu{gZ=wNftsd2SJaim*?KXOh9%!vVAL)vUe8$A7d`g%8M%Byc zc-s;>UO}gAdqpnj8uh64OSp(snYb%GiGEqS z0c^gm;L=RDgxnI|eO$3LD;g3l+_NY|!BmgTp)*G-ID^~E@D0QWhtgiALaF|7VqN>G z3sODycB*Xon)>mo?f2cQBYPzI?Neoc&o|%BpHl)Wr6h36CS)USd>Sv&s9w2Yr~xyP ze@-TDew|<@SoO&3ZpDYoz!lG(&hCW6Ut#Y^!tXEd=7GT9R?p(xQ6z$Xn}c|)n=0GB zr*V+nPLJ!rcv&?WVlDo_LsSh6UGk((;r+_#ZLz&mhkao*6jU^09V>dp@RyOo@%q^K z(JRqXvXAP%2f?|CYrwaB@5A4P6??{cJpHsb;!b zvk?&^=0W1HbP9U0hnwxXLoCAHRIDkyhI;N6f3jpnE{Qm9JIJjCbvoECT!Nl%Ir}`& zgaDwwzQ)JfurI$FlSqgj>XbF+q8h|PGoa8DLcp)7+RbJw)hkqf3e)oO^M|A03w4aY9)4Tn{JO2r%PjMqB=FPuoKuYL^_Vx0l0(^UsS+(V*1IoMK(yhfVuzbYas#@-B*9>I~kCqS0wx@hXB`z2w zbV4Re=skscrJJD&6QoyZnMGLo{0WbTCT{vJGWvq@EA?M@_VOk8-L;7^a}fQ|30TcmC@ z?{ZBgvy#tsybM|?={3-rELuxMVX7R&ExiZ;==bL%^f|L%5Xu91h)YOdnq9vB3e^0s zk9=@!E5fe}I~=}TeDaZ~swRZv;59&2+K{G5Gn8ban43rg9uzX2tq@A@An+YrKk(gV ztaDe$v%r9lgVrKJ{IE+ak3NG#dFyA;QNoyK?h#de4b+yT{I26xai&>@{KBs?Rp#6w zSHIY25inZ%y8B`xV%^(x;JaJa{MhOR@g=>`h1Z8O>#dpL@>ENH_?Ae+pct6riDn#u z|6NFL*do}vJb~;TSLE^R0OM;ssUDKP1U9|w@VzhzFj8e8;g7!&jz4NEXKGWOi|;-4 zAIAT49tA%6E?*`-caCpYHSP??DN@M|l}}(>e*v5`3}|K8W!)K4{`G?5cnhi#HGJ`y`>IyRw|RecFQf-$`tlo@Ci~~H^G64pp~|aw2A}6voHN+@ zlWYx?6k&ohvUp6J-=m0mQb2DTwQ{BsiL?VMmB|z6ZNK!{QxRt$KR5mnXhQcpw&d=6 zL(j*UR)$M865je33vU)!=Mtw;kB^UcNL;iQ)8~SLqG0PXiTi(;t@2N|-J)7;eF9JH-JX>N_M!QSIgmwR`TTl(G~x4j!1zNBwWqbPWf1C@K;8hS*@ z_%70c<6ACHMUUS9Q$P1?L&@H-olB6dlni7(sn5x-7}Tcq332!i=%e>#mAs3IUXmXVP^(&fGUkXdiY`x9UuH&H7DgaMGbHA7}E0b>1C=U%iSpYf58_y zpR%NXsece!(4EhWPXfNs0cK(}l)rVodRRHfq$PYw&}Tl*kqW+(uWEeDij?(OyvSf% zxD%ETN$cy3`>%+d4e!{xiif_VpndIi-<3UhbggLB|f)sIIXxf zWum;!c6{3JLu^Q>kM4@O4-(aGiz18Scy-`6y$|mplIhK++cvwEQ7N~8Xb%fZeFkui% zm1`~Go;p2?KMJgPU$N=^xH+f~zRa*xp>ttm7()}0xTuD!jZY%$YraIX!|ho#1I!Wm zN>~r;iIP^Quy}n5lkpDize7MXHW#v+NFcT}c|`)MpZuI8HZhY$V-{xpA%-mu45E-sXHnZ$I$QWN*i0+h=T<5kuLjQtZ>0EPU*&`24#haV4gpNaJ~Lq z_4xt(z?9M*#m8)HbGl-@Hl4!sK%Gk4U#hZsO;Tbfos1i-CIYzcHH~YH37x|kD!S?L zdoZG|M0CBDqBu{sr|AS>L2HB-o4!%ShPb5f#$Bm|7({m*Qe(h6h=0Zue_+JnoRa>r zCZws8!DCWU57~BtkNv&kS?>2;*rP`s<6CD!?v~QN__O5EJI}7z&gcj#*){xm(&L5Q zu2o?fCSk$e*krG0K+4YsQn!jq>@CytuM2Z8sI)eIE??NQF;ms`nNmWwD1;ZKI>wW3 zULaB=EDvEVsO5Z+!#twF#vVWDhR6qPw06}Lpj^%wpm?WrFa^;Dou4x+vmagbf&cc+ z`4AMDRR4az)Ku~!e^G1bhF%-q7!>)SoK9)4i!|rcJlFjcL6Fs9ILSh#y4B3u{$k}f zAz!M|8RGAaVx8HLTJC4+G&Op&_*SugZX^}oJ5n_3px7fxRXQ9LFTUP9v`2+05Vd0i zkiBSRGP#;wU9a^gY7ukGe)wed<6wXveezMheB#8)OnTAG&yh9*AOAHr-S&sUIcF|3 zk!|&!)w9gtRxeJ!-tvcZdbMIn zk8`VHLbo)ZkJ9d6LKCnBH2Ld%Xr6($H=~=qjBs)@fn9(2chhq zcUZW2!(R>yXLbjdH$`phuc~YLjjTs`J3SV$Rg!y7L8?rW5K3pCetrivtVakaBc>9}~(&yW8;O z(kXM>TVy!G3l(QgS_MeOJz2?QOpa~F+~MW|?I9|pJBk!cQ=ODNApoqn6{9-9cyHg{ z_B|;${XNPqja;Fu7`xA97~c3REB!XEv1Fp^)Cs0UPzu$niJKEp(TDCOCM&Xb6 zGSL_SMiv$PR_}dOe&E=w!EBnaK}QfVu|My4m{1HNA%o|#!*3DSF*rVK`Kgc@!leWPw6vdSlkq#3)`*0{udP?pE}s|xZ{}(oCZRKKKA#$O>6zB+S1z`)}Ya-5CKf+MWG5e=qcE%T^{iZt4%%}%M=LawwIA!qC(bXql)_3peYK2^iM4q+rVLNsi+4sWmLbV0FPrdI-DaF}RBT<#BRXDp@Wg9tQtmJ@E0!Zy!-4f6fvIK?+t8NE3p)2~A3 z#Me>5YgPvJ|AF{RmWk3!m&r8_sWX<^FrITx@GiNeq~k?n3#eW~zd}zI$~C#1nvP*O z%3Z7+7xo<{x@R<&D33xWEyX-`x|oX3%!<#h7es~P>bNI1!Ge2Jrm}AP%U?#s?uCd} z?u9P&y$nn#_9x1;i`}euef!^Gk(STwDeP>nuIsc-%y}TG1 zY)!*)WUo(+SYE~l1xl8YiAHUOzoHBhHumkLCpV|8q8_(3wd`sriqe>yR9*N2I;Xuq z>`~MLC}Qgu*Qkt2(rUMaV(@U2kD%@N6cSb__v{AF(g)v7>kDm=+!6O;x&4&p-p243 zOf)X8KG5?kit9~Hvj4IL2K@4CRM9XG#4fbG{3tvM4PvDTo^k+;&J3;-L0fRCBQOHzg9|-BF^PbjjK~mM3g_XU{m9XKw3=>mw1au)OzneR0f0}Rcbx+LrNb1JX$0~x9#{FKo?_pK&s z9_zEe4sl|mef|T>l>Qb>75V&eV&GNn{8tM^lLr0D%oXMB&}6-9m(yn`mjc5<)zyj_ zrOR`#1ZhEoFa3zu?MW$mQf;rX<3Rml6^?MJ4BbkJ-y|~ThtWvFQ{2W7J4O;S-_d*W zcPbi8VyztU*8PHJv|dci+>Gp{%MS^*ryfoDIAb2LO?uv_Pf3hf-DlM(*OP4(zq&_e zeMseZU1-_#-PvWqRZ*n3*B-nExos)x^%yLHVMk@zT?=<@N3c-Ffi;dIHL}LsEq6Ck zrxx9Bt7I%56kF#4iq;jBtxlFXLzxw5l{;Q7x;X3nY);+>q4ovRDm=??0d?*?Q8>2E zfUUN+jh{(l@W14F89>=&RvGgQT|2F&Zk|`PL1RWFDL&g@dz5+3b^I`Y#u+dDB;^_h zh}7w-sHP7E*+F;qv@jo=&y@(!RGYQmmbK;Ou#Z};E|-c5x>b(&CGDKf(qVEhw_YwB z)IR=eJ!dv`D;t3d9)xdcM&b3*19Qq#<9UTlV<iln=?EI2}HWMZ%a&0segLW?X&3IUId} z`7yB#kW?{0NGcL!v2T}AD{7!qr7Bu6wd&-<;I?@QQ*YMX>lNC&#bSIRwk(M6;8JWB zNutw0+t504P)0cDn=UP$zMB#PW=UZDlHG0DItgU zw6U1(DKH1+U&yO1?pfQ26y-N5@0CeaGlzkefB&5P%br=yLhvG#Ejz55;=hN5dM{o+ z+zzdfTKc^Y4iMy4>>4Dd)&T09u{=OgZ|Zc}C2_D9Y2bk)KB~9dt_~+c0}Y4JfP=Oi zZ^s!>?r4S3FKIdlM567ypEZyGg+yh+S@5QCtwcPscPCqUCYw&gbE^!@cyo z)5Av(*%uIsEJ4$}K>pVo)&Jgd4JHXc=~@e1>V!~^ZJvOb2q~OzyXk2Tn7B5Ld^<%` z03r~_KH1CsLT+d0r#%Zl`WYULzICJ~9Pt7Sc`rZWyKh5s4yh8_@GoFiq5dZDw5Hsi zf#`JPmz8%}N2{|DD;YvP(9yDIgZ_fVPZ^>e*z<5owa@z%6sLeT5QJE zNzE;NQE{y0Cd$Fa{^&pZ^>0`k;=$0^3n7{A1ZlK0#d;rKO90yo{;ls?(ePf?3;8|D z5&u$L`;yF&rNWiScQz+K1Ox(*h2iu*G9wHD8Y5XHMO(^}9s*=wl&^%L!U*8QZ!_s) z4V%@)f-jNV+O;(Q`zGos3Mlg~J4`K_d!H@3{q@ZZKU=$tzZ^i1&0kUO6?*CDBxst( zALKzLrX%k&OX+*9Eu0tYRL~Ex}a|;utX;1yncf$MIi)IQ9LfAOXkHyO@ z7qLm+9gu(v+*-GG?{B~5b4%uC5`YU3v2ixq#X*zw-qQn11_xgGt=GY|&8drK_bU2O zeXY6Y=Q&+14$gi`@hXU%x%Wd;^G{=Od$S=~1oe8>g zudgVkacnFR(Ur1|ZhNv9jT9s=-&H!yl$KqTj%rw(WtJvh$|6ucBQwsbvP2Mp4(Ghi zB_h4hMl!r3-qY}_6lJ7uEOuydPv^&{(zwU?2)R-A8zPb6gI~x2qKN)CW*=m1g!7~+ zf>rh_gTs(PT|Tj(h?wWkA?r>S*h*{1#6X<#4*ZJeUZbUb4#RW^vKah+^wF`E3o)?j zmcr_Lhq-t=s)zYG%yYR$9g1s96Kd3eC8bAdJQWy6$y6!eTG-UABFrz#7uKpV-4D7CwxPV zXI!?Q6Pl?G;qXineRio>_FnGpBA3{8>qv-Bv#xmu*oRixeRDuN$eo_P4~f-g#`LNhkS5#zdYZ${l9i zpv>wd+XYVj44F~2+uu|2hAyfZh8ZOq7j_vZ$EKknV6B@3y0b~IE4!c7Boaj$Zd21c zn-RN>*A2Jx31wr%g;!y!SA|Q=-^w2UuAeYxCf5T>o@?R81atRavV@i!$Gp7W#sth7 zX>Y4#wtpAOEZ1864}n9q<9kcX@s?qW*9h-{YD+XPAM(E;j^x<8x$7sARtm*UiZjmh zRu_hhe?o$}gGctcZxmP`sK* zFDVDNAkV`G;{&WPgqM*5f>8@!(k8EN+R+xegPKX-A>kIBi&WGV4Lub*fxwN4DYtl! zU)NmdY<@E0y3cKsu;2tIB^kmrD+H4z_3VU)G%~s~E`&339@bCsSx$B~{Aqsv&Q|ew zx-B>BX%<^ZEu%e_f`9snu29#xhm^do*dbGg>_~Frbe1QHr=xN{c(VzixR$NE~if|aF zb>uA;VCtF`SBr(Ma{a=ARrGn^J5mtmx%nFNeZF6UG+Yf@8dld=nL8xo`FNj|rJ1V| zJ?$(nD?qdQRr2-#oPZ9!f3MR};D0rIG<`ys&aPxr>=U*EXjNOM3+4&_MWP|QN;YWj z9~i`Xl)ZOAD%lc(rF?LV3#v=ke8D?sZJKOU$p88CtGa2`%T`= zZ5pn$^k?0W>HoLWRlrhKrgg1)G9uV!WUR-&$YIQ%EbT<$YZq9JUtv6kd&SoO^gl-l z57(A%Oj*pQvTDH_RnG`yt!|98)X5}g0Do+|wg_^F9CT3}D(*A{Sg(fu5>0aZ&N}BX z)fQ2mcylOh-e!9K_}M(B1@~mB8Ijvy3Vjcna4~mS`8WJOBz@BGw{=KU_Teiv{}%h1bYwN_)_@fA z-qi4nU?0npXDH?In;Q0ebmtrMQSH28@H%u;dG#{2mQ~^I>R=-0!Ka3wUmsuqItpV<41@9pn)f{>{W$0e8_2U5ZN0x=B#K8}41BMT; zBT#JeYjQ;91cDR`+osZlUr1X@Uw$8529}qBHd{J1nk20q!MF0aNl{r$1ZK3;STYD+(h! zex`?Ic&W2=9dP7>sCB=88V#vedmz*mqwWi?6`%G0rP&C@YiSSACr3#OJbK-RdPQ*vy`1@1?F+D;d>Gs2Dj(sazBM*n_oq$^m7Xh zK61vSr^phUtakc_H1;f>oC%ot|GO<|E9e|jwjP`&TC@OVVk4k7=&DzP@^;)0M1rFR zRySIPJXty>XChmgci75yw04z^^G~}47hie4+$CYS4hSAe@31?yjCsCryvq|uoOi{Q)^Q%cr-XE)xCfnTCY8)3`UB-~Rg)Ptg(WrIBPOFz5u{=Ju$!^iaFKng0i0_ZO8$X7ie3Y8h*7r$FdvM@LoUOn&?;HcoDBq$L$Q>>A`Cc z`Ad8jknl;1q=L)HFEC0W)a>j3IROu(4E26*KP@B6e>Ax6{854k=V0RzTa}M|xmo~v zwvR-_pKn4#>Lf(nowA4-=AjpG7<}yUV{ohkB=pIOPp|x$vKH1yq#mF#QY3K~UwSs4Wj6FzG<+pF)<*i|b{<8kZ}1 z&5ymW|C~`Luq?2eNB5_Zh6KImEN+NbnEmY^`NemuON7{Z0C8%WEUw)34?BH%Ey$03 z)Ikg6g227g5nftw_6&m)4jj-MDC@GC9Rb-xrG0{)+WIFr7)SD zBKLs%jbUxm@EREm6UVS=jv{`grvlq%B9?ye#P+;?3X?v*j#rj+&c$)qH5*%WocefE z>F!G3H*&l7u!w`%fDF7KS@!%)5>bH@<4ROi?6@K(2k zXv56ADtLBZD(#E*gn?9s!T#kZznO*muq^$B)&A;>U?T`kUkcGmy`wOd2UJ6@a#|qi z2;n|b(gIB2Y^Ku?l^P{XbvvU4tw?Tk4++n)x=CIY$jf+V3v8z(rkXxoXN|jcd ziyD^&`2)#j!*Z?wb@-7(OHM1BPws0XLuwBhs$bbu_tBT|>A}?%Sb3EU zab$1rpn1oeQR|PA6|F}%jFv3?4I#I%M*EQ0q95cIC2f9Rf-}>^PISZhK|z;}7)tco z=>+p)z<$9%DE4BzGw575yxQ9K+;`9YAglhvU>Hy$eCWo=+)#+RWI5z zGT)Hu;qmagz#m~@g*J(-_JdwBh~_=W65FCXLsag&FC`OF^B;<5ZP7>(mA1T1(4^gg zq5W9(r4^cqw)0hVp~ernX{6wYC^+WVSMn+!fjC zENbK?BJ7tLV?UqWeRpZI5)|)k31e-Oy`9`JO%t_gKktND-mpAHn=YUn zCge|hvFB{d`s|Wt_1{S3X-2g$jT+FhWVovG4{ z9~ZJ%hYIW~St>pRRZV+oB*~Pl7AvOSxt&*x2t*On)->_VQh~yv-4A9T&5M~c|6HL? zp1g3&&9a#HAv-(We%C#zKuKrVk*X6=xEX`BybfaWXYoh*g~leckcUU^XEuA4v9E!( zc$u0SpKBX?26A*$J+n)z_KJzgigsYS-LD?I-zU$&^@sJx`l*ZxlSj|I#I7QievV{B z2~eHkuvQ5-^?av=;!vsGjwE1#Ckesd1eusqg`NQyeSFI$v@U|+nJM-hR`$$}iyusG zo+meAfxq6}cFmO=)%KETMN2&uqU#-qI+$&C~7cvZZcTYS|r>( zG)h3^!And0A$P|>c|%w**rSixOz7cb04e3uV&@m(>$&hn>L|Z&k0G3ER1bj&sms2V zkEC{ib|oFkQCi{MnZh8>%jQl`p;7!z7%(g=>MexN$TvgAJcWUFaZ$koA~1{B2M}_M#C|A zNTqNyTDn$W*C^oQdq-rqoa18hmpNB+QSG`h&YJ;mk(IUJ_>IK!>}`%A z995+M$R!lj!Ghd59R6DOda0AI!YOCqGg8vUVkDIP)Z-?tJ-I!C4|o4F7n8Jyj)c)3 z)sW@Sb^MgC%L^KFV8Zga99hEd&L10icAGb{>1F*IlW`J&VxllkjGR{OA~qBz)+9mL>Q z^f)wTv%hb@$cGW9`x{-`J+ojrojjS~*$jWEv+nYZ&T7`j*31MwWL}28TM_ZmbN_Z` z;qZNHJ~gx%LDXHk{5qg}8OI<5+J8B+R1?rPqOul$#vAqxN_qeYaz7xcIK0lg*%>Yvx%fKTY zvtqu;8yUVSp$j8Y05gc^`SpPt(=Xq3JGHGuakRFWfs^c)%Kv9LB~rK<&aCDgW%JNa zf%y`Xtce4W`hfvPJVyksYuUs){+6SV35;FqVaq3~i>CmD$8ou80rzHDMSKS00Alek z9dfg}7Xx)6aj8@xo8^>y#7x|r#JEnv7jk+ePP&X$ul#UmyZ*8V#l5Ll+uHh^7RV?} zybuA##yY3fItr{VQI6}R`q~upIZC$w&2Bj2>8wDH8&K3^c}#(q=e*W5N;W?&!DWR7 zDeNu3;Axf;y)N39pjk>sR9r~V(1_?K9!viyvPRjkZySY{E!paWqm$hPS~{I(qF3Su zDzO;sjaOo@0gLjliEQNv@#BsRJZ>j>NNLTpf@--eN7vfVz`{}ZyC$YM!{!d5A&w3z z7G)vWShK6EZJr0aCkS4Re{$3wc2c^X1{Lw*gp0UbCd=~8)c+4zXBpI1+^zcp1xnGD z77J2JvEooXgyL-}?(PMOJ3&H$;@aXxf);moZE%7+1a}P%0dC%V?>YC(+?hv?{UAcdnUCq=#SK4!UxX)<#4m7wf-T@_9*tA7;UxRV~^eH_IKo7r!kAqrEX zZvEg|)_t2j|6dH`SU)@L5~~H_nh~cQ=Z6^x&1FmEd!^TGy%pz|$ogWVB*=V1qdXwS zPJKVDRD-irzlRy7nCv|YxigRaF<0WB!oa6iO@8ZK!WY2GD3izbSc_>B)4qn3Ph0o6oz4{T0z zF~c0??|d7>_{c{Lg#uUEx8jsQVR=1Ce97ojpI-vW)o0#!QvdgbiVFldY*eZEpE86% zJ#*a3Wo)-VG&JGPp9W?7pkxo9xrV|0H8S+WsxTCFnVX=IQ>O|LQ2nkpSZqDPq7-_py#6Y0y2`n5hEx-0Q{a2a?jXEyBGqN8#R-rHJTFkC^&DN(O=b5T z2qeCHR4AU(TsWVZwA*vPc=)u}%z$i}aM}Ir=3`g%N6_|l-u*omjF^oSBIe*`Kg#`` zxy9bv$Nn3_=mTWj@cMHrEpJBGjBP;vm((qOMqVCkEd(o1)A!+d3>KVpSH)X) zz8Kj;rqg1x8K-cv`XX=f5trY$?(h61SW4{Mu}ZlH`P9u4>dSxOfbVw=GY+mrDn?8A`YGkvzxvY;n#jcC&jUwOU!#l^G~%vO3%YxV_5^dFgZKnR!kO#9C^(|W~e`>jgOlewi-c| z%P7T*VhD(-)8~Hm@7=5P4k3ZTxhIx6Dc!e2IVnyhZ1hfxhHOd#Ho`0xD8$runUz$+ zRo61JfH$CRVzhPD<=LQjFEe1t_>6%|Yz=T)X7ywQNu+xV*hl0d@)pZs3RJ}!t$)88 z*4F(w8DS{zY%Df6Bl;3QIQ3O=h7X+l4OyD7%B~%8fJutp#q_^4R}za0kjl^?T=M$C{+2H0)66zBi7>3y2oU|B<-B^hV&JR#rM*b%5Wf=uHFnr{+dt|jmW#|3YTA^kx_X_pON+}ncnedf^)dX@{kO;-J`ZLqsOs(C9kM931- z#B&vZ8wT{38NK?+a#{w@1H zWmTlB@TXYunCbg@(yLnP1xc~LZd$Msf?l<)iJ{^YqrlRk`V_sKTz@RmmW1Z2gK97; z77s}1FC|KJcQSt%&VGub-Nb(t5KBoN>MVr!H>YEFfPswoAIUT}wYkB*`_7`d*8eD# z$3fQ(hios_nEdj(HV2dLw|pb(gnB}*Gjh4a(?M>k?_(ztjMsPP&vRkY0NcD`%od=SzeNdTXXzHpETvZbmrDUYW`*NXb*m=au-b-+Vw-aTL}ismf0ooolX$$Cs?|F2J6dHMgw! zy^_JMR2oxO@&QaZlHpmN0b*!=%g1sN zd?|JE8q_TsdVEQp=OjphcT5*vHg%@m++J2j>TR|Ea5&x{al7!BJ^fGHC+6Pa$^O%7 zSLbp4^fcQZ%eSYtYiTUBP<*YujXDDnJWeAa2OY|Ek-WI(s%*rk?(HM7u`{tdSLZoh zZ(3wB&8BcC=*DjVn66(d!F)xBUeWc1Ake`?{gr&K&htJIXIY9zOXYF-O8Xmgd@9R5 z+jn!-BH)bzMf=5~xauVBw&{$qiENamE&vQUPgbPmyPa)=>z1gkTkdtuT8jueCAupZ zl{rOR7SJh+$^{m@4Yoh=9b##AaWBH^Go5hT_~$&j1s;|D0M zRx>|RiM&@~CdFym-m}^?UGWl%q5j~!-u%B$MD zntyX2Txw;}yjxH)S7BgnW4a2QKj~t6El^j~E-Jsh!K!iOXp!cE!wf$=rv7NMA3T`N zo2aV~lb~RW(3H7=_hUJPyl~s7x7OU|r@IjnwJ_U41ywgW9@SjZh!ysy#uQ)u&e?0+ z35D4L|1O0n?w}}BBhrNP)C9;+Z@EWCC}@OotPRD9Nnc2|=%yKUGHLrW<2OQh?iGmeQ!$~S#jgY_F9gx8ZUdg@&rZx>Vv6v0 zIFUn*je}9sn6zSU*fB2&8SsQ?Jujy$bI#PaFjmYWrxwW)LgiNQVGk6)g~WgLpLBb= zaFj5$L66_^rQr5x6FRIonRd{#c=sJi14?p^H1pSjrxccL*3MFTR$3?*=)3lQywD^P zVND~oq=AQ<$(o#X_EjJVS^J?PV^_0*rQk|v6sfBPH<5)=S{t_as$Iv>DB^?)_gQ4>cfX>)!l_$3lR;_^037z*?YeP* zo-|R&E<>aWx1_0|+(Oyw*#$W|+z`qPG7x2Z^27=Cq9Q>mv4iH`j(UQPz0ZB^KMosp zmKcZ&!r_DU;yb~zKkeRa8D58lY{Bs-TrKkc9W%4W{eB@_C;Y~&J;&2zFqt2#2<>3>gD)0P1t@2|)@5B~d!c(dC^MoHE#;g~VhIqg#^Osc4n<`r@<> z-RJr$-q_q=-^ktIE5HthA~zVSo-aO?gHdEe@&NPf`-W(kEISs>rcMeX?nkw8<6&acf0XN!W zN+}1xc9R@VYEXf1{>b_16WJY`_~|0i-@c z4u>Fz!(~3Zuy5?MS@dl1qO+!UaC5VEa|oAsA-Ebe?f-fDXb!d>mFgA_CU(JrkzLpJ zR`AuhMVpACjH$V|G#9i@hBh}O3UKY#1#f=}1hxl9SrW=~Wr*jH!ea886?gIyaXrGbb489UV(LrJ?F!w)9+jPcOju`DXEIPAeLBA@l75u3F zC%r_&O`ZQ_^>WbY{3dAG@U~7dJ;vPBv;nniA^1UB>6w}9bh$AVPNp!H=TF0*gPjyH zv2sE_mczd&Vw+0>16=lOfmLp#Hoe4G5Q^SNOq-V*g#e-exeW*Hs3DwUr|&eFLc6o4 zvcpzUfhMf805g6;$?cxKP0^(0<GU`Y(XuHPUXbx)y?orMu5$hUaC zK3god=hWN`kHK&c1UdAiQ^K$3IOl&W6x{hPxZ-KWODqK#q4wZx7v59LQ3`)mu8}rh z>YtV0H;p61KvW~_0b^G77@)>7LOT-zfD;3gjc1z8yJcXs$!09J)cGMTBKr2)JqHtf zej(acCV_?1XfhQYCpu}O3hb?Z7}`>^5_c^X4v$(o06({*Z_T@xIX2oE#&+0CUJbI< z_1Rs_`&9Q|jqU*LUX_^T#VriYguErK)pOFJiM%au&c$1R+w`tZh>#z5zpZx}{NLMS zpog&ELksBseDKf_2(m|axIzD4him(eTAFnBYp?R}Koe%l0-45uD$+GXkSQm zkQ5#6)U_3SV@Q$G7Z4HZv78_;_oJpYM)@Z(5v87Pt>bpEQ+5AkkLbpcCA90jUUVC{ zs`h!WURV*n#g&~sL$3PE2Rjm3{0VZ$u07h9@tSvyPpe7@WtbZp{)+AI+kX+opTd%_ z+Z|U~xI#T?dqc0Womq>~321&J+y3al@XwE6=``hINxV}Ru;FaRW^LgZq3q`Sd*L0DuW0_t!1pl3gVnDMfVz?tTqK#|Z~#5B+rAo4EOm}JvYFJe%RknPv9LVX zKlV7kphG1g7aV2)uZL2#f=YeqAt}zUF9yG}eiw0$!m<4we4G?~kSM|>l-(;zFms5w zagx+!6Py&T5kC=4g~HhO+&KcEJ&i@}k>O-fNLSkJE(Z|l-DZTcDIy41mK`uaUSF!d zTh-Jo`$tz{wj_g%t6(dW=XOg8Qi?faL|)sPx%qnjvq7OK_~)%>>H{F--Sl>m<)t`! z-2fQBwLEW)y*JEse6h);E{z@jN@KM{5G3XMGVC(A>GUSZlXhl!x%=(S;nnYdu1kUn zoQqJoyi|0H{_CQ~3;sR{;E5|`Us3pv=}l?^u-A+|)$}Ne5N@Wl#DP@Vf}QJp}j!LZB)d{tc-og^lZdiw6Tp0+2XHM-g@ioo)wWi<<+ zzM^*-P0p9rit!~@q7QfZUVqa(Q;3MSdo!Uw^hb3cYsL%aJ}L94K^E^LWM4)ILJ}fu zF{!ah`HRzdxHc3a)#?J1W7_sK-s(1^+Igu%4%StVTD7_b>OtWT zqO&V*gZMJqdMCI0IW^eG{pjW+wPE`8NtY??UcwVB>V8F=Lo7bAw$(mC$O)g=6xt(Z z;Hodh$53+EuJXm#qw&bAsV$GgLu0;p{^d2z^^k+V2U?3Y5$e;&kSlj7YQ!$qzPVBB zSHGQ0cz=NyBc~7#vR#%~NS61xzZ2J}U3Mt$MOJ^eaY?(|b61{wp5P1meI=6W$7?8Q z5COZFf5>7-eqe72XPz?~f-q;)r&nxV-w-3=;GCTkR*4*5h93I*sTe(Q|D$A`2OSbm43ri!(= z+zw_{44U0Fyu7@Aw>_WCX&!HOSM~DPKTBFxE@!1HoY{-mtKF#>N2L5c2l*x}=jDty zyH#F`J%W6HKb%f>7o@6iPc12I8+e}HWIgFu@MqDPj&d_{Q=+ZpTo7$;`Dm$JhiBW5B< zCasgukXy;m=&c(cvZ}vk$B6#gw+t;G8(&w|lvwk8?KGjl2`bUzvF+SpysJ})G_fl7 z-EP6#h8#p;W0BZD>9me5w^LDXWsY`01zUU$NmW}osJ6X0}4*(w{$qC$c zLox{n+E)OIFB>a=I(-5J-uD%T2nu1fIzNX7jIBXG(;XAZu z>dkBW26VBmhrmz7Q1C`f#QN1pf(B`n_)3yW3Xa7^v%pocUCbrbY-tS6hvUq1a=`mm zS%bWpB0ArY?+aJD7ul9v9~y3jp{&+lj*WSnJRI_fcgoNGvErBOW5z|@HNA5Fs?5tO z#4q=oe}Fe9vn$np0Ops>Ct9Wpi9aGhsde0xW>xJw|ZQJD_J}=a@jstRKFTiENQ=MzId*80B zKDKJ6@s|h}oui%LqNOc+{2YpJnzTdtTAv)^O5Zv%v z@v9cEnHp4yuUM3p7#0__-g2s>gq#CC zy}Wmky=msARL$G0dw;77Smg)i-yfDdTHyi-e2@_NHyJ9p#d=p|EQlm?IMrxkD ztbwM1<_ypNRL+9D%AearIqza-Uy;~Ki^*P?j(0a|)H2G>*0qX9Qcq-F$lO-N-b$)K z$qIL!f>uS`vtOwCbllQd{NfOymF|6^neM)OD-}oEB%as&y>>4vddaIu>Dcum&ldLX z!k^+S(h`Y>CYs&zdv-kfi%0#@5!X&H9XemVpWGK4bopIt)-+b*np8-kVf=LMnEUv{ zG1oEAY`Ffa!FGDR2VKe#n30!~{a@%4F&vK%PiTAU_o|@bahUe3vX!*V<9$ZvZ?q#8 zF+Ikdo?2{x`S+{$AretlrFHK!!n;Y;v3J%oZxmAsoWk`YtW ztcIj(mf!56l@k%MrkaGHqU7rQz86;cm7C%ncT6XD*S@4r#nNpE@9Op)8v-ss;jd*J z3Wy4b>Zxs8^|SZh*s{2+mg*P{S^&@#hbg58pknUC$3Ar~^koRIW3@!Bn>nf+mwMSp zN+8S%Frc)Qm!VJ>Qs@ni;?td(Wi?w{#mZBwi(Qe*;&oOt?CxZe>0_PaWO<`e#qnRv zF6?R0fNJ||iF8{6Gu!T2^Oj$9vm=X~PHBuduxB`pLyV})12iLS#NOkU!mazyEFPxG zR$|H*K+0bu_uITL;#Oy2blYPy8P|WP2yHe-#`R)sO6l?+g?QI~h@Wu!FhP_yY*5Ra z!Ff0PTQf0#9;Kt2@(PULpaH7|h1UJlw5Qdv*nQw-?`80Yq+&{siz7)2&Nq6!yQ_!| zQRtF_EI%Km6ma8N6`)a}g{(9O_|hrW)3ELZS}Pp7i1Z=QV_n#+y;t-xXW~sj#aa&? z(f|%bwW%B%D*M^yu@W@}fp6)ME+ushhXh*t^L+E56}yW+wY@YImw#$?cKy5-kb*5% z)~B6{;+)XJ{S=Cb}JC1ou`eo-DmQ&vStQYrZ1caY#${*k}%7|@3(a5A1iMn3b z+7t7?ek`;;@T#>YrYYYtb;lnDvkLq>Hceji9c|v58y$FKe?if$Rw71IGL+^*zV*6r zm;r(VVqE+Dc8m@~ZiUoyv}e3aYkphX_|+|d;Mu)G^RD=K*PTFcvGJJ(h5c^mu{Ehv zPllM$!WvcH+~QsB=e^pK&~ZUDutGbP=WkkL@BDq6mq68Rs};D8dwHT9YpqfS!JCv> znng#UHyN~TEPBSc7duO|x9({V^%smJgoU1#3u=pGmU~f&|8YIa>z(l0sgG*jPpLWj zKGr`St{Kwi>s6>_wC>%Z2J$`+-TJ0=`ep=LRkjA53iK?Um)`YB({1+B0a42ORkrlb ziSlyjroL^C^%hchO2JRWx%ZdWp zvLVyY+el^{iEmJM673!(it*b*U7aQzo6Kd!o!<%Y+DDt_=cCp!()PL>2;NF{e0;$y zG6L8eS^jascCWD1DSVCFu0!suR{#KxYo5%5#snpL|D_`Um6z@Yq#J%6*juXcCU!Vn zP9;+M*O-+M^Pz?_1{=0*cu6ZI{<(O=ryFDOeM1-?QAof9?)g6osYpsB1|k%W&NV0e zwszgwTQP-O5=>{z((d27C?w*tK6C&i#R7JZzRqZ#Fu5Jsq8aknKI>vm`~I`ybX3;Q zkCyT})E<09W^gsJua3<4?bR}|P9+4+^n&k{;u?94(#U!unAR*uj!B%>u8!VIec9q~ z3AqG&4;&WFw-tGvA7n|i;uacld6)mv`+ST0tKZ}D>zwG}l2+?-TBe~3-;~WgVzT=r zXCJ3KI?r;_Zpit90{Ok>aR9{bEHH!meQxoW)1c{kP9D+b%kQ)%-JXsdq(P=OWdnlA`$cIqw$M>p zI&*Djk)E&F=FUU%Rl_+S`_5I@C^5k#dwGI?J+pVWS6Gf(#zsO5GEE7j(<_DsL_E;!+w@Is$7ae2G_XM1eILwNDGm zHl)OM*12U_;_6fc2YwQ3oxUsMv!;;G;-D9^?h}mE1DQ3=?Jy1IOU^xiukj$8P~aFh z5MP}8C2uwTK0_NSZL06xy5>yj*E8Jj0&~SwN|R&cP<+8wZ%dhQZ^-l7FT23r!LOMr z>N|QazTF(~G}WHPse&@>H*VF>?HsL`Xo2j8r9=gK0mDPz_WVb&w*F9$sz$cr2X znBY3~qAOQGi@1`^2w{gN(d``fc5DEa!Ls18Xr!?4*DY=eARIO)B8v`2A@eNxF@WmZ zcpMhoP5e^7xAne~Kn8^URZ{k27%x4u#o#Y(MeLNIgO7FoJLHp_ z(VyIN4f08^E~X`;;yn9e^?#K$lDof~@EVCSe$;Bh2NK>>$&#z*W&b;0hdvs*;l#Kw z3<<>5?fH8hy50@fi)se2t;lbx=207U{Cd2;anQiRlI%Xu^3F}Vl)o4FGK?lJAV7#A z9u}U`nUuO90VDy45AM&LWgn(Z(IyJzAhc6TBR}nb6s{P3WcGWO(dmG+%y@wu`P`WB z8rk-o;r03}fQfJPwwq(`jbNAfu;QGx$_0gz2c96BC zp~+&* ziQz(yW+TJ?Z=PqfjPsVmA_PM|d17B-i4s{v#^STsuH1;6u8=e$VcCMz)dsBZG~b|; zm~TW}Jky|#)tqO3S2!c9BkN3pUo#w&`#Hu-0A)dl|6y!xr~7}#)(CPg&2$kr_5Q6I z@Y$A|CC%nQg8aDFkAe92g{IhNh3co-w`HYlHiWdr8R#8uZK>3;cV+E+glqMEh8AN4 z*$6+nbH!61C}>dm=lmXd|90Tf6SA~;`Hdg2@YUwB#7XMuE1}~9h-I$pz#k6HSeLv{ zdNElQp=-?bL5``6I0RQjEi(z2D!`3PoL90c0_Jvo!uT-D#RrwY)|7%JC%$y?NagRf zKpoO->Er_a&mEtMgXuS-Drs>3l(4Dr4%tQZDyR_?db11wHkDuT&OwVZdL2-=^_P!Z z&tq5XWG`L(mL6wR7DDNcx3iN6|NOqN&7Po(e`pNqPT&h0q)Ol~I1S}z8;D{tf#fU+ zE?P6&sC&$Y_&;rt{uOu4f7bBZa?Nn@Mt<8MW!zz3TKEG6*ErcAv{m%7vYU{G1=-|; zb1${1Ej)Wxf9s?e?96!Q_cT%)l}J*IYiUNYVm#C}oWatnIz#?|3@ER}qxfhJP*sI8 zM_FNAnApxHuM8bb3)DA_SFx2=F)QBmR3E41k3k67cl};j&EcEJ&|sTG%;-q|soC@A zJyPNxyr_cycxgAHSNsg>a~lR|$o?8hDitYOAdXX!d(qT`f{_H@D=+d6eqeW|l=!7|!)upu=I^%@H%nHBu^ChxA{==MtB@d(&Zw&m7Tc@xz}r&}nK zXGQ$8O>!4N+m-)uGGRNCbeTWYPy9y>iGn`bn^zV{>Lz4tlQA6n?1#gD^v0$m=y&oqzTo(AI^NQ*0X* z)eNA=qibl6*2|l-z3Ah6=_#+4tRs1)!tRn*FP7R6`?k&f_psv|WRY#y%lB$6+CLTY zXIW}^t7M;t5e28e0l91 zy|`hZ_#roPll9APpDjU0H)Z%B#<)Kg&-5F$PWcT6r<-U)ESzMaL|Eq&+2}rwif64M zKa41tOsN&ADlo9VT+08-7sjFpqyK^MOv9&Lwe{CaNj%iwI_0757dTMrVOY~k6%LWn zbO4bo8HWOK9IRph(jSe>Pcd~q-+jj7dJ~Dg_{1NzN(1hlrLCayS#|&1mCSE;p3aE~ zBVg`u2d#rTgkE8h+D|;kF#9MdZ8GxlzOz|dV8U-F)KQ+rzxBId?$csxODndEs$2gl zis`3;G8KQs9FiVSUb534qY1n9u5-b7Ve1UWf&cuqPJXoEiZ|XI?9AmvZ=QsrI&8OI zozq#a2vM3F2B>h|tqa5Rg_$=JIjGaCjFd#flmHaskYe(S%C>ylNVgREOs#ITH93|i zh+Zk%rKjhInM@j~vGTsl(=Fd=$d=or&E?-Yry&+|n!)kZh~-IN-($69DsVb(9w6yb z!1O?ux|{e~+R$I=gzt#U59YvQBxbL;HuOSnH+TZuPwi7)o646>JBWT;L4QtmALYhJ z&Z^!`%`O)Z{l*Jkf;m%OR=q9yoNK}qNk|{{h5+&zxc4m9vJVc`x$%(`cv~$AGQ_>( z=-JkZ-3@!?h_mLm_Pgwv_IGJet_+cG+V6i61=`E|8jX?`OIp=Ix=M>{MA|llH2KHN zzU^NU-mfO(xqqvlduGdSSnwm9oFjLk?cP^x-o8R)SiHnOBDzpFBvOx+xcJ*t>HNAE z`aAeE1Ggo|;Dq<&PaQAxv`$xNj#q3eCC(R~cuYpTJVx%{yE3+I603$v2Y+1=yg2=k zHPpbKVUSQ4KTH!|+;H0D*#Te)`*Y!LP(+Zb${Vv|acIxGWp=;yuMXrvZy45v zt&whNfgjwC65SC-5}HNAmB~R;ch`zkp2pz7JRdHNwt(=2%G&H!@_&T# z@(Uho3Hh`q>DQpdL%(hvuE+LGe_v#Jz`n zQm+z9Z948_cV_Wbnr*Qy8sgx162xKp_N9?IIWJb@(LVPEhw~qP!m6(EL~B*p3|9%D zL#8dqMF^df*UQ5Fwj{=}uFc7>CZkK6Bu8kP3Kfk(Gh+6uyiqvgVeohRwa7mwPFz}g ztz)!ONAwRK{Xzwu&SzA`18<{j3NZn=VhxBKKNx#6Ih<4 z6*EYjnO)K8;*+E3Xb+*~2A2?Jhk?waOK}@VWiR1|#bF|+#?3$Ce^o0i2il50G+pLq{sB*ywz47Q**fG0@M9ODW8iNJ$ zKV-ZvvZ-piuxlzkv|HP9y|gP^Q|nL-1t}%x=m%x_2k^P-0=|A37ymiSXK`FJt{}L7^gU|IyyyAM1f}Ud91=`#w@ov(o^CWjBmNNdg^bPg z+P1-9e#4Fv%(y$K0KrgPZYjf^Y;ZCw)xEf?XY@-!b0QN79-q@6#r?Ne%KV!7so-)0 z%ub8ixVnE2M~S@zIU?Bd>^?hOBHbFAlM$Y|_!dr%KBnJH%&7 zEL>T5vyP5}9xFp_5U+@P*cx%=w%B;WSWDEudY}C~*&9LY!bqa@gt2;~_(ac1^5>6N zbR-E2lWVGm-Vum$KXqESOm;tew@@hY-mFR>mEO|Cd@+KJbkckE#RVE7>MO@S)=}5W zUw}?S`#z=`czdY=lkbi{?M+HJMapa12jkQpXG#!K>D@0BAWkaxT30H(N~>yeG`|~@ z4>m)>anR9Y5`-L&veTDMgbAmnzBZ|O-genIT%v`MOR9c)XgT`(3tg`-zKD!`|MXiK zYoh|oO@KI-U)91mhZl~YZhefWAw+P=`+bhgLg?eU+MDt1>Gmuo zR)&*UAJQ|W+@7i((Sht%5afW*^1%&2Nw>$Eqa#Y_msww zx$QUW{iC;qRNppM?HH$%*?b8oz$5a((ylm7TZ1Fd0ngN~_j^wuD|X$1^;_ByJW zj)g)%Kw{dOU)U60uO0M(^ZMVl`^3OzKEo2~*-1krvBDJQRd_pqAY0DLu^UO+EA{Bk z-kuB6`^%Cvv~ITOKe}1OQ#y@CW0sI#)I=`Y!%RX@&(9E#n`Q|2FTQutaGTBkhHpN6 zBXczuQ5t|p7OBcg_#F&~FSW~aWnGNk-jlA=(2*vlgF?G807GaHr)=}0mdQx%f^%xh z&)Bc^b{zTvwW-DbtTAXvmeyac@2R2yV4&ADbH7cZpJ0jY?r(SKh*&`TF=?53vD@RnCz#(ZqIk4% z_I+z?C?8zkslGJ_BtCN_DAS9vKRj-R7eix+#+5&GRttp0&}a5V_zYaaMp_GE`fx8I~6jvX#L^SIDI(U*kAKMYABTO)M-KMZI8mkmmrx4vO@R6c}ONP z{&O<+0@b`Pg|55fpKpJwg`vk#EXQu|H5)P??k%s1wlc>m={L!i^qTVqw3^2JxO59X zI)6neDYNF7%F28xR-aRSf0B;b^zaLP@^P~e%+;@VNM}R16#JJ?DSwhtsr**c9yrbz z9?o)CnLj|QI(^EH(35E#eSJHNU;0`&(JV^Vm@dIL>o+T74nif-oaWjz_h;B!I$65| z0ORuCAO5%lQH#9() z&{jVxrkuQ%qJ33R{#yCt<`DdK0FUzL56gu#7sNPH#U65kS)KLs4wLQ~ys$=z1Yk5& z?8uuqGE92A;(}{Pv;xKpFC{6JKJIq+9?bRGZ@LPXHq12q({8b z(n>WN{IwA^?vRkEfx16&@8BDG{qnU&mbBD>WtmpiBYW=egfB&3D|z3mWv6`sz!Ew2 zWb@<`Ypz-vzL0ahXuaATn0H|?w{`L!aqtf(;{z+J=i!s9>`E%H?!+M^bwM{!p&nxR zptcUKL!~~A7y2kA98{{T%$edho3GeOYKL!LUK5r_T_4#6mDlhe$$OGL zz*!$faKX{dX*{IoJ4Zgc;H`Ny)QUkz7Q2d zJo*aOfGyf7r8(-K$|Mv-fNiUn&*H$E}Vn5 zWd%%OIk#R{@rBYM;x$KE*S{}Cj$z~Pza?w^KG%xk$cZHfwv!QmiaYcDoZF@8Zn*C2 z|MtBqV|&?SLT$Z-iWq9X`%eRp2v%A>IAc&*eESJ;Y|^^&5 ztQ-+@4qm!$VZk5VKu2bm6=Gf-u%&ZMYHn=8>f*13(guF2n-B&}g4>QH<6OmzMW)cz zk0U=`2;Uehca)CzSys?f2sHf?;T<*yT;RrVzc*_1&YQsiVz$So#A6YGQ%mkCe0bYu z-OQ7i?29qXx1leL*{)UtB1J{8%jO|pYcDithiZ<14>|_vGl((MDEwgt6+znI>)1fZL2=tJ{X3Ds>3w~-IC+a(@qLZtw{1k>Jj0K zQi!9WB0`u@4n3YzE}i+#>Swo) z;C9I6Y>|q5OjbI{5DCm9G0WDUnDxi@B~)T1eqdA$pttb%eqL~Wc&V5=#G*!>@@nw! z8}C@GzQ8x$FD+Ex-qR#fz2*^?&>g4Oie2rY=X4%{0_bD<0ulYt?LOH7CQub857ahI za80!R7bnTh&)YY>VoK)vk$D?ZRD<>LQ}qR_%*a-s#_%RV3e|b9(ybrF=f~oxyl?{t z7ow!cpt5)y=!)?RZ=$wkpR<_@D_tYE4DXNW2_5Df3( zdEpq*G+Ku)CSgQCsHL~Tc|qYxs=t2M{k0_g3=T7k5Q&|s z^&~+uD=a?PzAa6%b`4BOof%-)`vms$Oh;-A7So+S_y@C7@9kr69qn+Pv{?ecb9cku z(F9wqSKyj{BI zD9Y#%ZSg?sp^)T3p02uaI@6M-o}G@Yyl~t?$B8=QQ|Zd_oFdQ zs6VIRcQv|!Z$|G<>+CRFz7egs$N`8q;1peRFBQW{XDahYpsLW%zOF9SsSJyRZfK{I zuo;La5iDmVs{O@pg4s|uIfvWO#nd{RezW^V{ajQ=qsWytfz=e5+0c5|^7#2Sgv2}V zKbB72HdTvz)LgKDVN&s$8!eX~`=V zW8V2KB6QHDW_K`Qt+T~X8>8tC9X(!D#Lot$|Bg8Ly$U6HI}uf!ka{YB>IM5vDtX_3 zm^Zh?nj-UKzcxY*MjYXV6NZz7!ZAKXfCO}AE4EfBxFo4Zk!gg_1a4022G`;V4TyN> zKCTF#&Y>(AD39gZZn{h-$Tl|SY^C{17ity5)881i_~=+FrA^Lph`PEzJWo#tDp@iQ zPt6scxw+2=!1D`vUif8?9ps7JonErEPvmpJ(?vurQ$|L#bE^RY`v<3_V`rt8KK3Kq zmaguWi6fl)lv5^)?1L`Rn~m?nU9Jnz{?+noa;}|&Mi&w9%aVHs#0?b5;t`#ol(8?k zdr-NqqO;&`z{&=JjAZy#nI&;@Y5&bpBeyuJvAn{w^WAu15eFd9 z(&yHEK;NM5F=kl1=(W82?l7^q$}xv3vw)W1CoIkMnOqs2d48J&&fo59?CJT3)g_1M zeBcFB)LfgM_mBUSF^x;n)t;WQwfnee1Od$(8JC?ah4i)?tgAPb+kXkm@i%Vvbr7iba0`PC>> zNvm`w=pOg2p&AIfLFwrVyR}M+T4nTuK5m~at|=J3vs+|MZ_HiaR@&M8SH3%vA+A0K zlkq(xg&XwY3Ur@@4%6p~BPx4Zvz%70e1Z@8wp{`}nB8&`;J<6)|r)|05wQsjVt8`)f>kDFZRd|C9^`~%eZr4lY ztCw_`fJW+*Dv6QcYlGHfm#048;KS@BohFM_qTFmLDFI9{G77zKl<&WDVvvs(fy588|5fqHotiXgs zh4xEUkWfaH&^TzzXGAF2`**G|Aqg90QPSXg_+GoIZ~QhU8@_PFVh;O!!u=w$a+^Gw z+KIZA+bpZ@t5Mz+`JvV^f6_S;8K(=pGfPi46>1(mac>^RW0~Q;JjjQ7&XE&QPv#fT z>}U5cmriI}o2R*74@~IR7^klGnfA`ft3}03U*ZSXuiy(+*&KN(=(wp%5gIj7PP;F% zxEbB07#czJ2e<5^$8{H#m`2^#+3Z3bYOo(lcn&ZuQ$)FAFl=H^O&(rw?y1|qj z<3JZTYKxX(_Y{4V^he&e7OAV}g=$*c#0ad;tN%<-ws7M4`jLGqME}{ak`uz+;X3(v z#nH_YyKHp#3iwG!V=Ad3`Wey-mV1llgMkm2a!T{SaAfs@)hif=3kF?1k5aPMn9-0z zm-Ya}9%!yu@1&;=f105z=t$PG#BUZPi^ivBM8p(7c6I*|Hp?O6qutWE7jT#mM$g%? zTSACI+nJzCao_W-;izOf5xQ)Y3vw+jie}3=pBX+=sp8^x(%L8@U{?!T0Dtvs_S7l9 zDpgzZ09DkYW>V9Z*;|o2sNS?_*CBQafWi-uypw z(rm3wl58|}AzF%3U@@5ZeW9*Y#BDD=w;a>$AXCv!uTbr-ZjX28^+j^caymvH7cke} zFQs6_pn2h#H+2b%b;)$Sv9jVFKX>jU#JL(_Kwb2;~i846LW^Vi-YP2ss=65f|>obuyUNcKe=< zz-57BU-u0GTnCZmICqjBe3Z;a@yLiX;?ltXGz8RR$5Ry~dbZ2c7?KpR4!rDK>>Ha8 zta>Ah&BBwt7%o94-P|yYkpr)AfC-b_b+QbYJ`FWxJnV49#=@R%Vlh_rLo@y=Y#G$& z!=0d;{w6qr^v<+0&1wIOt@n;*^MU`qtBTfaYlRq9ZBf)Ju~n_A5~}u|sl93oRYlb< zt=fCgpOF!5{O50<y^x22f6YqitPDj%fE-d8){^9Uy-Dg)A0%tcp>?PU6$N?hOoxNbn87MGBEQ6X(F72 zT&CgoTH@A=4>Yc`d>Q2f$rt04jvCpNfKUC}Q{2f~7F z7WP4%Jl^KI-MlRKXx`5T^#s0*S}!2mChXLt(WgexH`Y?LUBAB0kNsF)OK)Wgdv!Ha zaXa`orP;FVpwKfKa~0ZqS^4N1+%9|M``z_5Bq{<#^J9g3J>V3eKOnr8vujqHutkPOZUXK!+AfQX1t#B?Yvvi zOoF*rT?l`OOgpXdIDKD_U~!Qc%fd?8o#v|bZhsC2AQRi$n-itE17T+gh0$i3$9k8irc1FGE62R2Lw&Zz8=AtC zotVSXjLIfK&rD{A&*|&3(#*sK$0WrIF_`kX++c}Z3Sg8S_Ail7qnn9)jZdw2Q<1nj z%TeK`n?QS^%oU}B1H>9T@WG~p_ zmBd$H3*U_Dpi=}tQ?F}Kt{(xfmo0k7e!;!9HJQ_Wro5+f;}^MO*bLIJqxf+ZR=yz>Iuvgx`Z?s=r8QE;UKkgBG$vhX4ov1d4#DFpuLD z9|7V5Uozkv--IS)sCiBZQEV{DXnQ;#UZlaU(C(WVd0_WX8Bx2JrOn)2kQ~|R7^ykQ za3dFK?r#!K5}#^ok>}A`a2-ezvG4{lD+FXsO{>KUSNc#@UPNzi!3{5m_clX3`a7N6 zue47nvo-I`;)(fXOKuDx=Z!ZB^|LUmmdib>q!ygwrBj37TeTZJmT-Zy>@0rqpkj>T zx|4n_bwKJyNzHXic{xNDt84)MH+Y=Svj)V_Fl89R6{**m0+YF7J^R`DiHEzrh=$)z zt-K~^WI+G$2(*f)DEm6v@5ZDVlsmy}p%4N1EOFT=s+#c%ppSDykr1;A-x`kZDv0yb z@+|N5pM50^0R(*JCguUes=wH5Y$IW^5Z-*hOsC6;PW3TEE6;UuZPpH04EDv|ZRkx2 zy6UjdJXkRz466|UXA1Z(`vYNNpAt*&{zQ5|=hX?DRh;y)_YeD!A z#Jm8~tVC@NumD$`srO80Jhjic@?6(RW7Tb0l6QA8mW5I61Rp)}{z%tz6?D$nBo&oC ztZClItYgMyafk4i__;e|C$(t2E~?3MyUbYXqR5V_J6WDVf83G{(_s}YrG&kwm%OUFj z>xx^7{oU5JUjL{g9h)EGlA7kFXtX1&SuPW$)85-RX)~**bv^EPU1R2Xy%yHwvwFm6 ztG7?&Uao1fU{F(Y%DOKiHurDi-el?3r?|dyRjspLj@N$Sub~Mw{>R?Dsn@ZKEI1C8 z>6wa}B%~Hpdez<0jUJcQ-~I#re}ZS$ACh(;l=eQ-E%#H;E|OIY7Y+f5QcIP-1SN4QF(AD)jLZ z=FnCbd98=4ggT-9K)A*H4r3yV-?#m(((_b$aWmvyh-2 zsipAoXOP;ar)5#=ZU6fzlm1f>UF*uO4&IZq(L~-O*Y>7Pt&>1CixP1XInGShm2{7x zk3;vLySWaCX?TQ-U{`BU_D?n_@PquTYRa5fC0b(TqaOMAkGx$k)me_D)6e>kic^>6}1LK3~<4HiZbbwNA;P6H^jF7Q!a^l92pb<@hTp^G%o4Pg8wS`CVt>pY^!Y_1tn?^RtOyzX5ft269$sKYL zI7{h{l<;#ZyEjOfD7o89$Ebn-G*KZ@#%chA5Kn;n$Ml4awl&3MHfP0~v6KYA z#oh+XmJZgzOha5)r~Um15LRj{;ENvfroI0g-)*}JbpN>*6>M!_h~0R~fog7k?UL?r zQxQFZL+RQcKHBzD0)R@C^^!j-4W6BI0S2$Wq|!0c~(m_5gO# z$fwvBvg?cHRK688N5r>kV%1H6xvzvg3YS91`nyAbb(97WyQ%>dnNKj4fKQio4ij}+ zD(;r>7z1PFi;tSgA`Vx3xy>U1un2~1Dc`c;rS=H6|KA%_LedGQHq<~qY*+ZHlahT6 z@@{Oec<2nz!DFd?=lc<7%p$^~6&)znWC|YjBj>&l@lZO?FAd%F157YXN+gkpled~* zMBZD!rFW*4{dw+_k}sEPS{PeK-yBcFr;p_N+;@8P_GP~4>PdKV*_|_5siqW`oW0<* z>+!ORO!@qJ8+ju8!H?sn85J+=kyQ1kRxFrl*X|Nuow`(tq=~#-%5g*Yojz z_N`(Pwf@6~`>axWp&Pstiz5bEu2jq?RcC;5N*4>c677t;3Z61MblacjZOfQhbdgHE-kk}i`NMENvO;Ng8#M#oHvJ)>SJ$i{K456|X z^m|hw5B^N8GW$Skuw7te+vniv+4DNv)T$S{tey3<;qj+O*2T$3Y{?wHS%)N4@(vvS z%N*x=Mpc)uASN%cC{EO5%kx2`r2~fvCWpzO!HZ#qdXcrFs$g#CbTCPf<0Z;yh$EhM zoFzL*BrnR3UsghqkyR0{wHeDjv3EVmcyVLS3k?XVg?@{Q0OUg_0&lV?YXnY&)2BQa zS+5Q)hA$FaRN4_nOp(+(_1Nx$eaZAVv>%>DteOmrJpRiuvH$gKRCK?pNtK~lx0S=` z<6NP{DW;)TyFL*KkrbDN1Y)5rT&qNqc`R^}%e8==x+xg{xk(V!EPmZr92$8nrHx=$ z(b8Lu@i`D(L0(?*m< zcJ@-0l!+Bc$mQSxuF^V$9ZvqBr_) zy`cH8n7%@|DJu)@4wnKvZow3(f}bQj_WvcKHou(ADDTTUK0(B2_B*c;x^m{*_bbb4 zH+&FCR7kQpeySHTJli7NMQF(^=ya_VTXMWfmR%EP&1IK5AfFZ#Y04&uO|5)1^@{@o z6r=QbavW>dUoRhV-oLgKajua@%?svF7Pqgg+ITiWaBgR{Ki4$k@@1(&Szp*Aa4{(% zcd?kyW@d~!NzAI$BPu0JE-r7kV{j4~B&ihjtyX)gEi(DbXRIH%*=NtMm3i!yOd-QK z=yU!GpQvvKnl5Dl@}&n&&7^m8^SV{gylo7hbH1RiE8PA@y;FBV-CB3`eFI)mlbxqv zLt%IJ4_o-6dWGuvVR5wu74&uI2IY_rw_U>I$R_ZCWMXhEk{+QJuwklTk2)gHfv*+(dv(s~rIM;Pw za-Viy9@k86u!SyFd&8P7vg6o3Lm7FUc4ToSf9-JNWfL@7CN_42?~pGtHtY>@d=5pXrso2> zsg6Z)-Nm5LXwEs;C;e`eqaFW7NJK7WnLyIb(~d3SNmt7ilx){*S=)|1<%m`(eW1DPq|c zeNo%}vFzeLCj|lEv4nIrCW#_E^JZt_C>vRGo3l9QXAGV4%SsS2oVYT|ci-x-+*@)} zNzVzm%N#k-W+Lh-o9=&6C^7xkg|b^nN8gf_rdRZSuDpSc3mMU%-yXM1(A|2e%-7o> z-HZr`i~7SgChN%qt)n?sqLjr9nr&EOO5wbdCEg7b`bOi?rUB=p5TV3XuHNfC5|Te9 zx4NK@ucBOB8T}tNbh6LWbn+SkUOICVZ^{EgDsELS@oXoZoV~uq-?bD$1T^p57-^l| z9+Q6O%xmg8aPw}FF5@Q!?*&8%HlBw`nL4_X2D)?YYLaspX$XrvvAiWi5$|+4=y84# zm(i{Mm0p`zD**3r*nf5pUZ3YLI!PPc>ZA(-R>H2W+D&|#XZoxohuT=rQ4K%pj%Dgq zvP4OpKFg?uH$VmIJM&&e1EN_Z_V)DgWdCu;mkDu*EvJ^J|jXIdqVxY;6Z%1?z-RT+~Y%N z6(aBv=4j{<7!sMD+kDt&;7y7=)QVu?Uwxsx$Ym#SNOtZ1&Mdb_%5lZ>`OLPi|jAvfcgCLz^|@V`@pGVl_jm>bzdT*RJ#ba-!fl%6}KL~ zFgauXSE&X3VvjLo1_~MV!QlTYA5@pcOkU22`il4@LDLH@@VuuP`0zXHy($FJP`2pt zzL4Znr9s~YZ}=Er6)w>?Ytz7UYi95H=<)O7EO9nB5vS=&z3?ygtM<$W_6SeN_DmSH z2+YCX8M)(+j)^LrE3nYqR5~?j)9%CWjaO=NxbGPvru z(vo*GFPIZekmlE*+(&6(811w~m@fVIac;8BHfYApOLdVWk~0*;d6x4V98juz=4r9s zgJTdE@+dk!=w`4JHa~ZQiLBj8EHa8p3$bPg2O|=NV+`yLS@5 zcj_4A?*~0U`RuxsiKuQ4_l;$ORHJI+zI@%}CyT+?ShautxHm>myeOzReb;@$B@SP* z7%HG5&a(GGyGugh+Pl@)N?&&7l~orcHH8;T)^Y2H_B;kmDjh7B@WlHKK@l6|kGmVNQG(_Znw4_^2$+EBKgpoGwgf9wt)Uw{u$ zH6C{iBveF`cJ&9&`3d}!H+GSD3;|8vd>V0@{F3On|JKoLIqb+fYlR7tUqeL%Z6_i< zx7yEd^T&42ccQ;Gl0f9p?BBmCMRhN;R86eCnh70!zHjO%+mwECr7RM_MM5Zn%?zXF z50bJvdCQNy4~vq8Kke&eCO7E_#fxVpJorK8j&Jlggy;R49J{&z-@R4tn+iMh{ysUIS{<7v_c4lph8*$9NEB3po!4)P+)o&$08RjF}HazMlh{jFe*LZ)O$ov z#$XeiJ`G8Kmybvbx7_lHvl=Ilev!N!$ zA<^@mpGabeJxc5-*1KPH?cdm>Mq0mk1#JWocT6_*2kmdQY|>RUPvLakb7EMaJ7IqP ze#=uggg#S3CSB;#hd-%;-cXNW8hqKTbG&Ikroyc@>$CrB(VzvKOwJCDOg<2#I}gw@ zh*X<%THZ~=2M+qs9=IZ*otg`3VI!}Pg_=T>4p&NyAfGUg$kftmA^NR7n5sD5vEh%- zfR`*}jB9Ru-tAFf6!y%&C=oAT*_9rxI_-0J8F93kRl2am3NnKh4XIV|5adM3C1iCk zPX6+4UT5^zQokif-8N^1_%!LK&c@7=OQvPMWpsT! zYwjW%F7LVW(q523#&KUXf$bHzgWj@Y;Mv;g{D>RsuSSP61&J)+h;2^yHp)}C0rC|VT&eb6{A-0Fl!-Ud0ytNXJXcK2-5tPtF1$> zvcSnqF3{4+|Cr;gA>*UF08zZaUFy7#gME>R@U2RB(?f>ArWp4Dw*a4mK?>v_8L!Y4 zR?xGj%@4LBa7{idb~;R4olYorI}?m)T1OHyURTmXg21s__-zOPw$3Bd%C+77O)gG; zZGufldXo}?@~!2aiUz)cb|)@yVaA!L&LRsw#rP6c-UVjnL$tf_1*ci+`mP&)lo(C= znv*-7f@4urZ(Ff>L|NBjv_Yk?iIH^S+-B#U@TalJr#4FijnK)OFL*f6AWi zl`k`1ant=}7v!jw_LgpQDe^N2q%7zp_zeuG?mBz8#eHHoYjPxQy)e|kzt=h#^Oz|# zlx}mg)xQk1(VE$1E}k}?-Aq2aNUf%CbE9)RcRjFc=TpIxVelp*w*T8il(7s0PB-lTltV&{p)Zh} zKR}VQmZpThJ(x%S{mggC)LO_ZyT< z8#CXVdHuc@VSMDXe=CB`V#O6sx`|~zMNTl<&X)Yvum7BH&1+C-Q*CXlU!RsFZD6Fz z>I>Vl5r%e2r+Z=B^ZR%g*};X|a$xx-y9w=a7?&+FCoHK=T}L&&EH4kNr8SOpFG zP5P6U$A@$qleS%d*j^~*eHt%9D6Pk__MGhqPu7@a7o_nfnW*NdRAOxPrQ>f4 zCWCR2j7shqCHd)^;~a(E;l|o=HP-J-jZ_MwrOK=ktp!-ZMXhLWlHYBsDdO#7I=qZ^ z+Hp$h>O13z0_E!qjmCJnh0>CVoyp%9TNW-z&&OkM&2ZvB*uc==7ju`BYn^=&AaGHm zMz~U%dUj~~m|S~+q2~>j3woGHBQI&^kuoX8ce?}K(OjoDG6iA1o^6vp zE2J{Jx~x)i1`(b$m9oQe8xO8NPYOP@%kB%kr7|$4l3_Trd%Lv$%!>rEov>-Edo#t_ zcqFMlMCYOhOYotXs7X3yhQ1N=+vsm^)F1=uaAD8aED+meO14{}RFnUpR8sl+R5GQn zH^<{_6{WWLV8qvOT!xh2+ljDSP1FsAq!~FnqDjLO_Sh

M95<$sv8^oF;$zp;~+# zTqyFVSXx8wOmbY^Q%iM}5Im)^uatHwE*x;+Eo@;J>~WmfAIcw6%y1|jN~IGU8J2e@ zgpZTh+PdeGm*rctCl_|dtL;c+r?*dgDWLcxRqN|4kWCPQmcpY&lslRC*E2Qp7qJ9P z_bz0~lFRwzSq9OrFf;mOTQNeQKGVm{J3X=)POp1yW>YM?5AmEIJMX(RzR&bH!boDOlFlAx zXM#TH^Gy&D9HIR?gFd71#V>840@02op4t9OTb}Q2er1W+I80Vq8N|`P;D}l8XJ4D6 zkEIHezj{(1W9Ckg9Ag*xLGc?&k1R2}P^`-GQ&%QG|3T+F43Xr|D0JU3f7oao<^WgR z9aao^I`l1t>Yjfb$?-kRGbzmUuBq$MxxNN-Omn{;cd;@`@jVo)herS_*V^OZVv0PG zE#2_pkIg4Cg|pSdOe&gox>A~&>^zzF_#e08&j)t6y3JMQah-|s@g*;ZhVhnCI#ACf zNB0K**2UAJLwqKxa3>t=vSJt~>wECL+0F21oykMi(lS;lL%G}2f5$#oD@C@Jr-YDB ziE^@QWtm@~8B(GWBFK5VfC7*Zyi9xgTn(TimaYlLfR~kpF54SVIiR1;MvHuKh4iOx z-LocAbML^?#@(CmMoJ?#&?!fX&1{N5FfInORbAwETU~U!T)mTkiI|G? z`G~yy{@@!#*wca6opD?@Qn5z6*+ptUm1WCo&@ahb_)zlLY4Mc5e&bEd84F)JlXX@K zs=mz)ZSCeq~D7sQT2+ zSJ71KvGa~q&W#D-CI3@84FHUS^`enXs#ZaA2Be?tOd!B~z5Yj7|IIAH0P3nPA(o_rac6fQ*Linj#6!)m0r8R$vwtsLo&N z(;M?kh#niOJDq8|{nM9|2dN(=O#eQOGn9B8Of#<$vo`ev)D%I{iHqubdijx4uS(G( zb#t(4jecpdL!XN9drDv}5JoXU6e=M~j+!TiB*%$-_-SGEU}EKEu42T!l2+b3)gK_tO9}>V~}p|GFH=jAr@o#q;QGhFsjcF>C2)0z6lUkep41 zsrN==)GPd6uL^+TJ5W6xX)Jad_Mvb8H>pw?JoZh&<`{*;ZLl9zZWRdx2M%d54 zVS$?wgz%0nRvHD3*NO}x_q@6(owLnX85)ww#^63xwY~N}?pA zh5hiE@Ie#4_A~Cw5Y9_;e5cOe)xq%6=D`Uc#0OCNW^dh%o#f|`tQfr<`_y@v^UtUG zzm-}WG-$FlU>-9|!wd!77L?ERmOyXLr=$fo6pln(_`ZGZdI_uc52ARFh=2ltRM$sr zstY1o64=9k=^?kuGB;DviPM~526pn)9fnMMTZ=$}KmqFd&gW4;a@Uz+QyJQz#}N@% zM)wcdw!QgKXC!iiYT4p8D0t+>+Psxf3%W2WO*E6ex%!Lcz)R*teifxWzJIZi276G@ zd6Q>)REc3edz|%d|CWuopmkGJM7p!rL*spt9G&2gKZ zQtyx-HLSM^qhJZxJm9?9*cTVH)1V1Bde1yCPc3!pRU9>3A%5f+E4B2yki9Q@w?9!+ z+;wcH?e=R_$+@~x-f-kj`xp0k5dnDHwRjblojFlN#+Kx}OYmr6rKY><-fM@{DqRaY z>n67KjNcGp=z;crq9{1O@5AVTz?5{v3z+KJZS>q`pJsy1$+v}_`kim$bH&r{#S;O^ zCvfDkzSyxGGb2bdf0O55Sm|5~bD!t_*mkhWLWae9yw=6>FLr!`jqD=Sm-LUtwn@EL zTDpFtq@?=O+sEmJJobYwF(cpZoT%YvKyS@#+s2xRp*kcC{s-4cWVD79+xyJlb z6L9K8tel9V%skCxv}m{kIpld%a`5Qi3}at=9-%yMX}4J5-xNk+z5LQ!Pw?O3qqwP} z&69 zKFgtI0O?`7@*Y}s7NeFXRaTe`DcDG^WtTheIinADkN>wXq5C~h683Et_N8U1@~PyZ z49v|`FDq6iL=lK+vLyHRXxr^u50<9P$+4 zX`b_LvH$bQ3x1pm`7(tY0Z4m=={^JGw5|5E)E`FL7W~!h4sqao$`4l=!5AS{bT0*0 zr&712<96@XGl12$}pj!u7gj|Pb_z(lNB;3Z2 z3Kl37MZd>oU`Wn2%BI(7x2{Eez=pP;jk1EvtjT!wc+bGDfhd4Rzj)fZ+Y{$kv7mmt z3Z5eoC1+YfdB?d8p5e&H09I|*4Rh)#Rc90({BPs=P+!c5QpZC_^gWJ_k7zPO{VI#F zV{#G#z~#SC0O&xfZF-21J5ea!NqdpP7Qk(Knmd#*uTFOjgf~OWQ~d?Z)4C}>@2vFq$o+$m(H-xC{_;F90O)Um21fSQ?>jbx*?!WETJyS_^1Bmrnh z+D-TPU@}KJX03K9z+B!CO>5xPg<`Z=9il9M4LP%~8D-hu$56e^W1FL7 z`8ifz;nz>)eVw@;#3P4);#j*Y+XO_|u$FHkXkdqM>wl=-KG!is5lc ztWeM1gfv-TWrx&k2PfQ-(&jBuEm9wql#m?jo#`D*@}zF`ZFm9MzgNgmKPCLC|NP!D zuFX9(YPi0U{0JWcz!2qk415*V^JO=F%qo2(p)2nCej>CdlkabK3<$^Ie9v618jk)W ztqR~CW_I4WU7<3#4B<9{+-nj+C%1J5Qac%f>3XG$}mRS47D6_dTbV6ZMy18k=Ufgyvg#f zcsJT+a*^5*;Jsl{JU0v|PQ2W&Xf#=NS|*STBxz9s+8YbToe|wAN}_85TU4?0$l$=E z-qL3GPGptGEiowP>I}XVZlV!%_?>cMX$E0Cv=HM1spu>IB z<*L*nCKTsTWkOvNd5?``RBTLgkC{<2G>phj6UC0d=03{Q)G24)bV>}cGKwUx#|#Pc zZsH;;%~qSl1uE*^dLBy{wV`lCu%O^D-4T7p2*bxMY`-Fn^jMIqYg%^dPmOS(J1Y^VdwzO|Z?9&_TN<@_uD+~h^wOZho2 zgIBOE*QC_)xILdL9S&^ZrDlS&6pOTdCW9yQ3re}%9QLW+7v(o!IJoWsSUcFBFMkaZ z_J#{6K?~ClAN4?%6@c_w$cERYQVrU>GWz9Mn|-au?~%Z%JQL7UV`#QlG~wpEwilqb z&^HFl1Sn!TH4MbQDb4WdpI;;nH4wnZ8}Ea3cl1A5sLz3B-=_pAd}jTTZ{A)ii>TkH zV~^A+yxXF%-t2S^AJrO}`oKKXYSf2K{7@$?>e^!|fDujb`-Ly!;D(~Uof*HX|K_k+ z30_49|6dt(R+@R!?wiMi_}Fen73V3TJl%TTS4%Skd?KgKCT%M*O!c9NgBJcAGc)mQ5aO5 z=-SY2yyoza&2wIgqw`YT(XIL{tlp2;e@NQnI6ggLLp%hnDKPSJu&J6~rMOqT4(5$+ znO+~I5RrPRv!Zxi=g(1_TxYP5kg73h?6hsSbO~OsUn&E=)a}5q8+{1oZErbpvf}NuS=%(AM-U+WhHOw76*m zMUluf{}MT*aA`$(ys7EEL8>-TOeY#rN1+DPrTPVfMvnd1ry`xzkZ$+8M(Bs zQD~j36<`T1U98rJyh=G5c`hGg`Gf?6?Mn{5j)e{)~u82Ctu_D86HK!J~QY`W?te<^&O-x=vM^Z-9gd z1Rta8P~6dws0Yzg;Iwp`LyFL%+faJsbf1a;Jjh66@WOPGEDfU+VxC)E5 z=SZ9G{BhX0J|N`J?oc<(5qW*Mu6q;q_m=_l59;a6i~(VENe9LBZt|^nv@IT~^dBC} z^{Veb2F6RhqKMlxME@=eM)2idKBsu>#qO6r@PYP7guqTC=G%YJ?B-&SX5r)9@|=+b z#4a8=m58XAuEaJ0Kdtwj6%^&}S7KW9!N?+C+gkNP!S$+fx)72uFVZMW3%z-kGzoB) zhfy#A91>2C3O(LDz7Phbh59#>LM%QgU&)-L9w!M(=QCw@DdNH84D0o-WcuF0t@Gb~ zUesOd_Z-e%+tHjIYFTU-ZDI#*GgFQKT!X!js=Kgy|IoB4BTilh|C~WZ$=7P$@bKGP zKhBu?n8?5%{wG1-eB8VUj70yKkf<$Xc%U>$*PVA{CY&yc#lP%SaPK;c21D#=K8QXc z1Z%|X@s|b3pwN{0M8l(?z1d|Fvjeesxu?my*;`+;Hh05~&$^QziuM>bxMwag?hpRz z33&PO^;>UZsx2mG!9Jx2JygF|CH0iP-ZFh=3wSK&XczN8FNhzc)IzoXm$G)I(Jg<` z_fYCTT=Phhu;$Po-pMxTF;5^8P%E-ydM%WZioBl0Ntda!$;C}&PQv&jUwz{&tIZAcJuP77Kg+I>gE+$en4LT)NLtX@92yTnv5YGlu%^SjWh7j}jzff!~BYA5PT zLq$%lkCbWw%K{*mhANJ$ZXBvn^1$@IzZv->$C3``7>|p__}@dS(W0CvV&1`yj)w{} z^6P_otO!>^#vLX7sZCLuwE#y>3;eLCw89^@{1_6%vUKqmw-)`B+o4O~@umYHX!*&5 z(C?z3o11SJVq?EerV2}Mi$c1ci5IiJ3`Cl*;Wc=azeRns;plG-d-Tf_F+!5?)yEXd zv%WnAvEo8y1=L3kF1fosWs8Rqj|THgd@q(zr&%Rf3#6~!yjrj7Gcm&V&73vA_%82B zV`t`S1O`R(Qc~*2I&^kM99SIzme)GDP})hq`aEQ`eR)1mrZm~9MGPrdZ2JAF;9wCT zU zOGi!&mQqjBSK;J8-@C1P}Twq7udlC3l?BK zXmRnvIHGU?1uk3=LKIqrm+fFTm~#1KvfEyW6CcD0dc42J!<`MHbs}_XC$Wh|w$n2^ z)_(SofmANY=&32%2n)BcHlb<}&iY1vd{jdniq)C;HRBi=PPUKaiXuW0E*sP|Nw zl$nFyjyDjt9mZe~kw zpL1cde7Ok%Kqv(XLxl=3IQP@#*xhyoAZBaDg6EcMH$5N$e@vOrN?Fq=Mc;eXomaMXnkMa3jA({e9}Y%r@7ApQL- ziU{s5@GL_*g&>H}^znYy2l7{Mmn9H){l}zNHKfx4U8WuHI=&J!xi6Z^GaQH9^y$)F zWkctan4N!P1vWcy_qxe(1SVT5NF@^-uENF5Z8y2K&GeoPKt`-GNwDJ;f6QFYw^1I& zlws;Eh;yunPzZk$8}EL10gU1qxf`IKpkCm+BDV2wsK^tZLwSEtDVK? zM$F9~;Z}}9wdFRhv|^@$a4Whh4pYOJR#WX5iid*4=b^*w4i<3^%TEMHj%L%?$_b^9 zfr9k2_$c;k%!86rNEM#GrOJO-jL75LK)md=B&+Y@h$X|{_fpB~o^jD^l$c+evw!W@ zzs)X}Oimw-^H)MkFLnf%c~4WS5zG}H0Rf5Pb$gDwHir-X+Ej@cwDnCKgypA-PuLZ` zl3T2=@tuUky*7=0%{e5klctGC;3%+2&9k*zuYp!ZAsP5|fpx_eOU2rr^9MIme*ZCe zq&5z8F8!T~8qM5~FAsH!l~_F^JXwy<_a@gRIwdX$+Wu+dlz>6@`3vaK!y2IfuPAAZ zbi`%kAsQI*S%t;L?;^RubUSV`%j-nXZg)Hel%6RGdvHxrHad;p+3F$(I7c?xORpP=nQhA~Z~CDJZih z=z>4(Gq>N}&3E0R7EHQVQ6k_i`zr^C%Z~KYn}CAEyk-;oVx^Db1!lWZJEng7DM)!{ zz%*L&zYkFz1QT-WMfjSe)ig<)ITSfqRQg)f`p)tDxfD)eWMZWyFHcOQZUzLG7!_Z+>+TC>Sb!dyCtwkvN7#|)oXwBp-~yJ zSmd6Z+EY+sJ8JkhrXle!@IiOu^Ubt=)+v|%o#kQ1?ymWWS1!0!*f#*_H~Qs}0aZ)s z8O4Pd2Q%h-R2{O8U`t+EDNZuIEeDZVMW!h(Q+{{ZS0p9dLZ#6_l_qx9xJJOQpx%CO z=Ro%&AbO>S#IW8ca3KXzrTyNJx)j+uNI|v2aQyJ3s6&JQA)!x2h_L4U8dmbVD*w0$ z+;Eh}qiR!cYkvC#i2+E=m$xp-_sG6-y{O+tX|vW~>PFMe+T8!T!fvPM@+eRs`+FB_jB9?x}RX4IlFTp83Mg1;@Df z2rKj#wVc6x<1EBM$&^wbANMuauf28!Pg6U$u$?O__4%@8_SY73XI^+}0?yC{ zf1FLY7$rM8uV#Ij&VcHJ}O+pa4CZswdBu#1&7E zw?cM61-RB;t)r;-O^xQX3m@~UisE18zswyhc%N8R^s%g^D83xMq$$?F`j+-((O@K~ zXppzQV9=qyXs{IPeLPa+I<8vuaR9T42h!DD$%#pF+?3L#*I1#%ABG+VB;;%K$1U{- zHB4kz^)@#S{%#Q4RTs;owBm76@zg9FD^f4W7^LUPaPqrO_Q|nnLL&MDVqCi7GE}7 zsfx_kNnh%e#lO_4#FR>d7Ce$2o32HQQ6o*2Umh%c5^ZmT&P|`lXTx{+9U$=@%On@k z%?H)4yiUbBR>j%JjK-r;$t73+=mLzn{2hDFI=X-Tq5LL8yWjYGTQZa4iuHnG(c1)e zd(3Pcf=lP82kIM!L>j_~{&|m9?t+(rtXCNZwofU<6%a zJ`dxkEbw()yS8iJ+**2>TdEdzs?224V`cvg^Ia6SnOxcmnmr~3EoFWDT~r`XR6G}{ zU2pKzfBu8_nzHe8R2|0{{YKDr8gt)8P1f(rS_3U?`^y5H(DN_8mvfU_L5fN3&^BMy zUf=mv!h=%^IZLw8_W)PtnN<>-NeqtIUi9^x&*x&N8#CMrDS3Zpk@q}12hf)Zw&KDA zvZ(Hzqq_{ubn>Zelt)628_ZrLf6P&R{ZJVnjaDM!r}?mE`k3cZtKFoL#4p~ZV<08) z=u#o#2cSH{0OnK|D1buZ;m;{SmLg-+ghyf>et4G8fx_QNwCA68)FHcKn*GTt+e7fz zgGtJkNS8l|yV3OOiL42us`c|Z27?PZ$tub7F0+>3B}p-7?b-GvwO~tHL9$t*K<}&Q z2y)kmme_!P_A$2VAK}AtSPkiRq81W~e)d1@QK?r{Q)u59n>DcUnjBNKZ>f0Cb_vVx zaE3J6{`~(1e?F5eY2s7GM2<~SgLZG|=eFvSo!odz)d!tpuLihb$U)k{V#CDrMltD6cHs~Ed6k)*d8f?f*NK0Pf(OpUy`A$0KEcBOICW5^UElP z0XFg%otPdR(?@}5lJ=vlu+C?@!T7V-PHI=;Jq|Es@;A@f|Iz#|k^LWhRxlZTk#?#6 zOM|)+ZuA-=-Q>B0(J#D^mbO~hyc38i_)$vVemd^^Nywtc2dQ5**~5DfpKloa{-v`N zr8j0h3BO0Z_tN%Lf58Xk^-B+F&}#8S(XXT^hoaNVsY}M~$X+M;ODWF5%1w)15Y|>V zJ=3pWpK@JsseL8o-uj5GB3=3^oi7;Ab{c<=H5T}VZb=(N)w5+9hM55`wK!>AUj(h% zV*MY&o77)IpMH$T*ZYJZrA*v=qXw?P+UHRM#g0cjFr3Cmsm;_o{82F5X=)?k(phpUW^OtIU#j z43chES~w-&LX{5yTi5L8pm&cNh`uLR{7?~Zcj&>VoS)3EbqZmxMCI6>fy8hn@2D|$ zI|z2k`NFw%93o3Sb6^eO9{9r^$`-T8eTN_~&Zzy63gfbn!BH4_cybnENySW1+d#UaH7TBmL(p@fBw+ zf8Wyyt)?Q*Ti$70e&6$bdeowB_M7MPr-mQz>G)W3Iheg4g+g*w6udD&8)-i2C9dWV zhSEozK}+v@&(XjoxoaHr)5BG4N&Nb@caIBK(AD|E+{X{SWV9b;QQl_Vaplms1+iUxld;SPf%-qUdAn4e<`ze4$v_{tA(D|}fv6*b$>e_mgGop8#Y z!10ltnov!uCz?N(bbJ)9GOIvFdvdEn!mCdIDB|yy>3?#W-}*|_;}loZ zcW%2M0wy9;kiT43Fbvpa-p_Y{Cp5HLS!7+Kzr9|g#>wd)s$}oo)HSdyVNP(`X|=O5 zt?4|h{#4#1ZDZxL*AN@(W9*?$fo`n%OZ!h91sT1(w%b1qWPU#>tp_PFyGfH-1lNtV z`{m!D!$fl)pn?$UtQdq?+GCtX*D4LKzF}|)f|QoK@agjswM^BT?%g|7JnixTMgRCJ|SHrrG>p2{y?s|6o9^Gq6gn8H)Y$%Hjj9ezFmv^(E zCME;0g%~7BpPx`0-JDbr!XPFH<%2UQpZEEmJ5y zhfCmq74creS-`@-toQov0R%tFSb|^_fN()pGvlrnBL6O(3Q|%@m?`T`rxFgK`YS$? z`rs>{=<_>6H&GyMx@&Uq6R)=t0;U8`jl#cxPZwqh9r*pfW)9PCoqZJolrHPu4P9A& z>LYMMvN;YwbO@0PHiik+fFNHJ(0B3)McuwepGY_y`B!-}LIX3&>isj>gGNdAArYoL zkI9wXh?%l1OuPPihEkgrMykTZL54yk%H#m;D15q!!Z;N&1^=c>wga026?JLSep(Yr zrr)Uad#^9u&Yu+xWazDz96#JU6QXpE_+YTr?JP2o668$|lJcEfZ9{wV!q#_fjq|VC z;pOJ_$V=VfikgCfz>k%Z_g%xzq%^QNx3!?$2ZFC+z5ehf?+N8_Fjs}%Do5|h!PqN`Bu%I@}lw_7cOq4WdqtiC3}+o2Hh?w4s4)qlplGmwBF>&s>HA?)YZ2Hm{Vj}m7WD*f~d#6fv-H&!Grh8ZP zVwJxv-dF@eejJ?ESnwTHYE1F=h);R+h#zBLN05n#cBG}!_}8xjR>)5AwnyVbiLBB? zM*((9$Aprn^S5e=Rb5%o@4Ga4w?43j1(_?T%>IXNet4B)h8f-t<p zUom)LL4B!ul)PxmClDx_uJks3ViHQet-pvB(^e0+vK3;>s#nDD&s7S+BFC`P(cU!? zH%S+vcT!4nMk~$BTuM^;Sazy=|LqUY2qn!*<(4a_I0AIa?mJLWQ`5F9oG z2cT9wDMd_$LE#IS=VodH4M_+$^RbzjcnHqWY`whD)3qv|?v>=)8_9piCGS%S3Ju|v z%6E$Ri!sYvS=Wcxo{=le`(rc7KW|Qr`DC&lVBR-a3pjEq!<8gJ^(`>76q4YMXlTSC z^4Dcn6UQm?f+tm#{maafUmP8e;LkHsrvJ=8mZS;I6FPBkic8J(?guj$O8#A=3jNJk ze9P<6b9&v-(@jA!3sC-#mz;&NK{#LQ1w6e`mgt25b9>9ju-ms(|C@vLzz|5|v{8xH z%EKaU0o9P${%@nN5cV^b{QeY;9r9eE$@Y@vPtH4;BP>+fJpoQ)bz9GV$|;c$9GAAY z@#1LN<5XR#f=OJCBP;N5N!Y$M!s+%fX1`T`2zuTCzlYdPw*(o}i>j8n?G`brePz!! zw*3(Ki#^+Ajv_lmEn&1ZUrEK%ZLbi9ffau;#%D?7r$i+e%9h=7zg(XxF!oi^Wk2`B zhp%fu3pVp(Q%g5w0W3FB(TKA}-Q04*$u&|k^lQd_!e|yM;nV=GtALc&lx{!pT>AuA z4()K?8Ivjvs)=k$*Am|8#G(G2hY_c_~x zFh7b8%N|Nte=;v}s=C+{0T=rWOXM5d@f0A72dS@;E`_W|-l64;k|9Jt_Q>I8Bfp7= z4`*cCX+)+})aI>6`pqYR4~*wu-DM#PB@_NURMekk2B``|PmjGv+30KE6WFhMH{4`7qKmgPr}6Xye4r zJgzx{;p?{$iv8|fmxnXP%-JR(ThkBHnSxLpDq@EP)ply(FOOY=35jE}8i2zbTm$0I zC600vV&=&>q*TRbQa-lMpncx4(UxPsn3GW`YM9UQ%J27NWG%ehL(}71dXu!vb#=54 ziU`5avy*gY+=qtkt`j-T%eLQ~k3XT28@weN)jq`8Bjv`)x7wE76LPlx3_m-|cdTwv z=K=TdT+V@=2_WxeYAbHeDxu)sKCf+N;uN6?QmjUe-O|MDuMK&EO>nc%kz6ay+xJ_} zJE2lgN{6A@#z8CNnJ3K7`5}^~Rl_1wC!g-$yFCfhy!emIT+RhF#fnmU535;}OU=Qz zLH>}cH{v{`5VltdDf2ki{7D#6=@JPS1)$nmS1?@A;qnKAp%~Gn(gfD^_(+p3?sXAg z$$5#ktheiFG{$l(ttnXeB-bY~J!YIpI{NKdJQ5_+OhhHQ%O`q*rFe+kRNvLt@v~A^b$#f;t1=>D!*TWYwh!387x&XkRG5jc1jq`exCm)EBYgS zK!w}O9pOqk0$pM>?xG~ovEd+YjSNQJ*h5n+y{IPQtG>MTlGy3C4eflnKuOxqaF_2u zVlCm{-F)YC6pLGR`|pfh>WQXcGZ*siE(DVhgPPAa!8hkn>hbr@y-V?`r9mpz z#HF`?zxmu8lhi*7XW{CclwMyx7D-&06&lH>cB_IY!Z94xZ%3HJJ0e%j@HZVMj(z3L^_Y~ift-8Y%G!=~-)lcAxG3B)w*(paG`S1-NLoYtyL+DKv+Yexz9SayjATL8Fx7*}72j{!Up|wz zh0?r$@y2EYyX`&tix5%)>fC7croS@0^u>FU+&I&>!lg+bE(51bnGe(QqPc=hb{Q^(hg(itUU@6N7i;LF%Vpf8cfL0{9x1YvkBQDs zc%7_4e`lf}n+b|pl^DE;?3UpRtLq%U!R^$8 z@#7WeY4Rvsk6j0>aUYtOjg0XFW<-F7%s4k>h=$67&FhVRjRq~A5GgUN;aB!XD??g~ zqFBaq$5J|?3Mb@2x$e-4obi2d98fn5xogPJMANM=^7B@7Sz2Ph4OtG?fw`>zZ zCxa#YaCoB>b+ii&IWfDF7ohCN;uqCTC-ySs3u#>rWA&F2Nw9ni_AgvUT}vP)1giMy zu!`a^NUPw=TdY4@i}_2_ZdVwGZj^<>^(l+UfymnTyVnofmj_pg014>q&gFCbO%zPD zqvR7~A!&=Iv<-*;*FK`_MeF4PQkQHe1DN<aex+z2g3Zyx%V4elS^xaqV%mnK3Rv zhHCZAN1$!##EUNay=%c=a;p;@4ml$Nch-^N~+}==;`bcxC_FMS`SU+a@rBc=VC8xG5vvQL5>~l?%8{~#89s7Tx zr2yRObfmG4Dwxz^-E*(C4$qtwHrjEbn&I+GIT7*mBs;K^-NBvH@tng-Pq#2_P>$NdHjSx67T+9i>8%2fqR9AE|)v zV^6c)Dp}N%=O?>*{wJ(hG$K8Rk6n zK+AEH4&?y@f{^6hm+RbPxX| z9eDRDP2AKgAOqh^_TC1Uq_K@}Xen97j0jU>CL1RcOyqyt1D*rkedc&s%7t@_`1SW7O8-gWnX<9vDPIs_yM-(Y>QGWM>~?4 zm*JN`swuUoGmLfj#a}iIFD**+{UR#J@9R8l=B2lY?*0DSyp3~1J*G^IuO}S%%qy4{ zU!c2!NS~r#2TFQ6h^j)GzOLl$P{c`qU-kU`1Vy{>?$iw}*dIfEu*IU%`iF+uRs>r@ zo1RKt|}`4)}W=#mukPcsuepI4BWoyCa zEmabP!O?py7Yh1xpw-j6>IWRd-SXP z`8q;osyw(o-d(aEZ3CiMTuHs8tn?IjYHs4G@*28fpJylavPRagv%6x}W9q!#kMU-) zlmNz0gW_x*YyU7q-@r0-XPyICt+8ve=|V+Eo*32F*a&-Aye#(20Y`P&024@L;p zYskd-;brSYjx}Q;o7ci#n|?T0SZOpu>?fmTy6cprTGA+7H)T-imkuAObeL0+|T;VRP|ED@St754!S>e;82YF0t zxs=j{;+p)&04XDR$*D6iZ0y*4u9K6SYdcw3#4B@E8N5@?P4ysiv4quE_-6kWC*f__ z8!`OkbShv*Z?DVG_2jBQY|2AwxB?%4&U|MnGHp%6?iJfkiyi53bba2Cg}?VW-y)v( ztG(vr{N5_VbCBBcd~Sb98opL4ersZeG&i25v0o%41^w{NM*Xcm-&bM41(G;0pT zIT6XlZOQD>y0|cU1=NqBaOolgnk8?qBDd;YiYkF$>9w~weK&C|8ASgcq-nPy^VB(R z|B~6L&p(>z-*Hd0on}6(by=fLufEAl7gaH#q04CaScT?V#B9#)RjpMk4EB#i^{TjA z&4D4!KF#WMqSn{ip;bCvRO!`{!;b3H)1X)T$A7PXNgb*X>wBr9jcz5wp3ss*MUdOp z=UT*2?^HqD?DoF-xrFy!-H_v~l?}yiSWdbis49d^Wpg7sSDXBDG3B>KG)9GiZb-~Bx-0&v`xpQLR|2*x+J-oygLrH4OVRAXb18htJ5 z9#V~{8mn1GwVmf|6Q1ZAWZc@6oy1*aNM+j>fjn;1Er(6$J93QwS`vL=_keB40&V91 z>Wc%s5nJj8WwKQlXhQS4kDpx>de~DkuFraQenHn~HlUi3cVKCk(CpcAZui%(s)YLt z_uhBydnKGo!&<_fnxdAoRvNLS9qD9;AnM|{ZiRaT0J#I>vzvBj=K=ROdmHS7%OGVr zoeXE(F{I(Npa6AB*qxq@>r?N*16-`I7VCsc z!3&&9l9bQ`rlI7Iw5JOQiGlHr=Kk@G!wg8Dab?$@sVn8cYy(payvJ;Vw=R)0=@67T zX_m0cf30mYXMM^C9HVWYO*sPf!zORMMlsF-O}>`BF5Jl{IZ!ckMQo6m;|+rE?Zji>`1~VEr;{*ZW&LWj+RoGd@#;yLb;N3*odjh& zsy!sfltXX#T2-Qr0iE>4cA7Rw+kJ&=cn)zmBz2yC$OP&U!r-+a!s<1k5595%yLSGreIQe?xGTkCJfSltBOQ+-rfs? zDk@uuwk=i)_m^Yxj{J(|Wm6+c7GDe~Z4OXoPVfi?u;n>}aFqSoxEq3KN%XzS?4F`riKwy(#Mv5L z^EBaK~NTTC?8t)lLM+iRTTFZ}r|_ zZhnq;)%W}$XKeRBuiRzARhM~YvVSZlT4t9=i`0Tvu$zm+qEe&ALf^|HWi_?P@tk+f zzU(!=x;YnnSrfX;nn)#@G{N7-DAK~qQ0;^{Uhb=AAXxQICfis+D)NRplJ920TDcHs zfcCenAdXnD%nPQ)NF3wqax3Y)v>zvn5hhJLFdWzPPJLQOhgLH>_!$~1HD#5SY5Kt` zvv}B9HMA<-h6ao2-TRAOdtmEoU)v{S>1%2D8%g0icDS(x@r<9c-*z%Cs}be0OO%{A z?N0SWbxp+jOVMnM;15&2#LG52Lvft&Kh zQ^O0+r*jL_X^e!DUH(C-Y_lS{_s8aIbK&(0>BXTG=ojlnVFA;LHD_Pr-%iHYLnBQn z>8YjT%u2r2w;9o-GTu?&%19|yMDv2!3Ln~bG!!OL^->_Gk4mPzq#0XL_-pOax0rIH zdJkkPgz)}4P)jJ5^!miJ_E#@*A@3^ABE6mJ9{RtKVF{d9oxETA@y6h0=pxj*6+3{i=<$;RP2u>14mS<-bK%f>oV7Ej8s`#06=- zIZ~Z^p{fO^=IDfSf*8Gvs#ARfqhF2(J2em zA;M%C0k;L7cJl??NqA`5#&!HQ-WZ=etq=?B54yWCphb|w6*Y2dZOSIPbev9$>?}oo z(=pb2=t?)oj7aB&Ght=BnF&a(!H%|xX6 zO`^}pw5Fl!VWrUB*8H~jlk1B9x^+K1 zoExitp=fV>xWCDN^ncisd#ENe{^!}nBDqo(e)2o$oV(>xNSZ8^pL#Wb#`EEE3(#d! z?U@}t>G^&0Hhj|WPl9lECeEM`G?lBZU{gc<{%s7%ShsD-lIT_JbVpkXZ3yPky+Z6_ z3v%hxlTL74j{4GUqlP#w{HB$PRWF1rSY>~+tP>ZW?e%>X^T%V4@i93#fG==`suFO4 zV~pwgJO|>ObI~(5#LtqP5|I-Ux}$FT0WArQI;c0T(Vd zfD+-9(7z#Nf?cR7xQ6UIwY)JUiXN97YILojMkLdAJtJ-Rvk*Qsl}WDRfRVSoc79?U zhYED-)KgHXixd#j`n){4DTVbJ zU!AFR>yoJNs^ii7mY7GG$c*0YF2*~?7PcWpSUHexlIMd*+sZgZ%tg zvxxJbn_exuh)L{X)yg~lcI}q75X*@KNdrNd@}}qW1()TTd&2!=r6RO7(?!$b*};kv zx2Sq$I`M@y8pJyUM5(LjCb2eh^#T_)X*a8Mj^-vco6wmHOgW!m7mX8!93IPz&fx?6 z8CKZ5l;>M@x7g0)LF;P3xc-TVySCR}sP|!>U{)S5>dww=^Xfsa3zd#2ZC~h=zE1aw zmv3ZG5;r6&GMG2SrNvf}Y$@;)bxbZghkIjAu1%d>rQT&Kp`hLRuZ*jqf5E8?yYTDa(F(S(eVQ<(8i$It=K2UiF}6N4Daj z9Q4YkQVF=2I-w#wms_X?|9pNJS6E#Q-IL&>9Y4c8j5zhLt*vyKZ}(DwB=3*#lF>hP zFGqgTw$o0f2&&or)PD&nx~!AllPHP)FB_5emnkX6u3o`yAd^^IuPBhb*i(|# zQ~AV_lSmS9C=&cGLx2@GPW9or=TM@UItiJc;2-la9;_&mZzp(pcqT_uEz)L@AL=Q? zg1C$MM^c|S#Uu%e4BicSGnt*#c9JBy*kkc97PV;}zp!7E5J)(G=4}SvnIbZ!bBEWO zwvu*T#aP-gI9_7g@m0FnF>i>U-dC6owG9SJ^M#u7_|pzgdRt`3rbaq#1Qd6zoE`={ zNfc*8>p{47oL;RN7$Fy;mdEP&b?ju_bH^b!S2Zj+sNz-q{x#ZO+_gWAYp|zM^!oSw z8Bb040e>AtaTW8%#TxSb3V1fhkve9KQ5s8G{10Xa!j(1(sC z{Hp*)%W+8}b}9)NE)DRY+w>hQmOtsmUDh(g=ilA|D7!Jd#M4LOL8lQAU8Xj2Vu^ap zDGcX~nE#FXqx64#r*Wu_BX5G#mu+jv7!G`T@51mpU23Ljtb6w-<9}7uT_;ovJ~@l^ zaE4UY-zy|hT1{uW-$%ULxAbd_|LZ)c1<13d=F#qLQEQj`*UB55d|w-C=Oerv-&PN0 z-)QQ7o*6l7n6v-&dcmssQo2V$(im%Y#RLva2OKVM0JQYMl@)!m;;GB_167pWSkIRd zXTbVN^+OI1T0E(fj^+XKf4JYPuq0}=!)fe>Zmz{^w`0_c7jq%xK=$=)m%c0KVgYHY zGMEM{ScVMu#u+9c%||VzPlZZiGgoO4xH$aM)rQqK)1pBo;lW|Pt859&bi6iLLPnB| zf%)aBB6Odke=15~33l8=u;1Xd7*>}pUgFaQJ8ydNd>GbkkWfStZi^p4ld6j1Yg&nH z{q5mpvv0gvoSm+8H7H3Su}r7yY5$}7oVE8nFn7}LvD@73c%3(1+P08I{ZT6@(Mn9N zFWTy8x(Uw~8nZ<3V6?T4Ixcjp5Ohg*xEERiN9vV>6_?t@~Gy3P2h z1Mz%_uYjAgQ;6D`V2QNCgwwLLN~7RM3CAC`5OMuD*0RYJ=I-=>?og1Y-Fcn&+-0vG z9rzJ+c)dbs3H$XIhTRqFFrN?`OLlCVc7g)g-7d)YeO!JeX;NiO6<5MwlfBJ&|9;L* zg)mdsAWiA4=7dW63bEz4=I3ZRSRrYH_J%c|ZI+ftf86)Fl3MSGj+Dg9U?{Jp6PSCL zeXB-U^RBte@$+p#qtLfbWA4QYlUo6g{gJ}SIf=HptCUR<^9%uiqxf=Osh=G5^pR(O zIl->jQ80*KRU+S9b++CiBok#{{12|$kBdN~w^eCWZh=GNS%axHf}m&?hlQev*~YZX zHeHItskO7_IhDn!(#a)uMh3PBf!;@Lwh)SC#f<2)buJq8ww-glYi5<)WVfTh9*!^W zHudSgU^p|)aD~tIrfYX7l@3?VKJeSlCLm(wLm>s5S-DGJfU%IXUgs9W(y$?TLpu^Y z6huQ4!FKe!I5r6bhk(MqP`r~gbE;2{Js7L@3`=$nJu-T1!61@??$x3Ed$#y#`q?bA zh(a(m?OXLuLn#UQdBmPEYvf&Znqi~4nrJi>*6^kT@0oRHV`~?S|=A>u}`;=DlgESM38dOy5{lf|l<-558P<+Kh|GOy%;S>>17yneG;+krP zgZD46;!qr`D?^jqk$Ni41oi-iBBVaVQk{f6`tf?fq7DP<&(!nPqI9$6(!9MY8Zok@ z(Ph(l73|}*L=XHZWI7BYMhX}~-ly?p)Kyn)*)F5O6|QH7&Cz7Js|U~8=L^QC z?7FwL-HzKw=N=!}EVXox9R@?5XrQyjm}SW=tqd7K?WTKd?K(G4>Bo3^O3ZqX__#q7 zf5D0nPtgY?RTkOvQ)3@#63I_q3xMJ4@;-6VbM15FvxUN znGOh6iN|L!)K@^jn!H@d`l>y{5QEh>2h@T_slc+ahA$E|?DxMf7;? zSOgL)o6HXC=bByh2hr?}Fz7kn+-&Lox;e81eE(%Tx***Cv~=*g5vt^6jXq9#R; zi2lFxh?MhM@KE|*-kbFK>Sn*peQ$z2UDV}$cQk`%$EE1~rS?Vmx_BJKFt0MouD^{7 zQpv1XP&Bl+=?)a~yHujP-ur$l)eRlygrq%C?09{=xZke|2$Uj7Nb_9~*+Hya5c)B_ z+Gb#zoV~%%(Ry*Mx9%c{(HG~~Y;`ijYDtQRn?R}U%$Z=26f7d+oc**f>2D-Xn$fqI z)v0i{vuw$03u(^`!EZ-+KyauQ(~tOr_6C~tV)cpORN7CUI*wnL84%$Y)7g-By%k;V z_>I5D)0!>FTzKk zD8wDVp`OW;%M#LE{dqy{WK9u5QE@xWHs?A{dEZu|TU@}qMH@LUU&k0r!?8m?wN&h9 zqiu{4Y;dv4x8^V6cXSKP`%Nv(@pgr1kjk)?^hLPlN=f?g;daTOXc?vQJFyqorfG@d z(2*okoPDo&*eoF|q)Z*M`67Jrh8FrA=XHzMF2zxZ>ILWSw8&P=>czsMG$TP48+nxp zhvs<+Y<%jUg5%WWm7QU1>5)`%_kAgIMllrzXYRkHV5up ztxP}miecWZLKuBvMw)3$OMu@ zKJT&DaknYEl%2QNLGjA()G%GISra`YCpcYhkYlyt84FhexqaKEPM>KsVXdrkUz_NC zEz>7uvwZz{OqM=V&K#y;WCUSy;Oi3*7lfjRXagfd>?%T5L+a;7K3YKla0yik&}~I8 zaVSkZD{VZHVQA>$XguX*k-O_DZH~s*KfGHTUZtA`QKIsI;OCT|X3Am4b$cVd;f&=EaK$2$e6Q zKW}i}?c3Da>!H&c@rp;&)>MZnTu{|pD7|IbWqj*DnjpZ0HB`% zZ3WGmF(~%lblxk_Q8Iv%uq_31sZ=)BA3g78;`^h=4dA;2#ldqD&{7t4z3d4p-w9B! zE_L(fcMm%0tZ~*7Qne{yTToDFQ1!UC$s0-kOth9_Lxr|4{J6E5^-cqV_R9Uc${WTG z`C&>3q$gf>SQ(OCaOiJpe0Ity>G|P0!|TAo)wrG52mR>YGCh+8zZmDITut8wci?&V z&3>DhNqa&cKo(Ec$+STm5^QQDwtSuV?)OZDaGWw35f%kr9HP()ECIT!%?@S)oqB)COK5yo} z{j8{MtQ#v^^TLzgHTvk#^&x`n2@aX*lR9d5%4alnE(0i>wZ@iUE`Y!GM6_!!%{mcG zu~m*CpU^9y{pobxF;?YCYdu{T{b(z_2%N2w_2`&nA^1Q7MdcS{DddbeggGR8#=;@4 z^gRo`%ipn0_`;k)&&q!^QAL}k4NkV|5i#+0(cFZCT($y^E>yaTA~lHg>SGB*U!66R zRtLGfV`w-T5c_jZasM5or->V7D9M)~me?-W#bb_xi#fp9M;(yU8R@+7F3n0|<&F1y zO)la{F}}YX>7VD$O?u;ha>Sd0REgNzv`$%!tYPvH+sNW$S5%0k`A~EYLc$Flo%u(IwyxNJW)b*#(PqkaB$u^YYMv5$h z<9D;E=ckqA>fKv$-YIk6D7|g_Xxj&b`<8%uY6h(@<~%mS)SVXojtg$vEu*2}!sc9$^!yVZiwCRnyHQo}SbX;f3XEkeF4E<7ZsXOoUf)*wZfOVB=8WI+D) z4ZdoK(=p4qvu(x6@Z~bLp7~vhMH6mjI4Ofv$hhtb-;B~iQ~6Eun5RW#O&r|z@??e;o6@e6GBQCxOuRDQeN%zo(0hvOP z>CBP2=GsybA{jfo;J7>{J>p@Ix9NI(Jr)SBJW+HPz#^4-C$V`=9MHMK9R&noE>hk} zfFc<8xu&p>wm$eeft->*c+5%=k(l;`sxzLn39zoWYAv}exm#de5h7kQjWP&PU0p)` zJQZw5{TFU5W?9?rme$FxEM2j$seGCEygGacagT~yMi|n{J^o==@=>>9tv&ulX&6dn zQk}q334afx^IhgrI}0LhX_)P5u%m^+A3e5v<_@MtVN3D{4aD65O6!JtTv27P9qze# zIs@^_bo{yY!W=(Ek&^ixc69jg*FoGq%%V1KxQ7rur0%R8xnO#Twa+_;-hVGi3Y{^k z8H$`p*m*e0c-Zk=5oge}=p)gEg9yc)ycMF?)1yxgtArWtWQl0ZiFFsbe;_AhkX{&u z{Ap&=t&(ppXp;r)r|KLBo-I2^REs{ngbaft#Otng!h%YVV4Pz!o>P;QCV{CH7~41p zM!!jMJQ^BeLnHam?31fbe1-UMF@{sh>aSm0>Vubv-DbcN*5o3l5?ndzrt~LH{Fo}d zA`OdSm!a-9UU3!~dbXJ`rlIM!u}bc~LTl8YqgVZ4;zKk}@%rht{+)#Ha)IYobGEt3 zEcn=N*KnvF*_S7JuhNmh?wfazRhiV6!QoqUP*FMEm%-Umg`28-BWfi6Ldvt3-+ohd zt3}Lt>J{lDg5=OS!iAzm zp0dTqd1Yu-C_)pUb-Xg+scf=6ii`Zhp82;9DcN4cPakUl9UIG8HDy35+h~ucJr@m~ zrTtKj*J<#KzMLMqX_oMjJ*(f&q?BRuu_8kQEbH*xpwQud%l2e|P#L;L#VqsSc6@CS z8A0wsUsAfEn4xvru7UI67sVc1y?)?NgTHL`UsP`Gvo^NEl}o4J^$trq?Q)Uq(pQ~n z$mDI@5GSO4a|xd=ulQLc<15|L&1LK!q20K2EjeFJ5+>x%JyJP8P$SPRDSaamE^p*JqG)M*`Bfzi5mY5fU!6%+q=BQa5N zokh9S><-*_^%Ao5x8P@H(aW>oZ9&NrbC+UOiZa=3|FSc>jazEzf1Z7R7|^%Oe2s8M zE&kqOPhW88vWU8ux-p|4$eZrECHQ`6FFbGZt{3=ay+Hitc3jO(aqz9wNO{g)PPLYD z|0`R|JN2x{4gK+(q}n#WVMRq9nYnc9!*4MDT(ioAib@c*8jsG=|iBoQJbW zzC_$MVa6~#@v;h^+g-Qh?c$03pQEzWzYX~8$`wK-)PT=@f}@o%Cmv+P@y54~+b1pg z!zlL>`YsfpBtCggE4+-g{_?)lg;$((ziGN@m`%p{S;!jcX@F-2F@N6EGgaqX?hM1( z-#?^&udRej&O8I9D%;PYy*iF9-^d;Aex1}WA+j+#-;%`et$Bo+*{gQ`wL}5Rs@1I? zo&>?Y>VyJmh`mkOl&!ro+9T*P7Q~)dqFx@rzI=W2p?k9P)Iw5#hyUZ6LfwLk0c$1- zF^s`4@=qR%p2ForYn=y8VMkelM$6%e!FFdfmVJ5BB^k2>iG1ryw=r~pPF!MB6ks;n z0Y6Ki{xa4)h|~qO2YRR(BZ>p;z?=lB4Dn{c)hXS?{Pr~%y6;hnZG?Es&<^l9I);SLQ|sv&C0H~&kbr1p#L zg#FY@wIsDxH_3BLy!b%+(Op`AReNzq2aUh$DC=yr3a|EdimnEWOIFT z^4}W3YtLKCusPu2Gn1@eQTm@f{E~Q6%4q?1P3{zi5t9|xx*o$H(icr{k?8UXD7zDfkPzbZL%Zhx_OZTRQm2K*<(Hon+OiQP6O z=67O9cWDWNOE;uOj5otDK11`zpokV%=GJ7UZZ>t~XSn*0Jr=lDyEMD)gZj@o@aWe? zR(B8_$k%ctlpB|-n{9#7_3h)JC&|dd?LAmJ&tDsL{>w3nE(VZJpTsZZ!smcGYd~m$POaK2Mmb9Nkt-cbnyrV%O_L|HLF~+_CzYiSJfQ_%*@lOx-<8 zK!9XBuhz8rwqB;<%z;SbL6a7BVK|j1bl|V_;f%D>p z=G}*^L}7a6AELwUVT?Ygk3!`PA!Bdg+P}|`FpSw-AHb(KANUhfbM&gT9>;+i5_u3x z*R?t#Y&l(-i0D;jD_PAU6q@7#OBne?UCaiMj<*ug-WfMSZ>6el@M+Us3P zC<({-#)qvN-UKmoXw1<)FXkKWVSv5s5SJh+1Hl@TNl#Ey&#hF%ZNR3CZKVJO*O#qY zI@z?z{dh5Gh=5Gy-vNEXgnI8HflGa9+zZ@mS#YSwk=EAOmsfrbprg&Xj}WHAdArO| z8LDhK1rsR0LFV8`&yaYm>CEvC2i9tCP$tRYayAz3rA>4~l_%;I-7hJVTSc{rU!$P2 z-2sQaMoC3FU6n)KkBd5KRMFx_`ku^#%HWlK zsep8Pe!Jk-PHPf?g;J#2dv3vWfscO5L2|ha9HJMmatv&_ubtm3@0(Lh&!=(sr$9ie zFC*CN?`F*1rR!`XNg^`~xfwJjfSEiaD(irv@c|-8xotGyU?Dr`wuH3g@!}uW9NgNw z6{~B@H#N>=Koiq~DDEA;3-&8Fou zS^5q6j14uac=?p2XE>6UM{R?83k}#)-cbKM(bui9A~>(lNO5Fg#E$BSn)MPAUl)1| zr#fP4qHm?xnc;*w>#&$wZIhweUC*v4PvegdJN2SO9aj@w&q3H11FMW76sEi=p)JD+ zv9bqC$A1q}+rA_%$((bP-96!gABV8AL!>BiSL0tQzB)=2?E~0jwXBkZ-ov!{1}rlX zpOx8Pe#CQX{D$z1)5Om%R9JZ1RTm{r5ysqw=^_fk+b%6t-KtTzwxdi8K_|wdBC9x4 zUrbgp+1id37Km3~{;m``8Vg`YVW!04PJu)3oH{_KW7frH{HLCct8}L%DVdypYPC?Z zJ`+}7)!LP0YRPxCZ5%t$Qmg)-S}9I!;JpLn~nb;st#tI&63**p6q z(Lp$Y>kk%1pqcv<%EKG*k6aCdysRbt>jR^&cBc<3Z0$2*s2Zn;1V!k?Xy1&vz}3o6 zOL0+;AGJ`xmpInA3r=^V`;xh`cyZpxuR%K7*8jH5=YCcUTU7L}%Z0S#UZ5kA>mzq) z*R;>ispwxh8di?z3n3^mV`O8Orsf`1Abz%LO?PElebLdgfC39F_){EroV2d-0kcXB z#;_hvX!S`?=>B_G_vW47ceA>HAA^E6R=3#98do=Y_Bn3`R^vPGWxmzizuH1>;T_Lg zw{MT1wbdo;33)d*s4GwBWhYsqSmWHS{|RlsrUQe#2TA!;DmuYoO}pkdfwc-1FTmI; zmvf*w5j)utmMtH~j7D*7hHlc^^b39ITjCZ{jm&JA28(r9cUAmF=hvFl1{Gf3+3aA0 zOSA{wd*;SzxlOYC*9j3rGLpO68)rT9h7bLc249zwT%^bZ~3O`{f1Qq zHNGvuB+4ogBiz)z>YXVP-|?D^s=XopoLyw&l?EIA9Z6KO>N{!1^}0`Ot4w-!NSRaW z`kfQJ>;03kdCb^o$9u9hG9R+CA#dSUrgqIW5Iq`oDkl?r&KYvpvt|7x!mHMK{ymT~ zTvCx9MF$(?EYHnSJL=j+tj_VrI}|U*AQ!|{5fj)%ZO$?7qWkZI4N>$arH^k%zJW-bJrG2wu!DAbMHo;XSY!i&Nal;>^S*8 zOr3Sb^{T7!X8EP)8vdqelbHhn z&UFpfuFe6Pvobuv*f8vT>ED=c-1ozXGY!Em0?;-&YoL@n#yYS(h*#oS-_og0KYxq! z^DMNTGPSDW2$-Qj!%0>^Oqvx%+qk12?3YG>lb_P-f!Z#puI-I9Ij3;RltNBWyqGgcE(HoA6vOHw9lBs zxA35(vb)ci)3@|)h~D0KcinCG8JeiuT)yplwxgL|;lAew!i%0?Ta{t_4tf4RmLf?3 zkux)cdVmc5oz>kbhh)&lYcJE0jx6@n!clovnp^w1$BIh^-mu}d#4Fk!1u2<|Hdxkk zLQB9sKf&rqYA07JnLW#Kn%vVSwkrRwB61oIu0Z~#4S!7Yd|43gZeo%neqXh`bz3F& zm*P+SMqhrTE7%CF&L1zX>DMm#)U*C^=#+nYhs_m@x#|h!v_%(~JQ$5^hQV|H7*Awc zUh>jE+x>HWBdSY%Eg4~w^G$b~EYnN(WPXxy78&*LF&w#PcG4rFsyne~+?uPPOPRo< zt8^)JRD7ZBfzCQ}NzLyYEnZbE7nni~X6BLd1o{$8?rgT3v5CvdNgR28Q+8z@akWLa zKkcQakxYwcsWL^MvM?L&1XK(r`rOZJt94&$Yu+yBaev7TJStr_c3^eTzRY1gH_Rk; zNAVtgVl+9jOu}~fdsG^kNSb-4(YT6r*tk-^U9oM}BNO&0wS0AU@~0{K6!i;FLyGkO zVe2j2nttHuUl9Q%1(XgE>FydsML_B9l&--*dXz}FbWXavJ4TM~?#|I2ci(&OukLf7 zXaB&SXP<57ea?9ujy~%3ByXzzou9Ef$8pR*Rr9|qy>G5e#3XK#*4UmRI=eFV*#Y80 z9}IYN^}GN*e_3XN>PtD!83#^dYKb+Xi$aQ`w)Dz_riS)ia&~CIjsqcK&x_9fPR`J0 z49r`_;oAjvvzqWA7iKMU1HG`L@~eBlFb+zXO6n*g5lEMJ%_ z?f~fss46a8HK+mvUF7xIF>T&z!g-<`SX_IRG66bYptf*e4AB7GH$P+ysNM9^6NmU! z(TiZtd!#2&)cbs$^R)?r^O}Sw@E~JSkpP+|2UD}ebcJ*gH!8UB83Q$x82p_$uA*xw z+^+4uULH7q&d4r^*+wf8EKZ8g%J^5T4OZ2eV314w_{2U%b>Z*MKB82_MSeMx{DT>6 z%XBT`sxvtw5IZ7)NsKv8wsch_R;2zsGHE==R72G!fs7(+&%_t~8(`Dx_?=M1XbE7~ z)CqaVi%oxIdh2vx_f$xX4mlpLt*G`cTauoMXC;ia?t-*Wky6#ylHAs|Q^SrIce=o~ zVJAXxaiH7r?V&wG*ugz-JTyIz_=Iy%B;ioY2Dl<+)F8p8XJkM9S{8L7WV@D*H5B4W zZo$%q-`kCK9+}pjSX9Wnd)A4uE$n3-x^*M8PC|Um+;rsWln=KrtM! zL4Ee{>oVZx$vZcbe}v5nu@>haT4Z?3;)j~Jb9RtW&^CGE4|C!?_$Q+J?Z+P&<@P9v zERXlqh>(fHG7q%6!5GGp>VO?+M-g)CGyWzdhT?St46we?oFXn8R^5>Fv5>K!=7TjF zMOi(J*8~>>P;)M1`hJ>(f<5!F-@RQ({`I*D(XLsq&4(*r#X=#hXX;Jk2f!+7M zJpY95C%taWr7fp~Z(6is0294?-oDA?bR;$3)l(++j~fH z(Hq8)%##69V$AI2FN_dVJ=qjZ0S`KjSaqcrbX2EIF*mW|qBIFl6Vu0axLLyW?l8i6 z>1Rc;btQVRz3S{~#Q5nAkf2SK}ufc+1;8#)Jn&NKQnNOPRB6IQqQk0T5MuVp4@>~wJ4frcMrx1Cd!Gr;xD zm;O;EOtrDPN-3)1#Q7|F^rW-&sBgjqpQ%Hi&mZ94b=?xt@BL>+eKwQP>3&IdrddTT zezzsmE|8;ysZoTxNjXHYuYYElDM;+@_>$xz7^uRFpum;VUrr*%9kXwh)?UYlRttu~ zlr)RfeO0QQZGZC$zNIU((4~l6lMR0e+DJ?J%itC>f)*hrZtnPYq=5L;QX$*($CdXu zAz$p7t5Xf+gr>%Am!@TwQ;VYosMSIQRlM%*om^XDvKp(9Sp~2&bqI~Y#;kuj$%Mk{ z&5kB-hb;FSKFPH3|5yrdEWVMXqz5S#(IB)b=QV|87RL8Mmkz_*>6K^Uk+us9_ngjt zJlPP-LH8Qlh2B%%)Aak;i&f(<_f}JUNe7fAWRdnS12_0m``oA?y&XlT@m`sDdn|X; zBDUudieSwh$}0Q>$SU6EJ;AaYaGqjNs5p!D%(1!p;m3XP6zWccQl4|H%(K5~wx)M& zW$mff&S`QZVo$PhV{W_gK8e2Y)`X2t>$W7lEQjb#d^#|DNRTdDpU>LgxH}z&vEQlL zew*G)zYllZ_t_BN*`10UDNlPVf0f_n!fMlOGxsk;n@lWOR9Q~}c=h{9xh41*0@RA+ zv&!gUVw)O=+sx6kCR~j%RHrmky8jfKe^?Rgvb1b4Ovpv?E&jI}$)J#1J3`Ws-aOWjfcqV- zWL==8Tlq?`uL$?-hRnOjs5-`VksQ|nEMuIL*R+_MISH59;_2((;;Upq@#v0Rizg_7 z<$W4qL{RSyH-_^$ovlL#{(p6Al;Gc@eLDMpXu=$$%$K` z`Bv$kyapcaO~3vd59kX8^rt*$9i2G^lo_H6O0_lVG{L;gK(Ejxz$AG{ zco!7U*9npbEg*bscjJR}{di&OQH(Cd6vzt#8a=$E=K2J7d`F%@;(ii#Zh41%*fHdJ zMqYR~F!7b?Os%3gvVzurnftGi^nMQ3N$=>|)uQn@85Aek8UBHW@DF#w7I=gZFc2v& zDA`_CfyA)v--^TANY+wc08E~GfnteTy?yg9O&a)yxMawsgLREy|=Fc-l#e6z--nBVN$XDXvzh zU7r?3&PT^6kG^*%v=M@o>N?4Ru$u=&>L2aJ{1`@hXG(Ytb z2HKlHve%BWi*CU+?-`0}3HMof;6G^PMnAGcd1raz#%meItZ?VcNj1sqDSuLFA1Xg-<;89Sh~ zv?05%;Ip@G$6;580w+P|-!~E6C;2@=WXwtLR`HRMB${^Gbs8N{vd!!NT1O__i9W2R zp4&6;Nt*p+1Y_tFOsEq(=RcK^Q*yo7yf#A!INtuwA!%$%3DiOkkbysi>YCz6Qd*#z z`tbER+xH8lNU$dlc2(ePb+QnzoV;lmX^ef);>g01&{?C2u(BW~`KAXU&BmZztuyeb ztTic-FdPaVyq@JXB!-@d9XZx1aX(kM|7?~P-p5;uN_SUge}(=VJW0y>t5LVl zE9N>o2U?I)#&TL9;)$q;Rk-(F$jGY4FSo1#R8%<%{Bm|vPt4jgpb}Mc6+|;;yHw7$ zcypcM8{qYhrZ;cn()x4HbS|Dhm^R`!vp{h%1_71g$Kg?x`2w}dQvr2g_nyJW|3Sf0 zy;7aiF^!CCQNPk%TDHnuv!VJ=4l2gb%T1OWiPwOZw5cH{zRt%0MC?Nw7xMqu=?V00 zCouSb)PjQoy=frlM*{K{N#Sn%n^^qJBqUsFc({zu(N}maEhk4<{2(s(vr{g3U-(LK z{kh~HZfDg7vW>U6D4Hfu^%Ie4fgf6{_9BUCh=yIinGhzS2}-IQTopTFS!ht}yj3Pb zr*_pm7Hz2m9YT!DS0BWIag7DB%n2pM@Eqns<-N*6)k4|NIp_bBb5NO$PnlH}a}G*X zathT{3$&+Jt3t+{;?xR|1du|DuDpAYTLK4lcGCy3ENhv9alRRJ=oTE!?JwRQ1d<+u z(N$$FgRaU?0KHQbI6G7AT#pTcRbLFiGGA&Y(2sOz4C8Vd4`@57~MTt%(PQ?vgUdcQm zIWL*M6^1c6b>3$3wl}VS_Uz~*0t=26?0!OiN}}L(xYaGdQdKEhWTCn}KhCVw*j&o4 zqcjs=mhJGKwPi~w2@Kj`5I|TwO^%#?!UK9z>)AThkHgutle~Zi>e{~B8{~Y_1efx(9GH6fMuE<|F7iE6< zui5{UU^!gqA`EAB4UY@+=K~jR{{)jOSg}K}<`iCUx*^(_Bx5*8NL}X7-fHv-?%b@B z-68UU>b1~ny9n*_#~qvWjkBK<#LYvOUcKlx8>>~L#{R#K%F5Kb;qRUFEMq8hWc5#5 z4c?h&C^~Z3AYZIgIIiad38K75G8?*pGnM*L;qCcOPYVgF)I4hSKL zd}$Cv?m|o=B|fO6(Y?9q@IkVy8jvM-mWv=;Poo76C5qG_8jlM zRlax@pZiJEOwdDa50hE1G7KhI&QAOcM?a5QtoY{kf}szw1YLrbNO z^gP;yvy_ER(0%sDBvSM`K)g)Ynvp|(Rg7Fe^V;tkThF!upv6VDqA-NUW@KdWv$^W% zu0vK)sp{B5XyI;*gp+A!9CMzvY6UYNE`35}RU z5`86ohbpK;T#5LzZf3K3m2;1=gn)mxe3#>udZ){3KS6XLv46f>F11vGyGS6J;y}4d znxQJa6w1f4-I^5?n)%6E_bOSb@mJ5sVy%*N#>GmEfGnQrrs7bg)vkOWCnk9E%qLyK zR5ns?X`YJL z;d#!+A;<30-_~zMFR*IT8V`21QZy%38inx0g6q;opL?v44oy^aivtMn)R&uy!6jT@ zgwxDW0WW9FO=p|wUe=!Wy{Gg_=I9Rtku5WFoiG|~Bd(`*H=Rize5riKS>fd>fxgD& zn;%b%!445S*St#1rV20U)c3!Vxv0!br1p>D#;Oa6{4$QMFuBco9qPa%0G2?lOXkGg z#p)%#n;y@oAHo5pQY&NhWT?E#Els84lvk49(hF*p>e09YxFtB?Fg-RQ7fCQAXyUl8 zv9i`_9u;ni3zRaI2nX`qz24ubeRg^T#BAU+L2Puaxzz@|Sz)jz8_Y za7PK>^KZIdQu6Qk&bYH)n;=+`x1Ali)~+VZz;qftHP%=jqDX)zC8V_*No;(_*C3wW z3WB(7%{zKsyPf?YgEPrKd|&chj+csliI!S5^-&T@Z&eYUF#XfW_8?7}YrdcU2gIz_ zP{>||#8Yc@!I@Q8F#|;fGn-C#ycI7?%MR#5#at731y%MdBnzLnDIWKuwHph&YEUBH z*Dp?${PR5X#xLsSc)HC_ZWSFNRp$4!F7-N%WKi8a!9<%>)I4 zq9TOhP#JMYVvvs&@VeR$L9$9SFc;eWZtlj$j}SrqYdiLKs}x6{ z$7(cD{1Niq55v9B)_LdhEJ_&jNP-r=tiu{#pM; zl(QA3ZVdxHQ31!BnFMRK?bPoPEQWi7>*aW0@$2}dT|3z})9`5QMLbclS#g+w2yiCK zza2*>)z{G@(b23AGI-NZfocQ2-5S(IrQ(dM<>pvxP6hV9_)`(9@uCXMKYFqh07_r8 zN4!bF;;kQCqiX~Rid>-N1(RSal@?)XT3#9fe#U-XD!{6X86yg;TN7%sW-w;BqdIMB z;b_o=HxYWy+)%P^k@h5Rg<+B}8D99{OU4>n{>FFw+oqe*8RwdZBa=jZ4r+1%#QHT< z_NLQd03DJya1<^`&vU5e&T;5d4Ovrv$&A(Iy{6b*H?``dmm`VJ?D*z-Wj`Sc z;ZEVIbrPo9MdaO)ZFrrQrA|(UM|P`DcI@fGFO7*(W{*cUT)#BfuOjcYkN$eX@Y1_1 zgZ;f@yMl#vLMs8cK*vP=vw7}P`G?~hiIxJfqoA|B`*L~?Azbvkr&W(?sA%gAFN9#^ z^bFFp#AA>6Rf5m*}_}TWJS##EYk`s$H2gpu+KUhRLw$m!+spW?6 z6ik_gbn3)LI4lejq2wuO*fLmT@#umEyV3{N)C}6}d*_{2`+L2N-~uUDXy!9b#jg00oV` zwd2Lrvc;;<9WwhaAolx9ak!>JM$>C`!9z|rDbaRpd&;>G8m8G$E?V;{lH;mvhtraw zL6HyT(bd;@tt%yHT8MJ>Y*0fpSA(>UC&U$|9nD8O`%QTIZ}pq>%AQYAc-G&_*D^Bj zglv$YCX}rXYcY)90HRJE&`)%X{O7(~TFUg%ysnHg@K@hc26yey6rCe zZ^ZJ6-SrMN%8##*<*0zCPD4Vf`_q@tqeUGb#;?gySjD~3^c%_LNIgz^_g}S*NtoK) zw$~d`lpp6D8zLc%p=cDJm86D8^;!*~mu!x>sZ0_FUsrWgZUZNNVD-{@Zl$HetZxGG zEelL^W*kXhOZH8sw1)p=dR`D&t(e@~ntg6SkU^J&@rJQMyht;r=QT|B4wnK=0UYqO zy2bpfs2>m3oSCrTF_NyLN`+Kt^jET!>IORSqnye-O!cb&k6jlf=F)IAZ>`qm<;kX1 z`6>3}b6Uqd8QwF~|Cy0R=^(Y+1V{D%PDi5(wdeP0Ar>L3a2) zPUniRPER*`bGz?lmPanDWek;uG8(Qi`%px?T$<_EEQ8RP0vjnTsw}$6@iCWJ2bX@1 z5`{6oF*KRF@XNhZG0i$b*zNoAKanrrua#-)qCR6~VW>t_p9o66e+6+Vlk%Phq$SIaxPo?W1yEL{=ZKyv`XRo5PUIWV8qcVj3Y|zF z2AtyXx3@yTd4{7*&#~6?W_gQ@YG-v&*)mEQ1gf<#(Wt%TcxwaRRe|q=OvemjRYq?5 z&9f38vc73e`c^``x#%BeNolS^a4bg$fhDqMhlXyQ0(ZrsQ%S(=W+HyGrfck;#ntju&5+oG`Kj^o_Nv|bpla(V zPwH{TFORIxvGhk5s^`b<|4hYNMw#R&J@PD9eRy$5c|W6a^m$u+dUoEq@46nt%Rnb; z!+o^cC^W~wc_^>DQ&3Q;V-lToS0&#u3d51Yt#@6SQ|z4egV8jHRGj)Zw_7)yD~9Kl zG zSCRpiBRsx8p@Gk(tL~zB*b+veTv$LZ(CN3=%bxX{FoaDtLFUHJPdD!4W@4ir<6jVN zebTxLOi5%%{#QDF1KoVDIVuqv|8gC^qoiPDjq53_iX9Q?O)a$ATaTH;65F|G#6#X; zGpnsH@M$?enWN=jtEDF&z$q%ARwR;I9@GQ(%|HAc|6wJnzmLQOhdc0DbogxV88Ihg zX={MZ#r@Iy<`_)d&6yL}jat?b?`#Cw6cv9%ex$TQ15K2*qg=H&Pr+Ol%1ZitG?^S?T-eS#4YWFJ8Lfa5cjHiPMa#|O}kHhsR#(rlvASfzMVBoLa z{4Z~q_UE&6_W2Qo7&zwC3dbeeL;9*#S)Xt$XJ!3xtU3B(+}^t`^tj3|xzX7%#aq~(<19mDPZ>B`p@B=5oA#``j9PJ@!+m&3VL zv*r42j0^b|1#WG-mI>yv&}mO_N7i|LKTY(|mXhQ*H~Opg5TVEW&=nUDJ>%dUx&pJyn z0x}}ENr8i5|J!kl?SBbFiBO^j9mcY@CwFsMt;S!L|J|kJ6JDs5YJM>-Yteo`5I0u# zd>XZnM|qHXR-IO^b}g`%PY!DBe$>y9Wzut5a&p_o&-3O{<7GBiEeR=n$|)?ID$0f1 zvJ`zAAUNKBc?DL4ZxUgJu?gCNL(Wno?a|LI{tX7vpjy+%ifH*YERbpzAp_}QuQkoV zB6Lu}>Teliq-vQT`fOiEZcaGamO9FV9;o8*NLP_4&z>wSG__RwMNjEt&atsZq!Z+yENJv1B$-5Pl_Iu^FIIOhG^A`GlUNI#en^bUjS%C+ekxx!ED%(^OCju`Q&WgY@7})K%rm zPOJiTwJQnVA~}hp{ebl3iQgsQ{!mcPA*Ai(j*6CCL(syw?1OW$y?mcAv{sn`gfM9% z@EdQYcn1=zUOG}UjNObi-=iI%MzSb4BfOL6k0rzT`O*u_zTBMmIbqfVk^JM&sX|ZH2MTI?-O|Ia z2U?`+Nc=JFk3~kBX-th}bh3O(Z;_ne#)7|vadj>YvUF-pI{IpG4aN5+1#7l(R#U1u z%BCJGM{Y@#C~<6g%*wTd3CLrL(s;h= zNt*DDAVj^KH=9k3{)Td0%#^JMq{ds(*gl9d^!x;0orpoHS!oIX36~uH$q*4z{8;_UgJqay@%&w4Z zg+=F~Qmsq_Iqpbc%2Fh|cUE|~udJxN%3_FYypgHp06Sc~1bj^bcG22Tt|>7p2;p@Lp`ah9PJFoLqWCP} z2jG-6MDR_oQ9S$kk}w$pFj_wxH1uO`ym^U!xcK36A-xR;bS=RJ^)v+ic!?-(xyET6 zS$m5j`t~Z63)8;tt0VuP2UM~Aw$q^6wOF6eb0oE}6c#cv&}Ikum9MC}+Hlna;anV`%zdW~jrPdx!;ip7f+u=MBWh6Xe@@{y!a zu6Sq4)|0`v4`{}F)?rLZI;$2h2M2}IF4J1<=Q~=39Wex+vvAaLJ$p(FNvtGs{M)7P zI$BV@Kqg23RuVBBaN7a?t-UXDew~jqD-QHD)*#@*8im?LRvjJ}>qEo0z8(gycAYMP zTypwd4HXmN%**4h19ojZohPWQY}T)+k&j>%1a2VG7P6#+1dnr+6(R=!wMk>ROb;og z^nE<_)jxPNr%I%s?wMkOn<>8J3O?|;t#2hKfV(8TXvCUj>4D&uLlmhF6%+DPhYd~A zNsL?6Tvs>DQ!y~5LTrm0NPp_+sf%xUVv@UhlP z%qz5U!Tl)di?Q0}Fou)X>0f5m{2;iV-d8o+vv&YCgLSL8s_MFB_a-W2yBgRa%)NlMm@9z%^hG$pH*>lhIN$+ySIdsPsD-ZZN%Ro&p1b)YM{dyOo`Y z-m4$_z*cI)QtFSl9>u|mQzuoGkLufvh0IDFoJG2(acqGbzsN%;7wS;YJSl9cM;KXz zdjPlQYT*tGB&1oZl!CJ9V-CenYCp`uaE&H?x{h0inF7^4%tB4>AdNU-SM12zkv3FA zf#wXH3w%fNUWJYDUInSO=LhGSEzh{_rqZy&C0p0!;t=NQvizU@{AE=Q!u5e)o{#iE zE|rNq-va#y^P+DJnFIp?5>IEx#@{VnJ8*{jY&r{g@=}|PJd;DE`bu9@`5Nfve_m~l zPGO*=;^P9m%*t{#x#^n66Z-MOgWBVki@`-`qw9OB6SH~N>TBz`cxdgQp0y;ZlksCf zDu#h(!s7C+^Uh*BIm&NZB!HpKn&Bv-xG2faetREvr(ig#M4&a0VOIoyW&4$&?`cFm z*bK|A-D-!C0k7EX$Pbm`B?(OXZ|(2LVy?&V?qX3-oyR1$qmOn1#BUA`TgoByfWqsxCaWKG;$M^O((c#6V(nSi6L#j862wNi)4V8ubi0H4&^tO+a`aJi=;`HM z&X`X+_Q=!X^~jf}O}Q0v??v660mD*4oKT|}XWpPL{ zvN;}q8DRaF#QUJ9TO45cSe#`?Ql4B3XVUcuD&I055rcK1mrFYajY+ zGg=Am$gS1+CPqb(yY3kjzPJ04*zOBOP*u_)He2Tn>pv|E2Su{*5i_>Hm#lZQ$RbLz zoHNqKd}YKnl8cJ5LUFM!6yy&~Ap@-qWyW>?^EChRqh&GRNBtMrSHNBjQLUh!bzBwN zNhvKnsp+fMhB=eNpj<(8)%lGOVmqOOQ)8_di$fPTv}N%p8@m1$=K@c_Q`Fm z+YS^em{Dxo6wBwL_33%;%TqcdhqgCd*gL$t){(J1 zo;u5kT_ljf?5{kVLBXLPhTg+riAWrubwyYM1vp3FUI>=Gs+uDaemJt;DG$z zc?0P@zf*&}LSl}iao*nMEGay>w*97dZXfcA(PaTQEu~2_uw-$LN5Fb_oKRX1ED0SM zn5;#DlHKsp5-)!tfEWwl89zJ@$v$VGHmgAu+ozE|R#E5g+&#oL!Q<_ei zfZxGyeza-oRML}+X@C@`X%1BG?92lyiDq5P@65w%0QBE(_XfhEI6|MaO>YlH-o|eA z1bE(^8a|urhzX~U=wxOQ?pO`S){ya$I;*EBIQKu6?ybs<)ybf&%ZJC~ zgt>Xw4>Ec3W_t&zHuquuQ1JJqKImyeiuWId6{(X^*P;45=SSzgE@UgtO|^{GRj%#u zl%?*Crl+eDgU$)n-Pm|!D!^#t9WC!WgD7&>{O#2~TlPw@DZ*n*J#OiXO0hCpU^1hB zc?D@WBGw-G-9ANw7|Z6j(Wq}&NUo_dNzSJ>E>aw%yDuZKIdx5P?Qs7o#MY2FHsNZrLtMDd3JEqCoZ9XmxEy5=u)7LtSBO08w&@^-v@GclWoXxS(s1u)#{|Cx5Ke_M(1! z=!Tndrkt@(_r$qm$gVw2muj5e!b3AGv@Q}jScLf>T+1C4w8X}*CMLF-Jl8*Z0%-M? zcBqx+n^BXEY{hupe23){GkA>iSW=voy*a}gDJvUqThomkOT%^IoCdeeg}63GRkC$w zT&!`b@^qR2c#aG2tB1meDH{E zg}E^CyP$?empeu~crEx9CAFATpjcXgC-uO!kzwn`dD%%XUl$#gL_ zMf~eKLqW}r)i5ER5w6nBx8ZIAnDp{VQR3_=6ItJbXkW&#AjH>_xXc;`T&LF3^u^pJm z&a?;q_mnSH=SHo}$3Jqd*uVWS?#{4e7|-_1@$m_P09ms4$F-E zFsw!wp!tYuxnWYp{Z1I!$*m2J=hoE8HHuqan2-SU$=+C1E-M?f823U!9!#n%@ek z)r5l(b&erF5SXwhm7-6Ex%`06; zGDeENgwTYZmh6#u@2j*&mX~$km8D{J8;0KctAUCe{IOB$U>5gh7|>6^VGoEK1dz@!bZ__ok!MukAP~E&^g- zU8O6fc5yMnp^JyFB9TzUIbuoTz$)CP`o;8)Cw_C#qH+%#*8Jf<#{=MHk^GYj3QBsXIRxKkX z%oUML0v?E;%8{+&cCR1E+UKjUTc+UEwfnV~Wz=uWSFPrOb2Qa!axg&e9cZyDBg)I$ zoi1RVks+tTTkXbzW4oXvu5zD@T`pJ_K01mI5Y=KD*`ZVnD=Cx26;I?h6cBd>hdxTP{p<7qBg8ywu)BT#D?LUX|rf z2eM4M?J?yHi4}Ly_Gk%F^0CtVfHi6^F*2~p!RB2?%eUEh|DC_MgxIyZv-VG0^Fwyh zqielrOXS3|E9|@r6Tnm&dC};67Tf#W#lc$>`2_*=wd3tiv{UBmMCRY;%-4W850Ju* zg>rpS-r}WDc6pGFaX~{3!jw;d z_F`+%=d-A##eu(D0`3!S~8nC{=>*{$Em~!tU|@aT@m9n_(V`Q~bdC zY#KHsJYoZfmXmC&;XukBzqx6q=SyIU!*$6U5;J?Eo(If80{LG523!H;C?A+UeC&r! zJ4xSA-Oq9|is0#_+ZP+dX|{fPB$n<^bAnlR4WexPqrHRu_TN8?G?<3*dspm-0w@Vr z7vp$-ojKOy$oo6;rM}|^HG?@Mg_}`_{{>$C{B|x2bm0#=#}W`o;`>HjdNWE|QteTc z=B2%n2fubqVF5z?l=bD`q;kt27Ovz4An?uiBq365uW4o1tEp%7pJVRCd~qXlMqK>y zHMQZpP)QQy-+BBA$ihd|)9f*B&EASUZ9r>bv?#`YoI1Vn--X1%BdS$2%{h^e9#JWM zmGSgaEjAJXf~f6$))!RjjIryRVpd_)y*Q`@V^PE?;ipE%uLtj_ZpDc;=#1T^BB# z@f1Gax-Ttl$vxJ5k(w%w$pqoVToz6_eoIKW;689Gnkg^Ulf$hWU7gm8yMvQBK^rts z1!Oj=Y+7wS+ip(;lDUi`mR&2F(5G$J`=)v=G_%aerg@e8nl3cjB8dtTke2!uLoB~# zK|nK?GVE?{F*mD*)`g1D(l3v3`lSE)N$7^ibJ%73lP9*{Y7C4R_QDmrY(Ym0$PPV; zYi@tVnevoH{o|nb+b=J|ZmYXRW4S*8q`o<2WS5k8A<36sT9GY+-xR9%%erDg`_ReZ z^6DJ@8ZQ398dwl_ekcdl&j%-rI@^aEt{tvPLgUdXnAf#yugkU_hqlfthOP0UmR6bO zePG_)Y=t1D4d`}JhT~w3-%nMfAh40&wkV;59k$>!IdBr$oON{GyeWk2AzQbWwo;#c z^s=_G)IO(*UYJ0bEIn@gz(IX%OW`GZqV*q~I?v{f6t41HlXL zpxEY`>4_SjHd!u*wkLgZ2(6*M10DlLH=?)vD-3^yznWfU^l^%9!XcRR2S~)vrcwdU z0v=yc+>|fF#`xs3J6@T5GSAZ8ers)Vj5-qSS1i*3oUVkK;Wimk_Gky!Fjr3YUne^8 zY5JjL;uTwVh)nMbZh*Oyoe5S<_#7t4l?ecLiQb$3Q^icRyD{rk;oZ13|kp~O#6u*t5c6-Q;{qGdLQ;Ljs6K8B=2EBng zr%A9+0%F{(rpxUBI*#6*V$%+nuy1KGXQPRK8z^u3v|}1?+992L<=l}bfKCWggjbGnht zdRekVzM_GdAlNLC6YHkXH&f%Ja1dY;%sJF?y}wjcv(-*iC*(^YaA}W0BI(-lQ-v7K zhW~e3ueOe^evIPR%N48axr3d9*=2FKcAefm{jhqE6jCj%X`23L9zx~oqg@YW(*b3VwZs#NA9_|72LsiqT%AND0+bha14{FwN zTt)#?V#UVQPY@T=IY)Tz6IMb)b&~qbBa6n~>J5|h^RG&JS=}s@(1-#=p(9zHu#Shu z@l>6)^ZwxUl5k>!R8t9CQ-Zc=39@CcF!?lh?qok;W;?K$_d}$L&jL0ht>-LFJ-nDP zWsF44_8L2#JdV`t_;LIemKxBjnTMwp+NvLK_FMD0_JecgJI8V;`DE^aL~3RG&eQnsDoMyMp3_CYq=SkNRdO#ke7A~zA^YyO&#u3Fy!jPc zdhC?%`xp3rK4`P$96=){GVr9VIUqbh^T8?rGSEDB`=wOORtluNnN)(R6< zDAn~a+cG=krYfxlbI;97q#5@%c#iPSKO;HM-1A1a3S@i+1;zsTOR;J0PCs&q?D|KS z2eB#V6AD^9I=NSwOcAw}>PTMvtVgWI6EW@j%}tMW&(s=S(XUR|y2n0woV4e{r%A-f zaMreCnb;1$g3zInG5&3b<0dM(x@*aHd$5kB(mt5H%;xXZ>*nf;wf6@`DRScci6?j4 zu^*!pqHkPPcG{UZP_e^==TZmRLSdg`I9yjK_^lITG~6iFyJ0!xiXrPSNXnWR3BVuP zd$?2P#)j;u6=m;edc?wbddM*d_CjxYIr+z_l~22S_k=n5WM3p~!u9y?zs z^~&d4HjX`|0(#-7>26`))O~IHyiG_dv6G1CHd!3vS6X?s1g*b(An%6_e2654K!v49 z4+PLFtwZ}QiC5qfxgXp52yaDsAV9F04@TFD)r}A2`j+W#GL{(AViit~=jC z--b7wRMrAj7!Bdr-d~z)nj-g;ge~k5YFPH>#r(2g=RGoL=~*-GA&R-KB5JI>ih$<& zv8Y=iO4GEH+`b`lpz4UJ9o}CZ_;M-Dk|IE8v0ni3{R(xC?EWj~k{Sm#XiETem2s<- zLR140xP`0r5SJ^jTXF=Io$Pp{LP=%bY0epSJH(j6&@Og%&Mhq6x&*my_6cN}e&zU{ zb8Xc3{Bixp5@JmC3U^F$n-7a|!2Vq10sB1SFG32qM{Gd!h}bd0jro0AZvGz#rt0&( zZF9+kYsm7$Pk&+A`P^f|y4_GNe&~ZuC9Wqx5(7v=c@lnie~M1MYrTzUY%^TbwJkbG z$~ds*u1WMwN4G&8V}79irx*YHwo`gw!x83uj#B9; zWoCkl{gO>Jr%(J|nX}%Wmx{TZ+khC>e8N%raL?;6QT%l`(a*5_rQh){W#C}&Uk!U8 zruzKym6@f9?S{Qoyb;gW?L5r-N^;Aqa^$9S-3!DbtdbS6uy4)39PNKlo;-!DV>^0b zH!Jz9)e^kE?QTTvR(G*Csl+gq-hMIo9#?xo@L%X+}O1{xJR*P6VVEc$)c1}IH(T|IN*Ipkwz$o{jvVBV>I3yLB#d|lt zFUv0RJtd%HE@SP&=}rwim4=acXfuK5LdKX^*bqI=9wWS^wC)P_>kXXrS+cYgrT)Oy z5f(*U^eB??)mQJczQ@{g5Y1W}auCqXE-r}KgmI;lw@z@@Q4Tn>J3{QuL#q?q-RNvR zB*pT=q{n(_$(_zNf3#d~>ogwa)$N63YUFR9^VNVZg-yNFN?bHriwv%AOrz2(Svjsg z*x$huh1~b^1BR&!b@UtQ6hlhPVpn##vlf2e3OC;{nAx(2uALuTR0}k_u8|h;|MfubxPO zP@rc9M9`7`CVU5ZyyaCPW;EZ^-a159k;M$5EYmFJ@P`j}7V}@XikFI0X?uS|uBcv* zD;{?Vb?m5yidlkA#GLNO_iv_x{{fq>7|lE2=WQ_pywT6$+nV>|E=&w8I_8yCITw_7 z&cg&pDbw?Qv+t?j?_B4)_BVX4z249B+;_Rg0X4Oq*hQf}Prq7P3hIsd96onut!(cf zuH;SL36Zy6J2gj}yOrg6L>ca&o)3;0Rfai3^QB9JuBSg+MoLsHwj5SZ^+@FwJbbS| zWYIV|Lct|BaQ2ftb~pF=*76Ma(o#{#Nc=IkV$=R*y?BfRqFx1+YS2`4^X*fUTfg^} zOy7yw^otFLS(?MM%(Jwqe`ljE$c0q8>4U+}206Gywfn8~C}bh~cjj)=fLKC)=I}y_ z;KDJ~_>_8kMGV<<`O}R@fQ7JAGE34TTFh(SA0!UeKLCxW)idk)dW;xz=TsUOz*-MC z?2)5h^Y3ri;|@4Q*ldqf=4u#tqHzlgh@hz(u6qHKQ%SrVnZ+iA>(5D5AD&VNNZ^Zt>IAKM1By1g`<%H(a!V@sebp5Eh7@u$jCKWHC^ zixosmhIFtIb?!7DK1KEx^3!2iLC6j*j-F!UpUaMoe#dpr0bgcaJ-R7ex#-Sp4DO^# zxXcjgXlMq_zlz60m5NS3F`=!qcy8-TCZiB7lZ%x;LZq{}xK$C+n6dj!WGlQ&JO`)5 zWz)yL*PyKvSRsDSHS>0{GlI>Hdc+zU_N+2!`FrQEULK>PgTWYgM-jG%`yMt!%<>9J zm}sThz%@H@^&)N9b#PnQ!;m5$M+DK~sF^mQw%hbjCxGwKqleSaAKz;Zm*zh?@1eHY zr*Q5eeex%`tw%c3%G7oh*^4^@*w%^m{$XO~9)+2G_^SHYKP*-VSa1C^Cw6YgmA zLWeH)!J=d0?t@#{)3Og?_LCQ@X$;fr+(~;`Lt}q^2+mfR02~3Ux7Wi50no9W7~uqm z1GSzHl6O559eyA6mm3n~u?;T=S_Ox`{osJt+v-#vS_M53 z=lgN=AscdUz1(IDHx~DPSHLwA$%J}yJ1B^@zPlQU&boFTcg@PO7HzZ38riQum6Dd~ zPjVS~pf9mNxsG{$?5}12?&X=?e-_OoJi{j;ewa_=KdagOliaQ9X^8Oj+oANg{$ro5 z5(l4P{FC2lBh1+a$TNkg1CQu;nrGX8V(s>KGZBs`QB=l83t8G^MZRwlbUpF5bn{qe z*WGifIK`4Uz9t_!OXy$ssT3j%OMyi=kE<_ES1mS1cWyU>cwalrR(F`yx)Bck48GR& zgO?_Gcxb9520A=p&0Kuq^|jm#d(VuU49HTJwH1vQ@Kn1x*21x$CaIRCQQJa0?rMZP zp2}9dKw-!qoIS0x2pPhm9(#AE=l9l#a~<5^`AuXlxz&%w-1WC;pRuPwh7mIgR-oVk5H}ma_HvAek7v%s}i(JznVgz zD^WN-!pOjC50SXv+}bP;6T|_^_p6D3EKo@askg%2-&*$Bn-h@qOa)G-`{j_C)&7X) zg0Q29$99R!g-$>@Lk;ee1IlLs>3CD_s3(;~f^Dm8)*< z&}Je_#)+&%>?zw~L&d^ncgXX$Wywp>2I_E}qG$@eSZJeRSELl>X*a{B$89&MevBNY zk}O?k-9722K}9?;UKF_@EUzo{hl(OD9jCN&&lxRp77UU}x8^}k*N&ikpp^KEtMW%d?JqOSMG zVeVf?B13#1CbrS@l3Msm8HHc}v-#&(QB;qyNzrX9)35PUqg%@UMNbe{h#F5q-;Oxc zD%h6i$!2nSN>Xe|F*=ZnHUpJ?bpCmS=>vb(m$E37)M)gF#xTFWkyLB-7 zW-KRLX%btAro{M+a&oQTW^&;8%kTU38}OcKa{|EM2J4irOn0 ze_)V%=KIk^#h4HMU&{IQo(O-y)!bTT!6feZMp*YN zRPxUhTScm~^~Sjj{2A&|N->;R!Zd(U3*Bt$pko<10ItLryRR0weY&e{0i8*#IL=xUsi^QU*oe<0VY5?ud>$c*dRhGBZt4I0?P!7B-!SWNEkkQE!#K z@Xrc+*l|g2t-7PPL(O=AyHA{Wq_DRoWS=P6CL6;~rs{e}EaN16c&ue z(9*@VwDCQ>Pt%gq=lJG?#lR=F*3qP%Z^ z?6Sl^8ugT`m8aMk&J!0gr5wZh2X7cO$Nd2~XOElO`8ox3_N&d{5p+`u*x_ z=C>gS*`sC%L!Tl16@=^+HT%p3(+SOWe!>QF@KxK^j|x`}*s{K)i6S@QD2>E*G(Ds$ zd)2}1Zg1|1RzPF+i)>$I?!EfdNxIx&NOK3?_0P&@(nd`%?qr~DsI7uk(g+yu3g|<%HAC<4eD3#AQ*{QM8<#Rxq;uCMqgNbuH=|2Ul zHUTzV{br<*ltbm|8X+akC$vvj*(XQq)gCL{v(2#wHC{E6C`fsm$hy2i7bS%VtkVu~ z((+ti!WiNi)}v&|$XJfR1A8ZIV7|8A_C)cOyQvu>W|L_qwwmXZNX78wQPjklW4Tv& z^rX+yv=5~gEaty8!X^K!5w207EC%RXsB@f1~3 z6h&;d5FRE)7X#HaRoBTZP7=R{w-?+3{-;?e&DOrrkKtl(p`f!DV2{Z5*bl=4$*l>q zf;v~iaA;R5jGJ0c_JR@(HTB3h6v%YdG_8!Rm&Lp~OkW`1(weV5A?%FHf1BDU$sB#Q zD5g{x-`5y-xm%tq(Kkmt5WlNbX>)^VihArwt(l4by>=KX=I7y2$X3Rp$d@BS!WnaY zrRsCKiPS2wLO!0GxSV%G$VGJGMU=t0_4b#6Omx$MRH+qpUEU<9cc8WbbSyR>?YG~V z1UU`((RD8vHuRF25kJX|^}v~UX1_2Ub7Z23TngLrdG_qW5w478FVH>-IUJ7Vq>Bgr z_g$S;E^fV(hALm{GLqjKmdG{|$c6`%NB@n9^(sJ=ifp#5o)c~7VD|z<3uHvOg6paL ze(@<75;F5g=lR#*htQ6znauYR>7IV>)+WzNVDj&7%x;AO_#4~%lKnrPPaj~o%T3$Z z`P$R>UVw%L>Vt8HU2gSMw@f<=d}h@(b-ZYELvYDkl&k35wan*`E?hz@UDR8Yaw-Ls zXrPxZF{7?wirIJRlby)Mkn3@kkfTWTihSO5 z&GD(UjM-V#o=|BTXvuxdjq#T`)v$m#c?qLM-d#|IYL!>IsNa=OKvC} z7ch9^&7q01I63>h-XtNjY2lXZ{NzR>bfYY~PP^x#^QR~XE)3yQZjz6gD3gt<9Uho# zH4E`n$;qcn_3URAJl#LtL$}=4;Fe3~SMCVb_zrV88j0#Guzba!W^xbJp8?@shHqf8saMZU1%d~*b)p1WMPSF^Wh+fLdpYsohtR=d^W$5B6()lhbUBQPeQhUnNaBrX}tX_deEcWd(M z0txdn3nXB0O;36+TihUgqWj9~rIanr=d6+Py9ws73pxqk&ci(G|McPyQVq zhnWile03djY)9!%X1X7iL-dBcUV3*DsnM6LipjB1?Np&+5{P^9S4!Qcqs+dolFH>! zxwOm7f4=<>fJly;3h7KwWqM;gwM=>`q=!n4j9S7ahy#x|PsTfK5Cp4d!FIGjM4 zpR8e8Gh58a8XNgAV&3IEBPbD5#~*4pY-$1)9%E2d?|NREWZ%=nr_l)0%TU@ASLVq4 z+fg@&iA{rT)!&UfnF`-bv%W~J_w;=Q+uAt*Zf>hK$^q83gs{;F!Q|Ef=X41=sfCBJ z|U?is-UK4bae!$hlYo(^hH=VEHPc*nXZ%?!54CG`;LMQDC*&YwD=K{$XWV9pV3YF{ z-wnziCf|jl*r9nHFM2BEPu!|X>@7#rIqE1!9hTn|qYX(ftEY6-xcO`_lR|#c_{U^+v(y$t-IAk?g$l$e=zR_WP5X{PjB-dyz1Kprm|pM z_k-}=`R|9`y&D)7pWK3*LtIiDOemp^awTC^81=KyBu>6BMU7KlEX3tl#^hgG6igXtdb^l#udL+h3h{?|5te6}Am+Vd-*G7|;=EqVy; z)m|Ucp(GX~KLfvV+Dp2>Y2c=Ts!@nI=^VXVfc~UTot@j$-0aU;_@x20ep!QGG(s7cv=a2s#7;$8I|4Mt4TuO$qo|7@(a(F z_U~kb7D~2vgHm1JYDpKfpZN;Gn+bd2I~r?JKUKP4L>6uQNY(dqE}e#%K)ZS(IE;Ta zD3A+&0nI4`>p_zPcbrpeQ4}00h8(&RRl>D(<2>h(_Dh@v%EFFtB2Ac2W&7-@HB$wd zjr5}3K=$)`wPPOLtG4}Pdm?&iU4uH%Sc0T{jm=E# z2ivW^UY-jX#)u?&=+=fptJC(vrtpYqopDnhh8ZP5m_6*b=jWgQ4>MIp!tk|d2+>Ux zE(Ow|tLl>naGq=5At7w+Igo_5PTtAijHJIuG|J9P^m&gBX)q2m!QhrP@6t21Up*C_ zK4j)3z2=EThQt?+YW=U0LPdcuX~(Pktjz}Guob4biuJbKenhUaWZ*c^R*0hN8e0W# ziq*pvg6eZ~HYdn8o^2O%mQQPiMwaNVa>hhH(R>}|tzB3VNzO&~{DG3Y*t|Q^=#%X4 zL!YA(&0V{}`UaBGPbR-sd|okR!;fJOX+3L+VFx<%f&-fqsO=nCr2L7OPuQ8;8F3DI z;H!use*F5H;7Y`&v%l^6Br!sC3e@fsb^ zHj~|*3d|5z7_0hQM^=lHRuVY&=o`r*lshZij<{D%%C;OR(M9T8or0YlBzQrjcU z%1;I;BjOlehj%s;U@RwE!yDmkYZMosEY9}3avr=Qz=$LA@Oiz-PGp1ln`>rxy2p$<8JdPdsvYwX| zk*p+UmJRk5qcETLI*!}?oYg{jwh;`MV3k%hX!yh!ZWGGe_C@;o&Zt<@w&uU%o7HlY zZ(2+t85(MkJYw0hhF6~qR-Pfw!<#e*$Io?Bq0<&3+fKYS|7vGaiN|Tk^BvHSN27UV zBgs75$Q!xZKzG9?XMY1nx%b#>sq6IuSoegO5eKGl&a~^F&0c#$B}T1##0P)tZfwd7 z6?bkrk)}3X+R3)^-}y})%@lS19m3)zdChZV-4?b^ zgpZLg|6=5^kTN5+%$85^u^P3=I?izlv>H{WhX?1MS8}pfnq^68f4os9*Sx{M(kwf(YFzC$DLI&&sd>13U(gkqU&xQZ)WfBy>A;YK_tstN_c@KuM_;Rb>dbn6j`__)h? z|Ly2~$ojA7SJ-I@M#3als0NkuJ)g7DD$(W^vipM_EtXInNFNutWk7MUsZ^DB zT@jB$rtWm!8_O*!^bZ5s-c+%?=3Z8Nz9IbVyy;~oA_4DNrf8p~G$poFy-gQH(;J9q z|0$Z<96K@zrpRCAy_Uk7$&dHo#)Pl%qY{frd?Z%&wpZ-AN5>%mA{s($Am|EwhD);x zKvn?q_0T=n33a(oGj<$CFF*SKr$jC2dwAq>DYMkY$}I;jQ#$-((GI-EDzM3WPeOsC zFjBR`ETU*e7>WHd07(%ErwvgB#f`5;I7N0YT z)P%2`t$TmG8>3{_z7CS2<`?;{0^Ab3Cbl4DUA~2!I@%4gAY9(0Q06dP;{Ye=4H+kafL3#OF%@j2yYzKdVAgH?>d$dnaqqIra)(a-$l9N(iM6o!-lv+mI{MK(@K+&mC zzK34xp!-cZNat?y+U`u2Q}Xt@lVgSh9QXGkv|8!heU;?w4)rUb*wVHC7j4hUa?Jif z!EM~8&b|Ish@|=w5QFJhG(JH2*7XzEExmk?KRT)18BVVgBA=}qf>O#B2ElNNfW_}) zP?IZWevsu(JLdx#yTf)SyTe%xyTip3JJsdu!h~){V_4=2b=y(a?WdC!sNLcE1d9y1 z=zS7P2TEg9H~aiH8*gf5A1_$oyGER-cDT65)Jg>UJ^TVlLiuV*nw#kCRa_%Q+_i+k z#DEXi>3Ig63?>tdH%?$WtBLOA%WjUkaFCmQ-J2 zRljO?YN<5UbRjk6hyJXi?4J=n>33;1)pmd-#dqgO?=J#wO?(RSIuLdGcKhXVpi^GH;pOK5(&ae(HMR1l zeS$R!OP6dP;VqH1v9?$kpws2wze1PJm6P;&qSFf+qSK7to$XOT+b>r7;<9UPkOgNw z$;OSRs+S310BR&!Tw)|o^i%&He>iw_@%Z?ct>2yQsl+p%iOX~vI|qwG&$}?+!%(^F zP7R{dCV9J^)|>2Uf(r>)&jnD@3YmCBMRx)omqWKd-1}Ev!keRCfIS?ms;Hq}YT@#* zT^fb01>G1zoqf8ac#fKq-K7}?ZcgzHFX!jj-j=@me$iYje#sv)Wo?4}HKLgk0DWfP zC6z6Cy@S4Nc*V(6{X7idqIXFu?}fIV-`JONbvi$icx|%IO?=o6Jnoo{zEiDW5jJfI zq{TB3&G_3#Y}hg8u^aOPbIHbU^l9+nE#kaw$M^bUc}ynbS&^|^B=SU=@uK7PAr3a4 z*Fti)yqv|$j?9kwDP$sYIQf1g=n?K8xUS@zcDuOzt^$N9)86ZHeI|SO-CCiAYU@e# z@^|c!gs__Rj@v*%6XzF(8)CD#prE*#6kig`+t>8UFA4=EY)ojIal$`g6HkCJETeYr zz7yPDg07$fQ+6<`QN0U-c2gkSSuRXiS_UsnTmx`TXhAu$+YWS2^Y_SRcdB=z9i-GgO> ze7h{8(;_gJ>M4#vla%%4sue)svEF;y!zgd#vaZB5u|CN|;`CcKT}K}XgLX((-g~(O zfR!5Wz@`_gO@ch5wuIdoG`s8U?5xelNAAAQV!&C~vBCaorsOCZeRo1H1YU8;uh}c$ zF@fozec8EXXl%XborXowr<-q>Cl!UcT1-3`_-|oG3ubaHtU1n?^Rt_bj(A`g{R#0~ zM7Fk*igrsLMKNY9Gv#~vzOzd;EX5Szo&jz#*~EBWV!61BA#2MHy6bL z0~ocNj%bd@HENVjhox;7I_)k`lkBF8vVXRu{6Zf{6fm4M80oy>SfPyzF@f>7f7~1y z`9#7cJN?mx>@n(cc*$+^JWrO`MSJdgVwxDc{iD0fKdp~FViE#A?LM!sZq7))NmysC zA3a5tQ$2oFUkDVJ?bEM#uJ=Wf-_+}PZyOBSd*R0^V78eiXp|LS0@G=?;KS~0ZqB6o zMfw3T7L~_^9-&!4Y^nIg@GZ$xB$oHeJL4}t;w)S!?Jn zk(I=VbcL)C*+a&?B|LTlAR<0rvgtFL7rRZ#V85hl(yrB{B%Uzc%_r1ydpzoF|8z*O z_%ZF9w<#~x7sCNuzX+99u)sr*^t$3(-3)2Jn)novx(iMXiME4_zw)%eIVF%b!`pbZ?GgZ*RL3x0!y_KQJb5p8F!KZvl zl23Y`p2^qa%Hx1`!$miv8THcUuTPJ|(sp8lyx3ecb6=P!O)@-O$&-)h=*sf)7Pm

N zLQ#?1BlBMK*Ra+XK$haK*hwPBQeE52A9mBLyawnw{EBvqs_F;mIsHm^;f*abzAVU- zPYeZ$m~3wq$LoB&=Bq{wt=KrV=DkSxN)0#tJ=2F-*8j#u#ePiSPt0)?+iP87@Mz6( zgu>MOeHZE8TY_a5`e6U|w>(FB(Ty<&d=Sja3sm_%t)noFK|)Fi16bvjLohzhsHnT_ zT;R^YrgE<62-4A~DD%EqeVHY@J=%Cb#Jw^%X$}1mqA83eDq$-4ZJ3Nu$&&$5TA$Wd ziWe?jXg6F^yl9lKS*h?@i_5c=gQ9jcF<-05J)NM4%yM)vSu6h;x#o7`j%JY^xmK}x zP6{W6#{-Qd4;f`UiheVbN?7P17G-kJyMbgVx(CfdKR%crv^3m;6Y|shIk^kAQ+x_3*Dv zQ~sap>@IF}+b%neI1Fw%QW}d3IW%jIi**qzj2p%l8x-doOR(^to?17b7qWunvlyXg zQ2`Q#RU3naleIb}U>|#+BZrOS(Ou#K&!AwvQKci`hEu%^$=!A&eY-w!w4Ch&dC_}8 zc|{uc@L8Vj_QV!06+7B{0WHUClkZ0x&m9-qejU_oRGvvL&np?!)a)PM(9D+LGTN{O zvWK{SWf&b4z~wUj%o%kgt2c?5k;b41FWtZHrK12APmf*hE-M>S93`}BP*w^lS`p*q z?jCw28B>*p-7gs+fJ^Gs?ZGo-ssyjxeb$;^&Em24sQqAx`J4d9RZ?yv`kqshyE5}< ztB#4A$f)bVK~vQ7)bh>p#`@zboDBjAee*}#!Z`z$70krUjyc48`czrCWG9J4gr{>L816f)a>z^1!8oDgGLF-B)D(Bru4YC9Ts zdYm=bN%p>-i7vbugq<0qzqathY6u!#=YXo>vwr@4v`2_aF-tAp*J_$`r1K@-BU(_C zj3%+j+-YfsiVm}JK1WvZR<98xmqDaKN#u59X)iIXQild)=qT_?o8_G`%S(fJoxUee zskb&Lb1J_{yyiX*SFsd79v`$heuw+YzHb>B$ZE+pny^ey$u@{o0)dlU)<>Pz;}&xr z(xxqo1L(Qan&azJTfzLDe;?}7v}hy?h3A_|Ma`0X5f9LN37<_qmMiaE6M;s=r& z^vU}Pp1Bl&BVKT5!M+cqu;-gB-xZ?UX!9K3f~xmLhRU?zKNXDhbgdLp`My;8jv0^3 z4RRYBwp|b26-!?dgjeseIL%-Wo2b_=Kw#?3<=W}v(;_LbFX0i4a)vM?jM_tnc78i2 zd_$aTrO7D@nbLiB`$RDJZsU^roJ7KHW4_uJ9*whh;#b44En||(Kp8(g#*q}B&mCYy zo&wXItAHhz@qgiToYfwyD0HofNq!F=*RHUsNvJ9;==F&6QmrxE3{iuf-5z;kEPPj4 zRj|wfGlTY9^)QSBsf=Ccb3UhLRcl4#OzAJ2_SGAo@Biaf+E7Tt^#s8M0E||5{?v^%0dw-v8x` zT`m)>x-2l0{ADpwHygh?DuO| zOqR}l)ew(KEcs>D2{4(TU;MWN*=670y-iGCwjK<-(#5_<3|n#}ZGX!@T;peS8NWqm z9}W|u)gXaIHWLePq=PtHbSv3bP2I!KMkqL=z3g*C4|SGm0O-PWFM^cuyqV9~{VX3c zI!7~e9CeI~qkZmo=a@+)Dw^LT;f?L2hWlqfv(hExX;}Jte|ELVOJ;^-u$~IkFiMTN zUfG2SFHAuBN<_0Ef1irlb%6|JCuM3FS+ymJy^oLX%+4xBx}<&!dgZr`IXO&1rok)CSiSF!z*%P^hi@v88lnP_NTp!WwP>bLLiIX!|kLridole>hi>{Za zR|j0S!es2K(z8o;1L2h`sK8BnMasC713Jsin(_eoLG)%f!NVafJWwdJ&L-6 z>0E8@;tA#Da=&6yZ=X3Kc5cJF6~pQ!gEK=vzMl0zytL~^Tor)m4rcEs$}VBur5aT6 z&i80b_OnV)4KMUNgCf992L`kyj#P?1z5jOuC|lZ{8*epS`oWcNw8HAwVJZ|xwj4FJ z=j1Avu&Wg!Pi50?a!6xD0^0tDSqNj&QRT}|GWy5PcUIo=t)j#zaLg_EofnQx3sqtR z{Er@$9And4dpBrN7!piKrf#fjfS9`CGZn z)$@XM375o}R4TaFLzr5D4CS^C2Z{v1lg~3-#qwq9L6O2~d}6+`_QW=|vS zO8)FqI3tG_v?XNq00*4TNZx>9)qhwwXj%B=Vc`B(Os}m(O&%jN_^^L~!`sfuHqO#l zzwMU;JR-dRc!lO4IaB(=@J>zYxJgBkW}xvGDA{5ZEWMs;u|62_&x>#HY4)ZO9@JJ( zf{9`NQR@Bj3cH8PUJQG)Y<1rV};Mrc3x`V;Vz_Qw<#5_xQ!8z>P-T7O^ zn-3)9UpQccx*79+kwdNH6%5y1M6KsnEsMiFBOyqBPas6JqF$5Ro~8&fd96~i>g5!#45T= zOTmc-S;mx9rbl{y$TyTQ+)*f23y-_ON_h!^y#^9+ z#J?Gh+18GTJ_?w%c+|v8p<8cJ_*p{7t73A%)Hu*BbQY+1Cq6fP^a1t_1u@(#qolS` z?3uW}SZv-QB>z9b-bYO7x1rBb$NRFQ(;lAe z3SV+*V8(?qQ5-wqUbe^ZQ?bJ@y`|2N97$qkvM$n^4~WzKO*^wgY>4X-f8HE9n#DdA zl2cu(W+1SXU|6e+2=SAkSQYzj!{+Yxu6>g)qmS0Qn!WP_l~cbrAzGw1$JmJPLpisv zOu34m7BAqSG>F@v*d*(Z-0)Fe7 zHl2N6lsbYYSYE}xTbZ}I-F0jmqwM_aImVDxiiv#=bKH{`x(D({4r{dDo*hiRUFlRr zOiAa*Oif%rHJ%h&Yf^dpZ~O&o|NQ6dQws+;Hf(Vg{PH@h%J-BIEoZ#?gIbhNDFhFo znS+I$GHDT*Z98KEc%6?9{gX9WsYXC#n+ogL6SocIwJ$td{uQKM;7oW~m5XGqTiiE; zM^6Q%PRHfU)@W-#oC`w?{qXEeh>KNwY8VXW0%Cl^1m7Ike!_l;}>0wxh218rMOms*Qb!c)t=z*iD_xH|xFjJQ16A{b~5;zPF(ENFu0qtg8Ym zNaIKf8Xl8PK&G{iDdh?7Z>~HK&HPUsIYK4?kf3X`2CpEddKmE*Xd8RN3K=)LkFngS zqf9wOh#QEGM0J#ilw=wHd^{GY&QYzbb)}r4%5zocKttu%%uiDg>; zvbsE1q-|N4m*FEn1WQmfG;`k!5pS^a+$BELlyD;$ztzzBkH^is&8e@JKXhi$b6@M2mnlP1zNuMQ+-CnZ%pQRs_heKgRqhQGgu0D?r>l3LA3*B`6-)8+LD7o?d)2S z;qi8r!)Ld@7C)9~*dSR)p?ks7t)Lpr8-T>BnCQPD^kV-eZcV7zQ#Z0~*(-eQB#PD` z$CV(?ne32_%8f*TE>3C__`|Ll&qRXH3te-cp#JH&uc?s3UsyD@x(oRS@20i|-T`WM z3wnQg>3l$Xq7kBcA&CZds%aHmJ;0Bc$=AsvX{TQjJ-TEkt|*&idn(*%^>eRreZ!td zNLB|Y{RarmWMvYrer8#Wx@P@ndC4D>261(J<<!Y&8S@hJb;rP7#;iVK!9%Bf63h1haX()ND{-#~tto&{kAVKn7%Qr#y~no`YKE&z zeNrzOT`1i72HJo397le0+LT!#dxbiZZfH;K&)KT;xmip7rDTC&Fce$*0Ck9-o5}G| z;2Xo{0maH!CJA+w(m4^WriZMSBVl zQMFvPz`B36Ao?;YEUMINjS(ZO`k%km2dV$t3&tVdOG-?1UvR81`jReCYzVIcVfM13 zMvvW*XBf=y2R$Q`{HlBJWm3D#0q?VlTFa)0ZQlkTox3eo>DuK%jLa#;{X|J*BV9i8 ze%QT5jT~y=G|la`oNEAFE(X3`DsjUP2uD&#|vZL9u_V$4Qy z%vJJqJ`ZW3qwSKUWy5!^4h693Nr~@{wWrg4Rb{cf^C%y~n40;Li7c>*-7+ocr|mC* zp~P>D=wvn^=gQD9SGFsQZ{hq;aFeOdU8*%<*nE!_O4)d-3I?U7|0DgG3O&o!$zBH& zgj>MgW{tBt`Y(Q$T*P~9^Nxz$TmCm2Vyz3ntW2g)XEH|#Ed}807)1;Eo3;UPCMA3< zb~KXZdtvm*HFcBe;$1*)U;i})v*Gy_Uu;)lQk^gKqZGfbi+^X#ivXc2Ara!cToLE1 zz>cscNix*e0RFYGk4Q-o^M90;SWtSe>eqMoHqfRR2e9cSsg{)F#jsetSKk~E1>(7v zj)6(@4}H!^^$db){S6|;TNJ+>qYDSk0m-9O)xu6}Sikdbmy5p-xzq zZSPa|#I6v&C7}B;$M>yW%*tX>8e@FY_23(0xB}G!MbrZm0-TY5Vs$0qEeD^`Gh91C zY(C%jsog2vRcI`7@X_MdP|zyT9Or%TtmCgpbN!yR&LzRXyw3_kBXx;D|8b1V9CG=rlj z;5e5q7LR|l90f<^AALg|@(p-pBWxvtYz|*Ybr`I@KjrsH#Pb;oXl*lupYQf+i+mTq z_OAbS1-~aiCZ#rm;Ij3HO(}YNJsDc9^TQlk8!RcM;E-a?vf>P`VeVGqJXX3hrUN!W zp~zkycTY*ipnx*uY3P}YMc=pvt|bzgYB%LhaA$X0Y)PdvkH*nzKB7RFA~z{GFH*Ne zPd*y0WT8q2b0lPrtuBSNB5Jm+2g?(WigQyQCI%YM5(XOczshPU^W?87VIv7x8NADV z(tP5smM#A1e2DvpBMMX^z+KrL&aT8md$94*tXTgslz0Vs5*J@?)axom&%9P#%b=?5Ps`kRc%k8OKn z=AR?~VguE%ZfY|_#G!9_WxleyPF!|7W-svtOPZd0xJ;u)^b@j%uznEyGfqmNdKXCk zB>F`=K8T~43bSP0aS0ThYdgOy>C|xl%=hj;H|D&!?}jZUCHQe%CL^{e-V$|ekjWT4 z79c9pUa>rN#lv(s$%k+8kO~jU9oFaCaIjgWP8l7UAHdV#U!=udLj%*T2&7UeyGHtpIylyaRYjN>oqqyDi6^Q9fWHd ztq(+3^4X~YwF3DF-@Uq=hYuGbU(1t6wTsJ@PTI$V7V^tZVz)x~ozV4Ow=z=-28-j& z2$}+aKPl1v=OD@wH>jEI7+4Qvjo*IZUwZ(4i6sR(n&Nb2= z8Qv)67NJhP?<_QC)>7mtNgR$Uc^AU@_$u&roi5CKxl_!8$GS(AXGCt|6;lUQ(&sIX zK&NlJf^#E$w{a~G-i-8>Qdn_Z+#*jwv!ql_s}AI>k71+;{lMD8Wa^M~F zH+t5HCp;9AL;udYqKaU54W)Da3hU6)&qiST-kh6zF)yRWHb9Bk!C9jw6;tlT z>e}JktHibx86VNH7$A_;S z%a_sh5p7c{4_6wjq#&3p6x4W+XKs-Rp-RuR`v0b_R-@!xcsVCSOv-6bDrbTOJx7c| zZFiovUnk^Bv`e2c4+hvgjayh-m1g|r|Kh)}AqmfafD8+Dhs+t6+8skaP^@(;g#e~N+#10s?`2q@h#^dKOhARr$67la5YA+!J+>&)Zcpi^7UQX zv(M|XGr7Kq4!R(!=WSg1FWF!bv*cp9x0lH*N>H@G>ArIDKu6u3iz@ORWw>o^<>l8L z+h!=#d^&uwcJ&vJHA0_Wkc7)}>8W{ihPkk&9yyBLW_;nv?EU)X$hST@^RoHUQu)xN zB&f1pAv7^5tE{xN^rZLxCK=N4Km2BZL88dwxN2HqX%aBeb>$;wzNuzV0;;bEZ~rv4 zWjB~!Bh1ZKinnpkb{kSQDDm}@UgrNpIAgAbPelkN{l~5Svn#hd<$>Ka`8#;5 z!CmsyF{1tkQL0}EB_Q4YIK?pn#zcqsT$WvNOXedi4<_s1tGIa0{STs9qmYELs+lIX z9<4pqx=EGj?J@XpB&|+Cp2|0W5{K43p-0so^mAl^lggl=Y_%?%-5c|(OE(_l!ct#? zL|4x7@`_UXjHn#&DCfHPtwPfd4k_&&Ud%{NVE+nr4yGEpVV?T6~ALn%3v|N59*!4U%rx4fDTl967 z*QfF}8QD3|7yOC`g$9=Pj5sZ`(a|7GcOG7f$v_s?`aWt=Vl-6EOlq z9XA1#TSHclglPq1EhHe08`+XKZ+WHZJ!)V=)iLwmBJs^=Lt|}!G)D`AYG-QYD1)8D zR6(s3sQi`Zr1x1&eDd_T{-Va}qkvCTqBXZU#9qf&Sv@vUp%Lp8aU&X_Qajrq=t6eH znuf4r0+8f!rd-N$XWa#^M`Y2iNXdcdB}NTn-+0lA7iAeHuhQd2(w;`p2r!CmvhAH3 zEtI^z({teQRX1KW7rid6^=qldO}9^%?sd~v%xPvC9P_K)sMWrk_kS=egX^l3dG2oX zts!N>{X1nL{(lreETZ8-++R!uogxM#2Ay0htDT4H)DxujTFxI`vLwW*_9`hdbA_uc zx&=FRLLI+VClS?uZ|T=H=5_Y281OTz8feyp6z(lFS`AiJgAlr9vBbvSz|r>V4KAM4 zswiI^9eM^+sOZLSiuYV63m0h$ z9^>c5Ji6GxBV8EY~T-l>7to zhc!BZqcK0)~iO1Z^*`XKeau_j8M(vm`| zp;oBuOJ$~W7w@1s3AA%d&_v4?JRF{y!mn=f<=ONWq%dlfW>hd`#!bv1$96#b{4YES z1dX-80p8@vqa2`=d;421=KkhZzIwG}cbZc!TwD?s)LeJ|eLyJ3;D}J!$O!dG6yqAA z#!JE|>)!y2lB@kKYgQWASdx`{vM@ok7@jG=+aRc-Ddg<(Z|gHEhHhLhGC>ubIPhh1 zMAQGjspov35ab5#JH0w=E?2z*RtRlxHmdhSTkj%M-ea$;5lb3v45ToZiRaB#6Fj?; z(yG_$`_nN!l9|qhn!79XsDI=9B(uQ_VGPc>9|_x?o@~A_46~UDk9s5U!hCMKjEWug z%OsFlqxjFK2Oy}UmEfb`7bLkK`Mbr5PW)bYIIVQ^B+^;uzKX2MZ{bM^V)hT~bvKJg zk_YRotptnUIML|;AN1h9qUX6v-Mt{85W|EHZ*WM>>ms=T5%`dj2r_ZKr zDy%p5ScGr#s%ZIz4BNCbHF6Y`gk2UMwjE7LVz0Rl&;Q3jysTaUY_kwPR&Bfgdwu0` z2p>H%TXf&sy~0itc#H18uXgWgC$;$_A%M>yu;C}KmsIy+r>&b)IWzV#NvD`YIDVpz zfXjNlG8NUPKQXZ_AZ+Ym#C4VF#GR^|({dT|&h$qh*YYd^$3ol+x6drq)tOMLfB2~Wwo6b8W){n89%Sv_HlIusW4TleAT zTaUs8Y038Q7a>P}4nK}UqeDSC4Fhe`Kfzs&FY**DT?WL0{A&>xp|X#CUjSDmZ=Y6( z!R4(|=FJ)dqB4|Ep2CE*TYNqHqUO)dau)k&y~(Ll{aW|e7fuxHZ6Os)XKdbC?Agcf z(+dNoKV0CFg0KE3di3iqs?9OBr!M&7lxjV|t!jD@{KT6W>xaqSp#4-mtu8U15OY4V zR5)bR_#x$X!tcK6_Fm|Jz}4dB`YBq`?l}`-!4)<)-D9+8hc;e&f6KjCs>+t$*;j}f zxKC!-Md`N{i5j>)DWX6~JnpEgMftcL=86&!gD!7EmVB;x+AgR1(Sw9NI2B_#lz1Xz z(Tm`+w$fSuhi3~~Q3{RJaR@y4LvvNVwExu{W1!sf3*38jW<9OvfITb}4K=uNUnUGY zX5Tw#2)bXcMBn0XkB}Jh_))dZiXQkZUL4My{#L|p7svM)kJ;ozO|4kjBsHHldX*+M zJ0yCQCtQur!E!62k$ZgDNrMA!KVz;GxA`v{W%i3A)vg2p^liy_Unyaw6&4Jj2kSf3 z?)+{@Z}``r2$Iz!qn)j8TT-^)^tc?1Bb{{Eb;D7f9h{qub{x(`?^@4({{`Ag`N8k* zSA6r%qY!-=0rIC7g@>|6($|@&*_B*uYsQkT4PtfErh?$eQ_a7twnV!#!x#frYmljMd*ng=BEr=MDk`fAj?8nnFI4&aXS!(stXoghOWb``dB&F} z<3yLnCDHh{Eb0-WT-l$IJ_mi!|fb!rcn{}8G~&GNFQj2J^S8^H~4G+j9jP`=>r%A&7QC+hnM zcBX=eSF-VOcV3F5#&*3E(9 z2nYD?xaG|~7NpC>Lq~6!)ZEcY4w*@>c0aJ8jNIoiwDY zevurbiF7`x1qwxxA!K@tt^ipnGjDQy8&Bm$L1B2w;~mt~y-WP>O|l;xI`zSg+B4i| zeSQepk0PDco=KDcFfNyw%_)rC)(P`Wj~1uP3CcCW+{2f=JN2|)9o&aSnBg%$X&#tH ziX5a*Aw`s)z3uwJUS#%)L|!ezjQY3u*Z*YSI@5;Gg=RuSXiVO5vV;X4o>VyGO!TaJ z%_7ei7nko+=0E0mqZVS2zPmf+<+~Q#YyUR-B*dN+2yS($^|9V6cuOe?!p+L94)WC( zQwt9?lvsC_=<-@)H<2_AGm@@lx3^R*FoZZ?R-U&}i~3>#cCtu;Mc88UC17`I(XqB} z)#_2rO$(zs_RWf>dcp&fv=k18LMbL_9F@`1ci(=kYi|e7&(=+JjV3QkCL^xVHYSK{+L@BFCG5$Qc$=02TQ}sR`;*dETh8B*YH-6Tl-u=2?@dawPEi(+Li}*Cx1t8 zItZqN03f=@O5dgp90=@~80WS&1?^4{T~(q>)u<3zDfHLWA*kbv{eVzRtk?!(A-H3e ztMTo9s*n4{jsOjD;qnd@{~^y%%9R**@G?{KF`?qba(P*@WeP(%172Bnj-Pd-naA~2 z3nOO}I@GIqg21cb*1&qKIc3TAECMXT;Qtj0&>?{awTGN!6UfUk{A1lB+sJy9tlzSr zdnvhra@bIjFc!_8J&(n`tJ{x4z?Ib!ZQ>u1E$wK>cC}d2$@PN(wyg(#OZ{v<4Ne$S zwBJ=_Ry3Oj!C&BnpHz?hS^~jIA{b`mAW?&21{M5@gHY7%(AHNm95B$zmqNnUKM=Y%)8Ye!x7j4}uL5 z5nYY!sn8!4`5T(YZ$tevYV zCb8Avl-@j!;HZ(JzPd~mUF|vPian23Jca#j+eN|>g_+;WQvr~Tr%qQsrznzhum>8? zx05#5T}t*|Sk5xy@C7|*^JkZmQsc3z-!=e0QJGry6e@y%-D85|;TNnIfubgZYW1ULe7a)`oL) z!c@yN8lgvebWr^7nK>1Pb5G+F z`Ot9-H23LdT;)c&D!WnEvODlN%wf9OM1&zjw!SB44}4pkGO%jt#Zd@2CnRi)YCTE7 zr<-ui!4GIH>{Tte#q+6ei)V1AMv2BdT2Lq{KAy}+uEm{;#m3>yygNIK@B29W-nZt% z$~t|0BeKnS*GW};Dm0SZqidUk)H29H8mh$g-DjGIf%&q2Ev2QKIHhu0#P=RT^V`pvACeUx=z~7IbKlrnkyRuq?9_p=f=N%BwYh zo6h>DOsnJZa?$iSUG$eq<9UnniLu$C(}TgY!8t2W^g^*=WOCDu5YK&S8nn)TLeXwE zEC0P@Ows)u<0NEN1E4xzO2JZKgYGAslMyv+X|M-))P1cJWL48CsN=E0JUL(#{AAFP zSvvV1CTz0Abf)X{MIOX}jL5pKb5}3GqUXyNucLCb?fbfaeVG=WK;a(*<`9$_UY|d9 z>TYfl6G9Q(K2}dI``jnCev)Ep+=$Z7NwP^4unG|jp}8lBt#&fGp%drW-Yc6Al<0w8 zh1DjufJtOh^zWv4ryWj-Jjc+Jrni0M5D3B^hiRK^Z)Kz0rw^+E`+>g|53Hd*i3Ojj=9bC7lK$mB}qGl)JHRxO)uN!O3p3*QqliX zf&zOPX-=s_2c=(xu{SIXq_78nWJV6Jn*pAV&SWso_R9_IBzpS=6)H0{mX|Kl4Wug_q#)0tm6_%$)FIF-?M~ID{M@wsa%)+R8BM(n0#VFV!?*I+GL6p4qI_jvRU;llvQ$a$N#;^J>fm->5bXS% zn`2AN{$im#Q8HJ;xo=q8dF#CqrH9-dGkF|&0w5s;sP?kq9AEI{Rc3IUO~0@ot`m$# z(-KFBEbIb}A74M{dA#;ADE{wcfU^(1PZR5&;J5_`l+4#plRQhY^&dD9IyAwv!iLL z^RF1Vz4cCdpS6kt#8GonR} zhk4-_*k)1*6OtmGh>1?j7*gL;!gZj1A2Xr4?Uu4?9SL8NfpYc{y9#G6LLQA;%zpVg zJM{tZC9~K~)2(DE)s^=4FP$BOg_tB*^_r4TlU0o2KoVEHz`eTpxuWLmh$Atn!ZkEb<8RbhfZuLfXwhg*chaQ)OR%y44@f znXkl~5`;(pbvsltM`ja|sB}8w`&g`FP=j-C-~IT1&&4Ivg1sb<+|0%V=L+>{52*}0 z8ylN!A0ItW%-%oJWr5Lm*;KkT)=Pc+G_q>U^wgrULyp)*Tjt=JMhPuJ8-)h4lboivRA5sQJDcyTbIQTCaRG&(R&7&b}!eLdu|!syq&57GWf-6 zCy%HIUhJB*7Lsm9e*0D7_;MA?2PdU_$v}ifNkkp4;FkXj*j4*!lY{60wp+O6x6|erm&+5R~v z_WBI#ZF=4EeQZ;)Z)n-=qD(^dz`9zvXQM#NYnthn-@DkEbwoq0WGwNru%Omcwsip8 z&JlxLRW4eHhN_FInI4ngcOS?YJ$>E)S7VA2Uv zR91hDPmes=s^jGS&F3`(dR#Z-X!^*Y_-S1dJqN*62J-Kf7^+`m({`>rQ!g?}sAtV# zhEnSKP;%3DDK|4M^0eo}UW=+hKFhN8eHEjK9U_*tl2eJEl3r);wM@x+HRI`Mw?iTr zlGpy;;N^(1wban$5xQeKHdK|QbpB6=s`@mRV@TNNkfG4n*L%#AC$mORsZjr>b{6|J z*e?3ta=|dPgT4W6V^KB%Cn6PB8jPJF{Wda*lmH_)5Y@;lgQ>e;s!{U&gCny|2I40v z1X2b~tB(+ZSWe3&!OagrDH(|;s&3D6P(<2~T7fc};^fge7_*bnIL4_^Nx>wc>G5uZ zHH`Rl-(NTHQFL#RKPIadlVpjZ_tS(k7O_FPuGUv{2KB1IMR`MPJ<&;w2k!U`jqOJ; zI>1t99&cm}j^(eX5ArQ*Z@5s-daasCfwBG{5_d4c6c~Xw*X+GKu-+3}XsjINX|s2v z7a8b;v8!*tx+72>ktzod#gw3a=FxVXNt!!}vGkoO%6v>&aI$!mvkLGDa5!4ZTX2W( zHaTj2^q-TRb2W&nh@!|#gZ-h26n5Rt@G6*CR0T4hnhzhRaOdC3Cci}R$bjG&hn z-XB^*Vfw|J6fj}8YPK&OFT(J#d|k!QRaG)OS$b^f=o^!WO1C~rWIl+iDhIF<#m5el zU*(@&6ZR?K%?$Zb)YC5r2g_Tu_7FYU*L2cMfzrK=2^3;QvqwsOB~AECX1cNvpccEg zA>=B0vr?%>2ZE3`=YOHQ{Wg#2vd&}>e;d$qHB+-DiTM4%4|M%It%lJl2C$N~icb=_ z&`A@iH1pe}Wo`e%H^!r~!(kOi)+m69$hBXUEcFS{*oXl=L6WDk3M`6>{arggOf5&_tX9Ey;6*f7YNXNdNKSZ#Gq+mMox)T zgKziA{edL(yJ=*oIFJ!5z9R|;_A#gKI-M|@a3n_N8>Oi0-Pq|4)(9QeFE=3tb2K>e zypM}adIC#88ww+f6bmsW@>9kt#OqQ8p2HFpOl-eqSw%tVQqngEglU03vS1JAWBl2O zR`JrzGXr|RtWQffbjQ^R>So0$?~OuE79m{ALh^J>2Z9Mh{T|uqDi`97YjfF30Pu(oIG)k*U9 z$2mFGtUA?ON=6L_9K+ox1gqL`ln#QErPA2JNl8==M0;izg_3QCZ=`qYHd2iY63b?V zKzokqrh`6Q4%fW&1lMISIsutkfA-Xr@0vSP_=un&P=zC+EJ}g3A}#g;#YGI|0A~IO z!y^%*Vj67^dSKtBjX|ek6F%vEsFN-Ti6l`q+~1;37t@5(ung1G(~vMmW4<4~ZJXB3 zHj!Kp@RTEt^{2daa#*hWn&qX}(GZ&_B-t(bYDQ?|H$_WBnG@ zq`yf*0b8O`Y$-WN$tCZ%-WSpCmMZ&F7R7$G;w1%qDHdgueO1OKt{qgLOICxsya8Eq zBsaEliZ2sB*jJ&$5+K4adph$7uBE7Z0Y_oAGaoBMX#o4C)vW7^vTQA34j#SD^>-^* zYVGtx;#-4Viv8k#K?cd9&QvIuDW)+M*5415+T2*?#XSe@;x=d^oyIyB{{;)> z`R(@Z5s8R1!HX{7T=;GL5x&x3{7@h;)%RD7*d4sb+E%Za!?UfN`;Y^;vu3>Ehu)+KNCA6bS2u)R^H2HxQ0P}~ZOx^) z$MRq3rZVXEH|$UT7@AP{#**Mg)%dmHd`eDLa|A9#+ti&dE+nU}Qg9W<)D#oZ9@8!R zg?~CA-paAAs;Om(QPsRdepd{=ZK3^SynyXl-Xh&n?fv}8@ct1S@cAhplS{$2 z+F0&y6@|hGdkT84X6<{)Y6+vOM_JjuM#LA`WxDQz`nMPAPfT-O$ua-$lZg!=)NOrF z9kKX86TdNf;HRnz{!?v(-qjzy18SN2+f>1i?4wK{y!R=z1+3o}Y&v8;F_{}Becsm7Y^NCN%N9l4T{GIKTv-~I* z#KHr3i> zG1Z1fado=3uZAj6IErJseY(acJ8!`<@w6Wj^E0QSOj}xJiYuXk%RK3HN1wxWU^-pO zH3)oV7G>mFmq9C9R1u;D+MO!4q|aEg*GU`|7FyOth6wdZbL)a;9%+l)hR-}+#2-I7 zlfM=b$*!w)nQTfv3UJeKtJ{?|{+C@}hOAYEy(DQ3T&`_PucObNP;!lC(<*t~(WWc( zZU_n|0ODcdgf|Q?#kpW=7|GkKpU5=uu@z91@T$2kX#}nMGw|Y6MPV=HscDCl{jHMp zp6HXS*v1(BB2O5lwdv%H$FXgYMRSK&^`S?76@zCC-ogIPu!tLtcdDl0_( zS=3ZMJkOl-AAh$9cX7^$$YOKR8saOf2o=$S3(zlKkM_MB{OF#pm-I$2v>SHNUoWG9 zaiRqlwq&_jrw%-(tDOCw(O_;wtvR~d!|{m=s1hKEr5G?8OI;xuF!0h?%GT8-!^2?Ret zV7R4Ia&aQ4)x#&~p#B_gr>gRmD&ZkfEuSIHvvvW)e9jI>pFm~!zQf4=c#n%VQHofXG)Y$&9MMQ)-vSo(@^cUCnmQ+<^@~g+ldZ>u z-;b;kwnpd>s5c>^DiY;$-uPIS&VS*XJqclbVNa;$lLEUC@LvF*b?05lGT4MeGJ_)b75k#wQ^H#WAZ;tlr_E_Fr zi+jcYV6y-~(6{xz=s6sAAy5r(uG%xf&35URU52Q2*HzY)%jWcMyRcFPk4#CpO--%e zMDoJ&dcOLUu0b;% zi6*Y3hSr3j0HZA1F=#aO{(Y;dZxjojmjvKQLi)oR{`Fs zQauBBSzDObJ%%z)j?7+q9s^8Hk9Vn_^ntxpqjbhhO@8bFG%k6rVyFcyJVd|YkyLl= zV1SzrKV{xsd$VK+id`j*x@ZD<1|{D_0ikA~j}L4a~PXha+HgsPwjiR6Y zQ59LYRUcs%1!tTv#e{U;cQbgU~FW zYyGgVFagt#>0BM#qsT0Un(O)O3v|jJE5Zm8{k7q)m_zF@kGju=OlCT9s6{O zxB})0x~QRS@|*8`*`jps1`m?`Nnu?^7XyIF?WzGFZkT3g?A9|IJ{CAMvB$>egHn?_-h%2tSJgwI}pXuRvBK9WGcbLAiYSm%)KSVK=nxqC> z&e)J*i)SoULZCfQl3-%i*o@(CHnS_LUfD55;4j_UE{P6-ulenudnFYc%i!0TH_Kgc zC@>2srX`5~4e0Tt;Ftu%`#mR0=RW4gOazqeQqZD&-y?t2#&kXG97UOTqiDi0%IL1Y zELz!qfAJn*Y$s@QPjVpoWft6J#(=(1!j_+mDw%h_cag)|UUhHuor<$6O=WlTnsFR= zC8U(yv|HDKR7KKE1@{tSp;Zt}{L71bk|>0W^j2~zk%q5;YhJRysq@i<>73~!km7umIVL5fCWI!W zCNflg%yOaur}ID#zhkS`Q*6rM2eZ&Rn*C*a>WA6m_4z|V_1`s3{-7S%otz{I{O?KJ zgcm_Tt0-FqrOME>qhCMy#bx^X7b;n86{MkN!GM=&f=37_Y$a9{4lw6lpyRo zGw)SAoxnM^5@@1Icka$gF$B-t7}FKrup?{j@SKtMs(AK3VCZ`y+aH5wWJCI(}*psxhU;doALJIB?Bov065?U^> zZQU^(o_VaRPbKHqMCc6E6m1?kl2%<^eY)t*#>;-?K+KwfTV22Y;roA9*N$@ps7llO z-vJX*%h^Zu_6Ke@m1)r$&K|VnF)z6fe;1;vXOvbEA-2Nc!rfeMlQEiTv_q+E_%Mfz zeXiA!MxYL(?xI~E^mjbz2BLr?(wK6C{e|PgTaAERkx2PW0Rz9;agN6yc_I7!0fWX_rah4D3VdM!73vXYtfbzpW}t1kAW0ok12#X&76n~(wP+=9xq2y zYQ;klTJa~pP9EY`+g#tQzFfVVgYtm?XP}SfL6C1Y3!C~J_Zz=aIL^vrwnPzu5d(}H zvh7t)7aI+li3Vc+ew#JLV|t2zRg$Nq5u#e-n9bcbH7_=KFPnr(Pvwwo>qX-f!*k0l zsJ0PR!%CWEN#!PbJUd>A>UOumtEh|1I;+_*Yog}t%X!z;?U_GP+%u?^h_c%4?XLFB zZnnW;@ar>^ktu5t(?|(Esoy1SGSldL=Z0exqOfMPwl)|?BjGW#vf_GVydrrZzI*}r zYu9qCYT&7sKI7+tfLy54WqbRP#fnuSIASF(TBB3Szo<{vy!mm@7M<|@>HPBJgG=$? zi0#b4V69(om?$E2Lj6B$x5x3-UNYvt&aPN~e-tD^!SQu@hb2-IllgGa!BwKrglv=V z)8}C4bzSdo^Y2~7D1u#Cz~)&Ih{p#f+`xojB2M)E%=OhXAO{L|_-{_X6&l}iM7cR* zw`dO?CCfC4mWzv)eDRt6L6%_nL|;iZ|HmV?lFRR3tJU=x3%^6FAHW485d{?Zj7ARz z2Oy(Xy=G5v*3Oegz_Zuv)kKe}Bf53r?_MNUsCtiZxET71@tjpj*|QLu&lJ;fkgs;I z^zNzn)AB&@gE<=5ghszsiPElZ1|5wh$?{lPl%!B_n z7&v!*`(q%&CawwFjuMfO^to5ZQT#UCOjS^3E*FHJdaF*!=zh=|i~38x<-B7M=lxm| zVSJ1S7<~4|KFdyU7{VhM<+agQ<oYmClmEvUG(7jCl= zm?nO6#a&}el+c31W%QlngrQgNxug58$IwxRi*cDYr}pmi(3;Cp@u5|X_}^3Di+}}u z%OV1V^ypn%eT$bt(+xo3Xjaj3CAvB7{u2GNZ%8tmcH|Qe-F(*nQpC+Y!ABMA{T7MgxnjQVOi^ zOrgl@((FUDe~0p`lqbYHez{egiivS5L0uePA5JB6s~ALOf5E$p5`*}}KN9uGknDG_ z24=i;ieibGAk?EJ<`CFr1Cx*={4ZK3R4;p)99!QsM2x+(`9McdglD4~rK+b?4Km{y zl+D;N825MHV|jq5G!-CLxZ>D7LLnAjS@ELfkY#vLcp3>LctWyo%12bFVBakT8`Ucx zq0als=vtV?2k;x9#{{VnqtxhL@;`S~j6ysn2>eae6aV8PTU1Q96Bwl@uo1NhIC3=l zhS9@o$a(C*9t48w(%!5&GJBkNcT#0SInCF91l2t1b*Gd0=Sv>y!{iY|#x)9}hCinQ zcY6;qIUN6`rm$3@V=EHc)U=%16AL9VmRdLp#pd0+5xkzI&aL3544$SwUh|R7`N`1U z)uEp=>8!?5^`Pwme({37vgE92Z$ORhef21HvV>_Zoom6{*w`u|&pgKODPlCHQa5Xz zRE84nMX+eg)08NB5BauLQG~!3-y*cUGHv?C+z(lS(D^#*F*%(!FD=ZHl!;5*7*er; z)(H~n{sD`AaTG<+N+q_`2_Z=-0Tre5+C!awDczREtJ2UNEoM{LX@72LcgrXtEzh-J zWG&XaZni$Pr4aGi;1DS_`Mk5!p&lz;974fkw27LmROAt{HL3pP1j$pBNg|Kl$3dC( z<~L4ikZPkrXrJTrb?j{u3R!92h8sv>pQXt-4bY5U$DGf5nu_;&~hOWY0pm8tx_(MPM+i&`~e*8QU|xvpjsWcfRiLrKglD3hcFD zJOFNpjzLTc5gUiLe`}0T?{F zi@Xy#KY#MQj&`g2HlacfFLjDZq*toYj?|W3Jh@8ZEH-t8$s8zK{|*7&JGBO&)n&W` zEzjh#H^HQyn&|u$PgQTD+3cPcrJN@h1nMgRD5bM>>x;zbpan7Pz_Jv|eXUF8VtZV_ z&11_Gu$*`M5x)D@UlaZg9GxMo{Zj)HdGE6r!g(Smzli;Sw|H)5r{yU{&G?=o=QCs) zm0TYo4Wsxfd2lXOq$PQGagqPlJDRpB2oJ_JF!vdbDU(C$UqORWEX*^(p;r?z&A1Eamwnb#&8{fjD$CJK zFhUf4n)W`sw&-}8+2w)mntaA}PnL`Gz78(WFuj!Q9Cz$^6xBtzGyspa5x0Gy9>3i0 zi;25^i(AbS>q~4*6=R<0jhI54Jj|ST=5)$k8v{PTS38l9eYva4Y#s4FNhv8~6J}uD ztKW}zeLlgFgi82WaR}Zh-DE(<_wdL?e8Cj#iiz5y6<$4azeiL=aN}g+Rf3Ed(b70cWl9r~?nth`nAge=LeehaMbDRT zsaYX6$lt!lYH?x%K7xFmO9(2z!$CP6lEZPfQ`OTqP{w}~n)qjVw+m|c>YbL3Nmr&R z>v-3p`wp66j|@&DGHew(RhgRp9Q zW!F~*nO>KHZ5SC~K>mWySsbn-a;4C}kh*5dZwa{NOIQ6QRzJ!BR#VO5W3X*!-X zWB*?>Nb=o6a%uor&etetX(JF zIvrippdSqq(#U;%O6MCZ}O_qNO!#Hu6ECLonG(C1kC=P~H8vl4f41-=jj< z64k-Nka<4*#@kFJJ-5(}lgF;(;9vpI$_u;xq#-81_DO@;}`d9FC#J|U%6PgKp`P&K@7SPyqu^|1bWvtpt@cjXe@+?+Rl za-}(I=>lg-I_sT46iuBViv4){e56IHv4Q!~MdY`54%C*s9h#HD%P&3Fwgi%6Y7qw4 z3>Clol5(_bSP><94X9He#Bp=effi@)$W7}>$A2nJW^wZqMAQ0Bx=+jXjb!)dv^$#1fsr_=?_JG>29jl<8TT?%}? zpQng-9VzxK5F$n#2C zAy%kP9=gLsY~0sLsqq|cTDwm0Z39Gc!5}x!ZW}Y{)CY=73C^)LT+o-c>bk&Bj95*M z*qaq5g1zRt^^~%#Aa>XPa1Bcy;R#$$;qdf%1V!bZ%O0dha!n}KRIOW|hT&Q41t##W zr|V~RIFWG|ZM)EUt|8s4m8-tKCu)f%)R(ys6M)KMOALDV_%A55&BBGCDnQ=b@pR)W znG0brs`BZ@!-8>blDe=%-vrW`Vf}w2kWv!NPtT{V@Onyb{V5ooghG}c-#BQ?Ey~H( zuviF6+Q(#rj@|P8Q^Kf)R2boXifusicOM-|5yvdd04Z|$Nx|TA^gw)5Ub+Ko-c?_)VM|L!Y&C$khPigmm-U^S<9t#TYs$Sx3}vBIh${w8sk?)a2pj#4x{k5xO%&JO66=E z^{bi#m-E9Rl>hIq)-%G)k8rC-+ra96SJB?e2Ny1mFd`x_WmD$L9-iVqFgUV%;iBH- z?d8Z*Y_mJ9`s$oIqL z)+j69zE9#cw^B~g-cjKt1YchNe$MGDE06?6`wR_jw-P;E)2kv1s(sy4%l5ZH3`NeS z=BdFP6RV;>csEj^b=A;82uJQC+Fbc4Q9ClSEp+E<{%~3$n5cMW*0RKGm6;9Mk%)v{DE$3gI@5Hu zh@38wn<%#y@(gX}7W+-*?OVR*(4<4P+2FM)E&rN`f@FMLaqf!ChWsD^M+({Y?6ORh zsm<_cKlqc+xME2d*&}p?UW>$Q?>)YGI0Q7>!a_F05ijp}t#$_B(?cf<6BYZOy!T~a za+Y?z!!yFgS zcRf2kI`9bb{zFJl7mx<+fW0>>bVSv!=O zs$^2(uWOfUu-3(x&y}jP6_W9jQVnRt1?Zkr;J>@^D8|&*nh7XKVlGyw1lr2%ekL9u zH?ZUl`uZ_@)rSm_DVS{Yy^EE^xqof_S>REqOni(go7w{iG%P{97!RTG%h_5agn`{M zUSTY*U1X6%bJ5C`y(Uf;`~HqyOgtQ*RQvV<4Orp0=qLO^z4Py!N~Eoy!)~@V3cxdjIjW;G-iFO#W1jKn zG7L;?ZC`@pa(s@Xmg6bIoP!@+w&tPM%3p=*%ysIY|_<3L%JI@mqy>J z5h&C;23Wm>6iO_{-HK9ZDGEuHZ9=Ys>xp!15q1xPbRBcI7Wc~=oN}mG{^FD$k!3t- zYRiFHdp;B?#e@F&n%9}y@b^ciMHg=xEx;tvW)ADc{Qx2>Jk5Yo16Iq@o~XLijphrXb$SrdF980GE^WH`xy z&$0XewnNeQzPVm(h2L#?a^rjdE@j8YIqX9nK4iTkO1cnw7P-;NBV+iRO zhM|TKVMJO=W$5ni7`nT=1{kDZzxVyD=Y5}dt^H-M{cZ1SpX)lW<2Zh&@rOT* zfopLa^IP2yHbzwEJ}m#@6)BSJSE0)e?aC?qbn~TaKGcC1568cUL#RP!=&Rk zY}S7b&6*>lAH5BVF`ea>-8X$0a!8Wn!M9wsKqLL_A2qHzp~b}CMZ1M;s+pfMujy=i zbT@CQv5u~m-mxOS86P=T0Ve)*L*eG^!lbn+u~CgW3TEB30Ce z4VP#Z=?lH!(SOI^EWoK-YKqlU`%*CW8&!8w*8_rXmxIuJeGK11ngmZ*@$};4FSp_% zouSfYQA0kgo#&Q_J!SNdyxf!g5lE2cR__PSnbOoFp`n~c$nhp^>RrjBMax>u+9Q;f zcd)=4EU{Apa|UZe7p~5TOigL9_p~#KZHIwPua2x8dT0~qSVyW{ zenc6dv>%^Eel0=4$q6B@I|M_lG$xh+kS6q+P`+w-!{ypqeQCv_#h1EKCIQY8Y>?5l zN@7{IEbKb_sV2FLp90U&nwnN@ElPx-j(T2xdI2D}Li{5-r=Q6vIm>J>w3SEfc+1T0 zztmDhhFWg7Y&SI!12^L!D5oB;uXgzwoz?13gVI9)(s5YKhyk;*9cJ(<-%2D1uf}J+ zI<9`?UYJr~U2d6rGWsnkV1NX!C!+lUWD5->MkOG_b2e={_i-IFiXmJKo&YZC-l zZ+#SXi!OWXKB&7WxRTtWb`6x53m+10!zo9Q+w9OzK0#6pUxbm{H$?9($dp_o7fYtC zklD+~D{p9&dGa#NhESXCDJRR$xgaefts*h02v_Av?g;p7|88~(bu4-_z+N&cDu3xm z`a-Q0SN?FlJ@3bb%kdKqVd=gfRKw%L9Mbw$?|d&B-~ZsVrj2X9vDNw-pH3=Resab8 zFA4S~CH%|L53TwfeGMbs^w`jTW|vID#Fk{AU`d??pPFRMb(VN;@oa8YeTAZIlK1}Q z{&__RX6NZMn~S3N&0x27Szz8>cSRD*o0J=)mnO|GF@nbgr#u#KtJNy^%^Fl~V@9RD z826TA*1jX}7XYK^oOF-FB9fps_vid#-P`W&zU`zLH)ae(m+vB8Z6G@ESeCQ>p1Gvj zr2Q&$(;T?E0hpCApad3Fd4l>ioX=}c5WI^k4&C>xo*LX#&wAyjLw{)5&V{6iF$d3 zgp^>{CE(5d(}H>9RS%R?>bm$aJ=sd+Mo5L^+3V5v507_aR_$@!MUBckbB1dfo}noY zMhHs-=UbhtoohtoOaGpc8NAzlT_}G)aPyFDcA?JS?AfU`=8xydA(QHW5vh-N3j=PL zak9iex~nbCfa+8cC?TO5^k7J8Gzm(x+0t`MC%}{V zdL59NJUvqSw|?Fu9SBAnX`c&uPv;JmiuIW+&%rAcKC>WR^1W*#f2crKT3Jnwc?9b4 zke334x;`KPeI2{{%z3Q+Cw18QjQy*{TTviKM!7tMnCRD@q+<1Xb#-sxwTf?S_4zrs zcX#W!&nWbcX=FG$NBv3;EAmBcpe@JpwqF0C%PaA7ti=)jW`H(UQ?8NHQz9W4)aldz zx5xc&P&rTI%1rX~sNrf)NJQN5wWDu)J?<1EKeZ2!ORv-Er!QoX-_1GmA=KFi>s5ldJnQ4PH3 zzM@B*==N58rB6ZUt$p;QNfc(>nA%vsFy;8&2`zoc^gJ+&?O-BVuK&seH7%X}A>PEX zX%|y5RPL$0B*>7Q>;V1?9+J|y9DaP21Rzy{!3>RjWneyuEC2qSC3)Hl6ttTZl4=p< zzL$+*BN=*P;rAmF`Q5ebT?Z~3*-MKiNd;8=F{qt6kXh*nJn)(Mbg!vUMDkE_a6O&Lf7WFfW&(2~j}}+ZIcpddHKp4my|6|EL9C5Y zXd}W%dd=lOu+#Z7Bnj!sY8#HD6|cpK0?YQp2wKYeyWsA^dmbkGucOk=7ZY=ZRkjl4 zWk28Xrc0d9U^>H<8POc(9cD6Js74iK-ggz}xdFX_iorQL%oH9u;Ul6!N3Uh>=|5Q0 znw|!!Cj3-=vB)W=?N+p9*s3yBcR0qjb;69qd@0lwn9SEwBBDfad*97}s}lPEv^zPL zfVg%7MLgk8v-S{0P;7BEKPb9Cn3HW^4v0jZ$WZ1;hb$k7IFb*3R#KPa$>}XGU4PA5 zGeUv_r}HSD$Q%PBhF|>&dZYLN5x?+ibS(8F8yV#cd$T(Kd+tJYP3L-Yk*>OMUCP4M z59OcQ)9Z7VVYZ_6RmO=UK&QreBba`rnqrZ>V&1G82ZW9*%b0kwY0^y3v94VB3*ot++n&spTNEnPH#<*;&$( zv=QH^E-$y8)+o6eoJ5`SO~S0=>u1+Wx~YgJ3j%MA3zEO&Ppb8WY7=Z!W!^0Y)}QWK zdb;V9OfPE=M^{Y3TBojj$4<)1#ofOsW?0D> z7?(B{7>G*UJDS~<&_sR}mvFL{aQaPukZVa73c8)?Kl0kp%6dIZ{gS8gSOV>I72z8u zg{HB5%lo(Liz1p=@gGA3Tz8x9^5k}@XWR7OwLa{6vM`6SiZ1U^sp!-L#mkv6Av-Lx zpX+{VkPB>*-?oNLB>Lv~^ld-S;qJoe`2C$trM)_8KeI9^u(Iwj9A5Gaxe;Uj?oK$>IH!J!)wkKb z2WSn&AnLn9EbJX!f6+izK8ikv0(_juXcCnkJ`dBFQgKPtVqZdxjjumVq5d94NTa=SN}a)Y+;bEFc;{79`5{&t?AY(A~<{Lfqdi*|rkhj0os#DgLzUaoJ(- zV?O|8CqY>Im+8!U0eA1ndAuNih`RPLN|j?@qPW7!0r8@X6c>X_H*W8X?y7-)g9cud zVMzPj=i00u9^>VFRJg>-PDsqYwVY-$B|R_ak%6C{Ee<`o4=9B!`Fj1=BRmoxSxjT30RDkpe|}HH$9z z3MMzHX>bhFsj~u(UAy_oF04J~8WOxn=<^vhM8sIq_B8}2#}A~knyaevSM9ahZN|pj zJsx!h8Wa&jS9z$3rn)vU0zsG+W(h*;knz^Fkx0|G7e>pQOvNef0xO3PF3D0{Q|8%j z-|HRB4yF|HPV|CopA$-sQYE$f)=0Dr`wDI6m28~4E@pd`t@d*+XEtyO|H~&Jna`V7 z`n+v@J62-_NOtXi>zkyh{loGEA6X}w(rLL6kjPt9HJI~;0o2x6<8^&eSs_1CY#a=3 z(y!L6iP)Q5+epx=v+-WkX$bFYo-u?Ne=Xz{qRsV_Enqq(=n6zvl*F*z4HYHE$uax} zx9N1b?O%{y5)VyPq9MC_6zy{n^0#ya*Vo~bcjK(K!j*aYVPCT%P{t58%eN&2*DZP$ zPlkTl_n1EIWoBKv2=w%vJTDOYLnT>6pTTJH0#07aytR27PXKpB@QFuacEdbc^bQge z*#tIM+@Ee9$C6FGohF2ehwrWTb(xZjerf`wHSYbWcD((t_@mn@U68nw`DQdV!rtGN z9~WNc-1fDR;uEk+O7VYUnxDRFz?~cRDj%7}01Kl2{>>+3^5KUHO3UQ1y=c66tK|hr z#p?a}ndAkK({?RXm(mC_+WF$DfSdqMJv#YlN}@2(l|;>tG-gnWmCDYJdo;k=x4_ z9$LVIa+A$Dn)XD4hNZs+P!P%B-^q=TRTk36UC_A@7BhQ)GS!4`oDUsu!Vk&tW<4UP z=oud&5iXla@(W)MeHe4VVZ|G(f>Ch1OR(Hl$vhL;DEAp z1qcXn_O`juiD~yb75skV{CpFcgFJaml2a$i#ixpAy**~fH(3`d6T zA(pFqUsgiHKp`7XIx3V@{CGP+cJqxqvM;02uj>3z)SJoWW$RX)E8`=-$aCYAc(-g` zWX>-}%uUEI`C_ee%kCtKPceKt|03gTyF>iGJ-I)#l^k^_t>?Z{#TNS!CPrUg$3_p$ z?QCx?)FOwff==a%{CWMxZjtRoSY{S{CMfpx_ibU}-{#Cbd{e-wQ?XzPKS6Zy}{8 zGsnAD*|iQJ+Oi#Oc*X?dgRnZ!Jg6P?9Sc(7C8j6(%2gXdftVz;eu(5F*i1zQ>B!YR z4y$L84Y9LXA@N4800LZFuB4mI8(Y5e~-h6%SH zF4c(+-^#CKtP+5kPv**Stkn=NL1ljp04^&b1e-4phgojn9I;_J0AYoX;GpFu@)s}T1$Ddw)D;KK@mc`x18GLzl%#;oA&>C zMiyf{n|MD}Lva{2y47@sNvvmR$hG(N@)R*TPuBRmH`D^ZP-1|>$f8&g=a+fb9mZ0} zyrf)!cJ{L-DV2Xm-b^}+k?8z{reBf{xF?P>UFUyKBb%ND&P<>GE3i4*T?Dh4lTxRu&O zr4hThJ{aKF3vN8NW8(e#08?A{EC-pg61_@dM?m_KdF8>6qG28*Czl;5w!o;!6%Jhx z^$-}b0??)1HD{=_xPAuD>Tw}$+B~2?NUS1p72ehanl4A<<Ghbce_QsEIfkZ2IwC3)9pw={Sq7O3J9; zXw<1nd_xtDah>0e#W)C1;&G;}R&j!c$&{a3N&y5jA4_O<4CcG_ouMSEJpX-X%$ z9uU4LMbkG_TRB8DQQaKefKg$XE)D)afus_S85GWhDT3K8Ur-zo1vAS-ixN7aP;Tw{ z#}1Is^Ge_DNT);TAGmhHbhd^PFcS_i$MLK^UXm_+@W;N2!-3z4wPGVL=tX@$GO)Uh z)P<|;3!-bs9&tAvYXgROIa9^G>=@5cOZ2Eh2+hmK-wbWi-iCv-Klz2^O*a^L$jgq3D5EXb)O z@qdqdI`5kv(u5CxOVEI!?f-(m_^67lJr}2^Ut|SSGvP}|Ftgug0BU%xcj2v^?Ji znZ{vAYSM*wt4^;9jJtWX5i=wMjvuP<)7lNEaU8pOYSr zCOp!BAwrLV6!u~rC>mq+@8Fk~SR-^f#On&MQ==;h)HjJZ2k-ug3J++ z{63HKD!NMSviy7|E#jl#O~y2}%Cf9KAIW)LP~v8P%etYQl5>l)w!4}j@p3S$_Tm-I z=0opcA#rc6A{rHdQ_%t%ZXQSU493kpnuPe@7tnW@)+Tk}1cd+S26h5M}|!UJ4ZsSjW{%Nft-sq!iUecUmF8{Ml;dxGNE7U^Ucdpjk8zBOXj272A{ zIsYzdIm7@i)mEx{MZOhhTa%)MLSMVwiz=#_lK73fyEE~9G78^lYiGyF(TtY69@n^3 zd3uk;A5O(b+lWc@jj6sW3WpC!%Fuco-3r(>bS|%<3=-QfX0@lvEDInKTuSirA`^E; zZAGv62Ah+>QLZZ66M6$ zrlGEt?hs4vOJoCn?x)QPdOOjNdcdd~QpvyAsu#w}gm|K+*K+ z#%7V7d5&-pxU8&qG<;pDR(=lOe<*B0b!hUb8 zEW0=(3{Ut65JL17TcR3jC|KJ%P)m_h{3XIgntT@a$@7@sV}WGT0y*+kx)-Jg%a`8)o(zzr=1t8 zpevVCN=p`R&-3W2#%uMTefaASk8tY(3i|{;xLf`vHfVeswb~&^$s!m9mD+2}5^H2( zY5Bq3{{G;lKJOu@|7?Bdy~O&fBY_%HR*`5I8rI{n1j54{x=p7UFIW2QkV3i5SKchA z66RoBXUf@TDTln^p*~G4X7yz1ib=@&T!7(<)j(8%bZ4dp9+%{BdS1TNCu#1v$!+Xd z4$T%;Hq0EhHkf;}yfY{V7xLbeXF$AD5C!E>7c0A8td){C3M`1a4meM3+9@Chr@HVI zgzR@*PIV6hmww;JS*aLc_}Q)P=RztgVe`fQ@M|*a{W%1vyb50ehwX>p&c{1a5QCrc zQlNTho76YyjsR(1rgkTOTEr=gD$@Mge6!7ecm;6tK*zj{H9_Z$?gBZmNuy19@dpIB zN(zr2%p*FzJSp0?0L*)9$7d@W*?}2R^R;OZ z7g&-`u16rua^?q^P7#P-<8GSSZj3N7jp8dmDIc-8XkQTMLK%a-+*ffnAEkjaJL)#b$h1P}_+;+o+h#~IM5e^kS~-IAkv*4zLeIQjvJg{> z?h@e1IfC9}P^5zvz#zzr9VudVfvXCnUP`AJRVlQ?i)1lwycdg)9RFQ(&+q&ZPD`gd zEqOPE3b=a`sMJ@ADMVvU-vxr)^vxa~#bqpZ>cmC@lpALielSj0+H z7Tb$_0)cQ@mObEvX-d!``V*9cJd}?laa%fmEfLdbSS+`gCJX#DVRs#B9$32YPgw&bY!_jyNn z{FaJKeccTEk~ggW%UwF>89WgJ-jL6~T~&q{9X0*xwjW7J&ZU#~{dDOE31Y-t<$*Kp zPdcC6HV+JUXFv06l;vxV*`((%@p1a8xZnX@@OXT6u6|f>SJ+@zJ*rXSo6FfGrIV#2 zJ(g5uqJ1d6#3*tn9aJlMrq5#WW14|hN{svV%@EUW=J_llqO(-$5%7w z>(wB*$^=8*SMZDNH3pqWyKwDYc7ly*#*B%N7K}U1>g#GqybFbwQklAp zI|ChH-i6=p2J1$I~nP4tK6Ew(pDnq5YggG8xcWT;PU)&8LdJ57KssH z%3r8XnCnFHf=qtK!_2tthDCUUFf)r}p!@f+e@GbD$x&HAyI0-pGc+SQ6UWiu9+lcE zfsc;fGNYhp4BKCvTn4ejI?p*h5rWaujRBIMNCn&@Vtt7X=+D+MJFpb+d)Q{6wVTSc zotxF7Al_Y2M6AgDp%nx(ZG_SZ(;23bgvjck@Bp8r4fGm8GmL5XY=w&z+Q7!+kNhQ; z#O-Vuf{YcH4npl;?wbQT z^caoxziL{C2`k%du>rAEZ(4V)WL!B^cX(EMr&jgGgC;Qyolr`t+_bcjv~T0X+j;h7 zIpkP)oNbulpl`O87yUjq zUia{|E1%)iDdX&Zuwp)6ED9T_ik5v^{xGcaloJUCM3Aj;+O)Dvy{Pl(z#@sv23y2B z2oHV;@l*ffbvNZvx+@GQlv=R=K`bw9zVqYusrzSBn++(Zc=reTr_l)uK95vCI=p_Y z0FJ0%ZjqSE-c9&c#F7v`AZg+MezEM&Yd$!Wz>e)y&nTXIX->x~`G8xE_*=4@%9&3q@8*lj(e1E3C9J2DG_OTF?)0^t zwj1FJ2O)tV^e4#)m59$6!KUd@{0l~!O@2liI`!rZ>r_?J7;2L!bY2nFXo<6K8QDOY zD+5PJw=QeGFx5&YO(nuyZaIjT2~R8z8+w3AtvdU|Iq1?^4D`Wt`1A0v;yp zZ~@!ffFfSi^oM6C@ra$=|77!GWlgA))R#(6XcCvFN-ufrG?qlBYD8#VNjAZJp%xmYQTT} z-iPv*yJPY5NA7jwM~KLoT{(`3u|$=<=z4YJr$#|oCUPa#KlS!pCf zb~}c!+esWtrG7Ja$LVl2CVfoR3-a@#P?3H-d`Q^OK9 z`xYt;^z^X!Jz#M4{#?;#gXU3xq3$G>jVPP>O7oM#l(t7VI zb?^W%cc#4T?k&H_ZdVrvsy?^q<425)`*lRGvZ5wS1Kp-!v)ILw{@VMubz=l6Ae!SUEE65C-4 zk!q>zXrDak6HUkbj{!f)364Up@x{0fK0r zh{jf_dt$rud#q3FiIp(xKLcS-^|1`MQ?`flc=85^2sW4$!s>@BV+Q9Dhe+ze=bv+5 zAIQ=uh4m(iEkCzcd^=@7P$tk9EVCQpiJ*SuSbwoJDiSsEup&&8td=I>~hi5H@>GE5gO+{>(mC;Lhi>-y524GQxIuH=`sf`xdl z6rS=7*+bP5+;=k^IN7hfjpz&5-cpK&H_AI+d+Go2R?ZHr@D2{2{3QT>_w|Yt{pqr6 zj$UQ2UA* z>x_&hkGnli*+aC~`GExg!g5TN!ga;ls_ylp^1bVK9_{K76JNuULCM_8&s>!}!aS~C zlXI!0D|?2C=OdH{W>IEOTv}+3hBwF@YOcNsj&Bl3Fk0W}S-JQ~4W#tIn~z{5t^G5; zX~fexW_n864}F4}OrM~be^&V%A;kQ9Pa4eLf#G5fI(*Nswq@{F`gU>cj8)@b8%<2@0@3XTK1;3_9x@#2Zzok~lO{Il@n+(P`&*t*5d zKaNX~pVim(Z%YD(2k`$CTtGXHMG}T__Nwk$Y)(fq^Zp9$8cpt8{$K1#*}P6Fo&^GW zu%obV6dv`dN5*J6f_BI%*^Et|k9zrA_b7#qsPabOEYsFftoP!=a%WAQWKIyM=jXtB zDqgeTu=R1M%E!$!d*d=Gnd%j_p+RP;m)4@>u@r4}t-pL`p095zZxT|A)I$j0{!}qM zSj=?}Y;!~raZ5+R-b>$6FAGTij}48P{LTf-Ggb|KDtuY_WIS7C9Lp*69kcTNrYg

%LuZlUz+=GZ+{Dr>Z+I^ffd87CA)pcj*R+^e5RZWWd-`=bb`TF_Q_JFAAhKZ zpT4@{LOf;1Xa>wz)~jyORwlZe1ME@`2&}2;FVh36tdC09SE>chihnrWbd3C}vfbr* zy)`qUgWk_-r9*Lv=y@bDd$vh;<2ljW+<;(o!{jdlm91Mo->XU4ge3s1JE~tum35=t z{7=MHAH4{aRTtpxjQ-wY_Kg}OhEiOM7P%$AwNRdMTKL+zWO!nwhzl&o z3Cz%aBy7E?k`R3wf7m$8Ej(`XrzVLa6`&~33` z8s8zf8;Bo~T$wnpA93%zK%<$F=nQ!R$#7I2k9^vde<#_Q8fQ>B^!DeuV@j9iO?iRV zzYS=Tto+UdLw$ohSCY}zM9tT@`pw~?)#Cm&%!FHq?c#|C&rW*^Tt#O=&ln z0{zbNyvc_iD(~}X;6f=J4<9bil7aaL0lpN8)`$&klu`X{*v6c?8Wk*Er%viX0&YW{lVV(a($DsTMjR2IcIDlU>jBH?|Y(& zlLJFYwHTkY)Bo(2nLotc>q-kq88)I`^kzHLEK}?T?2$Mt*^p$vKS5K&;7;4A+EdlRx1tR3gz|K zAwt^68BQMYZ)=l>^!#h6*=v#HGQOcZ=Ehc;<7pm0hJ!?pFyPe_UwxmsL6WJ57varI zi(~SOHldSAW&RozdnndELAqobQ~C%+G|lX_)>(1+Uoorv@rE4h?4928Wa0w>H(@iA zXqdcocl&Cm=u?XBX|A7HPciH@5*m?6>Lk18*TyLkZd0?4uwZQHoj};wbbz4 zM=%)f9NSxkI+uev;k{6jSGH_5kn06oj$c%>6_ z8kJ`1B7C#u(zPKJ1`J0Mlk{W^ID7qKhz)z+o*0;u4s>niV)a2`#WrH2^xeJECB(hG z0@9^q{m|h$Ji5sQd4GyIbuX!bT&$SwN!1(du~klAhLgmEwEr%>sWog7kHPBel-1?M z^nDq~43BLm538gwSzDWonR79jd!IhkQ^-}cp?=2=oN zzVbh!e8Z#oI46?~m62;HFw`bcPd=EZwD9bB_u9-S_NhE~qv&dgir10sZ2z7hqP)8Z zKmioW|nFPBhpK(#j+Y{}}b zFO&?<-@FmAv$Ekfx+PF7w%xdDOeuFwE-yFo3I&Swpw$m;-bh<@VECpqT{F6581!qt zxF$}?G*>yS%E3OH6xIKt6aLvDu;$%_wJ)}pvybQ61pTztFaGvdT1RQm+b^4U{5*GO zEyGsCC;4yVg5K%Ql$vZtCTsY_K%ebYzGYMi%;{<^)K>q{MX2P9?4CEtld-uqOdQZE z-!gD29{NTIc0q2}tHoR_uYJg!Qz957eS`k>#2?FM4RdM}0pb^Y&sradLwD0@9^#T zu&Ps)UB-H~d<0yW@vFFlt3)M`tH7oT9j94R{kO`lB!N&xvm{Gl0@^g;(D9_oX0CTl zDlapqFzhNmxgL?f=Tl!l3+-YAEk%Nd=h-FAPHNS$soY9c%Qsb?Uk1PA=iatlZA;~6 zd|}PWJ^o&7E7aCU7r(1Y0vhLjBh)DhHQoJ2j+babU3fq@6s5}XJ-uIQwgr{V>Dc{~ z!14EMo-Q`W_TAZ)?_bs*Jz+btiWy9hTVRz<1O#Piywe&)2~&WM-=w~7e@Srpogzd- zTb=3pBaO27jArM>D`7xgQZ2)dG;ghONMO#&bc5hBBv=yBIMgMYIJ07Y@==CKE%`%P zfQGfGjEG1|K>RQ^b$0Ar$ij~!D}wN)QG%Y;9(Pbzl!d%XC=>BU^(K~wc?!$W1dd)b zvV>u_bhC@X)aTk7y5*(hA@=+kneH`TGQ#BkIC>h*ZR>EJpRp*?#%H=?s?-YNSre1X zpmYd)C(!b3u3@f~E3Rh%v5YEnPV^g7+HyM2ABLf8+$ou`Gy4p-`@-MKkaCW z2^Qs@ac|KcHrMB#ij2lxhqE(B1SYL`NBNeuJEgCm<%IOwIP+F7bf>rj5^uIBY$w+( zA@mZ!MM_xC5V(B8apfzUQ=+iVma{Dz9%<>#aVSUOZGtn;^D{ z(g7CRn=RD#L}hqz_}^@NZ@-CeOqrw0pPlx9)ekL{xCEp8&DQf^iu7rsg1zJAr5BclmxN-G=O5J=5SVHG8dHTU;#PZkNyMgl zY_Y%Je`r}Y`aL>a(8rS%&>8a<*5Zkv7}sNZ8QEgF{?etECdRYn7Pe2O+T8gxCj8A5 zvoO=jlo9E7v&E;F>rWkPk|>$&k8ECO7wV=BC5abchricv#2dJw zlc3uHgp8j?Y~G(Qdzb9j-D)pF&y&0wC_u% zb~sD;A*G`gL7$Fi#vhp+dP+}FOuxP1R!;r0yt(6Id@5k!TkBO+B|T$swB(TgI@ONP zhn2I(+wZ(CzY5(p<~jaz4Rzdd3p4Io!U>xrS{g}UIom1YO|QG2F?+dWqon^>+%xnuoRs|r&_24}4 zceC4pWX6bJ>*07LHyxwoi$Ws$(Uh|4PRc|j0;<8zSFd??{`Jor?swrI{_D;a;0Z37 zm`-R9PXK&f58?T+8t5#UTG;6h+tx%4?AmLfSl-t@oArr+`Baz zLeH9%EXAcW%lQ@x2r;! z-+HY?@H;3sF%ti4rgI!KDQW)VSGP~*wKdwU1#O|)es@m?K~w>&ykUi|FH$BXYwq;U zm)tVA(09^{soupmf&15}l#`Dee{HW?pSNd&Y^7a7jqRt5EFBv(peCMK`%Rm3sfEZJ zp#Dn3EVhVlQ?eV#aMVrOG(EEVKlHnq2-pi|H`+xVgwP;W0 zb4eNG!dDl^+RJso;e|`XV8)$2k^+vA2$YC~iI#0FnIkWok;7{-(wgFoPIwRjOEZ*sJBu&B_F&bqVXkV7rXS=NARsNK3QwbqEN^*Q`p6oGKcR_b zdvl$uhQj4qqw_tVl5ZJKT^P@!BdS8#JJ%n$8y)+CF(Vj_-M)o1m4p)0`^v!YX``ezW1w&F>Q= z*h9wMfR?>Gjq9DM{Z(J_EAPmhfyWNYBN1PUly-(W&i2o|K9Pwunvh9i*%wz7m=oaA zIlJc{|C<9Wv~P8T&`+F(L4op~{^$@GG}$w3fcIx-&BOC&WBB<(&PGG~Uw+k0?2(yw zQcN252T__dIQc?IN?vuU-+s=Tzo4N7**Trws-`QMY&u`q;9qIYy=mIEWz$Kz*%MPM z!J3SQj+?b_mt-~5?B6VcPlZ(FQ=;k)c6}H9?qT)lh2N_iT~Uq@o1+A|&n zd+%;|x-p`#MUt`H_xpZX-6+Y_(DL$?e{t=G@uJ%?gD~(il(GCw$_)gdO7-=nS4(bZ zEl_%nOt-wmgvD(&^2RgOUHH5jYMPz_)UG{|^f)fc*G4AIMd}yf%#$b~qnAWqTQ85V zp=i9W>b&YP!0Cos$6|_pvh5vyiS-1ui3BeCPdlxo$8-^=!Lf1N-c^(%1`PL|Uxn^# zn^_$5wS8G*(NXSlekuyjdX=N+^vwi|eZA1+iZuYz5U{Z53BF`eC_ek@Zh=ON(&B@U2F%xfWl6qH1Jj)@ie+_hPW<~+=Y9+s}mlG zXH`~X%0Nr9%V!SyELIxPG-PMeJY|MsSEYOX)E)?!_yHN~$zlOd2kn~%_0<0r;8qpzOmi=H(|i&L2^~O$#uD~<hNL^Z!iuubo(I7$T}u`1o^&YFOoN(v`e6o5(;G|QHbZ>+jdJpnDi$8 zz;&|kxy_40C-8@+gstGug8fAj|&+ z;CCzDGX5Vv-+nEM^mc>K&!tBFYHW+|McJE#Cl)LnYU3DzZIfY??w~ng{>Uih=9)<*nR#3>RXHr1X&?D zZr$YOxxV4E6SY$vZ-vcSvzxU0aK>tWlCyoT9xYB(@TvD3r%yaKaeNv61o7<&?F-J# zwjiPc=jc~#Kaif-sV)5}CPBwZ6eFT%l70azB5TRsj_-Z=zS@}gW93|*E8VpkTuS(n zp|70QMI-<42W_&Hc%QY-Rj1?>O{@Qg^-&pVDq3z=LPc2I^`nY7B?))R6CHJ$eXO^Y zubWJ9`j?N`zq}i$_)5MZ&hp8i@uJf!SKFYfx-yAp54=dYb0oc#4{mEDN`_4JN^l!~ zx;zTDQ8LOW+^Gh$O+k!3K5FsoVeZ`$kGiuVdynToFjkHqcOL&ij|v+wEDFvkO8oGs zY(0GaYj~D9g@KI6m^X44Iy^s9F2Oe>&i5r-GNbrrDCb`Fud~nd$9c}pH=JKDlQdGJ zhB$O}u`gwIhTVLFvaC7ScdpnEfwUuvoi`4ZojWc{R^ujPZZ@bxBRqpq*OzY;xA&?3 z=3ma7I%?E)*?}N~MP&IcG}g6;YA=ylA=L~&s~4vufn98;2NK~5)^vxAl)Sl(_aMyf zt*2(8ulnR|VKya3cWZreL{2v8hGVWYSK~RetVaZHgbFo+N9|*J#|P5X-yOPL9BFD2 zS|qB$N>>uS97E}?i~OQ{BsF=Pv99cK$t3ig(Qx}QmvmaX7<=P75@l7I3XdKURpxNX zR$f{xo}qS7L%7U!2Xyo}h&9nb$({Q#DEZN2wut+MrzK8mwdoT)CtsLF_SF;xi`PVz zp3!r5_rebiuqHjyQ1#~Y^)BXrnwO=~{nV~~F8q;YmY^^d6U29OlFpeP^tvqcr^#!n zpE{*rbQ;AF@S*Ud{AQEMRc=MMC6OikF7d=tYVA4!21j0m9!7b?$-F!#&1{zTl0MC8kY7eeoG@}Bd@8)6 z2wi#tG14Iv$OT;Mq<`zSI2i?wbvk@!bZNz*&ETWcncgpA{Ri)s^*jSlDn(;2 z@rk`*bJExdwei+*R=w^VC1`4ADgQGT`C+~fEnTSMYm;4nhuj#3#@{*bz`R=f-Z=D^ z(YY-j_j0=51+k5_O|z3-W-_Q7k26xiujJ;GVV?T}LBP3Hf#~H=n6h3p)mj9LiK@L` z1eKZI{Uf^&rn9ncz1*l_nAKJ_M@S$gHqEa zQ(pWMzJ^VC$#F-2uc6*C!ark#*IB-and{^QMo50943nX36ZYvU@wu~7si&5;=(EoZ zzHc{KIwYtNRp+JeUA&!~O6v|aJl#O(|3%kZxHTES{of+OL|}+0wZTMGq)U1X5d|d$ zM7pGFqhWL?pp-}nj27vR(cRq*BL|G`hG*aVcl_@AKAz`z{)27TuH*Wg=kC|VQRR|E%QrX0)ocq@#VBg#K*DX{I2EBp^~W^d$wMo}OmbRETtFKOr5 zEw@`VeKqftMWqXaGdq~#L}(O9#rOZ-;BY)I(BahzTOg^Ia!uRS8U zpc#Cg{zE!aRe;~~A2vTRlb5|cYh&+U)AWyWpeSR=C*Hk8F{H;?Ea*rxyk!UnweYWdmT zh5w{{sYpQkwWK3*)6s|O#b#qpQ$3YM&k^VH`0qRtyQnvXpj~N>aTKlW(x{ISqt#o~ z(O^x9`V$aO+J6M8{J-1Ijv~*KD+Qhb1Nupt#?xqC=&pcCs&s!k*YoTVx%{Glrsjij z9rTkRsjqK-_?vJbY^k5Z04Ij8evXiDn!)*3OxfUk=LRPL#nTX`=lzU(#DLDfsULF= z;7>9m18nzFfb09V2k+DajUdFCw8YD`_rtRRHtG7=gih^Qv_|UPjoE%~Lo`qHav#nb zzZbLiNU_S4;N^P1)|Jn-Lg5MBHJYHwYvavos|tX6PP(`zJ0!JQd>39Nj&cadrETDY z+cLH_P65VGw%$L2q-zWH=Ka2rXc-{XA4hP=%Y^ zt&4&2eUk8l7Vh?=zB$B~p_lLdYj^por-QUvZY_^KGe%o$s&JEK7YxLZjiH{52$;=& zQ1IHe$#C8-9ED5Q>4^y(gHuL#uKfmt=2dZn-10#A&$!WS*+f(0Gt|ZEhm88u2o+U5 zilvjH%Kd|%GU9n2!@pvn(jgBHp6_O>`jrq-o{YrQ(EuMncy*f3ahTWg+0Zx zOq5K0{a!Y@{J2F)Y0qFXR@U?II)(EKdK6BbIglWU8lv=SlsntsH^?|C$K-SFT)Mgo z5-@r*?vXGeVaKnR#7r7a!c+oj8#cm=m;J7?g;8Tlm=zM3fOkvadRGnGeI9G>rI zeJ($Lt~Q22rTn`N*i4*Gs_hZ(x!Vk*7i#CIfWns%^7cn2keAF+6kuR0N7oTHizDbindgOpXQ*fQOw( zpwOKLd{~k}cunM}Xf>ppcFM(gYp<Z8ZAl*2ZryMX(9;R*ar4^TIQkPc!Xu#uOb zHv9M^XPv+`XJ8%JW6c;{9$mdy24Fm|uwP*8f6(cGlbxH=?palkZ3G3@B?)EMF$nr8 zEub9klzF|Ht1Yu)DWSJEF1XW=Cs8m`LSzWx)d6M(lzg@QEKVq?dzI4A6>*KwBzm2H z^<|{c3@Fa09(A&qg6pAu?fuJPC6#==e-7MS)XwmS=i&(s0A(~UsRfqHB7_D^tQQ** zUb^*?OhWD*W(ysHu;8+2BxQpPAy8m_g-%Ej@At6{Gv0aQ*8U3EvW{Kk^vKK~{VqZy zq)-yr$Mgtl1jhpLKKd3T*48T^$Exlk!vX^C%K6n;ZtZu59IhYdl5-I_HfH2T5vpZk zZ=#U1?DQBN2lAN#166XOLyf>6X_LXWw%>;oq*o8uQL+6){s`FLn^OIWBLP(bM0H>E zyby9s+#@qWta#Tws@CH@{F!&e$4;(5&HrLqo@Q~}h#WZ-xm9*4t-RXD@KoVeSt}DR zXNQ6Oy-Ok+PXh%`LWGCxIlQ!7THZy58emce{$&s3dEzQKWDvbG*#j){BWDpU0$o^e zb|fc-?cF>Ar92x|(NlYi4)U{4kT9JrJyKxqS{k#Pcdtq)Z=6_$HX;yR0No?W;{_*J z^Lcl#{D6}STjF%;YMx4o=k-R30FL(8N?+GwYPj@qF+C8ap^Fhl*SFdcbG^)elzbQ- z?qhBS&y0mZJrv>0tw=yGJwxk0G;C3oD?kiN|M=+mUC&zSL-!`t?$gRX*QX8l6$Il= zH6D11aH-7&{LMM3p~5e{ULE5Ov!yd?vn|9gE$B6Hwllc3cHd|zB*f!USvcOESNmWq zd`ZygTf@1r{25X=3Q`u02~tYHlnbADl=LD0Iqsx>q`nQ7j6CY!5|`EFb`pvh_DmQx z3q5Lz>Z9Lpx-ZlbH=G^Vm+7Wm6AB@e@@iDa%7sYU@^v@3wt~NlscFp@+hvMt( zt6_R)*-3O~IzB?3fS@YZ$NWm~TaDmwJ|BM%TNr>lqOL!t$xFtoSdWnZ7ka1h%SuGX zV$t34KaBcC+ABT~6oF=q5BZMY{T7;WgGuRUm?qY}sMnovbwI9A#Rp*rw@mwkuL?`R z=nUQ2px&l?;lw2&0v{bQzZ)+CN+Rs?VjCfQgn0fjOqww44+FX z6VOZpYz!2dY>=j?HSFHvqIX``#h{X#{j=f}U+R;M`8U74~=A_Q= z>VYBN-PwE6M7mS^vWdF8TMI8ov_lGBFO6#ehl;KWw>|Q;!Y%UmbK9-va2?RZ}|T= zYB1BJkvvCg(F{I%&wyL_aQ$ z0u`F*Puano3fU_EXWsqP^_$IUGj%sSnsQa zw~2-Kaqb@f+m(;=8FGNt-&p8@KpHsdvJwWwgWv6ctWCS7%?5{ZacF7Kpw!72 z;TjIn92!8#VDb(-!h)M@SnuIM@WrLGj`6w9ql(yyVE{`TNe{ar6b~9qSWfjk*t){E zZe2B|z1GnBpaG_{t(mUf)>HgHRzIePnPb3JIVX$K`w-+w$H4ON_~@92&Bn1f+Q~3m z&Jq4Yh0SfTP4u?;5gX-+lEp!)hyIsZ=R~$!bL|ie7x_kZdZC$P?>DG1wDP9kJ;2Lp z2tV9w{niT6NiUGAS5G`;*&GOm=0Bo|9VGfrn)PldjThNI8VWtHoeVOI>e$Upa|nGf z^QX*9VmBa-?a0yay87BAN1`Ov6p^?Lrc{2*M8Tu=hT85KTf#@{=OW8NW_WJ>Gw&RM z%wj`mi*$tQTPAgRMgwqbJ0$bxd%^_8mEFf`)@<>!jr)(WSP$y+<jqD~K_vDXMAqp=6ZX_B;` zf7mR3iLU!XGcBi`t4&jMd7lyqGue216-ArWk0F8GaR@%vPpw=jkh@&m#jPau`*s)JctXrbAS!6 zJ+0;b$6a3D*g(k{xfr(WuK|f|q%^~06PSOp`TFWTtnQ;mk)z(RQ6-9&yr%ibJ?wB; zd+kHbd6u-R_-hPWkdyfR= zO9(Shp(nr-X`T{nYaOkr%(o=I<Fof2wIfTZ7ERnW#&M+vobfBZfRrMW1&$oL}&;fp~8RHysgg!>9Tmq8h>e0 z+ecq*aSe1DsRP=wSyemIjj`&2w$KhMB_Yj-cau*#_Jft@zbQW6!&dB|MLMV*+t+Vw z9eZ`9mS0NVzifZ&)4g)c?Jdon~tgofAVzSI#D=-Qy^;-=s@U3 znUOfRxW8!D`mK1?RNKZ~j)D;}KCZJFm>q6^Ip8b=Bm_L4b5-yF$Ifa50768D58-=w z)Ow-S=Yb$tb7xsmM3ZMya42JE`cmIdG5@hd{awckw-dQJf*-9Ncg7EdYj&nYOMQ=> zJlT_r{UY$YEDz~eI`Md!2G4UB95la%ujKSz=&a`?ef8UTKFllK`1()r#jjur+D|hy zp|DsD{jDh_mV4)Cd(o?C)6&-tb`M>0+CpR1q4AchkpX2^=zGZbEfnLJniJU#c7J?R zJAUE3pZMPxlLO|*+U6G0ImGDjRAd+kqG(M`EQ_spTfa@Zg(eGjfXZBQUVI~CH95B< z*YMOS&CixG$GOO4aEkpEk;^YQ48dwKl9pMWXn9r{H1NOkG_$LS>7B_z(S3={rZy{S zi!)v2(C0Q`c*g`8WhL8eh=3KdEq^%gs=d~d=vnGZfsgV6Z=uPioDqU>3fDJDmlPl6 zCWxTP#=GOj>0bNy}q3gP)c zNkzXt4kfYxyhr&s|6mSMD86Lg$zmAp@yq>Qx$$ze3!O}li`miJD} zpiJ7+2_@=QS%f|bwX-b0j)9aN*BCWbPPxC)s(rbV-4-XPkLlO>cYf%+ZnN2;9&?@b zWjqhqbMsG#8ZxwXE_Qq@?{2WK ze<=#Ik{H=txloB6pZ!Hn@1vX=(277|83pQcWsgHbjNJ6?SYH0xJ-i@jC~&uLuvVglUJ*a3^N) z(`#IJUMi-4l}3ij($3lJD!2H#8Jas~nElye_An}Os452`m*+SBxQNRtCIuWgC3R65 z?+^X)#1~ma8r-Kq>=KIaB>=e;UN*;b4_oyu?S5r;YTCNIUpa@hM4LYa4m9%PmV20P z9C5-JLrmIK!m;zk1g>}q5ISj5^oURr$kOPr_xzbRMS@2nOhW292CTOGqT{uQCmPsS zA|m@4>!)PUS4?%N`Zh*#QP@bcFj`{$_z3aZ4a9+-Zbq(bHMUtA==oR5_+DKzfXKU?v?r$+9;NK<8^C(c{L_m|@b|F~o@qOrzg@_gv zX0+n_dM!Pj`%Uy_hxL72oR`T4s1`2VTSgB+X2>MEHG+2>8$?*5spRXF7cD&LQ#YNnnorhr28UCPSpC_?=kwg#IRh}Er zl2?9Xuzn4`U98kj@V`uQ3E}Q=Z}++Gb7KT3VtIQbZBb5}mRNDGI`ea}xjVGIv}Pf{ zAuE`0E$KYDP1+%VutbuDW6aQQXkL;x?u3P0=k;J8Q=<*)?HqlAnfLxgk!?RGoi=MeA4ci zhzC1nMgf-{4ipoWHicg}II2dITT;)xdY!g!XRy@Dq}FfgTcb7^Zm{KdfL2sSQvOcSK+^E zbDX0oky1^4`qzFNP0blSysCEWcF1r{E2ck3P1+Gc4xB=9pGMW^SZG1^oh(-LX=R6R-_x+l70SRtuEbe;Apq zciG5^nrCfixy*N`ImVsFyLs^H&aE+&m353V+vxtPpgBgQN40+;KF;6&t&#&8BECq= z+<&0wh|7!mHy#cHz5{pTtetjju8Aa=>e1+n5%p1tDz(APz3t`XMh6hie^b}t_vtf~Z>M%dEL>_rI{R zP5iA%LhO}H*Ny6U;sjJA(&xQUJn(gii@dn8IJc|BD~FjL4bN4zWy8{^*QDdI+~cIU zso&0Y;U7n)E}n~6Pmq>JspMBjC;UzF1mwC;E4utNJf+hfa~QnO9?WZS{WkgcT5yb+ z(*fD}NAFKG5~fIq-j2CZ+eMH|h^rS^`p0Qe!1b4gA8sy)%=s3#tckLEH-Y?ItuV{{ z6~it?))maDUZh)9-&6Rw1NuXnZOJKk`A45-f|Lc5+0$lhyciuyc(z`hKm0O5b!zhA zA%V;TGmp=QGfb={ObfM3UYT_n7=fdeAxDZ$b^+8g0U0!5bO5L zR*U_?N5u(eV$N5cS>c}ckC5cE*gyD+ z8uV$j-C<6TzbAZPzI0e1OoR~rGIb@r82%`cihjb~B$=qZo_;F~aJ-F4X(-h~}Bb`f2Mambi52|=;GG==dxlG2nAO+S>RGgCqnp|R8F zm&}+t)Q@h413*GVV&?~AiPe=QtFw)60g)5Spyf^j+iL$Vz7?DmrF<$^C#?I;OBHA9 zDg`p7>9}5njcmPSG9hI3i(k1f_1#bC^7LLJRB^NcW#z(U45+_#E62ZAN2U*UO)MlB z|Lv#>(tq(n{6W4Em+Ae4M}ro*(VGegh)_Tpxoqh}wrr5ccWP>KC*1wVjE1!WOXt=g zWo7*SWdVztU~?W<9A%jzcS>V}FDDPXxLd0$0d~CPoQDo_imJbV+0+mp6qo39*#cGegb* z<0=yY<23cU;+#N-{%Z2kQWG<$a~=f-GsrMIUO{Y?QNaFp$D!2EtN2_))=X|{q zS*a)nnyu}=iNG2~^_*qu;K&Qlyx6|V^I?b(+OJi2(fVq;Bz0q+Za=4$T;KNv2)QUm zF_5nb(~S+}&xBg8jo*RyHMSere>5{+ByIjhmsWSn;9yNi6y*+Y&hL)=fpk%a?i7v_!bGox1hq<{92G{htl(ufbeI7xy;I5&fzc4! ztbW^ZHgDU`r-bdR6#s9#l5$B{>=P)aZnvoqhE*R>U5}V`HT35BB zZ}XYj|5Y3m!7lo-vt1yJ?M6_}5sy<{If|j3eiV2Xb*N(y)VCOXgqXT9(e$;^Z2ct-x5(~w8XYFGD!?g*BguKhSo9;{Sbo^_QpTZCIJGNkK0^lYyA zGSTgZC0nf%MJ&9zYglP%!#P$Fg$v6nEVg1X@B3$>CGHtd?Ios=DtF{T5 zwa3Mmp32EW{`Sy;yn0d^5S+8bjaw(BSWa-G?@1sY8jzssV!34yY_uPHo3e-d=)v z#dQ;9GBz`m)^fHlBL&V9xnE;&C0pgEWtF#LlA6g=8u6x{Rf8A5_xCo6d?5Z?{5F<@ z9Z_w&QB7-K*j@uXXu(+7F8*1Eo624VSxaKC2Tr)fJz-O>MNGaKFn#Np_dHsr!|4K-8s>Mlkp( z%>DTS(PR2`2aVVL@W6U<@ZZK4C$z3l%K9rd4y37PAb&=Bdz5th(O@qmvI>2RTHxn$V6Ia5dIam2)+DNpv zDK(t%bZMX1dL2*j3zln)X%kU-7T%D(~HAWgAmCnRH- z{0L-=y(6T{(5Sow8fX$bdqUnTX`Y!7KEyH_E8=rZeuZuS2-Z&PXXrKgBh+Zb!>B9V z|0h$_I+pO>y1t7!kMKSHJa}(Lmc)6J1j=!8%@hL$frMhjO(p-9?l*2P?{xx>mip~p zv~K8x7;$JzEZ(~p5VNiR1>a>FX(KWUe$AG)S)*H6Lb!zt7CX*$_s*zo;N!R|&$uj& zSap#)1s$Diq2~y~7HS^JN`09 zP0u1VwPVe3T{)m59C0Q;Ei$Cjl9F8DmhfZ|_^@ zwn&Kbl8}Bnw+VmIK^TRqV^^5wwUm7$k^t8QncDW_8x`HRnhngKZHEZ9HW&w`rgm@dNT0~g9 zIk$UV0?qd(O=LCyY{UOvIX1H?+!|;+b-1iuw4GQ~?JOkNHzkgy24X7#$)+=?~TW9g$n?LXzq>-Fy`bGD$CQfD#yyX)lm#|1>cQt!= z-Y2lEWvvAowAOmPa+tE4C6wH{NM-M8>`8X=2-0hRNZS%(xOhb@@4Fyo_g2fG?6DVp zMxWw@&;Ewx)s)8zPhnj|y_oFC{KaQEj4K99xob{fb1xGL=dS<@(w(TzYitH;%>IKG zMGd@OCek(CUcap-dJ#yxg>Q!}P8C*0jx-GLimW(cV zoct4nIA7Pg9KJeh@mP^dQ0gVyk2`;~JP~dNTZFtjFJAV(v!8br4jaK~@|Rg2@`Y-M zXmNXK@!NJ|6M@@@UV`|FAS+*3StrE!k$rR0@}DrH=LE>^ddL7Ok8tzXi&<>PMbGfp zmSu|Xi+i9Iu=_V)c%${+eU8-;;}>T=hWU9iQ=nC>6pFkFxK?E9WT+qM^s;1P?laJI+=CXb4mTAf?Bze`WZcuZrU9WlcY;X5}YrhzmIG{`SNpzm(NxRA@-Dxq_d@Z0Kx zCTX}R**?^gTh@S_gg9wpulA`voU1|!E1j30W+jgcU49lwT6vSo9mlSryKaP1i3@*6 zF=cY&Lr%MibiA{EcaVg~DTV73q- zDv^?#R4Gg3t^WzhRqQxHPglro?(C}-V!3$qcme7batNBy>DolR3f!$#3dltEfMQ~R z#ZgjTH|EApXQ~4d*&{28@ukSH@okOF)!bNsJ&`VzC`&!ddb0Go{7_<=)cgO@Dm3jn zBmxET=d(oEYnL4z^l8Z;Ry;un@x|G>B9i?!zsXqj*;VHMjx^uoN-a1$lq_=pyc@NE zdWdqL4&y9%Q1`i0#MYKxXS9pZL^z?D1D06&juw*1geSf{YfW+s=D+`Q$K2!btaaJ) zBxUG8!1jN!Pl@4?&q4;?K9YI#7@)k$mwA=?$<#M2jW7dBss)zd&2eTCDS2b;yB*EN zaTSVp_V+vG%q#apXOjV)tSvjkn`KV#gKu8HZ*_Vw z!xvW#VmVug1}CbO$n`AoHKA^eQr=fC!yG2un)zFGmwuS+8Q$EP)WWDbui!C5$;tL? z7TiLQLPGAYdcIo!jpuYMzQ$YPHEOkqkBpIqTegx*#;0 z`?QDpPH_f%bYyhKb&T`H4!Ev@O-4L+b-gEFWcuKk*YosPy?d(YqfGPJRIoamyGu+- zIC!XdH=J$FyyC<=F`rH>40jxjsiATW(4Ox5dyTbPwVujhE|p>olUF@iXYEdcvaAOa z0-`<7ib~MipHIM>9g}!2>MM7pPch3~ryWt(V-wwaW~3B2{vlkc?e{S=MC0MIA*zrQ z=#h}*<%hn^)7R0g@hfTXSY+|$CyvqbIDme=cKPWAb$+hhk-rUS=o;^3n8KmAiu4kl z-uVBs9^R!Kv`;eP*c$#(D4_W&Tj}4bQhg?vWt_3y2NI}!6T)}%Yv7>Vu!iF% zjLc*}8#nD>?TAOn{@cj?Q7VAIY1N7E{!*={n7R?lzZ8{`0eYvSwr89i;hliw%uS41 zcmE{SXxAZ1)Osp)t4i*!*SQPRMZs#tkXLE-b){Rd|DU+zT zOo5aSz0)5`A%B3=fvB>d){YN!qYPtM6rZEm7zgND0E%pDQK~LK%L~J!KWc@j^YjOi z|0i61%A^OeouPkV$`cj(?(y6a0&4cr?>_+4O4UQX!Ue&WCSH{Hr?1Iukf?oOJ}fX`JHHw;{P~e5^N)$S3$x5|bb0`2iHI&f;Ag9G*#`EMdzjM3_<2`3@zcqm ztD#sk+WlTBGaeb?Hze8gX~XshKkz)%sLH=NXhtX z#qb2wlSxX3Ye8+$sb{VsC|m^PY2@_WW?YX!+YowBs7c9K)aH&*qdKk>vqwD}8Y-pV zNLBQt*Oz7QF`16BtCF`bdV$Ms0i*#6UEZv<(Eda{S>N3)TUxRJIg`~F^$NH$ftUN* z+ayWpw{3JVkDJ<_h_%f0U6vl72jDF+w_0_XCYIeRW79%*VfJKZ4apC;xsw}?kMZZ~ z!z|U6-HZ9#7?bO^s{!ois;kub(!8WaS26p&6npD>Ck~s63MEt_34cY-ZW^;?!xqA_ zZUup>Jgb~1xr{p3==b#$lqiM9LBcBB&Y>1!l{e*~EwfcAZ9fivp0qM!A9Kw+Cfw31 znt}&0*05S(qc}_NFG~OM9wttQE_Ng#PJ~-XQ6)(1yd8Euz{UDy$p)?X4;1+uG9KP_ zTgBhjv$R~y%shYTs;vJ8pY{zkniJKU+BAkf>0}4D+r90$@2@&OrDL>AwoJLi1F&5U z)EKBH04R(zzzmH2C|Nhjr2SEtiHXuRIB$m$L|J?Y^V6x958cimxF|E|KO@G zyhT<2(=m?C-1?l~kH~r3)^R*o=aRkUFZi-MIygbb@22bX_&QVigVkg6L>aYWx%Xye zH<<Ng2vZu41d2yqhekj) z3(Vi>f7|JyyYFVy0|>DW{jTVAwzO#2`*cCrC*t`9TP$}N@YiZM^V7`_5RH*k$a4ED zvp<%{%_LzhF1A{YLzHIXM@_U&7gAe1QLROf=C+LxP%K!Kr@8gj>v?jm|KLZg)WNcY z0uFM-)tL2A#XP$z@eu9%iH5AzydffX^;v&9=Dz%(GJO4s0v__Uj=l#3BxqfT_=V3k zjZLg>gsSnDy`EoQ_e39X3V;bC7DQ@yYc?Bf^WmP)(44={c>L zbuUx)-s27`xaU3rgQ%zrQ1)%|!qJ&@-t8i%=TBa4H^m!l`=hlFq!gMps*p26x&hn% zRa4%(qLW&Xi3jbks}&JN52jp=yi4Tqk?@8`H_NDZ3_;%N-MVgwe)sqi)1TF3n`xVR zCA_lwgJyrxr)vk!vAIhLPv(3T%lhb))8&Fhk!jr72{Op!uH4#*6>|9X0(CySABObh zlhG%0g`?Mn;wc@~>Vrn*-S|qOOU@O!x7zt8LhU@^Chb6IW{ne_eU*<+miOkZZd=+% zuFbLOw-3WEvbrVoSW|w6$631ypM^rY z7~%74_f#U~0Ilumi7GKsg5FxKAnDw1f-;c3xOa8dJD+Zv0zcn`)hI@7^wcd<=WcSc zovvxCVGGgpZ|Qkh#l>PdXGz~ z>*fdEy80&}t7GCldD@CL{)o!Yrp5PJ*W1tNx~+HTem;rc^t0zsIKRwG`hp3BaHR(_ z)~c^E=BKR9II1StS)rIs5(a2C&*S^l`f-PYV=(d8=)V$KDg9>XhQ4ubBA-HQHjXbzoJ3j5~yVuckXfu*v?QUd* zu(ExYfHU<932?FZPE4it-+Xc5Cd1n%g1ZaBEw!0rW>2^6QfdBaztBHUHAx;jZOQ6v`6scTo;j23A6Kv zZ$iTAez&kjDwizCWZSHt(z4hIyKwcwC*M*Sff&{F3RdD2zs@&?8rL^nJrlIuyK}wV zepmQHow+Aj{7v}UJ^cPUc;?yMpF5x|eplmVU%|9ZY&%EMefc9_PwS*&{Qa=_*;f(j zTo~r#l^{a3-N3zCZvdwK(!>k~WwU#dz4VI|Zmg`6;`w<_b9|R&v0*G2sp;6??p5ya z_nu3g5#_!9DOXbj1KDaj7f_** z4rDv>eD@?FhX35ue#6u~xoH>_T02X?Z-ntQ7X8*+f7|p1u9lN^phO#U@B>xb(et$j za1P>zD6PEL)YD@|1cldnH6F;!BRSp+iW`^E=e^{#`!%;y)pfG&Fnj<;?ylr}ieB+d zN#8_CA-}+^U%wV8T?99z{Ze|`t{j`Nep=UP{lu&JI9h+k!m5qQ}C z@j!PC&D=eAKNiSw^hS>DihfEo-Bw>i>gOu&rn)UHc5DkpR(V%ytriH$e`5jOLcUZT ztbT6iso83w*vJ+QjXEmsOQmK|>nPLBnb{E#cb@R)!jyQ|>aAuK<~nq;46r9fKxhe* z8rgXQFpVlfm7Q)_>OCLW(#T@aBG1(`;Pu8D*IrlyatBKnMRyP^>Lb&uyG|9p7`15k z6AB#=FOY-^-IYrXWaKK;4ne5TsVS;s^rX@A&dJ@gZkcRXtAc7N*4-Ii6g~qn6CW#W zRz+qj)}mJJKxy)@^F-It1QCMd6+rkO(v^0SX|0p$&Er9V35^>U+WER6V-8{Ae#3PKH(Pb<(M^>E*9CHxq^__Ikhld8)X7sz6OqjTzT> z>cJH!J#j?bULIYl7u<)($eg%xtWxQ`KHn@FT{e5Ht=Z!z*BbbXazue5#?KlH^OSsj8L*xH{ue_Z(P@HdY^RORBBGC6>-6OP(z)V{0n zP2R=hrNnq`r>PY;;F+2i`rxCL43vr(Jf>rJLT_@+unicXBEeNkrGrR}j zNOr{Rxlj~bPK{RxbLWz3bZa%7uFSK{ZFS9aqXSBAdpw8}?^Mzw5_?6#nO?vP}BRgw{0%W>n$mF=mi_3md27)vsbO$f)&i%6YXJp(fVsp;PlCg?|+S|9?PmGNH zNOI8-+4bfBukGB&ESX6ZU0U3n)T8S%mmwR?maUWw(`xXl`>*A||2I8QMj~uv3nB}X zx!*O`5F=UoBD;z(evlvprCO35xVz*`3lBhAs0ACzo5JUIooNU6SgK_!fb=O+w&_Fvv;T=$g+iZ3us4ZBCuePzEyvV`!u zob>`l{_8ytj;=CE;5#*8JzO9#*}-J?GKfXR)xV2NXJL0}eQwgP`?uF-Cc4ThmM^wY z1^aEI8%&K|JP=xO*!&3>+^O3`VF80K0Ypf`Pyzx`B?wb z-{J3-$HB||3;XI4X~j`r+!ZA<93385fzJIkazx$Drp=|l$!NVj zT`LaxL6c6*$>99KcARh@&gvKfm5q~Xf8`KW9#=mp>&X{YWgp7N(iik2GN)IBR4R#f zV4=(RTC#ydZwI$~@%2K-qyY1H4~1dCaP&Webl{*Pu5t~Z#&gQMjeF1Pmq+$Tx*083 z!^(Jh+zL4RK`8>9?jI zGSbA|Zn|)o*CEvR-r?sYx zXeR%yz09PG#*fyhE3D$ybJ#)q%3WEJ5G!Fr{J0-wYyBYg`SJ>H_mZ)y@pGp)BtKC- z7cVPyAAOIXIg=buc~k0Udq4-=%oG)m@);5RDgW48F;(6(=N`z8_BqptVzeOT4TgB{ zacxV=&-&(H0aVn=j{b4Q1TAT<{gE(;Vxv(l4|P}OtdD(srR7)J&36kA??RG!`~L|F zwHWH)iH9+?%Qx?&A)j6~@Zy`|$Spw*TB{RaQE?+}YZfPc(15g$m`V7V5>X&i3QtE+ zn7u2_qz|BG?j^wJkIP;gw7R@Q|F|9HGJEO%+Nz;m%Ut*#>|p0G>9Ae~bDO2}2FS$y z3)D$rEW`(&BQ{ZtW9Zc8vB~KAy7V+5!ek{pb|~ zx~O8e%bvC#p;#SR7nd9gG!}&tZZ<-vYsRp!UgaSE=Z^r^nx?db<6s`BABzDOt$>l< zV1vO%nn@GtUdVTsJy(Cj-6Nj<%KL~oE1!LXx;2)o)2n-kIBiNu?Z3ixIqU~Yj#(p* zduA4w2l;Uq=3Vgeglak6cALRJ5gXHd(6PlWua=oPue`GZTBF;i-lre6El3?gs|0~@ z?mmHG5{9rIn_A796YCjMhwM+;f_LuR9({Z#`8k_tTF5eOJOot`p(>ao6c*K!At;xj z9t)n(&aHRwtafsVIYCV2T^~|g3LQd|S!_;`d;!-wzeL!a)?B6+G5L6;!>_7$MsnqQD+6QCS9tMD|y{Z&ALw?BzFuC(o z+zU^4dLwOr`P}NBF?E7jrzL#wjb16^;t8I`WKbHT@UloOj|HT@(iOk)Ic)2{cMd;n zpM9IL$$Wo5!9(l&#z^MoMrQK3rdi?>TFmqHvcGbL*QH6@BBOL&f}lD@v|2%-$2n>H ze~`z7i+|ffk+e_j9h9#3B9B8c6uxJS^jS&2m)h3cD^H|uTc*hv%wI3Gwj1j1RJ;l~ z;dxY6K6cDd=+b{5{Ed$Mu%g!?%)Baa$Kcc1S4Oixo!j)qqWr*uQm(6+fZ+)^eIXfmWd=AOT` z>dx0kVH724be*HwoLprJ`!BU@hMjTsqT8R>{g&*O-!|MV=eu#Ok~%d#bXu{zUhS$D zy#%{wF13bc2FlwQB){V72SS;Z7>HI*3>M9Kb)zI3l`cLgI zXIsfSUlzZm+@Pecn>GVDfHhi@zNUW8P7QX@Ou zyKBJg?pH2J$#@GjM8w#hAQJ{>3=xMuStD^wqTLY#-{F>*ekvvMMs$49&wpJM4+{p; z+8%_R#Yj|tK4_A>xAvodSqun)2g+;QO!(Anvzhs5U?UhX~o7sn5M!E0gR5oBQDg|Fa3VY+e`Qjh%oIPXr z-GmE6VQ;c}gP->%lJY|zhZ_w|Pd)~ei#M?c0bT%=4o;6uS*OialRip>4TzK}>r0Il zUZXSA3lDbEy8c=3Yja$}bz1L9n_B%vgMyuIC3|LSz_yfx4j9%QwulUfTh&+PY$ z^;|BTUvqPlNLAT7{q^%zmyRWkm)f1J|JCqOc-cf=_D{o$w(P!WIcDxIEtSsOP_aTr zt@5a450&eb_o_2T>2qF?Lf!0lM}m&bm2L~v1$tT5FZ_CJRo8)MAYUE^beuUF{uPbxoc?4L2+5~G>BX>JUmr3=@V z0$@ACF(_{k@o0LqU{&wUi(PsZCZBH|6o*V0&09_cMU@qX0RdoiPLNkBUAvO_GeYt# z^8xP|hc-4?1k%j_MfwCHEyyw=AOtdT!lccc)hC6cJd0MR~F3H@8J;K)lfM)^(_+dxAd0ZPs0b) zjUn0X;_d$J;U+A%Z=E7#cfj`FrrABw<)9iQ+-SzZ_E)eZfBc_{=e0}y3gBn>aYyNg zPz=9E)UIIgufF8~tm%k8$x&GH}4 zBz@K}zwMNIlN$05W1EN2Q(4HubjV7O08hy6O_r;Sa$CYNm~WZQekfHV(E+O0EN!|v zb*VLrtVmf3m{U0Rdsq6o^1$u}>29aBuPf+33}V-olvbgY{Og`?ovmFjc0E&}%P(lZ ztT(m<(X97!oT>127c4iN|EISEEHBZ$*0W1VBJcAr4Ncb!xG`2=4g@di?T1 zWoccL<4MnRrXs4)aq{i!iwM0XgjVHDh39{q`g+t#vlT^u<5QMrvQVv!jjag-DhDRn zFSfPIjz4rX8mU*+GoH_jr`zFdcAE1SCCGx`<$9|bdaJB$S)3Pe z7#WNe)$+_YHdf&@+Ap{XXDJ<7!8~Y1mKaetKI34owCiPwlMO1D}*iNtp*uBf*g_(|ow7gp=j5Vw1qu>5`4BQAw^< zYzCI)tTR=?`2*F6%I#D<`fwp5@}0Af^~2)Ih3#T{nqH$#RngGcl|lH9Uyc?3s;;1X zyjE4Yn2MGLAuVNPOc!TX(|rl>~dhY*M}(x z(|-PPPI>8KflQ%FRc$Q0yvl18q`Et_yjpZoPBvfSx$a$Y#G+8;Wd1W~XlxoZl-ML} zM>o?u56J2dv1HVgPIh}?)4XMmOQ zBjFkoqMjswUqL+70K*o&aT>4%i%tJ$>n{vT8ikQ`Uoez_KvQ`|_MC!NmBH71nFSU$mC0J>(0Z2(S>4$6`_!xIkp)Y%ag*&pu!7hZPjV+ z^sQv*wKnqGfBHf5D2tH9^ zmFQ@PkID&g)-Zhrc;1i^5U50g%STqx$R|1eagf)7iAn(kC)j=on%uoC(P`XdZ(!;W$+ zK8UUcFkC#&xX?*4uJp2^!|rLrrgz#)p~|riL5-vx{?|HF|6Q-aDR*v+rquBAUB~Z> z^j50Q)`kekF^Hjfhu(;nNVvV+iVD5!*RGS3iDSsRN!Bj^MdrfR8AGV>Xq20; z*}3uAHKbjFp;!EjU`<${0?qpeX4fC{N$#^m6qq@$Wn}sO+}ufJA5`V~ z(yw%MwILo6!}^yq)2ANV+L>aSfy$X*v0>I6CAc6NtI=+Hgu@YyIEb*zv0&+%W`9yI z_G^(Jre;7@RaW4wX2)fpd%tygY1DNaDbMdf{}@z;kji60P%294*^-1&YKK8;rZ)gH z(pf)v)o;&MuxeFj3|C3(5Op`}6+UyWUwWxm+6!h}{UhXLxp^V0r0@S-WUxZnOkPs(A+KeL8RC6U9H~wu6f3v9!W*>A+!8I` zm_pyas$5JJWHg$Wk-ol#L7n@3(y%Vq{s?FMWPW@y$rCvpn#d;obAlAf67UL0ie$6* zL5dwufn@pTkXWBCq;Lf15QAtU-68`rBZIVqq%+uoSGLDZjd8LYe+V-BeqqxWWX10U zZXH~=Sy_wpP=Q5K;S#;d1xaJ0X*XZpv?Ts&{GXWKPygt?Y@5)-m91s__a}wjYHQ;% zsi^Z>`+J?59EBuSQdqGxmr+Ft!Mc0uPYSLQ+-!d(=RlF(=MhIDOzH}Ng#ZS=I%mX3v+@;bPIwyd1-(43hD)7TnyNOvo$cuku01x0X5dyT*fe z0}SUM{U{VTD)=fDO20I|eXq-tt+3)6uRzXG^s?VvF^S7@-J3MIdE{ibMUnZWU7z{l z)&bG%b{g0I-gP&%|(dOPQ|k?~YTz&yO{ zgYW>V-Yjukud`kA@vS+nG0g6XeNAQv*85Dbn*Y{&30w^7By;&<9R2;+r#+-z>aOTQ zr>QfwdDAJmOjXs=K2^1JoH@DJoWW4%^rM_2SKysG#i7AyCKeH~XQ=lC<`Lrp`9|S_ z?vZfAw{BS>oY!b1t!arSu3`K+wzA?mpC^3wD4Hn4CPZv~9=$I!E3pIFJhES7*^snT ztr3lVuj-}sja0&h6Jpg!)6&O7yx`>%BH8fpapj>Q6)=H~2i_8%^9_F6yPHCBy>-&F zpgqcBgNyM^sBG<7^uniZ%nY{fdC^e(TOy7#$mmrGxGXA@aA6YD95+0k^u@l5T>}Hn zc|CDJOKo>)Mw`mwEkotFqCj%U_4n9pgFV^Qw0Cw9VB~U z?c8a&XPHVkz+^&d8mL?ymhF~De(Q*#yb+c3Hw$M>Zk?zTe_T5CM0te-g^4aWia96e z;Dz>-8+;}ah7^@1coei9D@askwG8}dyy4s)X+*~`PzpSYrgaxrW$O_e9_3v5Y5wK# z?8*-Ix{lfirA~jY1Z4ZJZf{i2`rxNli-)%vDEUA~!P1z!O1VRSG{!ChGn0UPdJE*6tJFJ-gCBGFhT*4^ECP@m=!b{)&3!V2 zq+}5Y<{TRT#D?iO3h#YWxz$;0+>HkdebNa27D>rzdXawK&WBhl-qlBZ9Z8canV!n` zD}S<(M4AXv@UQ71o##uC>(0bBkm5uw=Y+PCuL)s@k{+h`a#@I}o&8nQL(fCmqJP6l z;6G{#-YlJhl5W0?xuN7s&ZSh(IpCf0)m<_ob4vT+Nu(Lg7 zLQ2n$=ZZE;EjlsY+nTFSZQ`#?aNlmu^~4ENPqGM@u9qD>bFgpzqe*|M6`ri;A?N`{8Qq z^^W-0vmJfS(K!G!!7`GHh&dKFxuN#`{@u_lESIR$vCACQ^mKe{9S9GeV{9K;F*9XG$$u#wlGVDLoY`9XVLPz8R>$c8P_29i21$ez~Jo zD=y>1r#~O+?lLBZB_ffHy|xE22?VBc_{9&mtA4Ru<1EC$$M&?GUg0uHCpNEXlornY zK0z@czEL=V9TeG4PJ>w)iufRtU|8kk;dw^Xif&lHKA|7Lde$cG_381W*Eg08aXf$v z)Vkf;@pUv5b3r7T46so=QI<;>DW;1T^zB|jcMH!U2~@(6_IL4Z0-?xXWbTK@@PS(y zriK4-^oX+EGP*ym*9f`|7j}4`FH?%AGfF0feR5&Ec#j@~bOK54*={?hu4kGJ^^4a= zU4U0&Mx&18pHrrLmt>qrqQ|nZEK!o8sylH7s}{rq$OXC5Zuz&y-1ITY=ov>r5jLMsN4PL~&G z+Z$cTO$;e}Ntej`@$`w2Em6l~lqbS{9wC$fLyMcu zU(TNOwAAw4{20Gc?+ozu+?$X-oU0@(oi*kcHP@GTur6@<@{cX+f8)`O4X;{s5t^DA zpsJpK<)Pq;)jfcT{l14rVSg`9;_(ypw>BH!Bs|t@HI}E_yK2+@9EqRy-;BR$TO$kZ zX>~IvU&#o!&7k^Df~h)5&G^IUDhm4OmugFavc^MWs)!|35I*t1uN^F;;%7_||m4Yp~RL55qJ)xp0u<;_ox+Ot< zho-x&qno=hLJ#O?%7d_I?kVh40|)Jq`)sC2E)l76E|JrK^4{T{8n>iv(COc~-I3p@ zF>#4}iPO*SB&wLD<|tnvE7Nl|Mn zwW>pB^<>CWIqHgWg~Sr(NmrI}`Dzj+0Rc-!cF1KmjNK)8S2v%?YF15ajTHq}lSBg! zQ3a%}g{roh!Q;BnHtWnlKJZ+;!)|7wgSF-4dR2=SqH9uptk_9Cl3DI500Q%{e>QRO zdu(}rH}dp1e=mintg8aGlu_Eo7GTrzDIAzh@5JPJ%QtyL#}L@GJ9*P0C)uEw;k3em zsi+e?&T}b#hb`(E6bBaLzQZ22bLScG)lHs07_#-;xq7%hcG;F(uhy73t$WI(4k`gI z0e316wS_W+WqH<84FXDsL5LKZIOA&Nk@&;epu{A|5$3Sh<1;Iwseb&{Jabg=AkR^F z{EfrCia+(kj~n*UT|LmqiSaKb>s7yqW$eSc+tkvz5Oz*N1D8v6-~{^6x_Qo zP_@naeB4VL^KjMnQV$C~KOyHo2zbMTl{x%lk4zfKN|*_a^~N{wU+avP4R(*H*j~?W zr)6hj=sWL98C-%CFIw;rEuo+|zXi7gn57jror3tt9r_Uw)+QIn6kgxLJ;$!cvLPrJWF# zYm=e*NWDjVH9GAh*CpW?WnnWV?*R+C1fBXWrSb=?KNOAqs*>Gj6BwJ9p-+na9$Pmd zIq$Uq0LUx^32mCkIa!UANm!oFk36u5$z{uKc6~+duFVxv8vV=4_P$9&r<;+dKUocl zw;J>6JYhA|oxO|kZmSf|LN>l{pZYI#XPCa-p1Si0j?ECxA2~T)(QnN?>Q{BbrK?tb zbGvfvG4FE$DLeDyH}tv|6dSO4^i7ehI0=krk7smwwXY(ZrV%^;Jw_X?YvlgM=SEZy zc>SQ=FOVM}L+u!G+*(U`2=SAs9y1lSAGC(SQhJd(6IHv7!2Z~B%YMmxC4D7zud+j{Qk7P)Ydv(=Uh{mGW!Q6!*y#(~ zY5x_#Q_sIaWxQJx&!61778Nj3;qADZ!8z|ctiCYU56dnMi^3MP*?4^{(%hRl9s}j^ z4qq83#RbX;>1}}GGR7w-=Z-2Wd0(1->aBgJ;E#-Tx9rEiP6~eSLKjwT_NO;0xlzL2 zd+v-X)ulfq#jWeOuW6j>do7vut2x9`0YR)=T*(`vLHa?m8EVPD4CX8XWG&dev==fx zNmz0~U0is>fwBtz;SkSS=gUDRDk$veJ5|$3 zw)_J80a=T8=l4>-iuJP0eD&&fxWMHo<8g1XVPR09ObhLfS6skenJfpxhDWl9j@W?e zkyx%{A9gT&^zq{>%A^k;Fyl%z=DtkY%NV;iF%}bkROX|`52U!A9+rGBE+^~p!Qhan zdNXVXPwYeL_b)pKfm{>c|9y>eXR>U0`6Gn$M-FZnNzEHm_m8rlc6xnDf$|4(>(Q8R z`PQ0~H^C=_i@$ROF>qbg-=TfGn^9WEqQ}4u1q>#twf$BBfBh&q=%+&BGc}Y32jH$O zT8GRCKj z1^&U|Me-8HTPSNxZaPr%$gCEoEb@`B!_yjliG1xwe{sEZ~7SK@}Y+MmMy6#wFGP}e}s60`RERcQZUi234a=*my5a$WE z_t=fm7Rar}0)aCPYXF!0L~EYZz^w^gnnik@3G*qCa>b&(wfA^l{8ixAx6QgS$CFyu zm(6c<`bAfk0(ZpF-@r(M=CCt)NU(kyEFbAs=iJkKTjbM>~ zkE^GqZa!jY#lkS6s^2$O*6zh8_H-<_4|k44I1a)2iv1;if7TZ2QlFLb|J<7zKhdsP zpDYv(fZ#|e*{*-JXq)3J@V=m-3{O@58hzOk)?j(uc;dqq6(8C(x2>|&T z$>?J>Msz_QZolv!GlGUHDc5Q3xtTYVO>@*y0WiFKkLt};$P!^=m0CGLG~Cg*v9|Dz^;>>#^#>!1D4R*E6a;vd%M z2bf^UT}{HlkLRjmdvl73ex21SQpOeN<&F|f{~1E$SG!Vg1DS}8)2gD}DQ#{kZ#n`^ zj=kzpILaVu(yL@&MCh2*+2rcUG}qagQfQacV+O%_{~wQrr%J|p5KSJcbSS;WDMCzH zWkQmkP$WH+^PAFIPWFQpLVR&Y4h^rJJj<>tR~{>gI8CZ=(#j)4Sh!2nrF-C&xd-NB zOyzGhjIbq1Da&v%A5xE$ZakaLP09^?xrtzyK3pH^_JJ_h^^6Hg_(<{W+Kh-AdiEqlGeJsp}cz(|yYtV8Ng_M9ZEuNAlSdcjbPtk|tP@ z%O(oO2ok~x=`urjKojP;0=t_=b}&?k3Fa^ugux;Isp8m^4?tpsktSY9QlLlAA-oXI z2qJj;9$&`-H#{=O;^k4sn(5wzdoHBtK6Ov)c!yZuZV4BJjBi?FJVgqVk%u`GJ!t@3 z#Ax}Oh1NcQAVh?QD`ELW)?TEI4J{HSKOeLh=p2(819(>^m>6@USfo(Mgk?TEj3akF zLh7H!W*8f_43f=#=8_{kT7%_$+7yhZ_2xzD2NFiCAslE-y!igi92OQgFDO4H)(u(# z#{kz`nOD8|p`c1g`>DH^+i&-6@}Aa5So>29gUw~g<74-_=gyclP6qyq04xJFBZ2@N z+JJ>>Dde2r*m0qmUkAT_(hOAs2kJ?+h%=n=A)=bERkVouyj|>W^YWt&n@A@rX=6fC8*tf zMkn8Xt|(vT&FNUqU#-a9!fwGO8qtc@(_lzoV@_T9`ebu+7Zb)&jI+ApNhV zW8y(`MET`p8dFyrd1DHCL0_Xr;`bl0GXzbw($C}AxKzTOtk1YNNjC@V`*RS_X!x6E zBN+jo6$MXSx4t#W0;v0(%~dl@&~*= z8+Q|jYnO_fd71@zJffpVz4IF?U7zsg2r=PdJ<~)UhL(^P8A~2P0 z$?oO4*xZ13j=p~D(h3=T(zfsGVvSk6FNW{sA4W*F6a0p z*4@deLtrssSZ|ex2UHcfEYcG4n$PEbsIBaw&grJMbfA&fH*R8mkyA_P=kG~5dQq24hOYI*Je?1>ip|;2FS+9l0 zF?ksbg+o)Z+TYH<0ftJQ$aEzv*Phl%qaniwptKu_XPi~Y-fn$e{Rz;0 zwCm?xwA1g|Z%4vZmZaArsz0o6c*g)Tyv=1~SuDcwkZh046}AfjxV~|o0C)5jlFkV5 zxEOZESq!&zvtVfA@h|FZJfYc0NUvf(&}Y-US1eo!971W(J#8ag-)D7m_S!;u=Vyld zo{A0vTK@}|(>zkv>vKdTqRuHKk}p0@>dbM6+5W#d!HV4p*Y3?fjHCPxCvXOR!A?-c z`TdUe!qTZja4`epeRI~{<2QnK)enoO!&Mx@x_1s--QJiE-F?YK*^sA)+i*`<2}S%s)6f3+`%j4jaT7B`ECb>}<{rX{e*aL_*|jYu{%KB!A%gaE zd&Sw0tojehzbA`)ZdK$r{`CFt97#jsp^yyci`UF&`Owf;Z$|O;SS)rb5~tbTsLI~o zFXQi8(?zhsls`;fU^%_M>K9Y2=O@G92&;8ZiA$23jCK`oHD^Fqz?)(1Ateg*#j?OM zBL5o%1isuZWyEgPDESKeXJU@Kc9c^UIb+=()GzK->9 zS=ZAyk=^4uL;LVxxW#ieR(r1uoVs5|$YE@Tni^3VPyLQk&oVA6=W6|=ZRk_m;jZ?J zyXmQVu(15mtFfx}hQ`n{cDwx8+o@bUbrt55+RAw;1*<~otEQ}{(h@azem6utm6eBy zRW+6SJHc!aZ|zgXs@nN{Wa+Dv+S~w02?qnV;MW4GAQHxOu`?l@iR7P#JXvr)Lz z?zqV=;l4wRL$u;Ra$xVoP16IloqF*5X!mfK&<)20t-2%i&N*28{6tXrU_*GV(ObC9 z+xUc67NxLWJi^_flWLG8qisad;N1xIeU3utpJRs%V{33FU2vPOrCpX;vG|YZ+P?rwU?=O#sibN+WskQw{H<_*90UybiO?T zz*blCxKab#5`s`i2N3I)p4)s;F=3R{PnmUNIKioL%Gg=Z$ztnGnf+H(vaFrhIW2R= zty1G!MsAO0mTJ`q_cXI;J-fD3_%ZAN%S#IQJg5N%YD_wu8rg_OZnJYu|3z`BK6fkk zTdn2~$9SFJJDR5x{_3B=%lG&g3W-=z zC6ehH(!StAq*Y#2<^xFoBJHqi`9-LZ>dM?;p8L9l z3Z-zXP7Ce!+`3V-f;Od)p3C>Z-GKFg-GI}{Qz-?qoboeGWNLA)fiLdTzkNQBsc9~o zPXF~`pH`xWbC0aL3E6cL53u)8*5f7E+cx?}lJoK^@r&+>3{1jNDynnR3r~)SsHNg5 z6&xH_HJDX_$S_4R!%YKtJqbG9Y3*5)oL?I& z!eBnph|StuX;V5j6nfKq(w{-E)Op0$>x;KL`v#n9F_K$9E~01+4i2s2cI|qW!AjE~ zt?ndt&V~!7AKb3YQvswDQw@DW-NYHJsKI^)leq94MzxhYzAVPSag@ykMAs1vyY?tktcO0WI`(oj~%ytrKBUY)V7UfUza27-DwTG^sf zc7JM%;~|P2oyml89ZzH4p3{!r2fqpH52qBli2Aq5J;g+j$EM?h_u29|sTJPG;_d%# z6_fHEgbc5izQ140FSvyXgBtzwpGkiI4dMT$DiVpJzU?MipgPP6tT@knb8*h`EHRW} zR8GV4yxS7ig@$Z}ar1i77(zoLH)3vcG^k4O7W)4C?oJ@f4R+8u+@uQ+=nl+=j}0=7 z*F;Q?9#~|?8=z-{JdRX#_~3r}uGi=6 zkds7TnKNcSzX*~k813!Laay`)N(TeDOSlXNdXbYu&n+teWGKERd}Ku5hN#>A=k@fl z&AVv(kW``)zk*}(}yPpo5*JAO*}dJdSb-+_dGRI)y6 z;ezLw@KmP@S&58Lap%&zu}0L`U{)Snu4@MM^JTrHk63yV*xc zbvI&cYI5_-hYTvAqUfWb1GoKU#)3^L+`z?7eZ5w$J-Lej+lWR*V`1m|D4(9Hqbc5U z`}~eJlkZ+Iqe8YzNa^9l=0V4O$qwgA9+NvUWBu_1wH6>yr_*T3_IepoGiyYD*DIA| z(r)|fylA^Ec)mKgKjTK%c;2Zdh+}rXe%^ks-H_{-+a6+$^JY6wr42y^svthA9p6Dh zRbkjhTEX=2z;q~IU}%!n!{gG~#t8E2(0K~5FP#k~77-6H+pG>24b4yz0=}vcy9S%w z`{FpIP_M+`n|u9iSo}b5`*7?aa%ZyURGHRj)YT?>m3*Zka&u+<(4X@-y7S@^uIT@$ z;bNvygiqD96o9ylxTy8H-PXQ)<)F-ARM=aeYOl7$LagWYpQF~J%vj2k%qiEM(!<!?cLhYrEIBq2RE9c9cS#)c2Dv*X+S3Di_8HfdexZ57y8%*>^Qh@R zD^c-h^n1TMqRpkopB%Jkm9FAni(j>IxuAh8dC2~gha1j#fBR&DFOeDaB1Zc4XDgxS z)q{*ij6jTPthyRo4#dVr9K@eyJpD}=Et1=waE=PNfzwGC6S2%rYp{P7d3^O1f0bW? zM3S$8HdCNMJe^7$nXW(ZtMN8bKY?^K+`3}?XWq{d(qm5heRk8tWsE*@BET*HiJ^BgyB9kT=PMq-MJ zm!6DiO~b9iPBZ;6{RV|$5SZ|(t(Ajv?a}_>zee_W!FAXW}9tV z?eNe$;uJN~Xg{Z*l-~APUNNq$Iux{?A}p775lP%xebk1=^SYpbAy$6iGS zg-&Y<#kJjFH^&sMYQ1T`5ZQcsvb#8Z**|j5dl+ox{`M!W4{lA?+Xo8^S25cBss|-W zN(NPhVQ(>!=vs*PbZnO5i-4``~$CGW$vXCfg-yLsD8n5*yUP(Jm zNIpw0QXHtd15HdN;Y$&zAnFAne6g6-?##!QaK`ji^6pQ*Z6ECyd4z;sGf0tbnOYRG z9~$plFyH@HPX6WmD?T(KWO^eyQk*IjGi~HN|3!RNH7ROvV@JO?_Ti13H5_Nn@`9;7 zBBWDik@tOu8Nw2i@fMe8&;UzDmh2wy&NXY!7bA%L!tSX0>_BHRVGK=A#fE-xwp+nB zgL1*GR(@bO4(n@OsH(E^gVi+Ju)frDnWR6wvp(79M?{Z)`S*~kd$IIoXof>G+yzqt z{!4TKx%@Or+fM}6fU(Wfh8{f^RE7BJW{9^$m7rV?OI&es(kh9c0sgq3F~UI7>QrTcdH3*^aKmnL^n^|c zAXE+aCpNfTt?%{MdRkI(fGalFH?tG6oG>*c9hn;;@Req-YwV1_8{nFLMt7A)P~9xH zRUx1;?xlTaVV_>wmT-@~5<4P2x%|B7rLiy5;EMCo$0&S!g`-88s1CpPwf@4)UQJK> z4O;*7iIb469RuR+`o%^rQf%^3tF7;AJ!zTeDxDqMEBj=}k`{9FU$!khFs!P~$UHP$ zwsshIMZH|sr+)5^@p(1|2$$T=E}+mPUC5qmxZW{MZMf%_?D}n-7wU8q>pph1NUb z0nODqo`0;+eaIb-kk_%82-q7U=C7BT+4io?tKQ2mN6S6gzp=Dz);ZrQ^Y_eH*0saB zk>Q~*$r8qC5FLL;WWp^OF^q)dRvO3ab_k3vrAEiMzQ^BON%^nx)?OiCpMrVTw!{e& zq#ghLZuU~V^e)W9lb)~t*0x!(#;a97ut6r%S)&;Gs&X2F4Tkr?K0itR-I+f^v3n+c zy>n#tbSSFI){~r0oN>~_6{MdZ#rA5Tn(qnR444l<9bjkTqBucwevfAgx#oEUPu8_bH^r{VyP-Rq*S_&OE38_HnN<#S4`5)VV{XPDz z5R#$7YQwf06O|;Lpv@GmQG_lYA)6Fci;vAFUVF}^%*PN^)g4(+Al_+;_fWs(BW82|rJz--9R2geTHocR%52{Z#6d zkXT4{=4*=Lw|WVt%}}*5ulY+oL~W_|FRua8^63Rj8%`a&lR#}RldMJY)wMgU6aUc~ z*E>s&tZj)md!w=fs0#KE2VFiEy%OGEjL+8I-NL%2T{Y}@sn>Rze!3jEn-=&)9Wcjt zMY2S&>La>kJwdfcE`aL?PzvvqzRVGy5LgRc`!JA`d8V+(7(o80K#k7_EA0U;PZYlk!rH0GbbT?$JsQAZ8>;yIcp>E3-8oKOa^eS&g;>z`hg**=h)#aUa z`~}xl)uUg<6}N$eZ5iNYaT{gdH{PHd=srRH0ljU;sUg7l%RL`gY*?j3;HIy@DZf!| zd&;>5okVq^ghW9t6n+5krAK~)KpYv{F~Qy40igpu_O|ntwIQO?hLvksTGrmQ>nX=# zhb`WEtVZGvY_6}q9OW@+fucM~H+N7;v~qXPqOWVdxfvDF9UOZQ9L?w! zN`c&GN1{iL`oe8(4>`jj(PN=;*R*Aqht77Y(@p8TSA>t?PVkvp&k1wInijyC$Krd~`C{1R!oQ=f{>mUx^#Cr_RMKtIT zJ`Ag^yN*`W(>2c-VjH2j+1LG-U;wSXrzW;Pp(y;aodW zelSB^kzNYh%z;}@d=HM0V5IR#JtwSA0{A&Pg?sA4Io1B4wX{sUu8QzyAO6c-sjIJG zB3`?FR>%fzs25lrE|l-PxJ6Hg$Rf1h1fx%Cx}{@D6Nms`wm(Zqz_+Z?nD3SS2HgRA zEIbwa#L8UH17T7=8b4Ob*HPepTRO1AwazPweVx92Q+bgKCV*I^$^p^#r{Xq(5wdUp zFzF}1|MQ7fj*k79u<3Hu*Z)na%s^cf(!x;p8k)Kc0M{6j@?dcNQcS?bC(328Sk>A6 z@{*53jQ>b&Z`~!!l8K*=EU*VXS%cnp$AusWuNCv=Y=&DI`g#NWLwV_Xc9<0F2NQ6- zY_9=7<KfQ?h>ut z+TngUKsuuMG?yeZ)~LfNImFBXOnvLiw_TbcG?97{;~e(kk2q(xt$ETm-KskLm8PxU z=XjE!ub*2fCjVY@f%cc*xI{TeCXW8OQvwRidU93~9&nkVPE+tpwjkG>-qYn*2PNq= z9bVv+pq3AcMPH`CL8okmusa26K`vyc^|<=*Ag>qf#QV57$>||^$~{NjPv->GUH71J zV)_j9YEzFpjLc@WoFXqA%`8tU9a5mauvHbRJ&p2fzK}vZ6T^qq9;4+Is4dpX5$kt4 zY-C5ln?wMpZ*@Z_-kJcF`4W5uj6t9{gX+UI>V~?Z4@}fRcu93<}3H zhHUbIg4W%*3bc~_KGRllV6?{wYU?3Zd23Ck{Sf&i(5AP z*&TDBrY^Az63UXm;A0H7Na`USd-sT6DaTSrQ^U0y0?TJ&<`a?Wf|F_e76_LzDI)S=cuZ6G z1CX5U4e*NrsK|Pw!|l5x6{z_^CAf63`lgCZQ7vn`VWP3Lj6D44Ioq>Vrh^tW8dKk~ z2;-~irOc(4fBKcW4J#eu=J!}*wV3oxVzlh5v z6UXV91y3kb+jwmYPw&Nc@vraq+gF7PNw_}28SEr?3O5Cc3$D`qf4 zx!|{Z_?3D5pa>(AKvbWhm?$s*oACc^E-%vI)0ntpc>jD$aymh}X*i)uca0wxxenKf z&-^|H#CLKBN^X7w#9mU<5J@%q)%e!62u6*W;5Ua<8{Xa&O4BBN@1jy+{zFXvY;$Vb zB~5oGU0nO7XA_=Ufe+uG@Ojp0eh{S~T9ZDL2zcdFoA5HnRjyndEx4JA}&{YKfX5%9u509p(OP6XaYc93Pf_hLJZ50fMo37yOoz<-FW>dh#_@-h_S zJ6oVXuOp;qjr_Ol3MfyasiEErydKTwG?N~eQ;#%?2c zf84I}#N%D$5^erG&WI(`;eP>-rcdLu4>mZ&;HAdhb?#lkU^(&_6%G4HZ0yhkmCph3 zYOnTFOW^LFeT8Yq!fLI;yAl>^lCOVeAkGwE{wQJ0ZYl%80-Ps%tS^ zh1_z}RG`+!=j$5M6z*Q=2@cxSp6BfIW3-B$5N_JbV5I+robEGg?}d!q(5`*<+UAgv z8Hf<@&>Bq4WzXtwy|`g`O5AX7_?*!{q7I5cEM0b;whJKcl+T9epJ})#b`3-}8`vQAZ0?hUHadbhBs-yL;mlj&-MFV)K?0XC zd@;RLn}qEu`ay;mo%}lymM5X+{d8+1e|qFLT;rT!uE_r~`89?vQx7&Z+gAEZPNz?u z3+N9Kw(G;E*JHm0(^G|1_=~?xj;d5tS785%yb5i~3!wdT4~{-_#1#(2VjN9`@`~gT zUHMk|5LZ}b>sL2>(>*d{!IEE_oSSNE#pk>sw3LTHrC zyrVG%c_dM9(GLdk>L1OLw^7qPg1CSJPoo0Ep)C24AKoN0mmZ{T&$^;U5jGDk3+7R@ zMY0ahVHg@)O6JhlIIEF64I2_!e|j0jwCmo^Ka5X%yb_Ojy7L68Sseb!cAcjCQq4_C(@w2#x6dk&J! z9$TzI(UIdxgpq3vQAwBBC`O5)h8L(ljmZC7C|w=cLaAA3LO0Xx329Cwe`B>Gd6jv> zFRolHd%$VJ*N#Xkp;*V&8kUPd*hrVjmp2( zEAm<+-TF0=BhLsui2oxSS5v?)!GCU)4wVj-_i4^^+HNqvs<|`XbWrR#W(g&0x4aDE z(QkR%Xf-}Dx;HK4-*Q~@5fQW7?KQ9yc4%31d|lgxRwAPKXme_q&I0tyM+zzHkhfe(O0R`^h1q@?6fezbO$1*u zC8)lUQYqpI$*b&wwKN4ExxXVv^6LG<;KMq9mWMwZ?G1b*Ch8<(2*R=pf$PsdHHJSL zFtv7&|N8Fn_mFR(-;e-xr*%6n_nR}^sKT%dsw3SOq8R)MvkGi{#5cB6Y! zg<&4B=S$CW3f1iDaFfv~$X|C4m*MUooC>}tvAz<&(cDZcZOTLm%#)D3gnd|jk;HH7 zT8g0aYKoHA4-qV)#zcV8rjt`omsL^7Ddxu01rM0QGXdGsVlrycA!uxgf3B9)f~ie*%RgRHF|T<167(=HOkKP(fG+( zUYDOFis4pGS@3Fp&lDXGGafjYU}ci@LCw$^X^z9B%Yz0y0`ztfeB=Pu?5tL}=~Bak z^*l{0`8Qo7jsZ-g(H<*Zc_#5pbGdmGHgogfWHIkbgOB&JEY%AI=Rit0JQRbrJiU|< zfFizKypqU08;NU$z;-Fmr}J#O8E-|@(w$A5B}4m)H;iz1kgW*V?RHIE(PruXE?Ly$d_c&Y_aKd0zG<@0bFk0-C34*mum@Xong zK~1gsYe$7?|C1s^U?E_Hr1o3iubU4&MmxX%JG_4WA+kpd`Oc%_DJA^s^4BQps|F(* zzpBRFuTKNqji!n}H-|H3!W(IZ%@B~Q_~WenJk7(1UGGoUgO~nGtD8H|hGCj1*6}@! zd=>ucrec8$4P&oj!D43pgn?gN`XB!#cnPu2aM#IoF1(373g$>?q#B^KmV96E{TLUI zqdTK4B7&b3e;r|3pNAK#k|1ef#a0G9(ljN?y+mCG#lhc^Tn#-9_&lqE-AUndYE- zdlD`9v}CH-dfPdZqGAZx-s6wYzxgDZeZk8k{_}f;Xt5)(rt>Ba$}Cl8J{ZT&kgYJ) zx}UaP$wayOhE7r}*8fW>(+LrUqrnVMOxuy>V)hY0-K28O}ku zbzOMOS;|CYJ9vbrIN{f28>@#BV4Kd1nuUrz`}hiI+Ej>&JX_1X9PpB5?zpdIpusb& z(mi_i?{AA4+c&osr-JSBqhxmrAA@_{6c!*p`@9&Z{f%Pjnu-0qu8p~2vA{1vUofNb zYj=M>Ts;BjHtw*OJp#m$;pH5^%cfg=_khjvY|GD!H(J^{+eq!Y6p!u2w(D9?S)_Wb zFvd}=Uq<(BG+FEy)E?Y6ZHQ(O zycLS0gDR%EO*ozGb}c@3RWBQxwO0SPbabosf4(2nJdrys(=Q^8LDf@Orhld@&|kYk zOOb%|nbx-_ue7lCavu=6TU&#rR=b{A3Xk^Ngf1gPBw0qWDtmNG=3Qq+>k-z4iPSAG zjMOTzIR3bvuzMeBG~mWLk}_O5rJUknvVWu1VyM5mvSXEVzjMud6&fd0KkM=(xO)+k z-N%l8ErMzNXAOL85F?{jZG&Fv0HeqcT$ob;?2F%V;gLzOsZy}jNLE1vw zqc8hkgj0-ZM~2C`2bwpGoVY^9IchCE!W`tq6^85br9UIFM?G7^Ty62iMu?*LfvuQr zVPnxM%O!?3l{nkoRle9U?0NR>*G=nJBT(x3*xf*QfASgaLO`? zS)lV3hVi6B3E%}JemLwFU(j)Qe6p`^;_)f6;SW}$0%ECTiD1a>*ELSHP`q{cn@QXD zI3g5D3V>{K*3xQ5GIN0@xya>BXimO$)@EI!GfLaCqdJ+ID8_t$N z5`QL1H`9EZZA>Rea|P-65}!EPMzsKu6zq8N;jgXjFHJ%Xzd`M!jf~5Cp&tU-eMdl) zgv!oy)e>w9tfjGWF9VP}xxi_j($1-USkgM}h+KOXD~P1MO9#VMNRkUp2qd0P5P0$t z55Gwu33w5Wj;!)*Knz^8mRTSh+FLa(S8p`*wK+cPZ6kRLPx?o&((=nCZ)5ds(=KkJVrtsY8TsWXn)De?U7{i zrL7L0YJ!sR|a z#ubc}r+Z&YaT&vyQ+Rac6J{$){rWU#ZLeLMmDYZx8F=Xy-&B+(AJ+(2R`H-^N+{<_ z8{Ws1%{aCiNL`=(5p;$hURt%w3{!f4Fkwt)cT7UZs?1i_&ZxHqTx(0JF{f}c|C@`8 z+W;ZB8v7EYPF>U~j840twM50apA+Vt%37m~IbGwqcs+=e5NJyfRR+vrLRpX{ArFxtJ$biwirM|O1Y^e z7kw@lUC^)|@EXbct9xDho$k7}R@yF4i87L_rt*lhT(W)T+ zdRLf&C`crM)PUCvt2wwzFREXkS@r51L;u)i)~?cfcR4F8_LB>K6yfjTiuul@^85j= z;ls0-=W-6=*@Of(8C%^0os6uGEqq+H9Dyd-f#FW(1hXuw|=T98$BYG z%*vOuc_w>=ulwYqY~4#gEi~}~zO9%vS$L8u@M;CGli3C9P4Q)sLJYlPW1@^9yu5k$ zg9QRej*br}3S)tJs zECco73aoa!!;E?9^JI;*yO1YLnS}nhMJMcjquhvPx%xW1kRj+AASCZ0pd7R&%<=JHepIKJm%##Zp$?d0 zark}~=lQTZhnGo8atvJglXjM(M-Wqq?CCN<9pVQ5K9E~ozF9L(ibpMPFgg6h|6K=pw^(DD0#2+Z zg_E97=~z^|>5MfdgZpQ>7VspsTAW+M0{i3*PZqt6hv2f2AANq@AGJyN;gdI$Nqq;g z0q>q|{OvE;)S*}+Wx22{5~5jB^|cZ4h_~+09JNssFOr+!P+;MaOR~X}7za=A*l3qe zu?E-Qpp=GX)qHhE;T)FbNu$n)os1Mt&8}Fb6y6#oO3*LFA-ZC=iU-kjR<=f$T&0j# zR@30UqrI2qtkWjxO>jOXsijhKhHUT#UN(u0D3b`4pBi{2?%iRUMsbr~(&hhnMggotK zO5X&yf^H7Ew1-fHqp-C4(0IH-rc~qZIMs0FrM7jShuDPur0~OiCU{WfqgqLCmqq6* z_H%{C%~BNG&6r*oaA53MVS?;o!P zj=T{@`R}(@vy=p}k>}ORssPXC!nIj6zx5JmpD@E(+A)mo=C-=%&D}?v$ZL^(wt1Ze zK2y_Nv4uk>myKh5hvfP$ohAub0@g3man=HUxKF=XtlOh}`fQ&1S_!f9!cuF-;%|)3 z-5U10y}`T>5HnqyqVNnuzLk}Vj~1kXyn6G-y9fjGi{3bB38?tg6jJS|yuWrqtzBjB zYP@>uEOB}+xSGiX3S*(P_X)$0fHr?Agx$al>4JjgrLXhOg+1c(&s@0&+a8E+vBN8h z7$yj8XwK*ja1R@9P@IShk{i~bA2XW)EF46^tTJOuVKi4E-fQjv#VoSObxMF38`Xy4 zhetq=42skt8(;FpcN}TVKPej_0pb(-&|^6o3Qr^uWcKFCr-!#gzjg^fFK@YMZj&=dMF1W$8NVZ*QsDytYq^Dx$ZW zX;6>9rqiS-a7Ex#A{!X0t;oyK{QgynIKA@><*0pH}nJXUih?_;b}m zyRA*_uTcHPWR8ETw0SFn6Hh!wi`-U+_qtLq%co8!7;fe!g$vwIJcM%ZdFXOD+ozRM zD&dO*Bz8hh)$KJ&QHKKJ4&6ddn@}ZM5&hYU?ivlOljdFGTQ1V>(O)$_clNvg`s3uO zxqfkBy9kuic+n>#R9QtB>LkII{^?-(hl4NwJ5m2{k1y?$L!raqr*AF?ULJ2h2(ZS= zkUgy?K2{Isi1`xRdk~at7Ta_pj@WWhdXRiD7%XM>NXp~(hoAiraA20o9NqYF)jQu->oi;PV}!f!mbmXXc&eic&+DmrNEq-2m)#nj;4 z_Y80OMWD&(Cv@Sq()wBFls8fX-PNhI-e|aI*uoWfO<%00NHQEGA-l&0Aly!?YT7kS z?sCkU($&lOCYW;P+c2d`!-)Z_D6O}hZuxOQ{p+!_29l*SN0sIl`RG78sqF;Jx84q) z$f@po+2!Ds5-Dz7d*l|~lB8AU&(bQL+Upym=iAmX)54cL_=!yfLCRv57W4hqI+H4Q> z|1mv%pAI?aHdzmfI4Z6eh)Xlh-Y{%?)iPkhoBRf44{u#7FRDIQGfiWURX--v()rIf4-qDe zus@fiD-)&0gwprnEIZ3vix4pEN86TJZpMyF^KRoewC(6iN4l&k&Z6BijJ2}XdIY+w zeBE|Z)UbIPfw5h8I$V*<88sVtRie6tLD*x9WNBdQfhJyYz06sC{L4<8;NZV@Op9&G z(g(|hLAH3>FY1$T?iLkiIjJu(@NA2PW{OvwG1^{D?6Q=f7$w+V$TPyp3G-FouQ=J% z0|Se`_FqRCf6VD6CCKQEb|)fyhs*Qkr#4p1#o1*I?PzD#2t8 zPz25^x)}Lv2?2K{RVv828di|dP9keMF$iRp_c>fWPebW!<+dLcyxzfeqVqg@=4KD z0M;vELdVz^4$Q{h=qr&=JztqrMp+RGvIkFVMuoF#14sj?GExQ?LfM`S5S4!I|Da@5 zQ>Yar{yoN(rtq^Qg(vW)ph8H+}@j zaD}&|Kto&B+83J~yAvL`Dbc*FO0N5avmhtHSh?l1PL@pA(> z+0l2T9$z0KeS@ac^x=`rmdLG@LH0)KHJ!aApa#dLN1hTuTG zi}aQ`x(c~sBp^vUx|~)s=`p3;XS(EoN#?SrDOgP4kFK&_FVt#Yii2UA#Vlt5SrUOw1cPidoA}2e>NY4j` zB-#rW;{XI7-{NI&nw`HMFMIFOtVTPk*SERwFIH&)cpb;~Fkp#c`NQo1$5+T0UC~=S z8u@o#w$TG`k#ESJm>yZs*i5kD z*jv|UkiBRoy^W#?OGK!Xgg9>I06O$M#BwW(Ue4~fgsaatXM;!oLsY!@pJBuk;0tNY z*|fxsLlTCJ;5fi<#~$k{asS3P>$;~doQ+C}kv=UMAG5PZeHc+?4BBttScLXhW5 zbI;IxjJib)^T_V|BUQ*dtCubWgDMs}9$&<^+*qQu!$^r`p6lT;(AK>$fAWX6ayN!u zHT*58vv7@iJW5`=Yw=p;>MVf-FlJ?*l_N&L<+1hZiV&cSil?B#EcrTT?}n*~KYqBp zs=H^>rh+qBS^t=Yq6`+5q($sdzye3O{C@d3A|^L8h)vf#&0Le^2(0;@mSsyfsga~Z zmYtAj<{R^4-+2PD5EHOQ0+jA_VBi_xqX$BrXmue%jte4|P1Yy2iO(pt$SvZyP!Cx= zFnUCIcys*@oLW>T=JUDbhBX@>oS#+7((^9l$21My$VhaQ?Wv@q#a)0{%zC7AKO8{`Ya&`vbr;pM6=oR@3fG z=tAMc+E%Uj?|jgv*VpKP{_}v=NyP7iboyAs(n7V_De+zFu_gzHuI6`^oipy)q`wZj zRS@?@Gq#JaQtO_{PP_)k3CMRh^kengh1cC07h2*i6nSde?0(Wo0djX56?1!3o_Ya# zm?|h{x;R3+dq3q)(?g-HLSi#-_L#3_vw`oXHZ|d|^`EUI#7%J3>ARi45nXyF4trhr zJEoE5*QaA|b>CXM!--XICXB*(@&)6gWGOimeBt3K(V+T01_s^UtbCJ+dJc@|wp4A6 zetK-p7~k7#%{}?@==}H4N|MY$-EAxc&vVBbDe>&#lG&;$ z3X(wFWUBe#Y&iSu{r%+RlaQ}t&y4v3-{&d&;sW*3eq4`9<*G-G9s5LkPqJy!WWD+% zYX2(Capl_>v*>_1G4rbjcwKQvnVzAi&LpmKpG=cW-(5e(VXyL6ZN_2o{HM^DiIWSp zwX64f8=cie8Pb%l+oE6>;(PwqZjo!>4B=9!QDxx2sr0UgU!>96eE+Y;Im z-V)hrO&ZQA%*jqODIRwA)T&%uo@IBeWp3f|HSHi z=_R?4+lbZHxg(DTBGPZz^>6t+FYi4aS-rSH>bZfH<=xBs(6paLo@oDj=ptgs%;S0Y zw)KJNzOjJ4iJETg?&A=O>fH$fk#`C^ZU8WIa{-fNml|LoY>gauV zXzBMkimbgl5}b~Cz}3@BqdJE3=!Pd9^clE|VQ4`g;d9yhJhH=3miZy#-O9Uht zJz_aaf;E*kiuu+}C#%Na_hcha}aGA)Hs0bmKy&tL=sv4?U z(A+Rtu-wBte6jz6hVACbhdmF9GoTR|w!AG$YTC$#qN%k0dXmf`{V&4hiYAK-8@6EI zc^5Sv+EN9@r~1d%C;6RSL=2!eb=?%EJNJexsu&!qe~%l~&z|5v)-I%a@XnMnOav0;WR0C^sn@)SXdvZ@vd9a7 z5dhD`eT(7?5BUR+u_T+-l3G&G=sVS_-!RsJ2PGUJyUsSV~iP zZcSv8yxj907W*?a2O}1E(p*q0Uff8frDF4*wpzTYWR3Z{Mf2g7k05xQpY^h4{QCT4 zXnr<8i?sQpUH(9AcZGa{bg6uTeh|V}xZgyRs&5Y1X@TSEJ5g?*j_U2~&fwD*HO;M6x$U&(T?m*n z8P*`wK6qGmdQems)dTFgJNS-s>#X3i@Ol*X)3tyn?>f(I#__kE*0kw^;2FbnPGP@4 zOAktg?UvlR)dFK#%}Le*!O)1qr3K+835J6(N}L~TCWDbXe!6n~8)glEb=WAewm$p&vJ zW7X5uQdk-_dDF+$?9N|x@<)8hBLXWlKpeZ7GMGwqwV5lTr3*!CcwvilOK-~8#)MJ7nY=+S> zP=uHsLPt={=lPDx@d3B2i|R2ES*?}&9&hpN7bDVEZo8_Jgopx@|AaQ21;NvrBQlA$4fJvCs;v&uGUfv%3%W(Ir7ld=c!kD^U zBAR#j3BQ;{SW)I_i;VfJHolDc90_>o>-tieE?-{c)8vjt5#uuz$vlQ{q);>N`{r2nO5_AkV|HD zjOzs^$l{Gqqch#oP1$59;Esgp>kh|Z2zD4QLBL~g8}RJ&@~DmU86ldKJOU}p-PTK4 z;5_kmQ!4(MY*86aCc$BtDg+2)o#3{CDO%?5%`toSkd{$OC>u_ALalv?Bo54WM0FA2 zE%b4YdZqF7lBco9&80I3)pK@0hb{>|sS=7)@AB=5Z+0_iW8pGmP4YZ{S@Lo!(5aR2 ze2`ptHN<^@)VI}1xf%14XLD6Y?qF4?8V|HwmwRlmnbT}v37J*{GB2$RdozO6R_7I^ zf|J`9n^g3;88*r7CR@58Mytp7QNnf$H*+RF=1+BNXVGjmJpiBlJlKtT(K2A87kyWF zjKyC%NS(I>1%5}A%KP$k|3&HNhVG`B0M(^Cp50v2Oa7-SAH$+#kqVy%GB%`UA*; zRNHu7jV-b2*&^B^fxiTo_o~@a$vq2At-@nekqbHjd{{u^Y;wH$lu9LTu4(0_|Nlhl zKmJFg{*8(g=mF0kPT{U328gF{ZL5~X?sf;&eCo;`PUWaLu~gbW*4-~#8*E(tmRxOp zo1eCPHWzp)O)JS~tdYW{GujmIb0@m%mO7%>Rr`~x+erViwasK3oTi&g;p;KEOheGF zVDaJ%$ZqdSj}wo=pDNIFE2U&L-w23d{(9ozcB=O%AetvCNm{HEZ?SqDCBbXj>-a^% zH~XB!Szt*xuEv$3Y{(pLnwaQvvGr2^ECX)qTIeC`jD6OH7*{7|6O6tE3HBu>N>r0E z_!XM>nmzTYr&MP_>l_$Q&#AeeFv`Bh7tQBpdy^P9UY0a=xghiO`sbKiU1)t9d%LlS zK%cFkptZJzwyMFqpkHdQsFd0@RVGH>i|!tOBR_<5OLVqSElVsm>Xm+xeGs+rEuZ`> zNGu&a{R>Xju$x&e8}fpprRO7%@-k4*vD;>!Q9Crz^*IWkv2NoL$s!GrmMRH^T6#Ww{xI1Rc{0*iPKtsk~PSc1z@-nJAG(ypALl8 zo4%FZw)w$EoZjBoP>*)7#%{#pGbH8RMaI=f%6UAE8~4N75IGjt%vY&b?7s({?XhmS z@d>)waWU75hwDXw-}TqU)PkLTQj|oYm0ZZD>M4Nl6&lAcDm-+}w1*8pr5y3*D_y@{ z*Zh$3aG^8o;&r$2wQ*GhS4wTZk~UZ6ROe;IlTwPd-N}ZFEQz7W?~&V;_)TrUCvAqG zw)$P=-E5CkYOsd08r)$6u|1dyi`%snZnN85ztBpXV;HlgB;&-d;Y)(c->JM*J?^@9 z`JXAXptoxGWeEblNZ0w8%cq|f!w9r$95|&;+*D=*gJUFKgMPKRdn3+&C3xObsbPC< zHMn%^tGEmdsfSav7r{pw*OI>He~S3Z@A7T2JEe4kv8&IsQqEjjGTX>;Z`}BwQG(&! z0R)@&mP%!X5W~ZqXLe#=x=4RnB+ZYnD^=65%x8s{?0?&}tNd~oHmCYoo5RfKG_V*6 zqm=YDO5tQUO8~9fI!@L4JA8xyk)bRnffpbjD@os6sDKZTwK)z*O7$-+bJTgQ$QY5Y zQnSKmyD0~L?{Hhzmp}@T)bRdl;_?aNqJ4o3ZrwNbJNc`t?cDRy)4=VWV%Dz+WU;rd zvU8k4NhzY))iaI90r|8^qAX8bW=GU<&E9ePnfuo^szXt8Qc25^$nCf3MW=l!^+Bgy z=f|JH!6qApT)O#RA3o(OHjGK0uTK5`^mW0o2%$E4Jdq>WQS%8k5X+0?3Ln&>`|x}KlI_HbQS|5EBc)V|#%CUgm<=pb5e6d{J2 zZ$41fefc#$gj^t$0^ckj`AcfhB$oXIbW6Ra`~U=c{Mk8c8}ghA|3RR6cxYK3q2Ee- z-W)lcy7XO2-K~sEuTV#C>6Yi;cy?fJuLKUJZ1Mx{n*vDo#QR6G4qu&Gcaya6=eB+I0RO(-|25X&nyEy?55y*fG$f1!d^dv2GFa?nlY)J^n=_ z@AK0vf4JLTlG;pvxgq|K9+RF|_OIr6QG^2t59m>Wo_&=$Jh7%qs&KcgM1i>|xdAH4 zX%TZ=>9?h1YGI-$u}NaK3FO_Bj8c!@y1d|5sH=TEI&457O|uk(9tp8O2$C{jk^C^F0`x4J?2G)NI=%&k6 zMTW#l=uH<45(QVe>j|0xIp#aF-CFBB2~Q(^Q&t;}r~h4luY`txGB3v@$qghw6oaF2 z02>BVfxt5&Y}xCzNEu!by5o3SAubPOaUYG5+&>re)b3Q){=MkfUhB%bdat2gL@u9o zRG&>_VCwO};6dEEqK8OgTGwhC zL@~yf)D51l;rPwD?SKE;pA)K0Z>ni|chouD`DYvLF8QEvh)muWO>W0trgmbyr1a3A zXYGJ`hdT#ufVbU?!P(3~JFil>otnh44cEksFd?G^ttu^b%aK$pv0$lvUOcY_M$$HC zwJIoHWOy%fGz;fWnI1?;U3w8|^QxSfI(`B`G9LHt8f2gZeawZmnW_ zzU=}!bSGT~42ZKe3F#?wA=~9XoR_xqt%_C-zLWui^0D>dd>v|-QG%jC5>qa(<`*wT^5a+}*=nVN~B$_bIryYvbVAZB@pj}7^)T&$Bq_taQ#x___oIsXp4 z4KiE@m2r`1Yc&`ut}YetSqt>8ZFy;K@ktBwKO=u1!B0s@DI?x9Xj0GIx#a>10yS=(4&v>jy$LF2du#vty`hJJJgKk|L z+mBMPX^4lk3=DB1FcB2l?D39H`Upuo^>02Ibv|P~%W4rjL_>+i&I5M%JE%XSe;!W(e&5L1_?)hrl=W@B=BGNUpCln1K_vK)M@Nx9Pr!$)0WUrQOU<4>O(25E z5_fUX6>cZo1 z=}5kwOVowUb;4)YDBt0$KNJeI{3p$0G{l`};zCx~K$;bi8NPLd+ljbyUg-h3JJ;?$ z4X1TmcKh?z6mdWnuh_`Rb!wyKJ&nM2Z}#e4Hw@R^6GkJxhI@Ad%wqLj zmCjK|RXc3u^w2KDU$T2>c)QLU+G@R0YDD2bfaTH7UHBRWK+~Yh5Q6joeSvP@-Ioi8 zO4q2)QwLj*_-!Lyqhc;$!*bsft7ek`J?N{riLprK{o7NhE3`2$(2KacQ!SWpwET3O zY_0R($x1u2`I()FXVUWI*>z3cUTUG`;i|i5e9GV4G1%88&+Fngt&7F>3TeOM_Fx|J z1=jPs&vr@ehH6dl((ZNr54+Kpjd{VJA8;Suan`)nncrRV-_nx|2}b2k3t(VU z0^L%eBJmh&N;wA%GoXf|oJdnn(y=DF32ZGo;}ftB3W>6}?(!`apu zIXlo+fyMH9mz?R#>3PLKi=c4sf$)Zm4c2J~=(x!5h6nT468p#tCf&bY)j=& zN}Vn;Rsjp0ao*M4XVe5dz>qM!r->X=p*$k}coKK^oPq%b`PN+!<%R2%>(Fp*58b}t zR|N-y=}J=9%e&sgThgR|vK!6fHB~j4@g8iG5XPKr+JuQVRGK>YCNKF@AYJ88;Ur}o zcO-v22egtaN0qb8tC-#xDc~i1phfZjDctIR5GR}F94br@gK)Rc4T$N{Z-(m35ndS2 z3mP0ZZG-dqFQfyW1!ZxP54|?jR|5*bJ13vPzwb6rWausJ>@f(fO>^Zc>?Ic^C8EoK zjRNXuwW#>hShSiQG{}15_aiHXr0~mz9B(I?X{eZ-FZOUZA_ek}2gR{FeQMKX*qd6> z-Om`fe;9 zID1lk9aCwre7Wj$6zDK~zRue^y=vG;Q#q3)?78Bz&jtIv*i_Yb`v|TA!k4divx^NMrcRx< zl;AjzmEPwMJGV?y2ZMRx;BBhIcj%vs=_kOYT8`Rn(M#1xIRU7E>39X>Zl1G!c2$ec zFv5!h#Airh34Rc;=xzRcTv6J5AAj%`>8jMpdJiHQ9~IbN5j?;MLUmh49CD1`d>;?E zBcuNKx=fao$aWCI#H+`!`&cyu`sOozcOOSY-zN`IXkPHm;-n}%+&v}&KyMPoZYmZd zHOVaTXQ_Lx&H$iV6f(cmp3|cktM72p%b7@fsn^Fwp}aERekSF`M>{B-5i_d>GqznajX_1Ry|>K$E8_Y9a*WsR!?pfL!MJa!EH%f_}~^F!{mv5{NUkLe93%1Lgup) zd>N|}C_}SDvis66az;R+|0K~1mVs1-77Hro>=z^w&t(XWg+poaHN>J#`zTukP~oTC z49{3ai-=+o=fsF#wfM15WQaRpk9}8Kk%taLnfN;S_k9;c9kGt*2^IFE4g5914l!HV z;u&}%T?->(q5a~7(VMFIFIzgb4RyqsE>>!H+n+xbIb)l^-_;3ItHV5g6CVF#y7CK^ zg#DI_DZmtO!PSF6R2{=c;{S@Ft&K{Kn77K}y11BJruG1R1UfNdG)r1G?r`mYJf-4z5}8?zrO%wYDt~y{YCN?jOLrW zc+87fzOhs+XE zBOBQNT6d&y<(yYD&PiL?%O)%}SVU_inoQeZ{ZgoP*L3WVf9OQ!Mx|xF^WZZd*o)=S z$_kK96&ku^UJsuP#^ZuId=f~t>MR6kY3 zLBc4bI2`}I+^;;JU-A;mv~~%>Lz5dbUqA7A~o)s%`V#-o5l7*_h15q6u8x$!#ju)&Rq|nPl)jTB53BW1t%Rpi6@K*B0dtm zrOC9^#if~W?WM|}pU`*Uz`p?Q23~Op4GtIWN3isAlbk&Ngadk@2Ah!)X?aN-0>iZq z=1;F9?Nz9s>=7jy@aR~XxA%BRJ5kVEgerLcxJRugGQ7EC`)4W(wvy6tFnV$?+?+}_c>!2o0P!b&__BmPK3l%oX5Cp98Q7KYsbhEfDQTvw}nUs__ouCEvq|!+^3oaH(veFZiaI?GP21 zeolH}H;~fyAo#meSIl^3God*QS2H~i|J}j@?B?wRyGwA!UGZiye3la``6d3^x6hBn z2u&_P+#5-W$9Dy|icsM}6%{0m@?X_YZ!kd3jcAGH3>GN$NL|q9Jx!rpi;WgNl%#r~ zb?mKvC3vKIsy2D9D3C?_n7KctF}FJLw)MS!7gHDO&ae6IKIKEd0nC6hvT1$ZaNK%v zj(oS%wWgwpuOt*HI#~z>O$?j$HJLv5=!Ylm7F!G||6OUlFVMuq<;C$!B!6kbL8IFy zk7VTz#5-mhxSbbI>u^vM+;WSH?E5}`cw>=Aa_$BE6M}0+L08YWr4GY&RR!+I^CB-M za!cnY+^wK#-y265@XI!>-YDz|!?@?7NHMXQ_ zws&V1V=Nct8n*Mt!kc_^yIPyYr@PSEj;1!H-0qJoe2axOBMB9bL(0olx6$p;#hS#t z@wo#Kiyb87Qpg$0F?DV{mQamSxDv*{CGR?}Kc*buo%DGa5ZM(@>hjjNTOP+cHk3h{ z8&AbL2miD2s#ozxq0><;$ekMvZjK)#nUgq6;J2y@#005q4w!{#@IciN)z`-U_UI5Z zdJ(5@?L4=NhgHz(*u0kdJ8$El4}ED1OO{>L#w9AVw`vMp%_c+PFl}`oOglwKnE4eg z#;U79{Lo*C7F#y@EGt&56>eoj2HdFVm2whPzfD6*)kKIX3@bCjzI1w5%E4DM0G z8pr98hykJ}eqV&}*2s{N_s^5w1}CQd04VSiGUG zhp#@q+oi$@(sF8 ze2Zv0CWlQbW=$*sH%31&S zRloaPugo_AY8;wK?q0(=(FTe44|@4=9jXKc!$ffR6vv_L9%g_3JYqCtjuZm2eqnqc zx-nw@L53uxKnPLx(HCY$BfY!u@wzn3_}SxR*NAut^P)F0zsFr7;*&4sKjTUl{ii>8 z{F-iKj*jfnGxdfpuc({*81(-k>#e_<{^P%YX^>J-Iz*(TyGs-VBqt$_q;w5Nr=U_I z-5^rZ-D89_jBeOy7_rf#zk7eqb$!lt&i4=4FWc+v^?W`a_xtT;z-e&D4GbkJv;zmF zj0q?T86SEAeOHLRW`)Bjzj3d z-1_RXf6&6#P~cYb&|OpKC$LdV)qoAO+Xv)5qc;>t3gtP?teqY`l~1a#G&3HW5dB zAK5{d7(V;ILVdZW2H@b=S$#6oU}L5ggSvFO0PrFn@a#qh(V*&(*r)>aLTme~!^(#F zI;Up>|83#(-#sFz&kc=wVgM&F>0d`II);r%gR_v*57O<&E)rDmNbo!N{nO;NnJx?G z+>f1iNDNHore>#!Iex?~C}B-TMc>qa1EyD~Pb`{!TOq|KTQqmA@iFv=9MY=-INg|yb7uh4?K*6#bAjxvlTDOzd!6}shB$mQ!{1EcIzQ@^i#?IU28 zVQO=amda*mG{anWiXt+bih^;lu(?-CQtw|9f$;?Aj~5VCy;gq)|>Eoo-6P!TENpqwPw^~y?mDLy$(o$=PcFL6c z$t1tFRpp-0`02>xz7~{E=XW76We7=&7vsO1fV6xY%C#nA>G(nOLg|m8ZO@N1Wo4soD((p?h_`pwiIFQD8Ek+zpSZ-x^-)wHIlh+2FTZEd+=o@z*5VS*t2qU{LY5ICz zoQswnWz8TI*b^yCB%W4aDw0ved|V<;s1Nt>CsD}vnFh*v9b}!Krrn+!C4zOxnub_S5}kg_|d3?wClgjr+PEsB@E zNLN-J&xUAv!1C(DutcVZ2LTqNtL+4>DAer~+OXD1n@jY&oY59O>Ud>>L8gNjYR@kt z`wU7q;ERhXGVhG4yJ|;kT85obskS+Ql=uH}(y7(C%MXrnzZE6NF*T{8!;>74WA*M? zHc&44%##awWX0Vq{)xr-;9b<88FK=g=Ifgqk{#{}v*2LYk=xr9x}`>SquN=x342AI zRO$Z5y*cwjW*;^6IXnR@>1y%3u^=yfM(Rt>>0z1(3yv(oa?%iqQ zLnW&s&+&J!-RiS*WiIFR#xbLcn$jgqT`3Rg#AkSEavXkPwIZ%)JUpwu^+kd4v zmf${rfyBW@?SH(KR*b{@vnjL2PFr;0yO3*K!i8Yjch1o0%dDCLW>N!X?9rPAg?`F&9!q z+*rJ%7!_BfW1CC*WimU@YGLnlc?=BG_&QUH_u(C@_+6!Idj@IL=(*T-yY%R_$mn&i zOx~zceo(w*eV^Ni1Ryd92O2pV`H%()F%M2`oTuS8Vob`1K@cdrQ(H_WD5Jx~a}sP& zWur3Vd?i?RqH>t&3^K6~TxkC%H&2s6xVp>ToMjn^cR9k*=fAW1h`C)U-B+Igg;ji0 zKTYq@x`vBFgj;vW}Lfrr{{w2 z>=)#bQ^Kyy8nH{A;ckBLTqkuSabTEci+_?}PHZkA7G?Q!!flR$o&~-9f4h>gS{74( z?&nE2^3rj2^XQdTVjfhyC=cy_2WP$Anth2+EcF?5R!V`YUcgq&nuZn;%#Q~(30hJb zH%;(@B2wj&|2cy$F$zJ`@%7SQ=ANLSUZi2rGY#BF>-0EPJubuSq$o2qT~`<`la!1f z)8?F%SkOE%k<18B-ZaO-ybIt_55dJ!&2WFD)_ocwzGBlDU5KEyMu?njMzz7`DbLIw z{>$?cLOCcykh92BNcfTT#veX;*MSk&Oy>C;`&82DPyO||)2mn0=$%T~$Q;W51t{WV z{#C)3u5CO&cXafSK8Nv>KZ>Y++7$iShA4rfoz8i6X>J|bN{`N^1H z%$ogn_fLI4T5v0raS?y?$KjOfLJoc4!@t>Q!&FYQ5Sys!f4eu$c+&N}|17Y#t75&G zNcG}jC~uk0Lc@UF~-R3i`(ZZckPHQ_P1?f5x39Yw(y z1zRus?yW}wLDHZT<7w3^5T)nm{9|?$E-cbjV%7S+nGW7AN4k&-KF!A4d_4B-o#faFg%SCdREKB z!E4pjn;Q;@Nw6}J9uU>1?h17xq4&oYzNf*R>H+Wt?|Af^qi`hku=T?hk6RSj?Eqg( zOcUP&c z(iDb|Wd;UOI z3iGcJTwn9`k|Kic+?4HUjOtHke3yYp`b~RmmxAv=^3dk_Y)03U(@5CbErj{G+{mqk zY%jZpi)`=m9~sZ|ld~nEfD{Y$+i$!JHKPmm8_?ProA!YYB0(e*noLz!0 zpHa>dfAeoT_vVYnBx!6Uhlq0nUf@X+S#o-ZZ2>s2xgvS#wx40x=x)jhF*S>a&z_~& z5irGI5&oVyAsocz(plwFf4Q|FnYNNa_~V3#O)iv`b7UpXPeNfynr3g0yzPYw-X8PC zEj$Z%e&&gdK;IqJhEX$w5?4RsTu9X>s}`T-HWW;b2y5b-ZV?^RP3UVXRh)A`ESxf) zyDTZFx^@)uRn0Uw&1HDs8F=z~pvU%s(}jJRh!clH%9hB^#XE7BPAXN!44a^i>91c0 zK4tKgxr0wV?1;(Iy4JJZN!dJ3gwoF**PKf-$U>;_!}-ai-8mo-HP`cuf7G>~y_H z4gE^bl&--b)xhEJu=F`LO-Wth`B(3feP_qI*gIHLCk6XRlMnfPn@W;^Q%-{=5PZ_w zI$aY~|Hae8xa0b@<)#-Q#N+rH9O!jay6d4sD4$5A`6;#J8a+*X--Q+{%tbOg5To=L89v z)zgpC3K-r5jWo|8R`Tu*RtbeXyP>4;-?7TGF4Ftt=j=3m(niKBew>!QB*2^|_3Tt; zgEuDz2q93~`EZU;?audETPNcfu4>&TW&pzOgS1g+NaFo$V~x9&wawDJH0HR^+ne2Q z8Mf9^*rg>|R=4liFmrbIAj<^7NNyvL839aM97ArjetF2@usnJ2KG94grB753F zxw)owpmf}uY@z0j%{I`(vCF1h+-P18YzvZPTPgUIm5;y0y;Tru#YvkTR%S%C^DMmk z7vq?_-Buw z%WhfM#(Ol2>i?11X$q=6VUu2|5nes*B0I%}DxMPMMIXJ~N!(yOPOQ~bBV!f3O~QTX zenH4@T`Vnzqku(bzPXUg7RIUbw|1YY{pl93^pz)DUejP2`Sr?UN6 zb+uULmVc--aJ?SzYyx-DPvS~Bl85G3&6Jx+{v*2zNCsq7N#6A^(?Z1t%!`cJq=Zpy zUFiq-k`QsGU*YSJop!iExq1dK7?%x^uVtrdeo38I7RsrTP8V?1&w(%L#`kFGP|(_9 z#wz1Teb6f1R-Lsy(HS!s-*n$zq~5F`kEH$y9YJiEzG7XT>G)(*jJz)X{oXJtODeB0 z>Gbx|y+Ssm*WWpBO~0~kVw`a+k|)}bKJ-7a@XuS&7D2tr12U1 zAPxV^bECe*nA|Qa_&#aOrBaXMC)3ypR}3rtCp1Z5X%#bY8Jqtb;nH(xYTt3Zr>u{k zL*u;Pp_L@yTZqZJQ(z7ohkt`hPM4WuFJIBi`OybN){U_sQFn#rsK-s))en2pn3o1U zh(iXCiMR^S4$g4@I0znvVnJAcprO~=Ut55vN>6K~+vDRO;ouzJ2x7+DoXvs%-0&*z zWJ2fM9(Xt8%bQA=?-vF^e1Y@=Z;1f2C8xDkh<1xA3gtq>l@4sVEDLCtZ#^#x)(bxi zn2zV#AnPR1oP8RTu%FrmVSvk$H}$im--i&O>u1slnMKW(8f+OGf(pQ9l~ZcuG`ST< zO&zNx3xdh;zir!|6y-Xw$IT@$6|b6;q7lAV#Ry48mxEmlI zAl1o;F$oR8;sr`aMAqVZ5T6iwsGhyD@HRk-b!19AZ;Y+(zs~Uczg!|s*;HHyCts2;4i*ZYbL-m=C2`S-yJUgU z>%*d(uVA-uaZ!18|zT%r3Y~Nd$50Vv)Gb zZ}VjvkF}M6=a-R$FB9G?M832jmwkHj)Pr&?y{WN%25+-P>Ss%P`NxG5Os^eZ;3`5h zWpt0Q+UwBsGu4*w++uISPg0=?$9%gtRjQf0=BDF>V^R3R7i5)OuDsg5u9iOFI{YE5T{w-8_W#A_q zUzX0GD!lIt#Y)8#z<^j&Cm)(6778YfQo~jtf5%{%pcRTGnKmUc|NdDZz0|=mcYj;R z)6PMz3;8e!_oKJ+u`)xTaaU_oujn3Xavx-v`c6IK94evxTfOli8pyW|w>BZn7~m1a zhsP^C)x3Y7_6rVYq2Uz!hHtu2{k6v=@1?h-^?v+z;3hnu6#fo_+bcE*;QBd{o(Pn$ z*gr_jk_dCjNz{>Wr|jU;?1>>pNtsHVMh3w@UVBhcTMrMiy*hikYq}y+gh|}kS=NpU zTl@7<2g+@{Ly>3>33q0cvP4Ig{OhIiYkin{jaF7PH9DAtzfuijLDo5;%;wgm^bQSX zV#Os`^7h3?6OUGRmq{GpB&#)+eQ4J2lCeCPb6IoDC^-ba;mVL%-95Zf5|OM)?O2+rP2RJ&L9g&} zfr^n98cedviN%@?`}6R?p))%B^B6LFqVw~{hcD4PtOvnI&@?9N0>MQ2tB;9o=cE>S zW6%Rri?o#T!9jiTbFEyeP1QaePFP*AHj~6|IFk5sV8S1=yy`Kxs&-sQ=um+R?7nk&Jg-+9g=C^d8KjRji8fSUaSt9$`vyeX>tBM-ml2)aFPbqf^#hl z2?sF;I0YVAS*&CHu&_hkMzTR z7dd)FJ5nOSqMrOT{uzkpG7I_ zIKKrK{q)Zc2Emh$qmOTfU^vP5Y$v{}*%>DRjW4@yOD)d&1vvWnz2M-s8K>CA7a_X_ zc5V@1Ghri9WJmLnc`I2lyVxviu_#?o^>KhjnAw{L=Do}J@I*@+_|CZcfjTZ{tsr)N z@>JHEZ_-xq?(H`=Rt8zyQ!J?o^U+3T6A z$%kextoGE_V*{4??kXKLteA+K1GjZo0R0Hl9$|oB=SIcVbrox2c^pb&$C_-zr+SpgKUlUGdc#{O=&EP3rQ8;T-G<&zj-l&8ya+@~iv zO?v(cRbV-_G9qRW@=#;e4JMI6@xWOu@ELjxK1H_x#?yq?&~=ULNlqYsAf;Eg?K6}) zhzG0CX&Q0s2jL3LoX zZEYBQZ1nwP3b>-n_KglC&kA~{@TY=bGPc$UV<`^Al3VWU58#)BM8I%$XNey8T_oFV zKwP2e&m|L181xPd->(pKR_x`T%`RW!)5V4`FZyU)$gs06J&X&2D9&~^EU}zJa=Pm< z^$gGY$x7>8+_RXIqwWjbDGh+W&06}0^jk5BYo=2HRAEobPQLWTs|`D zjeiNHA8Blq*vazgGZ260TEiWT7#`Jlys8>lOTw@$4k~U`*Y?QN%t#wIW+u-qbvgBJ zqvX2LGAf?vh@x9T{@n~xrtYURjhuT#eHbfFd(=TLBATDs$3ZrJlUwxK{ay=Ei?Aa# zyA7Ai6*K_!$IVWM;!A`6#ABucf-C6qqKd%*v4zX;%A7F; zoC(vcsnW`HqoJ?3gI@i~J z3Z~Tef5`e&_I#rB9<(nDZ$zyz+icqreIYcxQ+sQ47h!I|+;wj&L9>cs?rrOl?8f(c zEn1<@y-T+ZKv3m4zr~Jh&V*~_2z6VolVbtx9sZYJE67xV_sBE!hZ%n@?F|>!GetXN zVOkPle3IFZ+&&b+7lDU10-^qkJM@oiXF183@p1M!XF(XPHkK=Lp*b!yue8q2e(Z-x zVR>!=`F3z#G0a~Iu7^^?=8Bzrx*5s%#GJ~S$*wb;;3NxD|6ZVgxW12x=uprPw*zAP zJs9Vfj*is48xteiwSI&M^~RiI-3N-4Pk-vj$iJx!W2P|K3md^8;Nir$NX(I7;jtv~ zs$1aHez=^WEn^5t9}yU>-ffjPgXYqqx{o&bu^cl(=p2#TEq+Y8F1fXbLH2iN628H# zjpJL7eFHooiE$4vU=W;uH{RjYj|ehHyOlnrR(FvphQQgBG*_=;6E_%KNX4B_NacTP z4t25GQ_?2ly8M()xcbSFpi0YO{n1*_dbY&*c{)`a=e+>>EtaqVyr8@9@j&AI&tn5T z`8kot;x;ET1ARXv6{XP|+6GP#R-#NHdYFfY2uUPMUp+Cg*^oFR-cYqlcbCF+aFXXz<=s}h zlFa*4LsE|dY$6v*z+7^wH*pvLvLfZ_p&}Px{kOFuW?`q4Zcj$IdA@%!{JIgALhcC*kWzI_Q zi=C&_zV!KX1g#DIjvs9YgYL_=Rr^jkKBU2&_yNupwGK0{G29p;xnqM5%9-nX@@!wN zDE=>B<}CQNWWUKxG&}B&80DhGJ8Udb+~5~ae#fv;!gsS%Rqr=xyn8%3M)M3ox4nac zgt?vf-rbx-Mg-7*B&(LbG22xnzW=f(sa9(66%*iO5xw@lF*%%KPZSEao{lYy zKEbPzo_Wm^509F}-thIJHbe9ermy5Y`6eirSFRkwQBA?{BA`x*MOyw2JtfwVyQtbi zXI^+vm0gB7#MI%^;?Jbp97oNHSOHUB7AIK`wwhN~Io4OvpQHpVi^xXOigEVAv!Sy7 zKnPVk0r*;JZaw<{$rfp6BXt` zK;BxgEPP*VW*}GYx#h<=->|f}ScL;~nGJ1;#xa!3t;E@|+l6@T3)|SwAtbyHs^gd? z^Rnd`r^_q)XKsdJNzI!jePfH|NOs=w1kAA+>`*~=CDplFf>TFjXzc0bweXq|R%0qn z&NO?#*jWlS=xp=pA6YGT<>0UP+1YJQmaOMBB_Ly*)R~)AJgjZpc9s3zwI5fuQfodP zFj(c#ab^HCKCfeUk@*|jM!q+Yk0TWX>Lg>V2Tz*kUOiNwXM&L{m~Wty2qpQi@AL6% zM)brYwmAHBUWaks&IT-!C7sr_W5hUL10c9CHU}^K!KtIp0r@yS+EE3K@%YowR0WC^ zO$+;cC;O1a7<2WiO-THf;k_p5B3`2sffA;Oh=Hba0*9ZTo8QzevAAr`^`FheDM9#<+WtD!dIVh!_Ju<09ExSg!W7`FgIW2n)+V(LL|B_EeUm0Xp`zET%C>y>D z`etWg1=fl0$C*n6C#T5>_L6VZS~si(XZAc)v7|2n?Nf!()xxjw6|w2_T{&qM&J%CO z9z|dy{=J}KC@?x8%R0b~^qAmk5fFJdWHo;p3g={5smy^AblGI#4vfun-nl^(xuU~{ zCE>Sc1;h-BEh$(%#GkUT(-^l2C%>^{%eie2F0mt-hpg&{d1!h#vfj)W&K!E|!2WSY z_u#iEKdBC@A?-18`a$4jog>sktftvVC)a)Z(w^#m{rOOEind>Oc$JG6&;nH_iSNor zLs01M^n(3|aZKgdBRVc<={Wed)cg9~)A(Ps66w^kc4uChOmDAzL@E|rhiHwn6DZyZ zc@At(W>+9TUec~SR4egIOMkWpZgnVzo5*UrQI15gVr5cG^x*$6LNo*=Ipt_E2aKz= zCEC5`8b05T`AK!xrH!jF0FpVdFcwC=CF?B}ry z;*&zj(L&=!y9EX3r4T9e9`LC1Q#U&liYit32nr(i;P3*#9PPx@dG@m`_U#T zr)p>PUbEcInh#s~y~f=RQV&tz(ADO-y}ygU;n{~2S`=csKCD!tdsq1KE6Ct3>PTJ7GyiJRyBe(Yn%fcsW~5uM4H@@k*kC^ z^!h|b)xa14>qF+#cB9aYySt}{%XW?=`1|A1~U#%vLF7#sg&$pWl25aGf$x=?AI zNxsCf*y?y8!)V;8NN_oy@q{774uPFp1}3qHUSIp;%Jxe(h_k;5GEbWo6`$)iVB%1} zf39Wb0~2v{M6+?M)X__LJ!V^RMXf{aL3 z5~7?Rr-VX;wODM~gdUi~QtU`M7BPe~`=h-+DfjC&XV>Mjm{q(?m&*^w9(KrTtdC z!#~kudi3dT@|zV>KYFo-E=iY*$c3@vm2ARYn@oh@*xvz3ek0{704t$MuXnGqxdi$8 z`)?bsrT7iVTU8M!UCddlmly}f3$o;ih(LUT_nq$9#Lv2(qPAXClLvB~7dWzM<8q8- zV$`!|{ni4Ssl8ahiN1Fn&Tpc4qQTFMDNk5KaR=<3B$OeK#rPQBxzDRa{>zETj*ejE z>tfjrArex&j3Rw3Yf^qs{)u{trnTet@KieLgSEP5CyFTjiE&aYb!ldz;Dl+o@b&j> z;xv;hD}pROG6D;RAMT626DfJ`y^;*7U~K=3yV)u*Z1P!WF2`G9`Q{z6~|A1Q$%j@x~vhhrGT}wD=;? znomzKbn}SzYjy-Ct~i1bU^x302Izz%gva$+e-nLvvh4wO_td^mDv6?+8$& zzcdod$~HZ9lD)aFBhzQdNzZL+Y!*f9yT#eWDQo?~9h2tuq}%$GLiG1-yzV7~>C)Nb z=k^|TYBOL!AyYHp-|1@pXK^F&mFDv{)~X*{E!@8jAEdi)Fp@@IqmTd8Qgv%EP~UO| z#pi8PL(48u*M`eMahS`M*z>l3mA*(h9dOA6@qOpNA#J;^H!QqdP8g(U5pU(!gNOBh zdgUKgpK}8iD=+viK~dLV;(fTR_OULruDSMymShp_!wBr{nEQFr#~ht4Dq!CD@TQU# ze$Y+D>yId=m76nSKPw0|q8j~kabzJMk-Z97xM9}q3cLDPq8;Q20|D;+Kcf2RKcXWu z&mcH6XR{$(|5LdCu=K|+lMSzZ5WyXAI%?TTN_PDjMa8A_5wDyNUSWhuSzK9HVlZtj zpZI)?f=paV)num{__6M{ym${HY0rf%S9DW7zdwGUhs2`w)_SZ*42izSdLsdH8YvgW+j4l?4WzldZsb zeTe}-hY}r`I|AMgBLauH$z4iCRyP>56{N$2UmBO5%GK#PHfD~6D zIEU#=4Y_KM*A6)r0HsWD?c#Z1U2pB$45+V_wgU$2iEQ$v$9abmu1HP8 z`%syUCCpO&foL2rMk7_)#qWi4N%<~AATz{pn^dUupHDS`Cj#{CU#mLI@Tyvk*iN_S zJY5?MhD+%gwaS-*e;rkwByA+)xq?;SMH|9Q=~KQeq2K2lyXti9R{~mI7M?qlVL3?K z6)*D3i+wy^>^IVXR$5VV8Zwf7++S@!uVc?cR`^}!DArn!j$|%(i`u^e4^7_zJy+ih<#OcySh}OQ zI&$9<0&$)9T_j(~Y7s=r!+W-KqRz!`vgfwnu2H-;YpGZ3Fw-wwOwzr_K+~FR4G0{A z!OpwI$5NXV*0h_vVXN@yBjYH{?KZYCyPgXR`cwVT^dJFO?hOHE!1(%&>rb78(`AgC zmX|;CrCqmHMIeY>=&Kgm7!s8byAoNgkI(eo1edp8nJ6|A_7c$g!Ydr7*~Jl3`?+OpmJa8BXwsGTAfnj+v^*`MOec zR8N}c?HH@f|0Ybw)h&hlPfER?=4LduXFGPR~O&{NJF@S9UsF6-K?Dx1(wOcD4ZBPdTp=$_t@FdZo4)8?~R$M>8HD)5WA_A^F2hLLD?00yRs@q z)}vtwj$<}-*-giC@pj0Or$^n>kni)tcEDJlBv8HI_@hXEiJIu^!e3en(O0v)1)l3| z@jJoB%631Fo=KkXT_4U^FFUX;?yQ{pm)`j3wq4zPtlQySx$HfCZ33}gX+x5rz1e~P zPR*rvhEt&nW^pGnBqJ4m~Ro?)qK zwP5g$Pzt$}Ci}-87XPH&4D|3-;d>~`HjMra(+~A$X%l(>{>v10f`aH&U>DOb`jsGmI4> z7U-V@wrfgW`g_L4oqYd~q%b(A{A~K2zHLGBLjKuGTN1dZQo4~lF!gRb*gVI(#IsAN zF!(`fd=_!8rjJ>pzEvhCm}EcQvij-@dF=Mr>9EBE9_4!v6!4a3l>5Uf&pdVM-|6#1HpYy`U0dpR z#LEFJHrDzc$;cnPs5#+06R51Yp_4AmZ+;1< zuzQNhU0D2L91?u5%k*w5qr%Z7yFGk;>hQzPet=jsF@&}G<;5$-XBOk^-n_DUSDYHL z>?HY+w|N9-lX%?)+R6)2LVV=}0r>*4lP93-(}<_fH`tZUNY*)P7xKy3xMWUq+1xZB zT9Ja;f{LHadFM^ZxuJ<@&X z(m3n+!|&yEEpwPlGx!|P_GG2Z(Q-sRaFEGh2?4P-We6tjZbPQ`|Qwbi7xJf4p2l` zCQ~s*ZzyB?j+X1x{Vxy3H4Do8Nbv6puZo3QB;U>oXATCjAX!JkfZ|0&1&`JF^)1oi%SjLQt&9@U`(Jjh zF@T%8`xX(fr|Xuf=gt*tYjss*Eeb=cW$})SO*ai!{fvq*=Zc!_Mz|dlJBnP(h7mvh zZn`h&n$`!+z4giN%DtFjzna-8yZ;7d(CPiXVrtexx_m4!S7ZE0FtNcl;76pUS;6d7 zr$PT_ES-E%jaXdzcApotHhEDqdwLDUFk6Soi!(f;21)4Q%O_cBp78Pr-`@VLH1)sS zN7dvuJnUkP-iVFT*1g2ET4rH8gxK>L&LCdFo=ApxWPYqAd#1=TqUDUe5M4{O8q(Osj1isMowy5-_L z0C^!A;5uqO8}z>s3eDnY8B3bc&%Ced)jYRHbQ&CHyv8JVaL2?`pb{G~7&lujv+GHN z&-4EmjjkpGP}#9*Xz&ftyhU#FSYp`dyeZ6$Cc_?#^!yB4KMmaGg8X--&cnNA9(PQ^OptO~|Q!d?ViyX^Szy?>NWlg${{wELsr`^8Vv z1e*lziP8xI$-)xC`b zBp330EuD?|U~ij%Y{Gh*UUHgwk^>v?GrNn$b9(S_gn%K3RG-1aU8HYobl`af2p}}(S+K~eI!>tb!)tSKW6S^u`Ko6|8L5eto+jV6R_!Gbh`?; zp*PU4bVq{v{X%f23H#5JtI7f|&xs!#$)h3ZFuDFS)~SQxtk-Qd@uf)f(FZl-wK{uq zml1Qd8L8mkWYMu?bhPw8`GBA9+RXOk-}w&7l?xws#007Aa{!8?Bz1%P>K?cye_WcR z3@<)Z8AhgpEio@b1@5bySZ@n%Yf3SD?sgKUjROzNww-=UD>=VY83(R7k1MH4+ln{p z<4wIwdT#isUrTARI}&f6!OE91bRSm~9)d)WIY-~dXYY}>(_M#;4h}srR8R=u31+V* zz0O&KV)2Sez(oi5e+dsgk-^sZccBKsy;Ip zM|#wR!L8TwHsuJx8QkQHa25vylJ&A@iSZ#ED#)yKKa4IwvOl5@7&oB)rYa9F6hqF_ zJTevvQbJi{dsd6Kf)|#pa{!&0nIk)#{5D6C)q)ozL{6!=FKU=PK))HclalS)iE8s< z#A1AIj*JEzu=FN=iubB0f^*MbTmMq>Rd7R}fO?Fm&8{0KvQl|d8w0-DU~1wQJecQg zXSYe~;w-)Ek#ljqpteM#LMMaF`VPNlRV`ERQ4Nmd!4PSW_^kcNr8A50N(WK@ zw(9_X(q%s2joM%7&)%)oT{;q;lSs?FfSiYJZs zo%}7=ek;apu^DW^Rc4vxFzG)`uz`wqYMt81KMm?s1}f}_^K~Skg3E`8u^P7=#1(DI zop|z!N6^h(%$7LsT%%;)b($-!-)FC=sgs3o_!-f`b4eTq1m$@x!F!UfVO z1`uT}Zab0x?*g6rjV~hmo;S>k-xyRwf~Q@goba*<*wJ(zc(ni##i`J_K`11Oe2g+Q zn{om76JJJN!~Dl=BzbkWdaUw#o9a)gi}xU^MwLx3Y>_pear0YoxX*ww?w&i0faf}b z=e;?Epq9N}x^>W5MA2@oAH{*f8Y9Ggr9dVIa8%<5(er7Oq5l=4gFYX8Q zm_>0RDRkpE(T%XTBZqV?_~bTGQgOTKSZFcsL^ntpB$G?L^$s<<{Sloh6oneZbFF0P zO3;r45_SDSAHt{9o|I>bCx|kgha2}-rB8vg31PN9Krsnre7j22QugwYr!}CAm_f*= zOSJjj#n}AjV-sChrx#nm6lnFxHZB`acvRED4X7VHlaaz)9Z+CnpM6urYj<(jfBN8w z$$l@FFX&Y}06VS*v4MA!n*tl@7#2}yW~;GY=DE(1@sU)QbY4aJ^mAzN;X%Kgaih~; zvyN6OKA8saQPt1#D$J$p*Z+Iziq|4+uTj%`_KPMxGkA6RP&*uMXa{0s(@^(ldt~Ds z_B`@4uA?{ETi*lkU&2^W0$mGj4uL6-u%80gFKOBsQ@c+Hi#d}8-`)V0-xD?wc0(w5 zE4)I)u3T4)L7h)dqfc(VR1WCkZIDpAoXur!Rb^kpeu;Y`yTfvQDcxLYSs#BpL;C|_-{DqM zw#^l4)JQ0_RW8Ql$h(wXLWo)}EIb}ZctAhGdq#t-cUG-=k#refA#BDX7Dpx2n* zttp<~{YO1hq8OexCQceeuvF)87PeAlY^<>e)ZTw8JncRq2i#tXw=Mg0Sk%=9m9J7h zC%J!b91C+Uvqi=N%F>OeZ40NnaQnvgZ4Q|}WY%K<*3f6bw*{_3$AhUO-@nYqis z7Ww2=ot7I<*8lo_<91sg7O58!t@wa1XHM+4TB0*fEl(xtU=%p35vcod(sL6><4 z(haT*K`!!ztFi?{N7oNlm-0V8 z#6+wP_Hqe3;^OmQi{@cWBI;s8Z@fL(Ks{X1Or#{~dVYHDZH=`6NqkxF;GWV*F+}`d zWR^sh?*3OEmtv>R{ntd{%x-JV>qB|texmagRBTlEzwNtGLzTaAGpv)u)2?z)4vL~I z`Na+;s(TK3qSoT?-`of#0XciR6`m&Lq42#^hs&Az0S8F050yLrrP(`K(FCjb@x3iS zvPsvXgNvHkLap3L$Fh4!t-90n$-RYoyM82U6i6=$lc&2Dzm~VXqOqfi*Ek>>$l`zx z_6#`Cic$W?p-yF6QuvzuVm>lGaaDU_Z z*d8rlFqDuEoy~Pz9V?7lOwkViT!+Me9NG5 z_(t1T+$_#f7fPv^?Ju#je~-P0$Pc@5+1)R)1y$p^_MBUJ`K0;z%21iye3N<^udLc} zNqdWWUbz1>nx~zrRjUotqsF{u#Pxdm`ld2ObWfSWr(FNQ$)b`bmCHF;ghnZuXfewjbsjN1r3|PnF9DtTtyuwczTtTMAZ=mjT@<@|ApHnT$_x@)63a;VWj^bQ*Zs( z^dJ5Gg99cKdm}2%KonFOlxC!$G@{boF}g;FfFLO)l7fWN-8o|9=!StKM#Jba;No-p zUboNp`UhS=Jb!qda~|hB?hl;f4*3iOI_kAz#>@vUit}J}i}vg!Y#?x4K0=S+-F9A~ zO;2K)f-*Tz8^tJ$llZ;UX|thc-GX*@=K7m++9wxe9v`PK9C~PWw&twu|7M*Q&yh_{ zMc1@MiVK%lu+ z)Ia~;xjRN?BM74=mVa@N-peo{RavWF;AWD`@xLPaMExvwm3>=&z$Y`alV7LtR16!` z8ma}_@x|QtV}Ovg_r!m7Bg2L+oZ`~BMr=c`ATopTY~HB73%UW?q&}S}399@l1Sm=I z#<{mZ!%7s*i&)ah5K{P@Dft*ZHq>BG|as1y6HGlLv_!iwG-W=$K@*_DXOS zt<(R&*bV-F5BAIdO|2TAueDE3O8VW$?5naqn5w;ghoin5+3v9D769=Kwgl9y2D!ED zd@mt2(^mAUU+Ia~%k>VfrUr~&e$YsfN$2{C@)rg+ zr?Ht35GMlsw5GDFtlBH&BEyQA?x5gM>SY(2SzVLgh0c~&h?{a87e>T8FaOTGG>nY5=hkg_fq)(X~FH12=5#eJJn(6a_1JcsAZm? zMwZDZ3%A3emqkNlCwEC4u<&K7)@RpjFA^al)}M+aOlU$rb}*^I)i{EelVKw*T~x_A zlomeC+I9B26iN(h^R`k|t=P5%VG)_)+;xs!@xO_N>h0$>407&Rq5v^}3AK*Z`r`=d zm&ZCAuay`-J5@TIS`=p+)^lu(`2!ASs9TG-A`6h1gw5<4Cmhu$ZYRImkD{Nrjl}MN z8bx;f?|4klqf$OjJ$)WB)Xs^G7>uA#bA{$F(o=0#5Esb<|r-8?!F z(RsGt(-&mie+=G!8cYIh-!V>aA)#SsuzCmLPyQHI-t2?`MIZNZ+t;#dfTN zVR8*o$q!brd=oS)MSksnA!kLFzS*9Qih-BVbLKK!1v8hfENFwb$POfx=hG^s-S-wa z#bX(<>>#M2p44s(T1-R@=(JNX4@ez$`1=%X%lWh!xxHa-l+H}OE&1HfaH>?if3{1w zq+j=Czh2GM;KwtGG-$V|$!RHHwIy>c53(gV!;zn2%*81>RAvdg#M z&~LB)%RiAGd+LK}^HslNpNwhaGqPyx)Mo>Zz;1gD4>W; zBBc32vIB&+4P5JLu`K?nfafr6EbDrM*<95)IPu8bVDAzRg5&_BXeQu2N|>J1?Z5r; zkDiw%;~Y|P`$4CWV_pPwr)6}MP2Pi9(zKU97= z-wJ3xzpI1E`?W6QF6bkr^r4>HxYqih`l{KRTbchzUp|L&RU8|YFD=PQ@(q(b&$C@q`>$qGXW4m`Y^48byfY zy9pk06_(-#Z#f>o9S5?=ufljbVp|Ye8LKfMO~@P_nDLNt5TGtAL`Bfm7LQs8Rj0Zi z7wugCf;DtcR06b&G-8ctt+EEFE5xz_I}~$R8jd1aXdm8y;uP8p^cDi(;bc-m%TbGA z!cXHWmvG(8kM>-k-jf6zzJtiUNJs@yGkXo2a3^88;s^`14owFt;fScckNuwb9GM)X zvb>u+oT${qDVEv2FYD!**+t+gb694y+0yFyq{xdPEJAgSY5mBURU;Hw!V)x_p7oxQy`xmeai2xGkz!)n61KhO;zk_EJm1+w z@>7RHRCfTv?|NQq!d$h%mJ@nPeIrz&-tc~$iPqtgv`xBKj_ew)7wG|ZBhDx}%9#Pb zTMd!@F+t>DLIc`E6VuaZRPzrT1ie3a*?Q_%y_tOTT;Dp}l}Yzfx(~PrwJM>g{KZzx zG>jNOTzc=q_WYPHose=JMo|JD|D;@4Ja15{2!RQ9AzrT zfMA!$;<|PO*+GG#d}&>%EbETqs2?Svs3TGktm{HRU}mke7)i?;e9Hn_^*v z*IYJtMO>>&;zgr!Px2_SNNWJ1H^ZHIn`G zP)hsTA8{39@q8R$v&dqv*XPm_eH$n7Tlq$$e+be?`=oH?)VSY&5 z!dd6Q9sGCZTe5&IE-M-usrhW4pN6 zgOiuoaF=|f!EM;yF*c3=^b$$Dc62JBfZwx-IOVSbc&D63NeYV@`R!7*o}9NAV>sV_ zDxR3JbGknLRXL%4rVPGesZFcXfAiBnw?3;Tz(`e`& znSmF#B^L=2r3>@(i~#6}V%Y%kC`>-a=EC0jT`bvJjReBT`Kfg-VJgDk>pvkprBQU7 z*=yH@XA#I;skhX~#U=v7e}sSuj+H+cf5>WFaI|NY?K?vbhSkVJ4@bN3GEC=P7Tp?M zy-agZ;|xG|)Z8bIPjR68=9xsM2Z<{gT~Avfs{QQ^+f@-5*7uVHrgpU@zQ%GLrKTjS z_*VPv6KP?nsbtW844zdAOCcJyNCZC3P0cH24OQS`TAfFzj!kY^*p{0)E`_Q^#Zm;E zStd|lEXX^}oNRR*0UVd(Y3PRhWYsOM|6>w%f9Je}y19|&wKQ6#3BCQXWk;m@FC9J) z{7FE$XT2204c~H`WsNtk6Y6q`@MKdSyV5a#kMVpluxhX;+_2a^%LNm6LwTdqGXywGoT3AZ7pX4oVUZ{BK6Sm;>%_O5o zmLZ9=_s{yXhrayV66%8EX> zFQ{FV@Z z@HDK|GVGk`W6lOiM_h-(@pA!#u9a1mg9Q$vZnSG3>vrdo_so11V32Animdet6mKP} zzfbVc=+VEg8-&11iFh!65-_sX)U`<&HYM{ZPhX8$|R^Ji6qs-5Ug&;#LvKMeZ;(rG+ZEi^iFC7+$5 z&ge()x(56DYvw&C3|h3EW3%#%eX|k|0cE$LE|{4B#%BicOkY>d(d#<|)+cl@gwu)j zP?8MYc;TnA!Sd0>Wct6IcVDl+_&pH#TB%;*q(s2F1#zmMq9SCpQeWx1N7CU^Ii|$K z+@T5)-cN}*Xmf=0BdcFrG_D;_c&*|Q`y0?Fmi^y9rBzvIDTJz=UH_{nueyRMi(-E9 zUNG;eqkw@#{U;0-RaI%x1qPzYH=ogDyoH+kO^qKt-hJYpZ$r@Slkm`EE@*q-MY}i% zt?q_&B1Ba$5*r7IWd5CoPfpA)*~_UteCD`-e#YyVsp6UQf`PW?eoOD@S3zK5=G8D; zD)Qn{tnB3AuV3-bue|J+UT1%xsDX6E2Za6&$F%&@TgS`}qM)ahEShK!lq5r=ShuqG%O{M)5 z%^mxYs0;@)E~v_!L$?T{uiz_=nMxUF%WKZS)a)2%tYS>t9nZrOPT$yT^(tH!tGMp< z+7w}ZoCbSbN>(UJK3-X4`lycu8B98YQ3^=Ea(MDzNn~YaTUXBhy{I3}D?K6%!6%=1 zGzz=~Ug%Fn58V7cN|Zfh4Xq)ep?A6zY+-%!0u7`08vwKVivt)k{ z`)5tG+$O~&HLFrw*Bd5}@X<6z|4lM=rakxfIoIFdN^>8~Ll*m;kL}l-lH|?o^pATa zaaxz#@@so2+w$hqc%lpes_TBcrk>9feP8j z(S8b7J#$q}0f?l_r%T=_^H)=_9C4S{qc2dOG9R+_)_*^9_JnxKqVqU+0qQhRg7%0< zXh+zEcw_5XbDr?;g$d@Ktz(ALIT#1I_2*)?>VEAtuJ|x93T@A;Axc9{gBx))Rens< z?R{Dr;AbH@$DP8#|>eyG8Y8>A|`^K8$l=lobaU$hG&1V!exYk?k)N z0O|E_`HD``II)|-xpelj`h3-*qYM;R?(y3-;4nx~d$2lB^W*0wB2m=|Dx{P+isIQ(AW}QeO+kajqyQQp) z`c&Ja%4&Hkh$9T#-QA7uxjLIz;W2KzTcy2qN-jBn)OTgf@(L&^g`QS~pP98sPx&_n z1DNKQLc*cU5$M!=&4fOpgrZ}u$`1F4%0!yQJ84CH%w~bMNTOJYH#k}<#Dawnhp2WE~o0PSrIOvSt0XDw#E#x;%o12+2L!4 zpp?Kd?Lmb&4_GRf;9RvsLJE)W?|$W(;&W^$dwReWkPKwIGL-yN2=;wLJ-aB3tkkJg z>Iw2keov^P7%s){A}z&h=U30PFuFah$VjWMNqRttA)iv}9oR7$hg>M^54{UEL_+k= zhE2HBAZ*EPbOmpwaGG8^f4xz3 zMT34_s~A{{fp*MJ2oJq=9+#@#v>AK1Q@woW#{UM^mBNWib=p|BX@*N=2QrOlU1eVO z`&}cJ**NRX5bvM{Ry&#Cls}s-yeC77Na7pnENfch{*RK0iT-yET*i1o1ULkHmp*;v zdRWHSo|u2r%eqE~j4=)Z_&y8%a>$GAyj@u!5`H)uGvrEn6izJ`PlMpTx3cQB+g}0f zzaa;@V1>QolZkZVfsJ9AQ%7&lyyEjmtp$YjhaE-|vf=196qs>hySj#vx@sa{oA{^jhNM ze(>-AR7a!zJndQTd36yyp!{Y+Ass|&&$=eGb3f$O((*Hb7d549**aR4>?V7i^x?I{ z*aQ|3O}u$kwA_O^r%e{J+vrxAHNib|$13o?1$ak@KzCsA0YI3i3|6`C5LlRXeW)w> z@s`!_=;E=GZ+n=Lot(>GGrf9);Vx@>jYmJ8abS0dTiJomnrObm>P5X$#qR zP15}o_h@-Cl9$z^=Wt#91HvcqO$xWzKc2rNHFHv~P;}dnyj^uzG+&Z9Pp1zHOfR|7 zq8Ii_*f^)pu{8EC%|}DIgYZgY!qev^FIQ#|54+^f#mKnEoLK`r@G7=|^mni!$E$sr5SRjNaBU*r+7yy7z(s=2UsLf}7VX#zrzSehKEj=pG)-|_i}MBcH% z=P^O49W#xV+4w`g6KZcpKf0`4XI;7zQ+HmACxl;0ww8uRR>F{aFswANYKp_gEqHsB zn3uhRCka^-D(y~5jwO|xI@0tOs^8Y5qZF2vio!WNEVWNfg*t66LH9i+W{(#!VKlpy z4|DDh?~gw93yywrVm8fEdBd50?&t~8M9@qFFkU4z8KC!T1dMBtCRSI_%&5qyx|T^pBhO6Zz=BhzOEP6a%SFT^+^Y%QM2B$vD&?Xv*~pCCS*hy_@e#P=aS zo~3T7+gN9QSz_^2Plj|m0sWt#81VJ9*3+OG!JiF;8D|v%uIM4LuR(9qK_yH-*ce@< zjR_WOB3rzK9&FH8C7ouLqJOwuB=QH^P&d8a2_B&q0|G$|0a(5i#JN2^al~VbKUqD) z9`(J|Z-A*p6`Q+Q<}|;_GD`Sj9WQ0&O@B$byp)AHeTmX@pg;LpzyBJ|7rn?tf_R-&dqjS${ImK>c#d%ft^mA0;ZEGx(^3wTj`S)nyJDEWF|CI@(UR}H5XbR=?oT)|; zkwPzaUN~;fpY&YPp&<+CG>yUzGI64)laj8}h5QJ5dv4*3o-24 zryG08aBVEdcfUd-Uuu^rCkYP@KC@1~viY*_{f~Hk0BTun@p0K<&cHBL z$53>WScZTiGJvL>YKe$S~DU|FtPkL}OD9ac~ltr?=;^geZi0^gV z&SSRk%io&dEIfsOx-1(dd(80Xs9t~4^wRdzzNpbTxUV--s^J6_ndY}{Mo&uHAuL}YQ6S8WUwW~6R>VqT^yJ`li| zE{Af?OV!NOOQ!Tn-NPX5YAjysxo&90E(mZQGthZ>po>5G>rf2e1jGtXpJt;_M~{~S z)#gLAj}|leaG%0fo@tXS9F~5!T`VB)t(pzukM^Z~nF#1b7>mUSo>l7hl5UFFnXI{z z7-LP`OOtx1;@n2+t`}q-WX#+^XjT&KRPgfWr!fm9W}#zFYB+g5b~Pa$sop9+DN1Qt znYsVq8b9@NTWJRttx5>}$~3BFt<{w|vwGa)@6*$=*;A=skC5reah84X=A3MH5hl4WHN+#9||!o3Rfc^qW#Ao zE5O0;OufsH&c|~VW0o;t5X7&byIlo~q)Ht=nr*tzN763%Nby*>r5DQVG)ukMH6T&Y zq7UYqk>wz)U!`;Ou_|&&aDCk(e@SmxHpuz2#J}4DIn}H0N*CAI?fu1fGeP?{M6rX$ z0CM#@Yt5S+KQuTOZ!Fp-7tjZYiSTxIO{nTkT!CdN22Why)%eOH2NsZP=jQvAYW|j) z+Yz@mUx~_^P7t?omP%T(pep@2>;R73*^5&szW$eJcd^GVsok1Z*0idyKJ@c_s@2G& zN#Ne7gZG{9xio{uuxRn~Sr#x$GvAS|beHrEefwKv`-H6alFsOW)pWkDfVfW)Tk}*h zr@6xQ-VMjcRxM-yCj1ZFL2}z`j4aFg%5$G8KSM*0y;HR|SWAssg>TBcXDB$c1edR_MYXsBD?5BuJkbVU zw16Ucu_+iJh7?2AFA}5uOFc(B$5{S2FK|UAYEgmr=yKHr!7>Vo79DygZcpeoh+mj>z+~BJC$-%X1p<4E}WG&X^0u>sVCA#ww(MB54ZQ|=* z_trsm_CY0bCCOUsiO}zYyq`__g`*Rr2?mG2O9VP|e}otxTlyG38(c!(^fWeew!|6I zT{%vy8y*|f-$1%jq0T5@S^d2thKj2zAjYliIrxH&GKgrPGH%_S*IBdtS|NzIGY8{C z#69kaHETbOm|gu570MyTeq~BTZ3c&@D37v>kUn7H`)5E(k7B@Pk%kCentSDR#99Wmq&XsbO?un%J$svoFc6;tfu&N-`j_jpKsO$t|&KFN2hnI)t z+%3}uMShqmn`FsTd%8Ou6XC`5gVsHKQ-_vDm)|wJjQ3oo-Y9H()&T-QOw~(xnVEW5 zk#U?$&zX8fT)Nhp^-b@{-Yb$R^3lD_tCXYYnv;Ta7;{6 z4Fm9#BfbH#;uESpQ6znQ&rbYLsV6?kl`>QGta`)&r)h1)JCXMO*xd^2mzh?lVO_wh z#8kj@AA8j3o;mVtZzc^DKqgvAi1oQ;&D5=fAlfZrMs`L2JEY8xI7rfWXiu=7Qpgnb zd+ptJzB0GTxp&zKw;m*H&zerl^bM8JlHle)k(Ju};m|&8SSBd7JD#T1jv3-@-yW*V z6cg;57xT3}T*}%?HU14<$Zu4xb=ns2zq6n=an)WnURV!jowmlR8**_Q@IX=df#0P> zueBE9ZVF8G)$c4YMmvEMSUJK>2wgq-e1%W-$dJx6)f!OtN)CbRx9MJ_C`})az3~JHVyqA%S?9Fi{qacj>z>t2JSrT?cU4-W8#Q8LvQG$ z#4&K#w7R|*W+*bz`uJw9md4{sAliI(T98be80`Ra-)*Qd{bqp-{81&#W(C#0B37I- zS$#ic?5$Ke%YM4bd`Zarzc#m5}U#+)8J=d!uN{y{vj! zYb&1Vw3r3=jm<>d=GMe`*)!ob#R8tO6WxVL=$MN~Wo0&>Z!@Ke;r2#Y-OY=)S0o|< zvetDDppA*&jWWgp2RZO|nj}^vSGv>5@uRDyUI>oaHve=`lW#uWDYG=!IO)DO`GLTd zZwvaDL)fOP)>DD6wfrNu_&v(pGgsaL2D8p%Ds1O*G%u@h!&X14ZYV~V@m_pJL=&NR z2BB*Tz$}4j_Fd(tCiqs)BX{nM-}^7_gCb9A`i4%SjBSGCQBljcn^O zQq~}?GrCLkY9^iF2w($MZD4&;n^#D8+jnWI0~Pr=g`6ENETA%h|KUO0YM;~bI5yp3 z$kVDHZB}>FGAI2gT{=FvW38`EHBjLyZlSNDuvt>9ms!^i-P`v3q<1+e+#z3em!cVl zpcS|wKtqqCJbh>2Rl2rkC&=#Dhf5T}b}@9FPB$ao+#^DqEp06@NJtLyOQ zk$AW)H&?44(zMbe9#f~IyJwMjq=^-|vG9ATWX^TrsX0Rr-@Dk=^(Sa0zb2QY@P~HC z-A<}4R;i^gE>;dcdCPA7qS>){gi(_D<)u24sHOd2X5kccz1$~o-Bz`3SJ7;Q26F6% za8oTC#Ekx)8=t(K7k0~M=bg=WR964{r+=J8S*`f8D|^a*AHtnhr^ZqElgrR-=eYGs zHIN@>>LHG^P26G7*OI>qm)H!kVV>q3gqFf}VzcuEsmX1?*UX%uW=FqCGewqo`_dfAR4}7QkBuhgwF<-TGDSJdb2R0$RJ^M(%nX94= z=TcZ|Xtt^PstPBZ*LfNvdG24$S>Tl8l3nYYR(cz6S#tVAQK8*IH8*+ZB>lAS@7B(g zZzrpVj;j)CXrYjMqU2T=P&$XfK1Ty|W}v82!Q(wiXScy^Ya_*DzJs*eHYuTnrew&! z)&;Aor7Zi{S`TaI=KU18g(SWLSPrPeJYKcspk)RRZ6RR}^$Xs7NDGYX%tn^>weCvN zcpDs2l>=r`C6~HaiSexckc3H)(OMpGfWFM)@;>PGO@xG^u*{~k^~Prlyn;cd0*&iX zDOJ%77A@Rg`i(IoHjdr3n|L}nE=Q3w)K5mSJN4PmSvL#y$CBUMo`P;N#%flsh#ir~ zxpoR{Z3zfKUYLm_B_NVmM(H>rqe*g~9*Ed{4Sl@m?K_9aFmHiMh&o3db!}!^=*&Lnp$}6Ou)&Uuy8!rSsj*!tl6LhH6J4>Zs>#mmR!W)c&Ky z$Yn+Nbk13?G!_3h{8+8%Xc4_#bMd3?>gMNHEFlk$&#?Qp`s^nd@F3iC{#(@^mSHj8Ih1VI1b%)zm)&fV z@P&$W|Jk!>N+Ng2{H3O~hBe!556IX#z2(LExd$#{nc)-a(M{ax*jMK5#p-l1PfSs4 zOnNa|++*r!&x_oy$UOOHAo&`h-DF)AX?R|!SioVMSb)VvlYdTZrq~~IEx0aqMoPKa zX_7{ND)evcUa3QbyoZB@_ur5Oo1&S~ta^}hNV$C~3mEoy=0o3usmV&ePjJiZWOA^j z1Qc)B8G!b^?zKMhJNYFRKs%mdQllv)qLiZ5_krYKQu`kV+oWkPvxm;vw}*u#+I`D_ z=sM5v!mL%YtAYSF50%$G;$A|yy{m;!la=$nN_giYF%{(pgN_<~yzP~KpS|ED3dhZ5 zWu85Qf1yVLxEw407>v}1!9bDRS|JaPTdq^QkzMmjkzSl$38my!k@;F-`xGU$qNMfFPGJ>)>WN&$OX3HNA5DkP9Tvq z-zf(kei~aRaRyF%#nkE2(}2D6$xi1s{o3H=k~ux(j9vMMH$RJAlAE?2PFrt{03MFS z{^7`TNfBFL?sU%N80L+r!sECgQKU8TpmBKJlt$rnczgTlZFj8Qo61u9IJ4 z^U_*-KgDxLw-6fSB|{&p8%FA86H6VVAR~?`9_YGZc+_NjAUp4Y+n0#t^;<2~s7mBX;758peMqk#vK9vM z*U0K$zIIFu!jIKStGlv1Pk%(~|1xweMU}F6bN-S1Ph?Mo59t3$s5N7BtP*1b z%Zuj2_~cB}QxD;vstS{o(|k-y+8-8j^QV3dE#O*IX;iZt4R&JRE2~UX&hdH}ao1+m z8A5^4!eTMgR&`}Xme{Z}R`Z0~8VdTKv_{jyhu$3ad(=N$R3h*BD;j_A>n8?SsM+VC zViIbD1Kj<$kMz?!)f*?B6$lMR2`b7=xw{Nmu&G$?4H_MOqkZi@a7blq#}G;@C24klK4 zmIp19qTo1=68c<^>tryz&3`a`;FO+G;$WZY6{R;H4ANW=6Tfxb%LsbRac{ZO|P?~g(g{Is4horjZNr(|r7CUP7 zdlNH6NyyyWqeSV=-D!YQU^Uz)!O==Y}35s{t( zex5lcEy#xTNlp|xW94r<+rGwzKqBBQl;1Hn7!e|HE__8|Yrqus=fB3xaY*L%gF

z^9sUW09~V9)s~%f5Rt>FaK$_vix`($(g}^Q2vs}+nlww`-^HJlE>yuVQNL&xQp)4wmZ~nI>&ixQ zP1!mN&AvT^dF=qN!zjK7b(yacO6_j0S`0uxW^#CX`fzzV?mA=AWFY^}g#W$GBzWhz&2kZ%F5UnO_liQf zbe*lky}Db$D+JCq#244^L-i>f`MnVx8}3;+*xjGY#b4-3(7)cVr7crj6W$v$K1#^0 z)f`yx3o34Jb9_cvqohL0O`+$0Kfr!kO^$k=D4R)YbQIbCx{Xi%jy_4=VR1Ujdu3;{ z2@0)RyZl!i6c}BH>y4fsrGke_WHu4QAQw7vSAlM4u!!@}rY73h0AqX1JGi)`ipCTC zN*>qiH&BLA*?^ny&q3Ds>88Z+0J}Z&JwBU?@BlNEzDLa_iKLHcQ#b{4$tu{tWR-i~ zPWoRV&SLiIbDkUB%nhb-Yh(4P9H9ey`N2B+<)w}ERXQQF9}48)5>t4@--CufK7sRA zUbBmvDCOgTlv&-IRgCShZleNRF>bnpw{3J~WE#XhZ?BX0gnlgNPu{^!mfXo!(t#n1 zWodu&>2x9W=?7WRr!tf#__af!X-eP_aOuszog0~3|2YnfIwr8aIs9yo=A`c{RcGPm z#2-R`<+k8yP_|z4!kjmU&h2Zv{9mdL5(^grRS>g`poElc*V_m6g{BSbd-mw5sf#aT zkQ#p)ian^gB~nqR)f}?_Yjye{`}JOt7%3Pt{6+mlC6U=D*9Fu%dO_W~)R5jJR99JD z$!LE420Q4ye9_o*&g;E}mG%7amfgDGufOs8^{EnFHW+%u*C+ zaU$NT^MB`G?&q%jr?%}Xq;j}Q6vySye}6t`=1Sg#MA(p3d(pIFh5bHbn%!yTOux~i zmd7_+jspTly}?sGK9Aje)esZiN0Iv-+7>{8WIO_xWgZ$9=;plmB^RrGcf*va) zRb_Ho#!?Z~4NcsYqQXRGgg_i!bD?87@*#{Bq-;C!+>1P64zUz19HuBs5ZMA(1cS32 zjmWP=pByCc_xB1g_1gW`wL(LL+u3SEWt#MdvmjWkgE<$S7VgU_>2=SZ#cV&FTgZu) zc*zsp(9$1xM2jB4UbJyYRey}(jlXQ~KYKY}I)KF+nQtY61oM3&&i}EZhLeB(o(Hh&H|a84JUAi9QisjwVZmt+hVe1v+ti4hRkm=u?g|-t z0oR(gA5|)bPp;P-fA2z^BHFU}CbnGIAeSHE^E#4kT&}|yMu^$ha1cXDa9;aVU*hMY zYrNccof>`MJF^+sOu3M8y~E7x`@}bgI|1~w!vlKy5pQfuSJ{;#gl+#gHJyxa=9Mfz z=a{tFr%J~8{4#PlO^y=A{QXQZzm;CF_nN)3SmD&F)eJp}S_^d&_Ma~Hs)#*u<$8rO z3x+%cqY|7--eT%|*_9a>z`6cvB)V4^G(Tco!z$>i{b_LmZ#3s!!}`?Qmw@2*vp%=P#e#Mh~s>{VEyQ z-UIx*+!m+gN&kC(uYHn(TZ->8yJ!sT_j@&9?;iK)myF%Zu;Ga^8Q(wIu;GJ%y}pZ+ zi^XL?`ry7lDGq+B3*uZTs1E1w9P^UHZ7qc5o%HWA`y3y4sogYqF}Il) zx?OOIQM*36ytnjcPn}s5)-AcYLb5F%E69#uvVe%aAp9NxqD~wBwTLOH0R*a^DA*_( zv1-4FP*d?8bJ7(agXS$UZOFsbtbbYfdA0LWfoaU9m zLbUT8Ocos(T?bJRD}xHQhRf~?!84&=1C4u`m{f!(L0ZJ^Na>=*!0c10*8PaZycWqm z@Yl-$)=g+{or`dUIDU;IuDtKYswJnB!^T{4Ynha{xDz}e2SjKc@@tp#OQ#gPY3L7X zjP+advOzPEp3XmNdMR=zWqh;=91X%^n$+oZF4Of;zvtoh_M`t~epYyg^r}>yXL`}= zQ@6|?|BseI@IO0ux$roR>_3B({##VFx_(NZB1$KUnMih~dY3+NRz^T}1f|(jr(@`B z1?DZ(>>BB?_T@*X}{~N^~IGCj8Os1fnq(+XR z73JqKf3g0teez);*hS9>yQN4gi@HxZ}P zWAA?lY9k4!)Ii|HVT8K0bKSVq3k}is@tT3X<9cin(@OMEKFYCjj%R#aWT)N)&H-V58s%o*Pq_ud5DX#`o^r z0URXyDYATXsF|2wc&9(E{-& zpOfie>2U8JMb=GTvg9B2m(8hFeIBoD>(#ibo8pbxIMPa(%Hay<{g<2$gmjBKv7DVR zuFBC-6FBdwm&1#&4_9$ItuF_DlE0?go0o7i9hE{Tt&zCy8~4kCPVy3mr$LAh7DD#l zHL37|%m;{tGT%6be*_Q#36(>2j^yd*~7O4I00NfN;1kAJE?u2&djgfFcKHP(8 zy>EgEfy<*pCd$a#5?+v1Cs6F4|k~Xdc5SU8X(MsJte6d zA0hdYPtOaJb9PB!FIYXBH{wv!La9-^fN2_|0-{b_%pc|)$`ObRUKm#}K*4S#rRyV{ z3sfYf`gvPH0tJ%}Z^2s{p9H}d-^WM9d zwZ8}-cDrsAMn#*71Id}gPh8^TD@PZ-7r7`{)cctu=b2YzNBhJY?m8XmVt2g^@i51A zR7__rh!fi4=e{U6#^Bt;M_$ekQ}!99u9%#WU;%SloJu$L5*#R1Imk zs}gYDOC?pMaNa8>*pu;8J6hZD_`_cKIq}e2@{Jh6XaUa`c2NGNNMdr~PlUcN&Kfj6 z;R{Ht0VdM?KO^oA2m)t6xJJ*i6%(5g!F&O z@~63`aam!vGTHe(IX$K!8zSK+N=q=Hq~Tf^_V-l}sZ?E=s9=|y)YmqE;v1;O$)FcK zw;mqwyU!wU&%@XMOtu|(J~o&aCd*{(#Z;n2P!z}vQq5^G`xGqoXGHp)Sa&Vo$J=aj ze#Nl8TsJRa`|h3x!GUYcAp~}v{pA#qn?{@JnshI}6!H4xosCCCo=k1!n#sdzA0gTU zk}t?x3n9V1}dH-MD@%rR285s+&;O{=Poka4le^vyIck zd@XolE*bl9i#lA;)LgSeowU~F z9~UYz-;>>DiWFd)jVz|2EWWeR`>R~*+v-G6(vZ!brWn&hW?oBN~-cHMB+mG3vq9m-U_(Jtp1br`4XheJRQ{U(d7|HE)ZU=+zk?Il+B?VA6q$@GBY@K zAB$*~?_SHZh;U;w3x^-Ib-L4uzd9>m@5Vrq2aDH;VwdR!9O5tECYyb=d=w}` zj?uq0^z%**Q@)lC&A>cuZYHvbX8m-_sht%(?s}fU^=o(Rgu*@Bzic|aOgE*hDaCTJqIPe0_ll%m-6gxzhSg06=LGr zdD1Yso1L!~Lj5x?jBZ5;&B&l?ZYvg2GOTV(c1E*4d2-lkEyl%`P5k>Kggqt=chRdz zIfKBM7FGF~gj#vMP9ve4q}f5^XjGwYCt+1tyG}?$>8?c|o+I!*%*6ehHQ7I!-jqZd z?>I{;&)FL6nffz+x77}p(#IH<4-{;(f!dZFL|jiRh?RbZ5p2(<)DZ*Du;D`{cRccf z7=N3X%jP0A8X|63bKh#g9d)PX?j8A6JH`o{DxO?|e2)NXGcCuP<;Z&-t`StErg&L( z_9-yoDr;BQWvSc=cc&^AjI&F9i{S}vjn*P*vs~V6eaW!N5t7B#pFaS7HfdU<_@7F# zTgE9a)3{p%mI#oNZxO+6XMk_Zhbn;7aHB}=oV+PHVRpRGAfnEko!Pst{IdsbKi4_i z>M}dq1&-%CGqti5Y&y;aL!ch@S9j_m_d!GH(14JupnKe_V*r@PQtsj10d5yTgeW#x z_yfy?BI~}~+K@!hh6kW0_P1mqC}x)3Ac`#%Ae_h}nxYrd$$t?PY6Jn`+Wstp=AG|t zRhDL-WZ;%=v|vThxozw9?>wgI?cr!K&hB(g#NOey?~;PduoeVdlE}Iwpg% ztG~)pA$)L=QkthfUBX&kw-?Cj{*`di+y7(T&-K?=duxK=gR~us8Ldz5Q(9bcC&Ekt zre2xut;lz4KP15{A;=*81DOv;bWD2vys{Uz823@X+nCX>JCDrLuDsXW#j&_S&ntm> z1KS5-o3~Gb{@IaXa1j{Oo|8l>sFA90N#n@KOl=rawp&aADIq#H7NZ!$8mVqe97Z9m z%{6vhFVe9x&lUh8ZJ!w2mPs#0X}Oyj_iX+enMu&fGWXV3HuIUgXghLFFK%2}-ruD2 z;S6#&x)dy9@-cu>$J92<969J5E_B2K5PKqJ<5V(qXAB|eXf1&V{ z?iAHSLDkx?z}?IhY?M-|mdtj5-GpIGa!q@LKAMnBADN>OYNaj(Lh`BCk+UmP3sYLt z15#Mkdk)Ftg^V~kn61ip86zWQz{e^?@VxiLVm{H|!Xci3x_Q8~HN zBX#FQCmhP0ij%}tf8eKlgFR>r``P0A6Ci!g;0Xi4pTkx1yh%8{DTCtk65X{J964Ts zK|-f$T1Vcg*gEKB_XDG$j+WM?3GGQWyLO#Q8hV;-nj4`5ym_8sA>Yt>7No?TqC2_h zUE~P+fC;{WeWY02D7=kXh2oy z@p7wK>fRm{&w^!J5_90GoG_HqVPnpI|DSon{MS2mP51Q8x45BU-zdW68PbZo@r86o zG?=Fzg#C5HX8--_s-41)$SMJ|H-Whf13hz1U0{SWkLo~ zC_0)_=42KQrIHIFg+wVA`8f{LV}!lqx!xF8Q1mN}vO(_)C0;2y-A^*hH2St)1}|?5 z7|c*8Izy`0WNN*e$dkZ@tg$*Xe@+bci(2FUI&FC{qA@kb4Lh}$C(C83e1=XZFC6a- zLI{(mSsVcpx*_(H^T%^zO;+djVeR2H3Z`Bv%-Q$ly&xUu3-=1i64x2YqD*ne%CG8i z;@AGuC7mG|85zi(NKxd$q?x91o%$;phmt=hw-M(C{q2%}JisB9g3Q+xQ`QKKcl zy%6bOnw*OykJG5@5yNFJIXOc`fB&Gh^sPxh#gq(G` zCX9$|N3g%uyXq^~#G}F7J29papJ{wIOYJGeyt^bn8MifC>x4~A4%E=CUXf@^10-HRx>X|)A*e038Y5Zw1n zc~N>p*Anox*soYdJ?v38@ApBsUta9)$e&)+%Ae^+OwAK*wxU;>VBD^?QM2b3c%tcE zSr37ko`+xjUY-uV%w}c(@Qt4xmL(B!V=X<@pK?tc9?KoIf3W2hOy~h@S#q?sp(f(d{&j2krK^Zy z?{x(GF908iW&zkXpIZwE8D%AO(VkD5(6J=cuF_a%Gb~6?$Cc(3 z0$SOYm<`KnANAuTD`%4tCiac}U}&K;jA9uSdk z9xD-y)*AJg+N{rXojI+lC7UzskA-3`X zcLxniJbz?qpNTy9OkDl6A7sdo5?iAJXh~&YNjcpNiy7Ka9a#?R@0yGEIv2Mb^rw4? z8o7aryA45>>m0!3-}&W)eaw{r-r-G63yv!eqMK7W*s!8^he2{qF|J-Suh;3TFju?M zW4Qum?AUJV%EZ@FFw8|9#IzzdW&8bNAn#PK$zdAL4ccOm0L1(XuZYoeQZzrWD7gzX zT`3E2dF!w9VSYqqInnjS<&@wi;Tik$tGkCe9d)9}{;ZQs@daCd{%|bTciG8>`Yakc zw)EGwYG`lhVXMT*1a*ipE56+t^xGCTEU>1 z99(|cWkGLzYNk=MeVj7F_JsxjH;WXbg6;J1_w8SxF34TAoAvqkaju8tf@THsl~lb$ z9oAL7!RdZGA52u+u7-OcV}C7MnjAiN;;SaEpC~jn?a}-u@93HDM!9yb^{qoDel*xM zjpP#!@>Tocu2 z-v-LN`0&0q+^~V2E21_^Q`U(T9}03|f~`~`ar>dv0k^j>>xOrV_*?fe4X_XGC5Vsj5eZpt(hC$T!A(J(kU<)i-Du)O*1ZcTQ zj`(G}ql`tKeB4hmsv&y)_x&LMZ~GL;mwS_!G<~1wc+7fzHLsjy%-&w$6^C(G%@`2J zun<4wV_7v;tHo)fy?jO<%8rwU^XT#o`h2}1gh-MX_Bk$$h-t@r_*^?WE2WdyJ@8MI z$PZ8Y0jC-1?5}jCo%Ov*8B)%LtZFoE>>(1zO3c1$XC|1{@hCAaVo(_gWt1SE0B+GI z7};U|j^=7hua)hh_Vmis^h0x{>_4=zszPlXzoqkR?gy4m>w~jf@7Ujp&Bu=4(FZOn zjv0u7+KhnEC=-u9Ex7jC#%1c4YXTP>UEg)TJKMjDHD-vuN+}u3#yK%sYxNW=k?Pf^PAsofETH-O&HMRz8^vXBu zoH2AY7BJzStp!$KOLDKPc9;#)M`V%j=e9?G$XdLZUzB=rX5ly18C-#KaF)NSZS%-h zSQ7C2z}blz5MM)?ikJmj*&bP6a7E|@M7f9DXz3q_jXij0aPM4l9C#*SMt^sF&OLjw zasmDHIE?aBZSwnD*%H^s7W`7HY~f%@rWIcp`FSx})X83zkm!f+BxExhdniLcwH+;j zfO3fZ5li>|$~|pR-X-4CKNt6pb_yqAvWu0%Ls-GJka)tA58dxcpnlg3w@jDh>@Kig zg!Sb^;`fmbmMOMiY6T)F+vonH>>i4=vOK!LF3JbCO{YNDs>9yEpdA|T2dII92g!XVc5WQ<()i8_PNhelt&V0&t4yn_0Pwjhzb@Z!5!f2tzp)c~J z$zg1CiR7J9Cp0-uEoo}lUTd^FxnpFhHMd;~^@>0*uQ_2|%40y}urW?+KMNP`H9_FB z14yRS$Hu*1CDoYK4{unRr2KmxpuAMMC7;5_RNg*6ppJxvE^9K_%El2Paw1>NGaW`y z$;BMn=_JYPyN-VP@kCRBr*F*JR-sYF=TL_0hofTelSYsqMZLT;Yl_yY_BQ#zh0XRB z^Lc+{(_OZO6sc3rPyIWC>=E>~m`w7)mUe+&(L= z$P*lnsJ?neJG{ddIw(8Z{-e61xBqf|J02SepRL1g-wV-Pk96~p4lq->LB*Zfg{{*z zEclKEue-IwDqKOnYZLmc@kWl#T&O|Re!P$9?~SWffubfMz3|_nL1fT@GFH5$VFxk&i zh(faF5Kx!5eU7zFf-dHCf42NI;QG6=ySdkwy(UYle>|uxnB1R#G^7sw?7rpxL0w0Q zHpCo;QhEHW{s&Ax(AM{!vNcIiEwb=D>;;hsDo%maV<;{azhYWB)ry=oc>6%PmN{I# zPT>j6!DEW~C%F!(M^voFGYf?L7cR7aDg?E^98B9ap%tT!f5RI}%h*}{=LgKRjrxWq zZsG;SqCH00l3O`un0XUl^xpJ~!gjzW4Qh|>GUC;+5Lza1vMmn(OKQrn$UHHU6*rTh z^q<9OS0%}4P1XoX#p|gMY6+^=rEVvIN}JnVY^1XIwTQo8^aW#hRy!0=$}NRVA!pxt z`fzZzs(050sWCXS&3Y82Zp$7ixO*m2ni{u>f9(#rPLeavI?MeXGc+N+?VHHIrG&Gc zk)3ob324qa+4jZ#St;@%2Ki%djCp?3uBu|p5iTbEwk7!Ccoc|CP#n!L`@CPCA*0Nd z%dQeTykJi6?67ELyHUs?`Ol9R>z=^hnIGTTEW~i%LVjlzaeLvFA@paXo6MZcT6G|6 z-_CDuGRF5P_x|PG+Q`KF(IIRAUXh~ScBIgIYZOZZ$`IYH$*Qcv-s1iT_P+TQeS;0N zn{NIRz1k~|;j|<>V7s(mYSM@BloH4AoM`DLN_Os8qQ?d~k;J1gaSpKQ(}D3k@__nh z7W_$iExO0jW|Ve$>1m!kgS)HtrhtGm%J?q5ZPFIEBnO^3g2?L^Pall3JpvNCfx#07 zyX?C$dW2zp-^h|07uGJ-?)sE1X#jImG;2Q{R6$9B8MYZgq=Puzc+-Y{gbQ9EMxNE2 zNI#7g+4pr3Y7&5XQ-LnWs=*^rnN`>UxcsEt>U+HNhss7qS_vL=b+XqP@+9a94>ip) ztBtSD*Y>A4@k{5L`g66~W)iNeAILxwWcg_um3PUES^TB39+?kEBk^&FAlK$iv9fEO zRy2Gj4Oxs?)sz`!rW3p6tT5+kQ!)@B7gp)yn?<%q!2R}5&n(k`PM)T|%5tTvN_svE z!k~r$#%rueW*(+TW*%;qH2PjQ;fkny4awp6Ay~6&P*5*@a&0bOTR`I1Lo+K2rInBi zraZ#T=ViSnYJY$E+BNO2S{#)e8^qZeT()OApD9yF{JPI$>@XV{Wi*>Ys*4;nQ#)IV zF9?{MHjQS!J8C;A^Qzf!7IR6dXukNiV2T>Zp2xK zi3!=*3KZz@HZVJ;;$ZA(>5OOrxYIu&8}`!f~%Pud-JqjU-sb2^lZlFJTfdMa_va>N$gZ4_uMr$UVZ_QI*<-l%vGeGSa z4o6-Y)^Cu_ArTS>F$XF8hODGVK-KF%zdSJBenk}*nkk0wJwC`cp-VD-hqdn2fWAdZH>wVA+wfWu} zSOmgxlgRIssg>j&kF}mQ2caFv)A9G5JMsi}agFf_6q93IhQ(ob%av|&nQcAi_9E#G zHD$d3wamB8nZ^-f7R*^W@7twA<~ktsSgC|5S-GKpjA)kRcOblUIN6vh=Jk(CAQN%Z zkBW;0pTAahnYqmt6Soni>H;Pe$`g#vZzp&2F~cG~jujS-ADy%Ls{{B9-*y6X|Azjt z4tVa?E`9sA@I*?&dH$bkXBU5cYF80tV=vrIb){qc)==q|cjvaCuu6^ooagSH0k`*O z5ibmFnqCG*H3yhCEHL=-uAKH#(I$=**t$Nv9FwEAZV*w?XdYCQa~x6hm4#Q=Y@mGJ zz$`t67sI<5(c2r&TpU0gV=_u$_cVIVBN@H2C5i+;JEZT<&U?$!|0_ufgRomSLE_p9O9rKf09qey)GW>~Ms~9Iky6 zz(X5vq(}!ehSsAw^O{?8p*M0#jK5zO5y~Iy#wgGIwe@vry7^rs^YQGll7Y7>A;7c) zy8FlC?%SEY(>v#2i~r{0 zM_B)Nk6hDbp?WTkHQdqV@7LhH;%wv~z~fio=|3+iiu@00eb3Q1W6y8q0p%Z>%NWUW z4tz%pX8nKJ?+EGF`x-q7p9qgQ_Zzb~4A_Drx^dJ<*F}YyyOANq`j_~C81qkl7Nxho zPPwn~WuN#n%(i;o^%GOyJ|(iIV%kAwiAI@GuO-K9c@Dq0>R0!7IXA)QRydSh3?XGr zjv8rxU}Zs;Ydl@hLQEAF7fI}H(0BaDEos+(v8mRf4d+$AS|q-|rmvuT$)CY5Nn{w( z&PSayYg0-9enm;@f4^S!p;DicEc|vLYdIQ53gO*P@ey+&l?gebl|Ao%t}PBcv<=;Y z8=6LPU(DM3zD2%Vo>-^4HD4?7^?{D0gpo0Z_2E9g74a8oW{tgb2#cObiu~*NOb9n= zcWjZe)hnTgCdVZ#pFJoaAHX7Rd9d9h>ALb)3-JiNJA~vVYV&g8fJpx#%gxxCI$E|0 z8~YXn3k*oJ1Z_Bfvd&!wf6 zsuV`ud$$GxckLlV6}eXXqH?FDv%C*@;2P4P*OKC`y+^OC6%oE0Z{Bpb0bWei^y3uT zwiivPMt^!}tfIpm_!TuASS$GwLE0!LDP@_plP7qlh;115P8h@b3|I2}=OMb)^{8APS>HPK2qpSE|v1WPT zk|=J5pj$-tyHje;$upvROp;`bDD2b@bM9dN_EaN}6bHQ-G_Jr3lwoOd5bCJ>H;@R< z2CjNM`HhAUb;~0I5wE1r zZn{bKq@k;FrVaEXyt((myWjL}Z%(?>^UqQg3*M#37gBq4Z13{hhnXe*p5|`Myeop_ zNrrY=6xnzR=F9b5ea(Vi#jxslc3As~t|KpEQXYV@WV9!|jGNMiW-OB3F?2l6cJvSp z@VhT@tcJV?JJhi!TczfI@pZbZW%C5eZ!H9?7v5B7G>4acDEX+FEz*_n*#)NWP@hLRxGO9LNP-V%A&R53_7uNMkS(vXo)>&I@UW^lA8FRyKc-7KsCIaC zP{(aC4bqV-{R)I=ih|=G6C>Vc>D+BgpCh~~Ru&-K;@7SF+d?sY zIaJG{k?YIj3C+R0vblkdQpBlE_&D{ z{7O<+RTAmbf`33gNVi%UQ2T0#i~RjoKJFz1-4=6aiRob@sQYs26V*B_W6NIOZ~M?i zYVfZ5(*OqX>)rMM-P$l<1S=r9AmT(2hxYhABM)qk=Ho=|e zFk9GY)DtGI&#pEUk!Ov$fDYof&r(0eP%IH~_IwiI?(U!XF+Ha2uG9Iq=lxDaO{Z~9 zw@ZCn1X<_$t)JQSbvz8q#`IJu4HSAvic55$MZRE}7oB2aaCG9UKU1cZ;Bqlu3Mqe| z^7Z*j%V*6lckdl#xHLsif=X)^>1B04eq^Ztv|^YaE}wVR$7d7x6)vP?1s6eB*fQFs z;Ubg+DLUrPWfaWh2@%YBY4-x~Fj&cW0qCRq3AamVc=Kk)HX9HNte@5kQ_LB~!ql zr8ZvfA|rnFK2o#$y2QC@zsvwTnH{=#_wVYRi%GO(UW=-Paai??&=Xy%ilSjLor-UJ zp#zn7&j!}Tc`DER02OzVVsq{z#7(*NdKaWR#dPNSdvUDqzR*>%R(j;}+pVcU*=a&= zQ_E_Wfu6sn-hDh(EKz=Oa58i+VLOL4J^g0pu#E1jOKO>(naBso%HBh$+`X0L$U0`iz@7`nX;C^x)*gwts9YdwjtlXVI8(98&x-{hUG$^}{^; zUr?rSPO*95D^B$CgSK5FPf@~Qm*t0Kc`Pn2XQrQ6xE;u@kI&^CEzcVN@Cp5Tv)1=~ zwll;07!{9A2e~|TkvplAQ1m8ciKk!-eSAl7V%ePM!;UGo?`CZy(jh-4 zR*pT0Y_biIXDt`gDP{ZghE+irVTcxk-G+nHmSWs zwqVLa=EEs*nT>`+pS~1~KpF?q_EK}>YWKx%C=(ZD)e3j0Nvf(j_=N&nsiR_49!IFd zR7%<{lQYT0u>B2r(3h@w_fH*(`!mB7woi~$KVOCCvB9TNVL$5K<3nPrzmN{nIm7y* zs+n~aA5*tUoD*PZJIR7J7vu?C2e};MXeC+cNp2v6X|2(THtPkf&se{+!+d+&#`!jR zEujoF?yuAqN`$60(gLOqw+ow_-cH6$DB`iDO;kF3bGR=32O0?UF5<$x>8{f*G@t)I zuD1M%l~Pi-eWfqR@E87ChF|XmA=hgk+lhi9Xt1e%uz_wX?v}4coGhL+!#w2b@yVy` z;?Of$A4a25Wuo0O1C8UG)(b3g_I;zFZsw7(L9sJHF-p?XrEhx^Wx#Ytge{waYGhY< zfqw&JBFeJ#0)F*>)pM2!tS(>hD6i+zd)+l+od0>mXf`0BIF_4y2fyR)<{%igm91a$ zhT&p&S`{SeK`y;!A@=|2?5}X_yag2TTbtU=(x~!)(h2lxHy9-3G-yD@JY_kT{bY%o z4)Aa3a2%lUQX=w8divW&k@>b+_}lz9r$bXI??wb1LsE{lCS$Cg^z(tzk2h6F=}N{6 zC<{N>yt%$;wopBE@Q7d&?$1TU{JXNZ%+XNh@Z|XcPBKbla^3Zz&V!`Xj|_@NF-UHONk8+oCOBYiG_i2Tbw!6~f{N2fT8AB=|^mo8d(BM*YDHAi2GwR>eJ0P7QU<0%p z3$0Ve%2_$_(7C(~TVp?6>FnhGGpR6Ycy4leIGQ!irj5x1ugon9X|C-jG(W0lqzQ$IDYo4OI#mazNt zy`jnd@#8bw_q4YiJbJWtwWw^~*9K-e5Mgk~ZC-WXT3wE26nII-PcADEl#uOJ&*bv5AT;`qP!;sKi60HF=HkX7nePd`F) z`lSx=)-;Q~lv7+4yq%@h4S?r8U(zU5*`-9>Wp-E+-^2KsS7C-BZ`}e!gT(7lpLOZk ztH*R|e{98-+SHmh`RHQ<<(orGe;9n(Q8kqj#bOArdSh{_x6!9G{Y)JUJM`BaliWqw zDdc!}ax5;afKGxXfxC?>?vA^;|3u6wY=*!6ON?2)wq53~rm&&ld?`Q`nlSy>EvrHL zB{=IPe4CtbYc~pqgLHmay4mPeEqSa5fFwJ@`3jnwKU9V}Ar0KARG!~{4kF7LO(q?r zXOedl{;?=L_#wH&rb{et7&0+d$^MWfUPgh6>Cd+C`8>lrr-V*+`VeBq5?ApwFiIf=yWpg9_#1A%7A5Lh^1? z@E~jxzWAz(VZ>wGYDx4 zhKxa33{h8c?X&xgq3y49y4GH{3U;f|nJXEYbStN1XI{#`zVWT*_ePi8g5S5gvzso( zLfz-}GAmuX#&UBe9ATq3XVqq1&`QNn=;;;sfe?+8Mq_tj{d`k;N21dQ3UHzqzrxc8 z+C`8t1?c^q8W#AQ|QCy-gpjzq|qc#!` ziI4oqqo|sq1dfEt42=sbBA?VMw-RBvjq|ib=<&^W4^Yd;dO<_=f2dK-r8>r{_^Ia@{-W~^m*1unI)dO0e zCyglOi$gtQ6eht^w^ScKPY`K@xm)`7y6pNb*74Usb-c8L3i9ytUt6*FDa6M-0|I?n zeN}yd?)81&sXM2Z65@$^GdBCH4-~yz|9EAsD0s?k!356=$51O}`4kR14l4uF)Nwbd z3cljx%>~Q~lqsEJbo9<)(M4R^KNj&#bMD#yKqB%5VLmHVXoTjI(tZNUIK>VX==0*0FPfA?R{zgoO}$<5Z7)67yR~i zO4`F3|Bv~bNav+>yIdL}8#RT)NhBT0iS@VA<)EiZ65-22F`o(&qUwL*@oV3LB5g!_ zMe2ydG6C-$xi1~uc|Z9_>lA9YbKtQPBYY*n1w?VmpL-*b#wby&j z?hDptU*Vl^A-X%ZNY|T0C2G2!7&A~S^CbH=EBxhKQrsfdY|=R&R=J(S45P({Qh3U(V9i^9AMt%;>ORo(?97H_vt2C=#DhF zVaMsBwhlM1z@{yK9(7Gh6Y7OEWfE@5jL&3C7<5+qG<{qnTh1mp=&(L1@fDf%t5th_7!59 zN=_`@=oNJjvW4b4E=(jWC)oUq?-JH6!d3bWxt#WXTrAhRXU=gHvT9@?bCIl`9lTJF zyMX?bSef&=fC#3ZHI%JL_)R^oy59%5u>jv4Y)|_6RjoJbR!F)(ya`|CuVRrB-7Te> zPdTS0fWuaHP{?k)1v)}lsZgZdd>l=wlV8O4$BT>7uo#g7MeZe^a0`cv$_CN04J3{^ zA}wIUx{AdH?u4us%K<5zlr{ltxRA_MlNONoN4;Zzx7+6!B_f*UpXtrl)P=`Zrz)h( zHm50kv%`q4ZquIA+Vmg(McpavI$QDQzIG9sf^{wrtr<` z8iQccC%Iwn;*8BTW>sYE^LrI)7-Z-b9Ym&~-{+8d$Ph0a4`QbSSyD`LK#V{MvZ$0k zA4a8E%lav=BIpBczgx0$es{nr;8!z%U*YPgkoQ)kr4SrZ{p?X-jeB63&aq3KgD~EF zBAS85QT9BfQt7Yd?9YKKpm_q0R<%n~Ydz|@_sR92Dv`wn?>2-U^0GmQ3$J=J5Y{<& zW)^Z*h+k1v6!|nu)LO$_%M*9}mp1OLDuOjDor2?39OO?pVN?oQQ$%*D_t#Y~FfT$? z!fEIqY2!U0ZnLVHP z3l|gdy~~X*F~4g}3&_|9AIRYZjkYs~Eu>W;IKtx$-w5YLp`%!P|8s*+*UMXYMv`VX z+;}&bP&z*4jI4+$i%!4D9%Aih_3hlm)Fng`B(=vQUAmhDbo7&CWdx-jeE8%e3W z6wT4G74Z#TC(_xb33o(Rf@I79T@2+6s^7jk$|6^7G$%-(+L;TXjSJ<(bQrAgO_GIn4eZf+0N63{bpTc zaBioh5wwH>R!1md?9t(K{6pX-ctU5tWoACshuj%C{K*(KN9lHF{~7p31oi1K(tne; zkzF>eppoKxyelU~Rz#65H7?w^ zt8WwG%bl{Y80dCr=K@*B7jK4mUhGS%T@VR+dsjfl=Zx#En|^L0S&~l#}qw4b4^)FpF(Gt#L;Z4pIMkU%|r7oc- zRK_a~slX+<4;uG+$#;ce<9mBRLL`GRXJKqVy9>~km97RbM`QO<2Di!C9G7f58LH{Y7i=Ld<#GGz6z9hI!Gsgn< zNov>c#Iuay;_trQE#LieUGKR|dE0WWUE?RmzB`siBe%@G6@jT{&t<5ITk`RbXzRNvDv-BDvfcy#$n(UH1 z%eY{H&>Q-i&C@PEVJx35RF!2q_pAWng*9SHEWeYP+Ltrg9V@E`iijrO1BtAPq&O_WrQVox4Ph|pp0;bNVt z;(h3R^`+sP!zL^P{?-4>fQO1=&Q^rn)2TThZ_&c>9`SKg*P-aKD`8H>c+qBH;bPHG zufm#cGA^K%>$a~dFeBIdOSJu?r;nIGtFC?4;tr}`^{yWl`VQg%D^yFJ9}l=nG)O=A z9y#)>Nc0i!Bm*gg$86==<BMVIsWz z4yWE~-<-)vrK#P?-hS657nFHYKbCP4KUTH+q<3d4Qhp3lgoP)2#j&9y zh-`tA&cPtr)yQ{ZqIoa#iaJv`sC`*buB6_K=-@IgZ>D&ufmdUYbToa<5l8W;9=ItX zm%TY$&a_l@sMWl`)y5oMPm)|_I^^Ly)S0qBlOXsmnRG9cyLsCG)5Z6LX1ejA&^YW$T2cFa~jgDgq+Tdvpeom8zqiGW9-aAc~*9t`Muoz8ZOfR%iAmV3k z1mP|K5vBO33%bj9lNo;B;AciS`5y5x?0AT$J4x4k%*W|&EJGkb!LC!h#(Q(r<%kTi znetDLE|+|l=9Yuira!0H1C1VWh7(HN95t`9VaBO+xS?SomDT7=G`|}Pe_3#(YBig{ zhd)B_)|RCmZ6zJqb$X64g+Y0{(xDLj3T_Kvk>Wz}sDB8pT`>Ha+1&1PeO3cipDr*Q z_}7!MH*!dwoI9lM`{%k8B_L2xM0CTn!9T#$8FlmX;utA>1sJUIOef6yRP=yQBw9>5 zstOBfch~zGNxc7Tc=Evyqj2B|`qfX+rK>kC%duo)3rsxxA!}c1%TW(78^WEKAw_7_ zn6N#RI_9*2#ehZs`|G9Mq&4Z$fm#P%wOJ;fTt%>ATjt);6Z(l0^jTiPRL_OlN_>6=7}b_eqSR_vOSzeCj&DdM8QHIP%FkhySc((+k#VL)Wafd{ffm#8*o& zWXQJRp_|RHR$|>aACNRv(X|?G`{!GrgE078Tn-nQDL)*8>nnA44+(o?ugCOf5JXjE(9H}{;$D^%Ja0)b8 z4NNkSS9Ipw_PS!n1|gY3)HULxughR&5K z5W}gg+d$NJ_SfoLlj*Oo6Fu1)O*_Uj`znzzZ6h9RvlYwpLq=X=gk zJU{964h#q3kohMA3z!$1zV%)&{6xd!>_BAR@PgUxPj;W{B3Pf%zT`=zpM;ol4c%9PDgo#GsySL$Wn)T#NF$D6cLLKD;Uje zrjtXGPZ4ymU7p$6w?F1_H0DJMW~ zvXwB;_C%ps?%$?V$n4?c1FvgJx#%P=x@&rwM+K~&&z?{6B3{lP41?pSS-sKZ>wO-s z{5M*hc-R(}I(tQD5oO0C430c*7@H>k4MFgOn@_Vuedt|Q*YSds7m`%dped6c)`f4O4&!iJl$Auwv(hO_Y ziT^*C9dT(jH2hYpR0lj?(|xzE0QU^<`3}`yArO}GFWvj9YdL zO=A8p4gLXGsxelc#`Wu@Gz*4oNMZJMts0dlZvKjNsor7CqVF3bYl_b?R<%)u=azil zMD1xrxm>FbUXgh=2-zY1{XZKNm>~ppkFf0sr3N5Ge>V!XK1K&)2bWTrWMGm6KZR=; zH`!#8P`;3~^>|dOg%o8%d*%DKfu;x9WRGPp;wEDjCq30|yMLW@bhTA&xoNY$pgZ?} z?>b7*oNr@&-BnqE}WWbz|!d!eWv z!J#DH=0FRYdQ!JjuNYVL4fJ~vz=1>1{_OGv(k+_lp*Wi&rvIBaJtgY?-ylBHHZ$G*W-3EbWfyaw`b$i}0CjU3?8h(>S<3z5xn_IJYCE%X8 z&Rq2FZA}>3IKNwLfjFAuUY?NdJ308mdal~o1Z3bbGZK;acWO@c;$^l59(vqn^aYdz zkCzyY;|Q%H+#{P|G_^r!GMdd6MjGq5)Uc);HmVz|1~AQhmmc#C{>K#4DkE>#rLAM; zNz1hYr8#UKIrk0Tc%HkS_j9&Xd#~~Cf06ax(QL;5-#?{PZK_7hs!^2MTd07A6PwWeGj~2N_^i5|>LpuLe9&Tv?_~Y(@Xp3;w9+14A^7izXc# zcFtDw74F*Dm`^yi8>;2*-|vsA19#4jplKH|w zrqmgiluvw9@h|>~xQu|j4EuC7x<;iD`**~O6>PN9b$%;dwGAG%!JfO5>3$G zemXS1m~`E1IfwEmR$~W+jl}(a1lQb+orq4X+_JUJ6m|;K-_5rh7j9QP!Z=;|XRU;A zA6!%h1@qnU%A09s%PmzeV@9{|gRT#U%w2{|{!GG=W4lld{HxF@ly7K!B^1OWRW|A9 z#ONe*CuvbH@;UWR(k5F>!>k;)#=Cg=KU8j*{Y#*mQO6BKy@;qE8Go=1X?m?jVcH*X zh9Y`-ba5xJy2|U6NQ#jxpZ7;_9%zONm?cqO+ydGU*Xt-cZG~Z6_MRR>YTx~IKD9c% zz#OIz(*v0imSoNg1(P+Ynb)#Xv~RX*^__$i9+URPHVo+|jm8p&eMd2@_-tgiU85); z@MZBu(4vmmClMii$%!ThxZPHWaeNaAD6t!BX`T%GS8Kn=8VWASw0D>K6cpX0hA8Ek zwWYg_4H>`H7Sn>YLJOO@Gz&Ndeb_n>baMc8-xm=27K)D+p;mhSoMlZ#y3(*(-|bMe z^+28S7Y>ywJyH)8$7D2=xT9g6ux^V z0!U^eVemS&QfW2RaOaI&&9?WSo;xO7;RCFuY*QXDq?5Zrl`R|vQRu7MvT*KfXKqZg zoeR2PTBNnS4*H^R7wP@Qb&fZVz_X8Y=G7UVM`SP?w}`{PNP-#O1HER+?vK@Sk-_L9 zD;ohvQ8Ias^l>oZ)gkqQJrquU5q+0WzLZNiL~s4*4yl1D~3FE`N zkx{H)@Ohx}=!=CqjG<&@%wM7Mi3HIct8X}@voY!9i-`YG^tOoo(DREw0xCv%kIf9+ z9LqN@zFe^c2_*I-=|U6C6AlOpz7!7Hpx!aq{K+u1A^0)7#I$<1nX6Mj@G4kluwznC z1}7WGHB`Bi7eS9A8x2@J)yjVILq|FOp^Q^=+iZ<`{jIssQOcmy<@u-NpaJxUTG*W=<7`60s-(0fSlwlhz&}OvxB`WpHIA-hu4e+( zo;PLYB!+3v|FQqOuGpxDvJb$^IicJ9ldfV3A{GTAe(`8^N}NlWgeTz-)8EkL&h; zR)u2fMt_7SY4Y!2?xTd*;A}nw?9jTPn{+X#4^k$Ehodu z9b^iM`AdBag(_BMmACv1V=~>Uqb{;VC8|d^DEyzF@MrXs5c!e#y}m_fR;O&EXetD9 zAV&0_??U7y-5IjFuK2na5x+MG6JsNZ=fT;#p6c0v`*lOoNt;Iliu|w^qB&3JO8@bz z@1Ko=FD0S|5LQG;B{NU)53gB*ENxJt3goXr`@0&%Smna z8$WP^U=;m6u8K{>X^WU%wog>c&W1cY5dwFKOcM43eW)ubP>U(3Kd0L+G<_qNx=< zt081E34M_+x}b)9dJ7?ilU#@=x{h zS|XdIijsZyDHb5zZb`b$QTko1>|r&YdQ*a_!kU40Nk#J!SErIjKTJZNm2+fV!c;o{ z&x=^P65jLjjW`l~;8oAA3U|yH@+AL*5q*j!6e=T^D^W9O;`7d=;qyOxP$tg~Q<2~Y zbCMhl%@RRw^PIQ4_W^|=MMvNKG3HLRL6<53uM!jEXq!?7U7fW>(UcFHOyNIO%bcL{ z90B^p%~Ykc+Lka*RVTPD8 z%I1=G07B)x%r)cECOGmjLCWbSuTdLK96veDt?fIF^@1125;sZ#vZW1K<3vI7{qYuZ z*Utqy6!0YM9J88Lo$NPTLh^c&YNjo^H1;Ytehnw-sUFNLN0%GFIBvU0`7nI)y~72M zquzmOtxn9xuC#|7sK55jaIX?o*)_U{I(KsHkQKPEr*bsPm`Uv@J)b8;5{h_)A~F`7dqH4al0}SjI^$u>_3y-EKaMROgnq~GQa%y=DMVBP{2LO z7*|{tpnt#@BWTmQ%ZoY3mJP9!t5tqD!*NOH7Tf-$)6)yHBf%ksUbby_ri8NhF48|7 z#!SJ+KAMH`(R&VuM;o6pn7lLGV1A)L!^Q+>fSe*6;li-}9L|6b&#e63yc_3sD*C?Y(Qdr$25tNM24rPQ}5wk`@f!BsE1>$#zDpYDr1 z3HZTr0dddiAe3jzCGa^pSBReK`X!l6^rT*Xw7dj~)yQ0*62%oS0as?z4M1nBP=@Pw zn1E!+HIx}0TTiLUs$fmD&KY$h&AEVoI6XvYFt(>j4`pNuY77y`P zFkc1f^LYy4Y@?00$<436oDK%|zT4Nhg0aS0q<;2L__=zsku0yg#n$yk>uQ^NbZ*C5 zv1MbM!vsYhZ<2YFuqrFI`Zc14f*{amftf&Wulh=DF@1E!ZneayXXgK8mveYbV>1ZUKKrF$c0@TD)K49yB5xk7!Ltq02z^4V-$p=LqWF-f)# zVf9mNLW*)!rs6Dc@}AVB4$Qn^!guGhhmS4yh`={Tes$Z0Q{D7Z9%L03^Y@t+y>S9vr^&E7-K-wlJ&vAZhf@(baxmDncfQ9unlpH z`cbTF7)=@{w)Yl<2^Kb7+pr5rE|k44hF#W$WoEUQMdQKzlVAf@3!#B%_hztccrfOh z*rh0il_H=m5MzM2e(uL;W&Khpx!v-B9s<;vMY=`$((%r4N9M0f*G>Pn7xt>(CWS_H5!lg?yTug$JeREH{AUC)7%<#2sB_Cw zHpNUeq#?)byu50qT0D1ujc__bym!jn)uneMq?pcB&r?f`n%WmyO>|5grnJ-gm^I$f zo(b{~fb7hj(hGy-l)LWrC$}6tAf=p()QiSf%`?h0B(B4pdzT8lUX1e!hn#f=r>@40 z7j*NXfxY9A7mJb;d3Y)6$$>Fq)f~+hz4nVJ=1kpX&KLW4c?!W|&0~^@6{yG7RF1xk zU%t=Y7KB&&DTV&lK$)FUp5bh7Q14MKJ$^5FMzD8I)b`~@Vy1Dj9(FSpF4s+9p0b^f z^}WkOrJdNOWIx^ss7f1sPCt*v@tq;eeEOGw<%a%>bQpgG24DT*gfGpkA}=FCS78%Q zIj`7a2>j*E4f=0h-r>J_c@-6vpOPL0nDhO@QMv2)Z2=ehP`RKrH)eTwMZ0##O4~tA zgB*(xh~+(e&2w&qm~1ck^Xa)>$KBCIuvu`D!~eA24G7E@=Fav@6|4yC=Q1t!L|f8$ zf=$LB9!Oq4AIT`_s3QC_90|y*q+h)`deHBNfMH>)^k!I0%X#_=YnjpU)HoC;ARAX^a%zAr)Pc-~{SC`cpCO zt*2yFLtXD!A4QQ`>L9kr(eJA&q?H}c{I|qHNhj|12A_Q zIXqpc7jS-svI@BG(1uoY=(8mEcdV0|E!Maa6lsK9-%e)h7bt3GSIf!~XNSzF1ub~% zLNVwY*;3l2skd_XK7@dMfw)4Q1en$4zNqN8jf>6Ps+!?J0WiPa-i%2Ql@a09g3sf< z@ISb>;TYWZ@Rr%_y<|Ht`uKM6VV2rKQLx$B1TCz87e)_BX*~NS#V=Koz{NkAh8I<2 z24ATs%gt`zTZCc$s2iUWX?Z@6k2*Af99k3{I@aQvL33ryETkevBtFTX z_O9EO*f5$vx@pXSfO+M}n8A3SO z8QgNAH%Jzw+xk{u+Hpw_D(3VXci6}=6l?|;=+K3R!HY)C0S1_`juvm?K79Y22n~&d z;nIzZ7f+o`8!txW2t5z;Eb_05?prISeVx7>?bG2iG+s!j1kI5Uz21&Ack%ZO`*cH) z(1o@QjPCUJJs#L(Uj`?}_mlYcA9HeDBTR4thpl-72MNt3xP14*Ls~6S?v*}738xCk zgZnOlrsIK!RpGJ59LLj@H*-RgBwd9x()tqgG4I*TapmHjurswdQ9aL~bFei{kPr3u zW6>|TSXs03rL6n6z=3xM?E@MItp^QV$R=45(;@XIUgaRGZ+zOc|~nFWPa(n&|<*HG@!A z{kYOXA2#U{W4_({bg12N%BPphuD71_a6p;Nx)T(4p!yORFt~(y8&b)&yPG>|XEvH4 zyX^muX~O(8y4_a2C-~X^gN4{3OV{ss)RixE1j2YWxHO{x^7LwbX~vzo5%Q)Bq05=| zVY7>^%QDzuVUL~vfM2ins1McZ_l0TOWNZ$f9uZf{nZN986fQXMYe(-oHdhb+=(^eB ztXw30|8(Vei^<`}(8{16r3X7dj!Rf(NRZrKJluGJ3~i&eFU{aP4@)7Zv&Pb?snV|+ z%bgvq#}rwBd0W)RdyuAEQK!qaJ$TpUaRB;mR?rO@ykX)~{UqCZY|8gx;M44lOQWx& zeh61z8ksHPNk2u&%}aVAvUXzNk)>}ZIW!X4=~}cns1}~u$CX5h0wqMj2gwuM1G#S? zCj+0jgkOeetS_5JHrN%)ErXH%&6uc@z7S=D{RzEW2JvYFu+eUEcK(!~()Y#dYxAkc z$w{(VWe2l=+AwILo0RYbqxp6vgO2#DGAH4n`MhMgJNH(p;e}Ff$M>l&Dc1)g0Z>_J zjsPSh&SmV)?ZRSf?VVyIdjI10;AF*FZ)H_|{d``}W=GLvTpOlwRw8KnSNR|ySu(3^ z8RcO2TVvN}=IMau0^z+<{Y2p(XZbi1j4)#JN%hZjZMA*zzFcoB2rWSz+dg21xt;v- z7m1Aygm4VepKx4%u5JdXz&-zIClC9CsZ{|?Yg~2?@^0woCtUB=$eB5Y4r=GPmX&!+ zz%X>{Wc4#q{MN-#!XX_2wdJKc+dv`UO<*3&RosLl%Bw>T(>Eg#=sKHU!Q;JW<=);< zB6_8n1z7MEsq!fZ2aBJ^)w8P+(#z8Z^?l4A9pPBi!3!?!Z*A~Wf_O6s<)lRpVU$b? zyr+3(&2-|8B*W#aHPN5#MC7D)ESC0SLvklc5#j^d>%ypqmm(OvX8dbEYz_vxh*Z4z zYKx-(@q4EXaU%1uJtD5Qd9`bNF=;h<@Qx0}aAtX#HE8|?iAsJm)3q;A**9npQ|o+& z;g+H#q(v0s-9H>#N2xW}C+)6}QD8o@;tjx^RmHb!*3mT1&YfJ<^i93lJnizR5Hi0A z0yI?;VB@%%N`}^qa)b7S@=Bp2M}v};0WxQt)#_cHJUkZlN6AcHXKHqki|k`(0+Gqn zAUeNzvY3yXV}3i<>Y;(k_iwUmjzXC?0P8VBt3IB>GY`)K4lIC|ePd5i(pPtH?G0Fj z;^e2XM-j@*-^npaY-dE?#F%jS7}GKv-ViZBz#8Bv=2DOt0YuqEgCZo%qM!?@RT(uaf)H=~s>jh3D*UnL6s8*<85SJBF0Tm`6;{sdVl`Rh^{=sZ#|fxy}phVFO|NFLg||aIGcIxvE7vH1sXW1ileP z&MGyai+d!+3lK}EutEaj<-5?6Ec~YIs-RfalPDjWxHv|Bddl>hGGdY!`W&SQEIh4L ziLd_lnQ7Y&1D2Dwe_O?Ypi*|t#PKB?-o)sQbswsoEvmq-)=in9RqvvdHO5!92-XXB zQ4N!$-4b}gc$~xIt2Fzb6805jEYbxqAj|A(zOv`#x316EfVl>!K_xLN&Rkey7R#Lc4lJuZ`}vklkic+#=3r{&<|toIA$vQY{4dn0CN<9lY)gIQls zW5rl*4ExEM#|FIso}Mx1T|UB|zT=OXR+<)3Ow-ZS{hlY6l!ua@ZzjDnwJ*FniYN1^ z8N|FGVhNDK_4UI2jqjUM&AbC4^>DKNo^hCz-x=3oUG-$hrQx!{?HUL{-@N-(>`=C3 zJ#~Ad3hFr}mwcUZLP)-c`UzGD=n(aP$~U{p)Iw6R%9Y@X40-sGiMgy5DZOXZ@}lft zUubjv{a~{;!h(!0yZH1YOZJD_kA>dIiFTNB`JwW8S6;Y-sAC7FT%xG1CD`2==ygyT zub}ZbQ|r<3B-c=wI(#3+2N|_;&VH5F2a=Vxy<7xm4U^6q=+A&7>evh7q0S#c^Xbf& zyOp2r+}2w{BGdf5u6}YxtBQg{}VGbSlc}!>J zc?|II+I0vhMB{t8-YP`d@_G6No79_1dA`4n>o`xJR10wofws`3fn^wFCBjIx+Y&$N`;%^0|~6+ zk}}T0THC>sTISstpF3_8 zZQRnCvqKa~4<{y4&Rs!m+%2We!JqYs(SZy0zDx8#}Dr_pmAU`cH*B2-C4D%9<5Y_Q&z{(4vNJ}SE<`!?qriCM!!KQ@m4$)h?d#VzaY}^- z49M{NxY~>C3t%eOo2%Y~Ua3|;2-&^$2_^HxgmC4q(n~=5Mfh=clUjJS@Y5aC({&~k z#m36(WQ{vXnTT!d+CeI!sgV--q*@H$x3JK5go(#Gs?4Vko#l z>So~a3@N8{{H>Eax<`vic%?NM{+V>a-zb_Fv_IKIDC*elaL6L9$W=HxJqjp;CW($w z|K-}?a(|LhZ854vy5c)AIIr=3W#s0#5zX{?<5eK=EpU?A`k_!l@M{8WaxfZ!KD@1X z;N_c>keD!~VnST}Z^6Zp8oLZ!Hj`+>9`>wRICs;{e_+XyGANvJof?fIb6CH#VrV?7 zG#Zu5;Xfs}nalURGWJ1l}mK0SeaIy&<{0hqCWgMvFNwgNXV zSz8IBC|tt4Au=fH_0mkEn7Fv?5z>yY4LRfzOt_h;EVmEZa5Gn4q`nCjYaA0_dQq8l zv%|5&_2L9__duXGgHsF$XhFHzp`)qwS5J37Nu(mmhWa>~30j$Dd$Oj;vd0E{oa-4X z^;e&3gUB^rO33~HNcgo~py+=m10slNOF&st&6}ylJ%>96WI(cHz_`%Ns~}F#vo{@<&?wnwXD?imSaZ6UNuvLJF`>8y{YK32ddfTHN?hjS zt;I!$+n$6O&4mSa(kY}!lxow~Kl7A2u*ub7L%(1=$d86_xlshxp5}+)t$7eIPS|fg z8i2Xbs_(=}e75|jxZOST^)A}^0?VQU8Ek&yMh84v^7K!`Rd~o46GawV9&@90o6vKp zRhw|fDu5P0$)~mKKMy0sKN)}OR5p_O9hYdKpuv6ZU3AS08#mBz5d7%8WmY;0^uUr>^yM#u=8m<#7#v;34@AG@ zJ2;DA@J1^2ow=RToqdU@HC7Vly{P!Puiym8zs9ETvdUkSs%$0==JcxArLy2>L2~0A zTz@il4yNX4B^!UV=QCqQC4Gg=oR~kKenYx$aaz5*p5JDdpt_kO$P7MmPQ*bw-H|a_ zHyOsYTaoOZ%6OgNTlIYGX^+Wb^W?S}v6Sw;i#G|a;@U}ph<-Nrt|vNKx5F4Q{N~LD zNv@3K?lXMc{!%cuT2;KZq!#kLLwV@RT`(+VY!IA6%izYlsZOADA5q7zd-SQvE9l?8 zmq$6|;I_>);!lfqgu6*Db~yS}HM!itB$;YSINb5^TuVs!V;a7q*Wzz4aW!AvwC-}! zG@m~WHx3U>=@@c59faQ~wU?3_1P6?`8qguPeB^Fx9?WLjpN58br}g z4Grz_Pb|A5gv|P34-A^}vO02MED9yAF|pVxEx?L?>P=C0$^WrVz0u2*3>Oq425 zbqJ9)7^N*OfK=4BN>=Y<{t0*ldBI3$i#wdcrk+qYl9OVThNw5&I~S6y0RaOC)y=iW=aSUxxO z4niW3=pcRLV`stq>Tb-|Y8$2KbHZ4!(XP`_&d!*HIJZK6j&##`ByPlFW+TM!8ut3H z$2qw9q-5U{_G5O36T&4v{?zV40um4Nb-R_tY$)KgvfomHf+B(|jny7>C#mcfexU{zsY{fztY~@bT@&Y$4zCX@+)8%hO z!dgG#%UgsXiWH_cCLYEz*kFfki}Dd)(Spzto64@aCt})U4jwY;cq9_(oxYUS--Eh# zR_IQ?cjA)Kgc4i|ioL(2m*c<*BsG4~I`S}oj^V)_@u>3C&%$(aPw_vPUt5p<$a#pa zkq89b#mj`z)|jazvQ|gq5C$q&hHGDdiNu>RFO-80nmCw1s$MLy0P=Z{Jazm%(+S7BUacv&q2o-A=(C=mPYD!zDxukzN$m&3l4bG7Dxc<-;{zyDa6x}7$pd{LE#c;GxAc-IxQSUi%1rIp2Bx_V zPL{0qPO9~-S5BC&98XjHMvw!(GwJx1)iVq=?s29Ecb2G?RwsGQ??3)&^T+a61T_Hy z$czzv_A^W`R@i4U%1jPTSQ956hM+)iTz@@()H4%LtJ@|&57-`?E{_uzX;x`?(l&mX z+V;=ypl#GfrgLQ%-iAc6k0$>SmLux`qjH{v#zh!NGF#m|ZpSj*3b7eG(v@(TP^6^c zqYk2dHi1zDa0(9?fs~X%XTljno&f`S10!XA1)rSm`Zc8C%EbO#_nGV?JE=Gt~? z+ahQ)ZHoRGx43auSHhAo4%28~v$nxat`c9dI}2cT6``r^s2GMC%x*R7`YZ=mJf3x_ z;DkGC&4RTrvL>z}zrPYK>ehntj@l1RO(5)1e}E#jlJWZCU=4Q8jVj;Lb1WWZrg*-IQB_9QgQN5x+^^HXcD2V zFE(_!)#z#aLIbrV*RWU?=S>uDq%aSZbXy(mm%Q4sTJoUzWN$yS^{->|yxv3C8L*O1 zHJ^GPOFe9TBl$eZ7>^z#pq85^b#sIAh-rGmqBU}n&`-Y$5Ky}^MMS>->ng&wEzq*( zZ({4nhFb2qDO7JK$H6cd?qP;@-mxu$pDHW9)8I>w<4+k4CUoJ+fta;viO;sF%+@u6 z(q=|CU9vr^Nttc;KX2eD1fo2Aaf4+*z|QSgDG8Ssl?74#IkOb+$PyGP!o^#>XS^wTOTU{nQv;(`GCy=LQgS?L8v#@nWeL+?)y2~ zx84YVO6YCaIw2!?M}5HfOsjt~jyaHb%(exzx92Nb{iKb;@QahY7#s3qBDZs;RALY# zcLJ2lGbcck3qvbKRgGhkA|HGcQgnS;yl(MtgcBL?pdFiN)V_9IY>`UZEDV<2_t>RY zxO^+eySDJ}j{k;f`N?uR!pGC458tnU0T&4Hl-POAj0hL8f{`?ME0D2mkAZbsnlkE0 z8h&m+YC&gU^QS ziL_0yYyn5w?T#3d*UXXLBs2PFf{qLr#>S4@+mVE!VPWh}G^vik=E@}$NYRyHy1o4h z53@9kx5axIOQ+dqEp*O$Z^**-FKKn>x(w_<{->M|nO?Tt_J~}xqiE{wUlZ8|7iaHM z)j+N8bG)cx;X6i=)h)7fdFXJ6A&8(1fX$D?hixvbZQXRa*ArP!f$-yUn` zh2*6fcyO zof{x~^^-J#sSEO%#A%NJSNBqv5`PTynQ~UGpgzKLxWH{H_a~B$>`}pq5+%uBI+PZJ zVEnbbDk!C!73T5f<>}OL{*aP#v7C+Q>9wSk_eLVf=}zIAYgm6`44ERbVa!3wQt^wD zdZgP8FPogc@Ry)YO09YE_x5`Qm1{JQ{kLs!$TJ>kg z8x^37!Nead_`F_KWxasM{_J^xi|;^7K*M+aa-E5<^u?2%K7_0APR$*`ocLbeku}41 zsZQX;URIr^ig7#n&euO}=-pj>Zbd^_RsRV~dX0Qkz4J7Az=dOX{W`{NT7W;%eV~Ba zD9!U7q0iBnhFY2=2&M;*Ff{aqd4GUzo&RjM~8Z)Z7cu2|sfb zYqlMcspT(r=B>^c=ODin1AO+QOvDnzS!R@ZHJWe|6!sJB&(XIF9kvbELCEaqK97SZ z+7s`Xq<_>}+l-lFthWL=oW*Wxg%?ai-R}hu`wRA7FYBtVy^S35ZVsUY8W>-TxYlathA`Wp1=MsNcP!@jgYSMbo`D+F6Tqb%r54)S=Jjcxyh3C z|AD!WYEQg^2oKF$h!v&-htj&x;#T5|eIw&6Xd0;CC4Cwc&0*hv^jzHt`fKF$d&;Y0 zsR6+L|Fxb)cd1IyKv9z$t998^Ve5Aq;%}+*LuWe4#>vUD_Lqj6 zSd7=0>Pt2O$>G%MqbHsn#kSQHFkv#Ss;=fUHb@?wO>yjJa~W+lM88E8*ox%$09V}I zfK?v@O!!n(%tEBVB&FBzv80NLg!-xz4P;J6S&5xc&`Ef7iSvPT)m4A1&7Dv}ezf0+ zKcXFd@$f2^0PuTOv>k-?i4kvUMwo}w5=yQpFJJ;2wwI-Sbz&jYHb&ykz*@yZG}Bm8 zFDKL&PPiB_dX4l8BfR{&O`8l3=~PB=VR?QeH*A05;!gS#wM`0!8{0q66___xh8}jE zM)#@BFldA|I14w)j&2-tCwHK-xkLadAd~$hCeT#`>cs4mgOFn!ZIqEQ@oz)c#Vpd5(zFL;}dWCR+bBY z?T?Oh_l6FR{{SA%itop__ybM-v~}tkXVA+5OGv`^E6rjP1b-EQGRzr-vhbg+CXa)Y zqbYB2KS=()Gw7PO@hu|8s^rM#kM?4AjS&f`R|d~~(G0^tLnvdVoLQ3<6vMBkUGqYE z%A$`9Y*MGT=xqp3&y=<6{JD|o9KB{sR#^`amP}{IIqe;_xMOzpaL)iU1#Rw#f9o1; zCXkG6HMv#%-fo)xYirV{c)0;0;(r+!1mvTe4F9KZNA8#%PWZr(n14%nU(3$Tiy_mI zOnBB$`2))4i=r|dXScLE-NBnV3dL9N>M@Jk)ZZm)wa~rdsdk0Zz z96$M=*BLak`>_7>S%kV=1yzGqD!10_3?ZAxnXV-Kt*CA+&pnaf`PGViSPQdeF@N~9 z%Q4Y3ON|0~TA)Ej&Cg&8DD{0q;tVTTK`9)T%Mf>5*RgLaHk)p`8=;I3`cWa)7`ce- zaCv@x?!w1c%H&<`iCO0n6Fie6Tki?}2d1rEoHrk5uL4{nWbMS3@DDAN!OH>cmDI{g zo~lmmJFVlg<#ucGER51bDQ`4-tCCrn&=|MspqhTjNE5ZKMy7TUiUhq+q9-I_s~^(H zOn7TfSO|6F;b46xYHI|obf%UFYmWh^1PypF4G`SU;f)0>`{vF@t{+_EwJ|SpT7@5t zkUpQ2$bxa-D!0D^Dk$Plu92u){t=~{VI9lrQl~$&h0x9^69vDCBB)dzYmm{%_83z8 zPi2kWu1h`^3Ou8(LN=PusFykynjl(1;bmL5ZU z(4H3LquuRhB(Nu)n1k<5-V6TfBhQo1 zCMLOmNW0VRiO%S9GqrBK+Ca{2)6N$P^cMzv>b%&vK^s3AZ92L3zanj=hrt8s6GkUH zg%f5^3e=$dhN&OD4~PGk;f}1N;zW)K>-~Ju&mjhFEvu5^e`KR$6z=HKp%GzxroG=3 zb$;w!-0xC+%22##AnY~D`}@c7TAA%XqqdaQ0E~#eSATLvY4av~VzobfzInZ=TNT>1 z6?+SkQ?`Bb{hGf%NH7R0SFi+)cn5usce~p!R*sd*z=Qcl9=_NiwXYMx4ouL4$>Pvw z*=Xw}f>C;4{h=&q|x_zt`h*?g^6VGry4PGk3LV_rzA}DU2rp1VQ*vg zOFut<8{fZwJDk1R9ZyS*d~0Zs;>en|b(Cr#9fJNKm*QsihI2r%lQs}%~z)CI4nur8x(}*&mJ8wN$>C)kcxsUnL z2!E$sl%h2=`n|8KNcnQz%psr|uWmINznh-)Alf1U+}1?b^77j7k1T!;USI5d;rX@A zY5j=D^&9LbMTh}cXIuD>^UkITwBn*k=;PY%)2eItx$UO!KpcuD80Nt}#X^5Dw;UTj zm8t2yJ4bNZ&KHx){_iXquPgC!pFKy{e;~dL{z70UY4EHw!Y?e&d^ucJqRyNWIv3!* zPlE|JC!8@gO)-#T88A!{!Xpw+c=Iiv~ONZ&fqDLpTgjn;nvf2#Ef+) zbTrqA3-!c|)5Y){N$I|_YR!L2Mse1ai{Hw%M3ps((;gIodpZ!@ku&m8)ogKPIR?EV zXMx0QqDKnFu|nur6j-+_I02QZ%a-9f&lM8T{`zVv`*e979XN2xI$Egx51IY;Klg0h zrfqxN_ADhm!sg=^qvW~U?m`LBJA86h)rw@rV#(-O_T49_l` z(4BYRR=d0HCWh7fyE$K<9$pKCBU_$SPldwm0vz0*KUL=Ii6^Y3XD$40lWI)-#!dQW z+fKQbTzkxZ^isA5O5);z6A~(n{pA=2Ch25e*oh#-?c6=BN$dsPoJBL+1Np{2S-(hJ zMj%rgQl@Pkmx)&dm!D*JwU7XXOhYxEiQ`i{MP{cn4h&qAkA@OLiZU zvv5=sNJvRvj{n!=-FOp~a|AFS;Lnt_m^=C-(d<(AvmMzX`z7{Y_tf#!J(I-{v=4VF z?tCjFmx$Y1^WpbCNrq9r8_=^Ru8z7Hxba|Gia$_5k1OL4j!a%`t1@bKUEY9v@SWn> zk`1A5<_Z5ge3DOrUZ)g>F>%)M^Kb-~0%xf^>*+hF#+;DWWbF}$PR7c>xH<+Lt@`9d z_qhYLch%58$B`z6un3ah{2LW5=b7%gqy&L4l^Z;R!h*UI!XdLMTmsNmTK!0f;IZQC zJL-Yh+rH&g`k(|J0IOOM>*h4Ujs_zLl@qq9Zp=HSUTRWAQZd&O9NyMZKqzTUEmla^ zPKE6&`(}LmPgnV5W^j)`Jga>AKAu#p?&PyXNatvT(|mRD>xjojm53$(Ni#M>Du7YSmCJpo+;79jd2ff z_u(5$MNB=Bb~#x)QvmU7{9=c4s|AfAU9Mv`qU7Q_v~KA1=MFgBCbCApez&*L9GL$W z^(iw=P%7tu3g>ktF~P^oV!vREX<{$i>lWQz$X^G!#$qGyKNXyMaQ*yeHd95F3dhwB zx_OxlKS*-F-kQ{=-0BNm9v(V4oSDjsI!w&vL`xI>(z3c<5m5mD6en&s2;ky2D68G9 zC{a4!E7gKUI_TyXF#IUaQTqM2RLkq1;ygY~t2CbBhcH8o@og^&_x|6-i@eDEZ`075 zCA*U=RlpwxB!SnLZKi9o;gn)cL-1ZJ?buxHuA$whMDyAi*Bm9i5<-cWAu#vN=}K>P zQI|!9mjodF7C*vi3oHi`P@`K8zyI)ZZ&qtkm1e53ag`v1!_gqfF>Tdx?pH2@SXp6T z`C@TzsEUL5eWDbf%%7Q{0^ZduPKQfXrkK)DTEZLH=8V6W&_nbxcFr z62&Fr@~c3dp;!T?#ADWylwCUUF5yRM#jI+3{$4L{NpxMV{bq^7YhY-h4KW_9cd5kr zv?*^GXoos)k#zF9zKGS>dKIK48CYodPg+b_RZob!F!G$Y)PfuWUzs(Vk)c2)?O30e0!?^!#k%2ferwH!Pq7hP;tl~;t)R|gk)|G;TFTWrjvJ`4r z1+LYr+4hKJ2Ck*QHgx^U{&~LwNJB=*Vq4bDYVZO~jA35_QO=i*04^@j93lB~-^M3p)Giv&I)e(uI0wR@D`g^wV6*mtTPy(QQY_|6nvo z{-cw-5buvg@IBM_d_Ed>zOc{%_AmNG?Qf4P5_=mN0Z*tQWQ@{?FS{614T+9yEQs3+9H9J2H}79v zk_~zp(v__%X85WBiqV(AolGw3JqOUwmn;E~tCgY&Cj^gHD7vBQm)W04t3j=x0~Hu=R`c%p|Z#^wDLT2a3{ zik1 mZWV85$hQBSjsHEa+is!EpYC<)IB5c1OtZX2G)mLz^_ic@<#E+iQX8M(ekt zd`{J9XIMhuSK0voviC6mKEl0Ook@OZ$$2`BKJrDWPlZvSq!JiX=jL8-A-m6up=fTC z$+_R@-#{C&^sN;hj=jS^9-2`sR(mH_swQO_iLL|1PgfgtTphgpWHu5I_D&vucBMt9 zpXXLNh`E$BIEc-x(=hC0sFLb7>sw7ben)ILed&UBk8*jGm6St2gkLq`y$VUH2|NK< zDc^D5Cbc^_jZImA#Q6=h8d;#GfCiaLMJZ~OR`V=4||Z&RJ>6Do*u zl-R@991(wjlJQj(pFfe;QE*1jMeWkNQQsA27BOg9V9~eBTF{YCkrDce7d-uj` z`xVtm;Ldu_q}^*mMgDwXE})Bg^=iO%;o(b^Dx>vMA05Qs$-SMyDbrmDE3ZyZ`RPLU z-_r2=|0xY8B1r*LHMSahe?A)a#?a{OPW;xZvh0<}B-d=u{F}z}=dsPdBBj^H93&<= zXf;sr`I(775_admc$SB_xXio+hMy4F@Rm$0{?M&6)SKSXQryI(OtK?^c}rd%gz?@I zrK#`p`KD_cSqTm@mp+J?ui`X}eobZ($6K}A@s$2Tu72M&pKVTl26XwkUoEW>NO*9ca&$7qKwK+yZF7S~gY=TB_xno~)Ne zgL)Nm8HJR_9*UUfTbXh1eH<)j;D3eNoGs?thbWh?c%!_|2`&z55LGHqwE1b;2WdYHVxXDY%Hx{OKlP>@tnZM37Qe=Wl^5D(=E6?nB z8zE=i`q7-ogI3wtuKL)TkLYQair>Hl8^(N(u;g6*rR?Mh{ieWopxrh*0lxFx9B}E% zV)lN>W{b$GSB}qH&!x|^UY}8)=$AD=m5g5)E?KEK-G?kg_`i~XmX*>qv!@2<0uDt+ zmg;&Xdg?U)^t5}sIdqRVXZ4P+3?BF%>*)n{g(3y$TG0hOsocL~Xbx^euAT9CbzXe^ zix!7+fXO>fB6;MlwNZuzWjy-jmY4sE*Gl#8=mSP<4X+nv1u9}(pOEeOmlm!5p^yXsXoPg%~n)4rRxT>CV=Hw7F2n0>=}uZ=QYP=gy(wdVkF76@g}2-n}T zw4(LLqT-TRAl%s=xK{(@!SE2A4#^ua@@#)o8fYMh1{%z^bDD>4ZJkDLz2WgXCXphA zFVg%iRb9>Vzy3X|dln&n-oyL*tYP-={;GlMKA1M{Q-0gu$ zK%;FRKmIoJSNu_a_yZcC@?*!$?z9t*bAA;Z_0)}4;Og3k=kX{&X4ti&#Jwr6WV}6( z=fcle03B#JE7rpQC`D<8O#>W)AV~nH+_Jc6d_i_uB~~4|;arkOL7!f_Bx6%z66H{*ZAGtY9}fHX6YozQ8GgFIrCWn{w#VeZ1uujVfDj;7E9 zIbmM^A5~`=6i3)*ZJgjq2s%gzL4v!xCTMVX2+rUR6Fk8Q?iSqLJ-9mzGB|_lAcHOM ze!E-sRo#EOs(*D=_tSOm>zsr76tr44H@F~8s}{G9LWS@c3VG9LWmhw#B26MmI4RCcFOcpm67cT3HZjiCcHaAb zN@_wJ`ufVZM>wCqkJn;R-ZbrTaZIY-KL=#>MZ7X;Bsu~K9Ybj6-TB2t&pTNFpbTwb z5F~C|sL0Tq>ppH%L{unA+0&a{kMVoVWYB68JPx?~8lSUrHaF*!jjNiz>@(*-jlm5! z{j<)Zrbt8S`@P`wA#6nSxt>8sRK3<+Jr6H(;1bxGdpRjm#2`IVY#7Em?|m6_SikcA^r?KYL^DQvi$$cU-5b~3G<<1rD( zqrhd@CMMnsHR`q9?3>6Q$%%){Qui>^!*y+pi0KJx)>lZoJzrdbFDPGuMp*T4Ui?)| zm%ggBp|^Y@wlaZUM2F%_AUfQavfaW@Y;Ed1xek^*WL&0b{&`GWWOgk9f}*M!wo zau_vQqFcql0JmV@4@+*5A+C=a=z9Sc5f(D)w zU)E(0!pRLH{Js_8RiDbBbKj>*@LJeAbY1a^Tfc@P50XEJW1|!K{_47z4WMhy;k}F5 z?8QU(3*{CKD{1cd>iT2HtQg&ke-g1u1*G80Q&iS{wAr6fBhuaUCe!9I?Luk)lXjK> zUq*igRX1P+6}N@vE-Uy9!*{9TTP!&qF)@hsHM|woYk<~QkMA?u>X3}9F%OypwJayF!n;I!%G@sxIj6H=ip>?;=Edc`!-X7lU#e@)$D5+*nSzTOEUJ!STq2w!1~w z@r&7+@J4-UB@D#mnv%=gR+zS04;q>O%*)O$1AK30%K9O3{fO;)VyZvfz>KLT!Znt* zLk|5QGk2o!^$nI8kMCjl1g*N{v?g+ zuw?%kO;Y=jTAOqd|H8?UkZOHP!(={=@Z_qFvt~JWPI%^elB9VRWCK~ z(gCO*FPaSL~cwPSq#~=Ng_Cbjl4k>g)-^R0v5IiXvw$)c5oO4_A{a6{DSh z0pM$`#o?7Xka)l$o}UinaaviU#O_;S(}|kQ@Sk^nhdcr#n!wcB0`=CpmQuF^g4Rxr zZ0PzuYH9k_pH6sYna0E^qkW61P8;iV7WM;^9^5xkq$=3P(DS$dT76in!czJ3YoPsU zwHB;_@=1SJ4q$Jq7jn5!#kN2-8#?#w+|m48xc+dvXKvsG;VdJ4D%HrJoYd2Day?$h zOtfQS_))45qL4UR{5O2c8SeDlex16Ad7*2nAYo82Z1F6AQWa}5sbQseA6`nlK$-(aZWRn8JEXhHRnRl$DN88`hs?$5gjtt)8E)C^vB!^ zSmWL_`WWF7?DHFg!@I<^7;G*ydioR;Q4%=Yh8*v#B)mLiFztCdWxTMHi2?Dy#oQ87 zE9<#C(X&NXR&Z5BBq&43<%~S3<>Zm}HrD8^y@GN9e;q&9+^C|_Sny2?r9LkQ35MHJ zBG%EL>fO6N(37AVet}i;f|K4tUPHW-q(Y>9UQ?J0?iIPH(_{3hi zTX+B$EUKj3cHx!;sL*gZ7jl`_$=S#!fB)sz&Fasxa!Ty-&A+!-X_-Z}C&w*7Gd`d6 zUEp$^{iNI8eUdy~7U=F>_Ba22I^WkcA?e4NJM|6D)nwiEJB@dE!A*CI;aE(4YjVix@ZM)?^`_i|e7dJO6 zFHR2;@KIt=Y1a+tvhzhwse`Nw7VYjCes$g>j$4~ z=OCPuB%dR2Oj53VAwQX{))yIAt|j15U$5o~Y9Ly0dzVREM*;+kt$p(qT_L^k3QB4y z37lro8PDn`WiaXpmwVjs%`|HI>(6%m;O%?5?QeS9y6Wb@w{>#Gr{B%!IP;GL?YTP( z>=ksfb{}nqiZ=e4(lmS0kirXjPLy{S4r8B%KUlCli-7&r&1{s zg5nMe?;DkM@K3S;p#c4!6&gv2Q?ci6F&~+G+Je=gkhtIOp;8Y{cAF?}k&3H90a%?G zX|dbgYImX!Xh>YkF2-N`Owh8T5l0~@ldr= zaeJd*A3W}{5~C?aPl4)?W~Y3S$8*UFqb@@LkKNaORYL=+Cc$zl2h?VI zmVhYX>qohVMeqZ$5%)R6;>!CsJtif57tXP--JWOz0In&>`#TA*%2_3I=wGskD;A{! zzKTyVdFV1tkUMIK>`P~>~d@r1st^GPz9Svuh z>=aW2%Y+7jRRm<3_Db4Xe9N>!QeVmTWIHIdgFF9RHd63o|3)BO=L*%2DIe2QGE93> z<${z0$n>VWw&_RoYfkN-p7wem&5~>a#z-;Y*AOUjFWmln@1p2Uh(A8u`l7NQTyGhl z<#~$nw6QPxYyGENiv^Mj>2jKbnr5=O(R*Q8H5oXk)C!;eFnL!=w)@*h@$|}ivM%nL ze+5YuKqB|9?E*hW=kZ?A-qSLVXUw1&C$tC9Xg3`?p8m4PS*zXd7-Wt3Z zd-<+G&s*l6_d97u>o?cFX)dmS*`9^%wL4V-kyUou%~F8%thdvtY#IQ~^6*Ml?Q$Go z)M99I#p4diYyW}b92yt~U-~ui_dw;oVxf?HC4Z9Jw!tI~cE+0Du$kylZ!)4_uWjMi z2;n(h&5c?iMlSO?=Bx0#)EW1>BzW=~bH*`rRiGv!gsxqTHXIgsG@L|Dg9R+Jc)%3q zv!xnf9*Z=duFE9CP66pEh3D^*gp^v@8me7*1@8a_qQE~t90dNt(s^uG_F&-JdAQxv zQoB`6W0#;Iz+UJ_yNH^y(#mE)f^sE)F3FKg!}NgNLm>=ocjtiyq2a_er@S3kc}a=a zx!Pi{W(NSx8ttUHWXEuJ)ebV41y52$>W3q*u9v6bo~PXQo_nm*t)4FW>Stg#6+Irk z*AL-T4TOhPuaZ1|y^h^SZ`O#c3LTpGU9h0Y|IpbR{o2sk0O!;+;PMboT$Jw!t0hv_UEYfO6Jw2coXv+PN5> zG)iVYEbSq&ifM&s#r|}}-Pt)wK-muH^WV@v#zNEtF~q+2w@5|cz59R&T(amM1l~Lr zUe&)-lkxm6g!o___Mk;<=!Cc1qwn~^5Od*uYz$6fAF5*ty6qdnH$4e=g>RX*-156V z&knRM-0oSB)4j2KgBWP*Q5EG{bgqU(GNdUA48R1&{GIflLTr?+-cC+BW7rgbr1E4> zedCZTbk|RTlF8Pd6a)2&Po*+$$8Ade(U-S~EBN;$h*!P2SRODOvh zcUKLW%ZriQO_kR^hxyzu@L3gK!2G(uJq}y%qj=S^5pnVsu4+oHclg7^T{DNFlVfgI zqR)g&^!D(-^mO6#_ibDcfb*eh+IAi0dG}vH+y`bz)7x0HlyR^`*o2Uo$LEXResRLF zD;MQLM`1q8$oDG@IMmCtZ-4cP#QME|=$5Nskan5qjPqZ*3J}Q_{yv+WX7kv30d}`a zr&UPL(K}iz{nHTXugEA{T~Xn-KBS*-7L~XECQ}&hVt3xDx%H72@6nj~J)3$DhqiA2 z<>c|QjgAbj=!0xW%`wgiU$f)`9_7r!nqU-BIupm>`5VKxo|1p?;*0?Jo9~EGCL`}- z_q%0#%suBv2kJJc&UMTo4WSli9h4>;M>3WZfxjT#`90htL2*}6L=wOPlg)qDDu8C( zMrXf}3o%C|5tK>&KjHJ56xc;Vz8-@-_Dc?_t$R8zInpE}1AJW;>6@!bIJ!+vb+nbD zIbmAaGda?Ou+=;6wEM>u-7BaX_&jCT(r`iMXjW!B27r^!OX_c*DZF^$wmWLZ^`pFE z*DGue7&7Nk!DSwF{nz9mSaGo4dDh+jwb{CL{;u)jTyY`BKEB8%V)J}Uix#?zal~bw zyLmIBMtZd=Ua{URy+RN4qPBlskL_Kjv!fT?QI2`>QUo9eI+bs<(ju1ng{)h zmbNV?T8&-jX^kXF4nmBYbSqY=w)Jt!H+aVdRz$aTNos7P`&lsYmG@?K{Z=PzOuG+v z1pscVLo_#U%P5)7MzLAL)W735Ovj!VZuYpum{0rmMm=B)BXp73fy_pb*?3KtNhT-J zv%+%oJtx6-vE@VdQ4;S8c(u*0!1*>UvgX3?wX8y8+GKrV5F1c4?1X^P9*Q7{OZpSt z_-q>lI$eeY0R}j`xy;!-d2e{lnQ&!7MB&dAk)f30kn>rK*|%Rw%+(&E&yZ5y@B~_( z3+~XEzYSdQy5bBQg|D!i@e|nvXk9c~7WKP1reiPYk?c?-^~4EENSwNMy}v;@Kh>Tn z#M$8UB^RV^&vtLdmU{R?lpOZbyZ>#8vWM^142jp@VR_(JpF>=k8h%Q!=$kuf$~c_3 zcX9cDIP|`55Hu58)te=E(^9katjS{xWrqxc5oS}@3+uo1;hD+ofM|upeOW?89)ueYHFV1pe=cnvXeRYFo<>Wpm z6uyo+W|fvTJX?d3K0PY%q30;rnJp2xoNfhJ>)vvE8}n>9IR=tB!mC_Fw-x=Kyl$Yr zf8_RQ`C2cjWN>GE>?ZY@=X(|a$!3~;qb~yJBvTeSR5fhAlqWVKkkm7ynv`qS^6vF~_iO^k7RR>pUTu@wpuN1k^XK6ve*~ERjgU z)yV!j@rd{{a0tY+eaNSmF_HgH7mf?f*H&JS$fKZfIP9PYzB7#9y^lr;Q1cB6NdI>$ z1|evvd5=ni8fqA9Gk}B4-xc->vm452@2U@BGBbWK7`)TrE-SU+E-TPooc}&9Usn1f zgrQVt-I-=I5x*t;NwaTcuB0N3XzsU&?Y#PU+V8Qmgwdf_Y@NGIQ*9jFuyvVayX-Nd zUPh4^u@uut6L!P+S$4d zqb46VjeD0I{bN4tIxi-T^p%9b?_s5?4YeGMNoue|r|hkpx#E=EkplTIbqZe$E(@v- zc`M-X4rD?KwIFoE#U_96%u@AFAM@%P zr+C6k^vz=JBxzEadR0lJ4R?N{MoFa&yC2w)Yhrke+dp65t&H(HwNX7{k*(|M+mE#w z+QSC+Vx6ATGEHfME)6A%=kIbv7Dj*fs(2SO#$EK6i8Acj4D-~3c#V(yNYMIXySy-i zuzYE7`K0bc0=ZKiWdfRd??o82 zwyM-uMAR*bqm~W|g zTyWkWXB6k0De^AU_HCT#&x^v227eI-=vQ-sWA?}M9o!|UFontdpN+5%b2{n)AuK(= z=?hfqUE~;v@0#9wjsZS9nfES_4uh$*#! z88d<&v#H{B<(3O>D(7tBrh0?rAb7MyqLj~@XlLptVVYHFxC#pOFTn-wNTslGXi6?A zxlQrS+JJH=aFWNjJ!3cgqVBBy<8v@Cia%<0U{@dhACfFWPonJesGo@EBqQA}NSd@> zAB0$jJtUMCuy8z$6B9U;==Ojmut4Dwd*tLYQp$Y&Zu8L%?H|J~8Vw30erJP=Wt)=u z!m%8-*Sb%w{^$nU9gW+LyWzXkt*i1z<#_YQ6poEH2Q%=~`Nf0hIG}7#>^AQqd{5YQ zGr9AaPj8uxvq5k&{XBM)O;M(M;i*xjqL$dbT*}>HpID}@ z7B$kSY!TT)S1wv)mMV;7%iUnOhYqffu#9++6pZp8Ctau{b+0&Vxv@SvU~Ig7md4Pq z($>_%d-*Lh?$zsPS(bhCo9bO>SR7Uu;0{?RWW(OG>}=2s`4gI`CsMT3w=gAKr_CuM z-ZWF=dh8g4%b^&31(6ex?_OBE?)s+ySO0?zLh_E}{_ z+-7dN8quGZM4EO84x^&zxbK40NE;3l_D)1f0=K8nvNIT-pIx7`$Ek7!w<_Kav9WmM zO!l;}K_4A{@6h1>7BB3hkovhbA5<9~C@rBQ5|`}2oJ)FQ+iZ`?|KloK@}#nxsJ3ZnL3Lnf!UvaTq{8C5@(2Qrw;>J?VNo>p_I7_m*uq zy(^Z^%LfIn^x8ILx>?PBEV2Z0RA)YnXefH-gbDu&^miO0b>|(~NC+26z3yEldh~{Z zR4C2%jgXKr+QnFt6{e&eD&|`M9D(_`=)A0~Ih>$)H=^JOyKpr&0l{kzl#GoxzZG%G zIS^@v7NA8K3b_#dB41SzB}KlqPr&WsvGi`o?;_*RzHTbPx75UV=o~dT?`AYyh z&XfEvPqL+=3pJRxr^eE0b?`JPgprOyov4UWeUP{JVQQhgpsBMn-92XAU1m`Kr6P^1 zb&UMHr75Moh$Fnhp-ALjJ0$E4`VU$<^<^wfIqXLFP##$Jf?V~S3|#P5D+WC&_CEI^*t zWQb36x>#L62ixdHQq1lY_ln>9=0bQ>wc_rXS#*gfUnV*?sJ3sjvO_~E=u;HR{6#%k zx@d0m$=5BjQ%jj;y{ca@2ATr<26`H@2_HDv7H*l@+7+;V(&P3@edc0ZME>$pe}P{i z;3-G9S;2maYN%)T12-kYY*3@wx((BEnOLEQ-FS>x{zma}V)cW^i>1ZkjaTECHoHG3 zVX5P)0zq`DgYCbC{$m=I4%rx8og;vSsB{?2WYIp;w|>%dtyTj*v}e7xQvknu5PbT$ zO1F19`{%&c)%Q~0x)<9|XaZWNNw|{s#`c$2V359xEQ`f$A)6b@Dwx|-hi83!tq8ta|McW`$z*-`Ab`;k ztCQ^u=QK-gXtCFtBV1T*9nbbJY^K|h64ddi6`r75nEV1LJX$C^{dGs~8Qg)ZzfCeo z*CPV-JV)DSJ|_;uuTdu4#BE3QN2cuNUw@{XLST|Cdh^4i%;g2kQ-)p{S6j}QCg7uf z5Uo8zM2;m10MC~sQmp6~bIgVqD`j=U<+)U!RyB2d1E%OZPh#KLjW^Zg8T(>dfsZv& zfd%IRxCG}-OyYykcd>|t)%ytXoBc~g?UYH3O(n->Jxf0)mj?NAx(CrRnmFn+FA%We zTeWcscI|&bH8I-vjUA9kCzGby@|w`nr(0^XH!9SwL&W4BWrlqBN!?A>e@Xkaps(4N z8t|s_>V)9`3h^9prwnj|K|}yY?r1nlT64H>2ixLeEm2Rq~a91?F4u3*_|5 z9`D>-S@J%*$}JM<%NN_D1pn&A^ZkFP58@Me*KmfFHeX+f(LI*g4qiyvADUx+0f_%g zoW+8GZ3fKT?Sd=^xX-_Z|4`8s;{LNOfzJ4s*w{>xSNa0?bb9mQb4%q9dydYxbnAk9 zcg_85NRLRoUN;eU-^b`S^3T=o7=&iM>Mv@$U4|dgssb&?>n{OK(!S5t7m4$sMr!-g zDY6FVfWG|R#L(ZyAALzgP-f?X-m}(=lGvJp+<{Ppx-QuA5J==xIDAI;vv5q9)zql^Z47Ol@oXobxJJYO_T>G;F zbSwYzcpjx9++BWL5QkruyOM74^lXz2w0GOv^MuYDG&wjTN_YY5dS)hJZ;3HsA_R+s zyuOQ#gJ#2L{U%fU!{5q(Q1<(W!w{3A)8CDAULE=*&n{hC3rxfLyzYKoZ_4;UiRc#x z>{hR%NQGF9`u2876zpdLZVBb^El2#PhLuekrtbjS2F;TTh(0B^c9{Vx_1wR8;!omR zAQnE{r-~a_gJdjlr(C6_TN)oM*iM=REZakhb!;iOK`r?xVXf@j*%7tXoy$8>y5n)} zz6X1Ii3n~>4v9?_pF$qJm7c|O1t(y)inC|uag$nI5-F$XzxUIvPk;pB)^r<}YD`ZQ z$i_Vy>jpb5W%t=dY7Zg0=s+kmAQJK6&yuY#S~hARxJTq1F+Fn>kU-;NG=wN0WQQpl zkSq}ck5fFMs75n-|31K>y=B^u&>FZAA%mAr(@D7m>wf3RaQ?$w0QY{A&0~kmS2T7w zj(UZRbe3W}(!7me!idRn`y>ClQh3v?z1-Hdl{?t(-a? zC)MnbqrJ~ERCuJwT=vGD=jE7l%IMCoF{`wptngV4&UhceePje^+Ti{EhO&ayNNmUPGBO-xe{ek+x5fXh z$W`vY2X6F@UP3L?g8%jDef`ixub{%k3o2;|RUq4<8~lqIpDR@KLXZezXP5-2sE%HV z_E4`ueviCbvgx*Qv$-CzrMW`QmHf8V`2*h@#5vkx;I-~t>qZX0sit~|9sD-N6gl6z zi~VUe08G&ECTum`Ee>78^ctIv;1Ayg;U+~0zj#hAOTslh8^ z`qk0a{pqu_^V?qf=oxzMw;34fJwu9u?2Xi9`R#X`8MRB_iCl62K3A5!5&H^496F(70NM0BFlFWFde={=3cCi~Ldx8>YtGb*8 z+@nuzt!^78Rh2+(JiaN;GTIazpW&h_(0VICVoOtr^JxSp%n{fuI+wo2Tse3MomS&# zUdenGPS}4&Q_MT75Ae3y|FyZW(7YbKJYsFvqXl^<;f7+%vqws|L zI*ym?HPhy>?!5Wb&m_Qq-MzW8v#r9xI<8>i3C(6Gwuf|~BMgljn4bZUN_4Rl-d(k5 zX_@xF!`W4U*goGOd(Hi%*z!zZBUL*$#f)Z5J`% zcfn(@{JkdV5aLYXGcj_jp#(AHd0xN+r;bI6n#qf%5>Z;_XIornbi$e%8cMXztR|o? zDQ#W6lIb;h6Ar@VRSO;i9hFf!9WvAH^$qOD7G@7RZZuHr1F(VQt9t;Xhs8|VxTrYp za{$+Ta`UKj7;#vV2|BaS%Y^}~V=atgl)6$ngJ00GBNwpk^IuK`z7)koQ66muyi2lI z{2qN%ha2Uu@}L8lIwP5;~YZ?7KMJ2n~bX?R{Zm**VwvMf6%Ed{y zan$ZB->)w0v&6SWb{Vge?9%Zl7hSNaRY3PKv?`iUoSk3r;?&z$)V2D47EO9B0mR9O z%@@IcC|R)r5cv#jg3ie@)Yz&+6dcieh>4^4zRV>`fqp3s)5ao-{0x%+dOmQDChv)i z7=ErwCg{lt%o%=}+PUV|Y9&=nsHWfZTv0F0d)tTS8`mwMwUL+5@UWqnaTgu`mBWNS z{}F`!9HX3gcAewFJQ>#I9L{rspf*H*9iD%no%EgX+2}J+95d@rH!T=vBEWZZisVDNKPE|zud;We;96PP7+ECk0AX^qTk4m%% ztd?Uu$`E7LfEbvN;Jbvpkmwk8_XvG$xXE#I49QTw@r18um*n-YnXyAU|!I&%h@p1 z&c6O8pzi7Tmdw`WeO->U5xM0y8MG<-fYWe;<)na#FZJ(*7pE%;9mfMhbwFp3iZOx9 z+Z%J^r{zPrDU!LW>`<^b@oIHy>-vf+EY17~t*;iKccU^(t8-|(Bv3DIhJ$FC3*k!a z+!cFGvp==p#_kNP+ps6nTPauDBPq8~yjy2nCwi^UN@y@Kv*^OB<)^UG7W{X5vcM_} zYukZ}u3*najr){+n`MGouZ}o2riQLkR+%hN`czI$RLd$XjFPbtMdI70z$S?st6N4I zd0eOf*T@v9#Z66eEwyB*)ixZ@Y7Ug947q z`UCPk!%ha_%l1D24;0xC<|iX9#)6d{8$s zZfmX(`pVm{fn-GQy(OD|PoseqDSPjhn>TjCXGPuV$(S(YdMH8^B033L;@m%5hXyMV zt+6_D)qWBX0Dny&ZJPasLiM__i*8NB*XWt0}_n$w3ivMliP7VkR5AAXi@B zY!%o`=6OFAsKn2`$rV{1p+p8SesOxr6ye}Fk#H!&xyJG1h%SR7Nv!bK|7vn!qkvN7 z?uOOXh}dC!>0tmXrC5JHjtZC>8X4S`j-Fi4BlB-@kEWXv%P*jweB4OxCcl)&GQ5OO z%}U~hX)dByqv>ofeFh|*^QJh8x{RbbT57Z@=>&QcB$eP_kW2Q4xnbD*kU}CByTSF)g*RS?>VVHWm&BM`!Olm zfUDuQjnB(qsT!S?eL*M8yEp0mcwfYQ*dxnTsvIrjc3i4rUz@kevf{iC_0fAco>9w3 z!*Rjtkm7{@*(|T!S1`a_BC*8Ei0cK zNkDc@XI$)W`fq}QZJvz8d3+6yz z794U|G{woPUW^ADoS#Q&&iWyCu+43;Sn~vCm5mOY(v#=st}{Am*sWyMfg543g3*!s+!`=s$SP)PBJKKVXEdxDApNzyygvU_`Pm8*dSX0 z`L>>(W{tkxn}JR2>Wxi2`_5goi<4FPPX*bF3+1-BQbBSWiR5Wb&E>_9N8S3x;vXkm zr55N>hvqH`oSzv018J{17xh%lOE$5HgtEt3!QhIkYS+S=E2xO7wdAO;G+kI?ZRK{s zj0d9JWLHI(2JqX<^2cuS7mMdcBZtzgC<$Lj*_H=QG>YiDMRK@|g98aqc3;+?@?j{# z;G^xU;4E+Ww@GSQ;oO@(ibeYlirMi@O7*g6WbSr958-(hd1alpOhW#tJ(AqYq$HA< zo&_|pl+Pa*)oTTvA7sP&wuEaB>MtVRn=lpc8MeZwHXZnL4yDW^Vv&26?eS;dm>~Ws zz23HN6;J&3_v_d)cj7i11ByvrfW)=i4u6OXIaPZM_RGfe#^6SPm3bLfI;LAt$%HIM zmMHX43SWK zNUL$v5|AM!$xezmf9Z0o`a97U#PFE>2*Yp^;XQ)B?oh0UqA5{-v&j(u6bP|L^{9on!9zp__33Hz=wA?(?{PE__u1h7<50Oief*97kxlkMiTbiA;TGE@H z2Q5(G7~K3`uO9TjhpU0>&6D>!1$0_Zi6!yBJvUiSY6I-~)_TADS~*3x{r6 z(pmpo6@q1e)L~iKMYe(>#Ybr{_1RN?(wiYOZ?NO_!Bo=g!MANdP3Hgi;Vllxy3v`C zY<}Za-0M!c_dYJMG$WaTC;p3?BXbNsyAJaYVF#F-O?HeaMiN;XuUbyyr1EcxRHwBB zv!oy<@$f2GME$JkH&#;-4#0KOYbk=?EUKLd+Ip5v*sS^gbU3|?bvU`q0lSgqpo7hi zN;Ju@KWL|g&rhMN-7Bp~KBV@2r)(ZI42O+8bw+PmN&q9#58kozW~!|DyB9j zMU%0RAoPM|?fS6}@36&svJ~PIKi?vBiT zaA$M>PNDu@KK(0CK-N6oOUKo)Ai3Uoz|XKC48u?!QhOw5kJ7CM*SfOKNPze?#(lK0 z^u8_Pti7`M10O%T@G&3*n*GsUV|IvZ{oS2zYlmkgseg?TBYNeP@b>R$KPp z&_0F!3y|5MybTI7{$h{9*-d%FJrr+Du-Ieoh_D>l__2&C-I=lnJ|xv%OzlOi{PnKB z79AnJ8NG`T>M|r02LFuz%rLb4sy`PFW!BRzi-LQa*<_}Wjt*Fz8M>$hKP3mct;zy~ z#TNJQBX^AH;8Ku#a%^v(gOyXjKaYXr4JinSU3nw6g5Ve&iRY?AS0CRxrCd#CW;Cb| zUytIB!2P#CeUu@Yu!LSc(4&82S*~p5JjJ@L^BHf&`)Pc;Yr424|9UQ^$W{5tN4=u` zggH);wT|rJgzv|Si`3L-E&~}JopebIUmyna0>E>`rKbnQ_yCWIx`;H26$bxv3Oeu? z=6HJ7alVe_y~jE!_3G@v7oh7bx)P-abba z-xHETrOeXRRZfWROI6b9Qj*dO*_W*N|B*H1ie3`c_0KT^0QB)rB73gnk-&@_m&SNo z_Sii2oxkLP#@|WbI;O&Z499-I`(zh(`NpgS7_q6yOrOnO*p|;Zf`Y%pZ}G+gVV8fG z?(?ZM+vh2iUH(+H$H!}N6i)oroS=EPGP)mK z)LqsY$!1f#kI?8+`BshX`r(av={VO2*V?r zyO=+6H9cfHAs1AugXrZK5$=^u{!z0LJ*oyPEae);^By(q`qcXybw=-!t8RuW3Yebo z+rcP7K6y%!S>>x2bzJs`0>ZEAreqSRoR=qiCiF?x?fPq{5}9Zo^8CK|y+O4*ZHp)LFl@4EXs^YH4~zk|&_UQ<~+C*Q27^gQz$gC|{K*ASHM z;XRb--^*-c10X@v&4Fw2Dv1H~Fah;g==*J^32aYVZ{nr|za&jl0zZXZC0q?G?dwl7dZk zTF=M*)2$#zc87#buiUYLis>crYNl?hrhs~mpX{ye+%X+2C_vP;;4LQC-TMhH`Z6|X zk0g8GyMM%iRs7s_Lr#O9_#+&5%mdQz!`VM*&`)hxwiCQXUTYg&p9fOFqtNwo>ihXo z)6QA2v%jS!yLZlcDIH>z&>J}g-v5{cX0aGG1z(wdh;Kh(Or?+cGnr^X-)#!7M=YR< zW*(OMP7%(Ft#B@&L>C4kOx}R}2ZfX-MKc&_dw+iOHI+<>tmrIXl=vi+ZNxZZ8)bR! zdh+e6Q=^z%puPm9)09L_1Xa9Pa#!N_)jTn`g0}HQ#?NQr8J^$8XoH-;qG)iO&V{@u zE8x0H`8R5A>M!A?gfSrYsI!~wV04ISyzrCJ=t#B*VERFtO1XM(`P9OwyIqxtZqbx! z@1!upbFc>kg}~{(|JQP(1@@z5rwo|o5n&Lm%=#Q}zVjj5Q4p-pCA2qXKaRJ}iF~ky z(Fdf4H|{%^ZA30o8KX%I3}g-PJAcE5XZY69W8ss}bCZ-OdQ32|2Y~<6FkMf2F_vM2tA;PoMlfoD_aw=P5)6>I%CnaO$GBrXN| zj;GavtBpf3Ehpw{qGYmfs?UC{s2M-jPE|K{gR9+VK0R6NDdFhqL+QpkyN-fyTy#%W zw$}!6%&hRX5zw@KFbgMCHe2!BuBXH+1ReFO27vFpTz9{XuevKd;E}s$2>;MNfe|K? zfS??_zJUZAj8CY*WysI2F#lCayot2%heQAtI{oAJmS(qnH zZ$obMkedlBe4%WL;vVpB?1(($w~Co;Fk#;9uE(=Gr46}Jj=ZrgU*YD_Y~(dlR`<*k z8^px5-CpEfM5)+LAkI6ei&FS-mvLimS$rceS#tHC#C7Si!2LEMVH+ z+daA0?U+1du6GWtL4{Q)Tex0ZDIUc8!=c;c1IUZ%WHZA%aRLtIWI|5WSD5Q;0n}rQ zt)TI!Kt8(-^FSwTirohn&(M=;{(r$i*le_M8~ns~Y?zk-CB}2%z{lleP{-h6X4u9O zhGHP5)2EC0Wp;)E4pe94OEFcM4MAT5uN+rSTSzogG8JVjWBZvL$_oW$S ztH#NPWUf4s^8csWTPoJHoM6Rmv4C=C+P$vkYAxgqocaky#>TFjmW;d)3JxAohlmV3 z18g)Z{$klK&f!%(bdUL zq}QIXy8Gj9Au4b;9v1YT_*3z zlP#`?QSPX+-;a^3OOd?yHU}aL=v+5LH;HAhgY@erBQ;4_`tmG;c+j!fllan9>6{I0 z(!W7zN|MDCUvI4ZB~Ewbx=8Z$=kj_j>3tpQ zK*@BD{n*1a7dAl#FfCVe;oh|D*)Zm4Y3}-~-7olOR5s32>JflgcEso7=cCz?D{@Qybt@u^*S4cWl}(<33v^2!{-@gCQ2Kajcil7-)2$ghg>oCq(V7ZPJNyJc6}A`@U1<4 zLrUe+6*YXXIH^?-J)qoXSUjp{SUKwdKw|(OXLLP~PClB^CDNgUz9n5^r-hHr0K2Yu3lkYN5JtNX5UenqSELJ#l6S;>}t(*;C66pbQh8sw^-K@F$ zyblY=c5`LdU3&0`k!4Yu$e>vF z<|TxL1b&;no>Pbyr8%*MZ^P@ISy`H0;M~Bv=w-oJTzjI$Qsb`wp;}-x zY5JANI!FT?m7HL?2*uW(Fk-omlSjGzZm7_lS1`~Xgnt--*KwE)GzUM2uy63fCzj&w zDMsk_FMt+%AznZI=MP)wz$e*}{IIDi))l_^lCYQ5ZFK?78xHUf`}S&GMU5)2T!zI? zDGgf#^KD(dIFwUalkNoj)!h(bEBf-#TEpX16%*uVS*asGwM)9abM$3;osUzN zXhna%b%#TvWkb~#aI`I9@IjO)Ipl&y5+8g`7a0)~7Dezx7v?1IS13{WW05mb)1vJ* zy}|ANWI=TMput-|=kkO>Mw`&_=YHB5UnPLG`yJ&Aay5eR{;Hx&CTm`kYbVl8PrNCU zc-8MS^v4gvR6tPhq8V1Z(8J{$y5V!`GTsgAcWC11**I3~y1j1ED4%GbK2`gfK#;34 zU$i?m-q_3gMX(FVUS}`KVAvo~SLeSg|A|Z7dRJ6FX>itzGI38#T!s4JHEI&HPwM#* z>j}-H+p;>C9f8P-+EaQ;2sj!@>vl;i9Z2;?2}QPt)K{LE*O!0eY{ScmhxPjJF_9Ic zHge}rw_`KUZ2}?646(3r;`koc|03(G-=YfKJ}rWbw2E}82uPQ7Dka^WiZnwv3@s=r z(p|zxH$xAIbaxCeAd_RKrK3~~1CqIYG6=X7K-*B|zJ zbHtAeRyTT$S9)iP3h@m3C(ZXvqF|!4ipY5mFW|`sl_yVe*bj`U<*xccjPeeMNFjMF zUJc*c!MR^7hs~nM5v3>^ooPJL0!qRXwK-dQ;)N9OLcA@y{QYGLaA9xGT6k8gBSIF5 z{Beb@LYDuYFK1OOm6UePpd{|oua<^QHF`5*_i>7HtJ7fn%&yRqBhNpKVOA8|@y-6- zE`IYDuXQQo0IEyb%Xga`GiMaHe$>WlK)i|)Z$;buqM9})X&v*H# ze)4sFDmFi(b-OUj69U%~F3HIHb>n^SL(W5Scp*ThXuO-OaPu^NA@o}#BD|X%DSj^M z8skroRBMhUwv0$iubUv^on|rEe%M=KB2=*-!wJ0?ba?AzFQnNoa_qI{PIH=;u-WaD z>%-Yji$m^O_w}lOW~B;xPnA$1o;j)JmZ$2sn;Dy5riRQg7&Fh>DOLxJjnAM0Bui-F z7rwcsJaSu{`ty$rG@qu?GMWXwW0AF0*5I!^y0kr56Fq#odvQKj2!Pf zI@+2Ax{*UdUUf|kw(;T#hWcC!<^Eyj6`9@Bq3ZLz%j(ye6S560&DSO|3-2=QZg-OJ z81j)JhhHr0dEuG)yq1Nk2Myyk=@nT+aqB_ey_ZCOJO^DP)C;ohU%So*@`(_1z{gGr z`~{5tY-gE-OBk|rTiP*V1cF7#`;vqTQQvI18*Y*QMzA7ge6t{LR!U$(Xz6w!1V_62 z-2=2i(B*d=(I@>Qe_9574`LVR*WaPJ{*}BSyXe_R69$Q2yj@l>Qt3R{*kE24Jg!KM zNVhUnJ43#cR&*%_4pPd{+>pD%3az7a7JA#0deC4uG5j3itB$H?bgZIe!befEwOhMG z#XNv8_rWJ?_zzFx*j|C3gc8V*1ZN-G)%j#y+x^wVlMcUZBszGI-E9|C+%154wNCCA zBdO@dVH8&-L~$qg9{-E4C(||lKTmY%11v(x0!2O2^I&lFz_45Sv(9}FgS%Vc>g&Pa zhJqCy_RS%mCpsUoE+JiO#hdXVpSt+-r;b{-86WhQ2Wki41Kr2VN|@~eHq6sF92*+m zwKg>-YHQN34|TggxXndid&uZqYbl^P_oh$3z*;##D`V@p&431#0s_DFN0U->Q|~Q6 z?PpN8(?2~7jTN`_+XKXndS)g6eDz!`Nk-=b5Ppq+13UHC!$&Z2K*j`I5{~;0%SUXg zx&=#J5V;DGgFCv`AJ0*hd+kkgxK~eI$Jor1PT>1F+SCCBr5l+--p+>so_T@UKt))CQX3k5l$rT`tFUMUCAf47?my{%?WMk(qjRY%ewQvN`gQ#ID!aIzSJ|%K zR8^6+!a~e=DtxMkQi(YiN}buH(;46m=?prnu+)zxWoY!;1Cwpu8mhQBtAaxko=+(M zcMYLr<}Tp*-Uq2(p5UNWx!$_X~EF9taka zY(4CEKJ25Wd-hx4{MAkSGwLsubA7%kMAVhZ@1@|{8I$nO{&C5oA3RkkkeaN|Cmwg< zc~6r@YTZ);Chz+$m_>+Ca(SJCi0je6ZT23P|VCUAs7ML{_n934hFF%ny{sreXCv4ODR# zloNp|{iqb_6<;HO4|1|_i?eZJxnW^n=3>8nI1m(f|1kYzwwif4&lAb-$8+&)jgAZN z7#7njI^?b!Q3Z1}%YrUh7!x?zcvelvSau^26|BA#phs5o^`WGNd7xf}5B+3VXJ87% zH4%dxJ0mw7&)Oq9`3M>^um8Pwz1SsV*-9tO>6<^s;Mr%F0sXt1bKf$DSFLPSq)J?3 z?GG&d4O>HKtWR95M>?(-quQQ%zg`%{xgOi4H|f&3OfGdA$WDHHlzT}l;9lIOxm0M! zS~)d6g+g>^lc(G*QzJv>b_IPW;r1Yc)62+G$k;6$2(*rm&u2UX^-FG5!=I-TA;JVW zow@6_ja6%?k%j|#77%`Hgg+o9#4X?FaA+>YyOma$*Kn21bwStK(BWv;c-hs7_ioG` zyO7@p0fenkA(?vX~Cb`dhrNf`N39M%$5fBe9(An ztY4?^Wyz0K25Ob~z5r5;{p0kge|>nvAr?Q1P@Vkq6aY?}g@_cj712G}Of3@2G`h^REt=96a#pG#uVTUiht!yvrzOlXO@+u9$HU2ipH2 zFyyVvnVTsf?}wm?N#>90cDD#Us)=)tbXYBzh%#b?Kb2K7E zEw^e#-zl|y08JW<4-aR1?SFn1Kb-3l6d%~5{q5UE8ahUTdL z;-iIHKGQZw7y9=n>Yzv#)dJyyYXpqFs&bu;6c$7ippjusKE|7{Gm(2sQ`4*eZ6Co^Gsqw4Btg=?}o{ufOcYWdb-&jM`UX;`2*8Y&aW3&Uva9 zb8FINBn#g~H6(KJ&d$bPF4x)BY#zMpg}oZ(;E{;x^V~Qkv7})_`;=|)T#TUB&AL0F zUsi_>PB$?TC04kLcm|duN0mLWvScw1bIkw$jaIP27gOJR|xwH0?reH;VDWzIDwV zCwa$fv^8?VJAuZKN*PN?qi0ln>jst-7Ba1|Z^LCIcwp>6Wz%EA>x#I+g=rp+LXMlG z$X2Ye996i0a>T_^9xI*mY>s-x+-Vf4R#iN=bDrMz_iv@6tGt-Z8u!<-|K6{N%{ z%kyEFaF9|o_=RsvrL6zv#|=JeKNg$!?|I%yhjpcc$3ng?ht_cjS>1MP!-x`*JNV`y zs?a0(f2^M?lbJ%UBK3*OExHit3^JnZlwdmI1nP^$h?WR}j`@At73yf^3f5L;*L@hz z%>PViu;<=dD*vDL#5D?9)8>sRzsEDS{P9?-x0$&l`mUNTX}Pl)lpo?k0J^-sD-7th zTnJSdm~$8v$5vWM*yvU7BQ|bMvo7ExEZq4{t?!LfuOS{BzN%uWKx2avll19Ub!)q+ zzXGIQqIK|ROQ7#d-*w%j<-rljuM+$eG<-#O`^FVd;6Y zI|e0lI#qf`g7G(pB>J!a8G0pmF#IUMdHA|EY~$kF3>P3)z(929SeWA~n(;6(5j(YI z@_E&5kU=90FW-#;^wR4`G)@WYXD2V;l!2a%(3!sn39nC+G`sG8A_iB-2eLVwM{B8G z0hoK)$Td3fs%wSK62FpWyMOH9CZFsv`}0)k%TZw|(eYX07! zY|pDPU;EydZS9P$*KoI0Y__}cBhQq`t;Ziqu|vKW@yW6wX)u^#FPLEYh%1|puyFt& zGB6=<5C80I&k60;niSnRiHdTQ0mtFp?;By?yZViB-DR-B73q+{I*W0?wF2dY{8&%g z{Nf)~s^w~Qfj+3_o4Ztlr5G0dX1vyv;k5cY)%0(IaPrnTb58P;P!G&#^5yuMjt}Zm zvG}JA_+#e8kBxu=EX_h8xu4qQala*peCA#3F56zDQ<{V8loW<$S#imY=~Q<(g`f65 zOrK-diea&wI;#Sd&!ptiHX8?gPJDYKSJrFF>8*~%l$#VMSUQYOd$JkwD6>cli|=wyyo(mz}CoKAhcC(%rXNR zmNIttRu$fUE1X)_ERH-G7n5QQ;iTh68SYc_8N@vWT1jT~{<{I?sTng#j8Mb}51Lt< z^#(1s{KTBa1snvnw#3^Utm)W{l>KZ1>(OM#dQ6>pD5f7^*Vksnh~JL%y-gMq!%ry; zvX8cejGou}JZm+}xwKf`=Q3X29$GdK52hTZsq&a4_WG3%|J7S_54n>joeu^-of2bC z7ogpd8@UQH_D{V|opaBhga4JxU3h!M1-88)7MBX5i1Ymz67KqOq6ZVT+7EO+@=Z7|5!{l+BS5$(U83fuY^C zAuxM+fs^|-kQDvZO4WW{Wae^gT%%nykmK9sC)AUE0;cT0_jRsM3{U*_sE_j9oBide zkef+Gnyj+Z@K1eyR=DyIl3;ge6%navH`(J{!EacS9%_pOv5l@!W#NRIC?sh%+h%_c zjteuux5uZ~>Y-}4TTsei2Qd5kJ^E3`HXs+;qI$=EaR1t6Iu)FayJ_%3+RG0qIlRn@DNoHsgK+CO@nsMYTt#Iv@Vqt)NP( zA)Z(@^k%K1lNfSl)x?R=4cnpgc7=J!&@}L@9zFL^)QN%1fF! zo(4MjbcLgOnGb&`O!3h{a&4naD-pzNhvcAw)HPzsEW~X4PGf6R)R;Q3eN&C2=bsZC za$4~Z7_aF2qXf)~bHC;MnHCV%D5U|ROzq@Ezg`S*AU|;c7MKv2kP6zuJmU#z3Ht0oC_oXkLS|R! zJW7{C6;{%pxSq*FmXY&CGITT)^?inA=Xdoa-NHvu+}r0y~mk)6gLN~gl}J$MK8Q?@{lDCiyd#UhBH0H-9VLfDG-V9 zp2{kI9^h=V*r0~&)Jjc$!xPr-zouTRcX%r%ADwQOgM36kn6Rju^wfd(R<E6F&XuE7yjk5{5Q4bEqGB8 zye_>82>_^?;-d+I^*=IPnFfa@dtKGi=T__)1HmM5{FObn@hf8iN5>L|S6#jCUylc& zmHc#?S8`U)qQqg?a7aX5DX~qGT@{c0C)|M$N*Vf~kQ?Ci(K4qAqA>y!y4s8{DjyCq zL>F&8!B6jHmt$CGL|)a~Y&PtqOIcEc=xNW<8tTqfmUFV8W?o2yx3?jiB z{vZC9nJf9~mB&kMav!u}BaWJDU=74IatnW?#n6#nx6z-`3w3QC`U@pRx(bk(1PXIb zal?U~A5n6BEBWJ=Iiun)4a+`t)N3sui3~S z3ge`_aerF-`+&t)kD=)yY#fOvg5YQFMOKdm zUVX88j0Y46D5~YdNl4Zs;0XA*MiiW-`ypkZfKXk7*yAH93%Z7jxd3+e=0|I~?va*3 zbY%C)P=F}WHLUB&^D61*bJYC&p=|NHK}rtq&4Y_{&GG`-C)h)kZG^@LsH4SjI3C}< zIoBR6Zd@0Sag1E}p{}|wfeWpO;uSHNFl?_luhrOJZcM6otpFdGa>I=+mwb)8l2K`h zHSj?xcgkvAaecc_-HQIw($etZCwRNBuFnMH)H!8UsyQmy2EHo06raW3j=NRG1x;>+ z=+oJV*i%n+_6nRuwzR$}%S)A>=^8izExL2$={6fjQ46fH11+B!H@!_;nF1$)GFLhyN6udItU&$1& zVlphlJh ztC=x@(`*{7;1x`o_U>>sb+uREMA5jvRMezz>(x~*uo@^ygE9qH-}-^;U7JU9_)w!% z-rvh+P$e!Eg2vFPz4?xkwgq5;24qejD?zt+b%i`T36$6)J2R>1%RMhc0DUX2xqZu> zo?=UzNeh`K=uW71eHtdl=bLT0Ck`YKVdCr)Y&P~GQclns5mTq2HEYkMlr!5+yREjf zgX+W8c8-zxwN7AzHz?T|+wqQVRS(jX1)up~4!muHYa5yaNwLA#7LBZzcr?5`Yvx*nVN)9ZQ3>x)6 zU}En5?EWr*nsB43k>=6M4#qG1D${@C{Lym@l+e?Mheh#;X-ckHfwF2 zu|+L_5iJc@nbW4gsmne=q@5uM_4n(=jp3D^IZZ#T6JBN< zi_+D(S|LxqMHphTmlBq!_ggJG|YKV_awc&DjAyf^q*^yZZc=ko+~FRCtmR zmQ5~n8w@wXXuGc7_Q7?&peKxmaCCFYl-C+gqG0d`8b3ax$F5<*8OoPhRFXuu&;6LZ zex{aLED_WI?G3qZUv*Dx!>eSGYv@AubRY9=&#KyvEv@~&#=(z1CaLVKq6V)S zjCc9APcLh|U&5;XIw6(Td;rpAWE+In|6$dN93P8M#iCFxJ{^$^oE2a{^bjH z!S3rA&csn!sdc$$E;C-RgjT1UicRrhziyJ*~}5AvjHePRPkpK5vk_kSy&{r|KT?h%HQClfL? zKCBaOofoyn)GQoU+q}g?o_dU=InFgEnKbb&mBHQ40-Wkbeqikm4bru1%G9qDFoAMS zj%$q<|5a2_w*anoG%Ys*ixY4qI1sH|LLsfK)u3IIxdS7?X{Wv(^Spb!BZEesD7LSM z9&fkMlx26L?~=EThp(frhhZ&Li_L94n)lX6#p~C9LW$A$%``$7iR)tR z)g-xgo-EntH!|PHKQkQ&?S4)o7hA{gWDtJ&S@C{9-Z8kl`-|w>!0q9dl@=-Smbkb~ z4)0Xp6#l6;eN1{#@#FJ0>5O2sK@Xw`vwr)1WxCHy z{%6OUCP)8{`cZt5W+ZDui!$4S7~PKj?$5$CwuiSSozufxy|#E~XDf9L?ukgtE!FhPd-MO3IsxNPUj1eYMI@I*&d9HycA_svVwVifDI z8RWN70*!%Y3(H4aHb-Y;T_}l0H`4FQbz!o?2{TeMo zV7y`QO0-~^Ei8AEC=5*Bp@OcKVM-Y|N@+S)AK6*V;?*{h>DnG}JhzhYW`dcENP8Cx zz&BxBpH0O$Y zI~55-;MMbY{tYH~7=xzUVi5E)LB9F!&dt;dJ03XO_R+e@Wlp7vB-RuHSt*S-5#e78 zG&FDO8ajkd?P}!(wnjTap)6O#jmZnWu4Yhhusl%~gZM9RaDp~NeD<$1q%R$iQhNK*qnKjWk#Th@c%`L7O(U-HK>;3JX{5FJ&}37AD9s8r1NQ2)PX@lVr52O63Qr z=QfC!_kzx559eXCQNe2-U=#QPpQBv1f#cltl_PSL_OkLyZEZI!1;koyIFANb#$ng~ z3^&^cXQ6@(Y)6-yi# zSCTq9S?_?Xr1;L`;6Psm1aBit6Eb-9O0E5OTWjz34y7sQ{nhKHZ7Pxy`E#tfG$Y@q z*#~Co;xmJ6yG#o_Ymmr7fPI@M)gfzO){qWeqzhCPNhhpBhf1`$Qj#g08(dacZ7l4; zPWj2*omV3LI-^Ei6(&-~l$f&o`EcU1Uh19UhaIJPDMTZ}R?rpWZ{(P0`L2NEXb9R&slMgKH@|Ih%XB!Hs^k zS%N2E1(t`#XdGW-yO@sTM;5=Wk6ieMmdsB0hHutl3pf8XN5_*{WHu%}m4ASeHzY8B z$TF4WL6(6N92I#>QR&`=FWlW;C4=`aRAKQY{B|8kc8IIl%A|&y-Ht@Ve zxq|I7JDDDLJsJIhj_%>(ZZG?1&uO3eaMvc@7TK{tu2m%lWP&WZmC;`?$x}9-@gPFr zN2cGMLvqh+n>?&|j0ooi0C5B@nOT#%4X8q33K(uBvYFSY5G`-@70R6{bj;+=L=s)w zBfvAMvfnhtd%f~ZOU1u`(JiVwUPclFKy9{3A^uJu%ci?xu52USQ*11Vvmd8*T<^7m zNLaA}7jSRPBwP2>%4#MCQMD&Z9+m)&df#L06Q^7$>u#O;D%FFpJr*ixF2quVqES1h zeJ9_j+I~rX=RT8C(I#?jaTW}Q90qPln@^p@{313QZoo^chkOFU8u|-y6Tp&zl0*mm z)KnOEj7&7G+0q?d8}?FS?v`b9GXf~JVt2C*>94q*5s>7+Tw%)x`6=RVDs!9UY{SqL zx6%1Jq+II9WQUs}0`Od0HC`)rsF)?cq_D--4OJe)h0rNVIEQ4>Usnx4Ymq;-zE;X= z-5b)HsOh(ZRU`{mqPgG@{lR7J-BfOBSQYGBylf?h_;@|&yYOZpO~7c`w{zChB1~LH zN*S4DXN(moo2zl<8*LdvxOy*`NBPIkR@+&62VP2%WaQW6e0j8gvQKaP#qyIs*Dd$!;V?^^?%1(sov&dz`uz`^BH2>4ohhlb3Yy!f7ywYlRO3 ztU@{4r>*QG9rpCl;EHTSM8vV!76>Z?fk_<~T8oz#!KlPVYyR^|Jn~jbG*?ru87{(s z+1r!XX{*|gtj8N;RLR!gTldyP4rt9~BejMdXluh@SQAbb;A=)CE?r7M;$+wY)DYNv z^|`a|uz=}Gh3$n4qJjh7 zs1}+3FDlKyI{r?S?D4gCU^RiqKkk%8vJK^R0zb+dk{ib1SJ|}C-s(Xjrtg3^6Kuy1 zdO{8(9)m6b)XrzGm_r{~;Q`)BBsK`3)k&@UTmT8b7m}ja+wl%{^Kc2f>G)pl%^VH? zHZ1Cfyyg||`o`Z}_%60vx+%ajAP1`~$`(C7VuN$e3VesvyZ!$u0%vs>q#1*BQB zp_6@Pnt5D(j#_2AtTmrnbcao_gZd2dnV1g>S0XI+eu-o*T82VKy)(%A^Fqh;;6|j# zj|U8NyG)|p!9Cl_y}e0t$pGvNqKFCUok2^hns~u$F;c^#{B_`m3(zX&5Af+czmHY? z7z@B~9re5GVQbEt%dI8Pf)lp`8)QADG206Hgj6)f z<09b01s2_`Kk(;;^)#G_n=Z{@qOzI})vCQ}aZrjuH7@A)*2Lto+ebHPST5Eqc^X8P z;0by%T+;dH*8OAEB{MQg|M#Av^~6c#I|05#Bv0I6 zPR8(_6tzLJYm6Fe+|S3&IlrQD1;aTKn(ZXich{#Ps<}!f*+vT0URX*y`fD{E}FtM;TyM0U5@3>Ou4frfKrtRKNNi{SCoqf`sD1k3FLDz+Geb_ zX&grTM3eieG;x#=B00--de!u={G$XAdV02Hgu`48tvOrA-^_YE6t1Ouhiz>0GC2)( zY*RVXIF;@UYdwNBi-K&ft6Btx%&I#CMpEKE_C(X!sj!s0Xk}9!l=}8(iR3ens>-Pd zX>WbClrj?qn)yV5o!m|E$XvO$60{O#fV8}m?e!<$V%*!P(m|b{BhvDUPfJi1B_1W? z=j7&`DeT2@9$R|-T}tO!ldCQ5Z5sb^03?(jq7BdHDyp?xJ#6%@Zano0!{^jZ?JJtt zioG(60-gTL7*Gjrb3^^ME|)ma2O*VY3|ps5^!CjvDmt3-h3~3zK4!X$7ej@4ZnmwT zB@)3oSq`r|miFj6W#L!rnJBZG=QZD6gP@cPBW!okmvMV~Z%i$0MquJq-wQpqILklP zomNSnFXvEf6}1&Oc=uT&wk{c$#l2ygX~Y=T1`ZpH#x2{nelqG6 zK38~KV7Rt>;eAcKAeSwN9aVTEw;fo&Mrcr)k~;M3-YOt7k*YG+;8?bo&E4oW@X8S5 zUQX5jA;eVQ*(@@#he-!c|Me3&E@RB}t3GG!?yLa4@tX#mCn!ygnnOvA#=T9Fu%V45N2&OMy3nLHXGqz20{eLjTe zn^(o7q3{`d2{Y{Rny;(UoM%U5d*`XW>C<*TIk-^vsXJoMNr)3nW-{o``(2&Ca3Glq zw394|-#7rKL2V}d6#~~LAZE(~6Tt#(?t+=QA%AXgMoFmOlXhS$Z9Xx|MZupR>3fVC zZrnT4#L&MQZ2U~RCqH)v?VP^~wuf&(Ec$~X#0g=!TN6@-_fiX9Z}=cYXi`(nvchuK zwZ?JI@ejBppYAM-9s+(p^y8>=XO~W}E zW>6W5%KSb!woyUQ$#iLuh?cD$A|+iSg6Ad=t3Y8Yxz=a*$0?e=v3Ks7{Es`jenEZW zZz&^~Lwqq}aeDyz&uhPu;Xh6o4wdIee5+ad3yY8y!%K{0T%1m=;h+goI?$o!-^!Ud zgbFgyp3Ek8Up<9jYnKf9(e?ZEEwL%*7QG#bYZY({=|5^mBZd> zh%G;0$g5Es)@u^Lbp96esj2!Fixj(cAjl0tU0(H|D^4$Nz$-`ON>AvyR<*tzJ<8#e z{2Ov%p;P)sQh)a%^k^hMB!??5ejFY=z z={-Yh!{mO^anW6#D>3fDiICoZ^7{(a@|q*2Y1IerC4j39NfoT9UD1gGTa4#!J;RhK z^W&gmdX4DaHw3aT`8JO-6Wd3XV4`YV9qO@iY9VjYN=Y4GHmxdAn^ivs?cyi`+FZ|X zo4Z!dMyh8##DsSLy2Lz`A^2e28Q3(xRee%-WQ7x)=-ngTpElKpy$Z9u{s?@15G0y* zT>5nXz0v@+HLkpdeCZ#aXb`C}jX$N5@l_ZuPr%31sm045$1lX4`%{k;EJu4JMZ;c& zl(L?N4YtHK^LW^4-8T}S5&yV%!F_9$DXBM?`wii_TxGU|Gz1h zF#FNRqwk=ZYV;#tS2_0(R-%(B<(Td|!ccjZ50e)SHcXc#quKE?v24pU0*ORmV~y&B}WL z81|4$S|q)cGR!hS31-Pyg{+B}5`>Dgw%w-tHi2&50xdh#U?dTcox>?iXX|p8C%C!B zq5?T%>vWCSd?lWuUXpYpo5-VjnXl=qfPuMb<}oaea> z@$6`x$N?jnTqZHA?TsL~1rXj~3KId&W5kG>uvG-hw^38z(Wv+-SNBW?Ovqtn>oCZv za=t^_xR|YK>g)Y{-fFhrth3*KP!r%BoX4tZQAXmbVQ|Rl>M#-O>KHxO>A4cP-2S=D z#}!u2B0;*_=RH85&1i1?%fa-xX{_J&ZeM>{(59_vVObI*1+@IM2?4hIY#mOoNl7$X z?~slyHCk%cNN$Iv1dT7XXi8MV*Oz&Ep|2;fqoXZJ@ui8qE{L6N?qzA$dy#+?Kkeq8 zXCr7e00{3xrLkMmSN-*P3d;8Ee{zKYj@peS_Fwctny_C)iv0^~Vr9P06K?&Qus^78 zM#4IKWp*l8tCj44+L*{OT5(WpY2`{OP%#9)W_};$o2SAWb#Y_`Zs$zca25+|$sj)f zna|MK@kba1gS!lNZK&O7{C1uI6Lq}1Zm~~4!aI6u0(2izy0vMX@08ZAfi`G?`fCgm zkLXVSni4e~&k+nWNNERB@yu&nHTLy;9~S+BqZUsZtjgvb0-rFUF2tRZ#bZp*C3S-| z8k_2Zn$8_iX+RDmM}*Da*PXX&A*+igY~oC25d#A~=C=ej4^)Tv$z;h?v|ip&4EVbx zy%6>)Oc+AHyssY5UOc)|x?l~v-2G4ov4Xx_$GJt_dNc=fc4g-A$e}3|C)ApI-$}kcIb3i~C#}kwtdfJt8K} zcsTLL@((|~1rwl7OQwr^v2xN*M+SamzM*vw)m7_heyko&{N9ZT;CuD5zOW`veN>Z1 zb2lur#}c281tgmogZv?@fRS1ZstbEMs`4G+U7sjxscPD_jI0O_ec+={I;XlkIB65n ziPwVew-5KQCqGHcdVKgGkf2Q#s>VmWs9O6P@ZcwF|6vrs_3PE6`7itpXLySBJk-7i zE3Zj;&g24V$Vf@n(GN_WM!`_!<$VoSj0_xc-uYG9Xsl!L;_1I{xo^vO2c++bAI^67 zU{0I?qu%D~CGuJ&X1C~8*15gIfG~m$amN1cdW;=S4O2zWtuu7EkG1`+%bJ+n9ujNqIY9Mtfsd>8* zx2rIhx3h|&pVxC|)bOzX?8?oqdTrIH;OU5lW5yOlaIcj&nI1r-BvRX56MeJ**UaerRy*t%1cW0>i5By&dFmFN4yvw~VnqD)HsvI* zgntCprdvtfbNsQjT|j3a=v6fayTx!{eXcC+5K`^!uXfJ~`F!dz7L1fa)?*jDXd0TF zI#wT{2BQ!w2{P$+r85xkNze{)@{NkQmn5yd)}>E2Jer87+Lcwu$Iu% z&@1*onh+brhqKkDywF-!c(_oje-#`VL9o6Mr#q@Cw)i-cTrBNRFs}GI74@U$Phfd` zrDl9<0yjLt9$eC(7N3izV1}F*lh5h@k)*x^9S^bb1a9ia08@i&QC2c3#Jgk!*Syhx zpFL559jW7-^qlDB8?L1htCRM+=UB(>6_3$)4tGvk#eEo+!JeHdTD`vb*fQ+*Y~`D! zhQLcZ&<JRGWaju+T0{$h(lsAh6KpEV}d86v9hU0ANd-O6Csdw@ZiDSsj~b#J&g?(dlsQWw7ixaJIz{s_%YR^z_p_f zLs@DTYj_44JKP+ur(())*cXp;1puS+QG^c(-wSEm_YKhvM zJdJd0v1aRXlm1CKk>1Js5vp13G4ZrkMbM4Kn7xj$zpFr?1o_#q4Dgj<6J|kJe3Au_ z<(^4PA*k2t>|kT?rb4v~LxOEH_VxA)EQzX0Wn5*KYBAmy^2~QZVI#Yaiu21LKe_SL@2qe7Qg_ld zuN^Pmq~H2uio;Sd-Rwvo+pN#zo!g@D|Cj@)3meM~o1~{96Ulp=n>(8s_m!;?`p=7I ze6}C+>~%TfCx#~@cuq5U1gyd13vhVK z5tz@mwPitPKX?j{A3q>VDa4bp`su|o%aBx*syq0&`a_q0Ok^@4p%9sgZ0ncl-NR>$ zLDAME8Z6`n8gACQKUgLIEk9joo8}TViTp$0K#h;p^Bx{h(RuFhW>6>9L)8AsTNJ+b z1^E^#Mk8@+wr=*AdQ$6eq1QiT2wElQ1K$fust-!ndt~?q^j`~<{V#m?m0ezkvutFG zlKBTxCrcEQD-h_hgoYf%)K%B^l|8y89Xo49(hr!5~kbd-LNu|A!`S+)12xf+2L;AO@TQ{zS^JV`ZeV! z^E)M>pr8(X9v;2<-KMLv&RnmLNTO8ZV@dsLEuJEU1ek7os95jsavzj$O}p}WB5egu ztmd!#i-0!j0m&jHC%JWv&+HBEGE$ zeOokA?Y5jMIGoQo15Hg9-Lo)(cZrIH>SC2ByLD%U5q-z`deDc+hJ>at>9>VX-GnRKi@Jvw`(= zQd1({{|-yF`at~ifX$6sQTjbbMZ7FhUiN1?>dI!oBuBC5g~rpJ?(8C|Z}B(@deo}- zq|IZW>8OTBJ>dVPB)|%mF0Np{d~i>PYVB<%%FKTwXY)GU)uwp<{GLGbhDpYxATfdJ z7uqOH_)w;FVS&mK4FI1m4ku?dY_=RH&~sm5?fK8aCwFGs{(6;+|0XrOB&*@Sk4TL< zeN_5{!_Dz>Nl3wmabITwxGX>N_AEUWdt&~gIlThkf?~-%oSE@$9V8R` zF}kU*rq%kjM&8YY_bEiQ`9}-cD))l>vHZjc9s8Ta87=__YFtu!@1-BN#Y99J9cM)% zlsc3`}xoWa%bvQl1VDq@D|p@$BGv@W@;`pXYL-;WShPspFs z9VChN{FVJSeqtvVvJE5>7FtFnWG?PFt3M%@)8Y&`Py$Qs_muO5!2AlxUbjRD;dFj~ zZ1gU=8#W~QA^pr@a~w1(<-~*6{lo1i3*V1*N+u^$!Iu|59w3)#(UTR zr>598Yy@_*?gl#fmA%S3Z1zkq^00d+QRrI(JK`ofjWb2-3kSt)xiM#zNtcR}w0Mz- zVOJS~sbeiay=W(V0-Yngss1O0p%@J4YVk?(7kfR_NeDbastk;+iBsmTsME?i^w6G8{OlMdTheP*zxN8c@(nSBC4d>7%FEDd;6i!_8Yc zjOukBCEx!tV|RZ}iZc&Dbus4c(N3`jzpQp#iXBAnlBU23%u0H-{@H}vT;G--V2?1$ z{)bz4Lk;@1&hdnpL&KhLo7(Lfl;!JMd4vWvhCP9vRY3PlEI?1o?-hr2{s1ZcY?;23 zx5vISGKDn63XDIjOl++{Wu zR3d?=p~Ome7M=&ZV9CFK>D-W!8z$}@=YoRQulvJ-x3?;+#_%cBa;e59e&`EpT z-saYo-oP6m9#=lRZ>dkVob>r@5(pJF^?P8tMgDbl?2AuoDE14beBkaCs7-Rry$_*j z=WCv2UX8Tp@#*5TO&M6??uRgJ@NtV&&3z8ad9^Tnsrk@|voZ`QQH+?Bba6^V{hMr_ ztm$obnRhkl@iK*x0}uAjrLzNvotGG^wrYc&C=8jbuWfF~M7- z;sw5?LBAL_w|8e7#jfmE@fk)S{5EEWOUp%YT_C6m_WUeWXuz*wd)$xG=;ZS?<2--9 zXSzwgkAJ%cgE$wcMVAdcG4qE?aW`#k;n!;;0@qO9ML|;DvgOipYrOoD?9_z+=x%+U zZ3-@Wg}ac0J|_e(3LbDh7+LqnDA70}k=i@o_s;oc!4tDDc5TQ< zD>$l!N^ak#{xIQH_E^Z%O)CF|gn^Po7<wt9ljlpT2mbD^dZ010%2Nw?XB z)7L7y@6z|s!~xn&y($g@AG^Gi{T`|TA2@*j^^0euH5c6M@vS{~{>EPzGRiJ2N_GHm zz*GVnr$ruW2%6Z)M=N%S9LGf%)rlSV^A1nq^JN8xD^*)2o-2kJnPchqF_ z_?u%NaTc4Bo7dw5Me?M7em@(!fk|}`WQoYJk{vvPEqOk9@=y4{uO=KhS(kA~0;6#a zSFCo_#`=ufH&4zM^3y>OM5@3RmPONKApd= zqveW}zVYylnNele^pQC~mhm35^vRkC@A-VOZF^CAv63KZ#jbSnoNrWdN@ zc`JEiKmTwmKE#p;Zdziu!=wi#llI|4)~V6f{fq1$Un;E9rL36rLn=j;46Nmr7Yj3j z4Qgh}A^<6-Zts(Q^QLh*H5@LEb!j2k6rcaW*jq+59r%CWk`pNvl^!Zeh;-Kg5m0H6 zt|6VHyHOBHrKLeYy1SXuFmj9@Jw{Dpz^H4#>%PzV-T(Vs53Y0eaC^G#`~JM&uNP2! zwJXc8MX|rz|D0#t8b&_1dZ2wSpae&za{g{k>RO?3txt=)oQn6M{0A2>m>6X&4Xd#MF3z9P~8c4{z#xt+Ci5S zE#O3cz2zRcKfLw#k`6;RlFuYWyWqDbu^Z`WxkAo9GTy&lyz@2C46}SM)-Ske_3D|l zs8gA4oZ0X7h(Q)!lRTQsy&yDU;v7p2PbyoDMBi<`%{`nHxhwYC?h3DGaY6L`Yu>M^ zcghh8F4XO~sI84yu9)X8Q*3A3k~x>`R!>l#n`MQZyHm?V6OANfBsfs9#1kS`hmmP4 zi!?qY2qG+l>8JR&Krz3g-|M7Dv{Gysv7wdI4HE@GZg?Ap+b3^WY?|`mO+470OMN^D zBS`4~ebiPovU$x23PC*kuG$h8ppcW0#Z-{TXsHT{^?p9wtxmSs&ojV|SijDvM9MSm zsmU^j{(OOn3wcxhxn?!N$ORxp4KS0W^kK7*UxIsMxzC3`=Y9l<-wEMoe@bKU+FQA;-Wx;X=XZ1DgSy%Hn3zb- z!ef)ADX!NmGMQT6X3Tl>^>td)X+vdB$Oo2V05?)hVxht6bktZO6cI{!lA1OPP%Bbf zUnNsL-A7TrRSm{+4TgUf9}kgLI*TVDUq1pZ@6m9(^B(^JhBZXT9EK)cIlK2tnBRn# z4;d2VOaxZb_N1nI9yo-Gzqs=-a8U1c>Z?57;L~A07ex4cMw^~w{K0g!9W;Pt4Zvt5 z`He4GB`3k@yK>J*LQS$nMXC$Oa`}W2TC8i9gnGel*QTn zmFls{D+wKsGL-&AL&VDWnQsN($IRMe#!|z%YX+~l*d6hf1N9&OU&}!x8{uQEa21XA zhxg~MvkrJ{S-=yVC}v-VADoam4c{YJatE5vpNlo1=FeZK)JL<)NJ-8q1Q(r~e04WYV6PfD9r5r*k zLn*meVBW*3Mt0!-F$P3&JSo-CMEA3BVHeC(%Dn}3B1tO;@Op}unFVF}?0~IM?2wJ; zM0XI@|MrFf(Nab#5;ZJj`*6bX(Nq^N4>j`;6?1+lG{TS>D~>?tRm$no)=MysZaJ-8 zzMkqESG%G1c++8k?a++=9oNWQfPE7zb`r~T>pk$zR->qJX{8{8G%nyBk(s}`cpa9* zrX{HkUdx@ANung=a`J{U;KYt{Z%LVGp`js&J`io|w2vO_pmsnm)FsvNtqiefkLB3R zAx;}QSE@os%d-!ph4|QkP`f2O9c3oQAmH(pJH0o>2epBa_ym4On_b#RSJ)}Nq8Wi49%>;wtC?& zzY>PZXNWYo6WwTF237Ip!+H4&9uam`N7KUw&)LbXGXIZ|35kLgV~f}NO6JT9=M>IU zrt*HLS~9zkVbgFA?Z{A^XLM(4ool1S(u$#YtdZ@zNVOsJ4*n<8E4^rNz=G#De99(f zY_l&fQyx6z8#l{mAuq{@upw%LBitgbhjv!p5&`W?L9j-xCOwJL-361G;9gnE9D!bS z+P@dj$2Q){E(#2azd;tb=I@*@&aIH~VyVq*XzK54_e{y~d%V^AQxFvyy>dMKk0Qwq zbN|uTXFcoU(vK~e3Wv zZd!4LCnRn^s3Sh-Q~qTtt^$mNDACdqf9T~?)L=PTawUFQ)&MA&ttfEzT&IuFJC`Ii z3X{CcV0WYCzTH+5n)9L`ba|;%RM!y|jk5z-K#k;g1V3mks~H;yyMFo9 zvbpMwkv?Y$82|TdOkA;;9bxTbcQtxQeubuxfUBX#)zF*4_&d>)Y(`Y#YE#meTdkt+ z6T9GjI$NKUJ+mZ1&v41z4VAgdP*zg`rpQPJmJtER2oN1%5R@x}A;P2fkZ5n&#>+e+?ot@DIjC!$wpzaGZnmr5 z-BP!?ad;v;Enn1y3F!O$FMp|%w+5^6#Q4MHD<1hA@lDCiJQlua7ziH8H#|Ft%I2 zIz{;JRfP1DK$2AX3k}}0>Z&z{eJUqw^}de1sqPjZ=50hL_}#W6W#hG~o`X?GWw?iY z1_91wD%*asI^1ssBDRp~;*wQQ+66rdr_!5vQEiw^ljiKKWLbY(hmg;aMk?!FH;;b(82N}CrpexA zMo?lBJu}qk*JZmI_gwsVItsRZF7`kPc+kJ|^2IspWv~y$ zk!*rd0u8bvNqy*HK;&!$k<}aDgD)~+jDttS)iihR^|?}?o-i#&)bD z1Qg_zVqW7q5dV8Y5UCHe^gZX3KDm&? zQT{GPf8I|z@eoTR`51QjedQlhh4GI0PcT1R=$c>l{Ot@OlY5Ab(q@aSe4sLz!D*Iu z8MY9aP`n7Y6nGj+*>jQjdGRmfcjv$>U2g^tzjoIhy_5>>fwu!PFD##i-5+nu3xC`H zz(i|wLtuwT)M=tvh1Q+ml$NU+b5UAyLWAc(h7Y3cg4TRJ)`qC`{J#v-A=LbFe zvaosH@@9k2WKovU{uY)3dGT-i6h+uEN$R-%g$A+De8Q{K?|tXh`h1f^l+Z<;Jv0FS z1)r&VPWgvPazUp}r8Sjk1qh>+6JJg_&4GEQe6X(rJ66qOZ(tWi3CzY;?>;lB3ZX)F z+P0_j_R&XzsUi!c1SH-6>LXu|;4DTL&j(`Fa=_Y5RR-%Q4$jDm#F~?)VT7OJ4g1~1 zBjV!wBfXwXt`j+vpuJp_h%rlCHccRaK(l=Ca89DDG$sgsWrMzOQxw zD0SqZesoac?>If?!omqhABMN}`^=M@Z097(e&{HON?qrm`72X_^nzOPQc|+e1< zhzg-{O$SN`_}0kcbfvK4gH5<@r5+0okf$@$m-;a%fHk7*yyled9L5QQT4;bKWRS^w4KCR55Y@RN>x84W# zQg#Uu(zZSr6x8cb{y|oybbhVsnm{AOcs>11=ZvhWUy7OnTv@8B+%$*LF?ClWrH(-X2Cii}WYr_8|G4LBUy zA&B59z$Kw_9Vs5}g@xM=VMmmPLq^4=oQN|va3E(Hp1ZSP+=XAO`qTFd?`r=z3tApw z;`cgfijF0)fTeklz^e&}3RVAI$0w%CNMI;X^vyStbPGUgQ7 zR6d3)>2vAMVgQP^8$?jyMO%-3nU80d)bQX&#_|OTYR$+SsPOds5mKJo_D?vR4IN5a zPbUMH#oOiQN9Mtm9sBSn(C57BfmbqraN`v=LQ3ToYKdeXgL~=Oxr>C}=zX;HFS&_Akag>jA$)En(OQcJrN_rjtB}nctHrvpU zao9;(!F&a4W!HvC8p#AYGV5pH11;lt=?OFH7<8_+{O#{U%Rqx|Jlyt+m>9)P&uSt@ z2{T5`{`Y&%Q^o2(oivN2!GYFT!5g^jNi3K!ZAUH~LptOZ|Bs4_`3~>6MOZP$)+fEo zX&lXVKctEA3v~JJyKY62Cpe>MTSkcOT7`1N1eh;0P)_E(EEz)H*N5+lyg2auX{)J^ z;JFH+f6e1bppW2nt`zp8W5o&MNXS{i_KV-W;rrEG4}_FNI#tY|1X;wk)wEB?iGC8m zdT99`FS4dVshz7kbCn}(1WB(*b-nz{GMdu;S9fCArI;283lJ+VSx5EpjT3qv-HS@O z?@A9<1s?c>FU8(`I)hS2wEEEK-)()*7A7zkKCal8a5E0<*Mj=Yci+~B^Rrqw{EF>j z_*eG3ia+Qd-06Vz{Ifr&a?TD-`enD0<4-W>ZdA|_n`@KJeA>ShXY3tRhOf3TK{b-Y zfe-uy@B+N6NFC@oHd9$Zx?sXL%_s$F;Y&_4BGk-3LBgDC1B;xV zvuW9(dw5T}eM+$Ao0l1>)6~H8Jj1RfT~>BbZhtmoKA@F8*vSzl>@zPEbdZ?74eabb z<5`MTr>7#mxeFQM52C)cwYuU2zo73Vh#-+5P#z4e>K@J=Wj}-FbY}3*1RiZTeqZSvQI&MNuTqj$&Dr8Nzm+6HMyyhiIb#4GqqE7k{f0T2**Cb`VYr3 z2wag+{%qD1=b})a?Z1&ej<>}`3kub@oz~^8Ds)^@h*Ah5zhuR1-B~CA-PDuDoBYPl z2!;$iraO5j#x-I3fqfPW{p)LK5X8ML=w&2b(KFUKKeh0FZaqBAlR@Y(fwarnGZyb+ zDhw|l+3snarYPbQ4LHOrltYvV)|q?9`A2l6Lv*znU!D*5U1Gd@Z>>n_GP5ZDKePsVS&`P$9L3}niw z`*^-sYc6y+T|sidZ0>4ZJ*(9q{i<^I%DU_{Mu)?@&D^p<#4-hpfkarCJhq)_# zbpBNO=&hb7vw_(x_PkTzXL3At7(;UT`E2P5F*-J!uyeKlM{0M#V&ErRPG~Baf{rBR z`S!Eor>qx@Pge!O)IRpj_gLOig(+zPeF=f#Iq`sWdeAYcBH^%n?=4Yhl+0JalIB^} z>*FWp>k7#0qU((I*MK{+jLT6lfR~gd59V%+LJGlD!h_W)CXWLti2<0XlAJTf3_~Ig zFje)%(E0XT-CzECH+umX8gB4!mLdwfW_B-yR0@!>Iydl1At+5`4C99*IV_4jp(!V9 zqB{=xI}or4(|^|WWtS<0m`xLC#OV{-t}eT6Q+ScF)uwVcF06P@+Lkf0uLx)uy+R$B z?_B*T#*(Q-G^()vivW@}Cx)QDqe`TT=`(Soz!R_?#wLHIo~3oqg3e(>0sq!tE9Vh4 zz&xnjgYi5mPj~(Mh-lN#PgStQOi724bk(L4tYGiPhJn?KJrg?l`R?`_F9Rs@Wiwe& zZBZHxwV`kTmuHzB zzHSdt3n5LjMXQr!KU515Yr$(MQc`Lh5h`=Tm!_5ertSSXRsDUSqR8x6E3y9|0}0jf z8b%dG8~gu%IANm5^PlEuujN%ibw^CS^u}j0ntK1UgEape2e$u1PEoWL_WnNKgNXaT zHEb<5GV09Za?skD@~_!vpP^ju0q*o$gbUmUfZVqQCA7nD=-?$>`E#IkuVTYp|< z7HQh@iEJ7s>0Q$vOkM3qpG=Syv~cT@2tMI}ocIs<+k89fQ_)`5A6~tEUzc>&gU11m z>6rFB*vt|uYA+xeT4*x!EdzbTLvJ)&MA=>)?hg)SIBx2d#|FvxX!!1myn@Ux5?_~a zo~(%C%G9PJv8&LbVOabPySzig%xStu`SPaj;c}p6hXb4oGUT`!n^D>RWjLwH-Fxw7 zy?8-gvZCWccMFkE?GXUu+1#Q$CY1+VFfRvnR1oxMD56tg4 zAfjr$Y!+QT0b`M2ZS_9m*{|_0KDc;CU3L9d{t0lFQM<|Z8qxBKPR694mV0J3t1Zd< z5AMks{|e4$&m}vKMf}%d_{Go0)89Gz+w7PCpT(_%>U&4~XV6Fw1nBtaCT`^Xactg9 z)2*zKnYqdqx6U#)YeN56(ki;Zy)pBbdNAGbjL6pEoZnrGPXG8LDrT+a$PC9U#3H|$ zdnyOS=;I;3T9dS&{w39x-F!qi1AlOrUssnI?`z3ioi?fxq|5*7QOISPB6CPS#ll}~ z&Yf>_8wa)WmcuNR)`hE|8Rq0IS4e6N(T$!ex))6Z%)+NKitT_V?JDeE`_nh=0iy`b z-0#ZAGyXVJX_=rb-FAoe;jNQDYt$Z}K>|R=CJqSh>i6L>zOBhPD+L+(z4vZM8Tz`?=mkJA1sAxPH8; zj@_)ptW~HFZa|+%i&u@98(qKH`_pi!0ak*U$g7FFh9j$MC&!`Hq#)6rOxe6M0iKkU8622PP$eOFBLY$pT%oW+NmGaw_C26 zJ}M_BnF@kGaj0!>D)`QqTPK731bq|y_}uDT<*=<)_54+z~M?S z>L0|n+}Uu#9qiR@zePf{m)y}+020o$~|MR9KYf3)3X_wnV{@TwPU|F1n<`bF{gXsmK!3~P`rz6R~FJD z+qo-k@&3i5aD0yI4xl*fZfK5IqL5K%8g!R3Y1{sK^XdHF7|7m7a>~U!XO&mXe;R|h zJoOc94(OwsXV)k06re@!mwG<9napN|XRo0Gbk!!eZ)tYv3-;&&F)3h3q(SEA@uekkMfr zD_Zg_Hv*s1kXfYI&$bB$sKp01%Uzs&zh?Ge(_f%7nkRz0XZhv9Bwp6B!BUuQDmpBz z5jT!0H@M~JSwAJz$(MahRY{kA%~zD|I&^g9nQODS$glofa$tfj&Jo2lbN^uW!MfI~ zW>3cM-2vT49+1ipF;;=A)O<6;kq%<6VQoRSaw;?zgaA|Q*?VB$4ND6FYvwR(Qd36| zpGaZn`<%n}WMW^aZ$Q438(C=j`}D9wjFu&%8r9|o?8f3!Qe9aU`GBe|sb4nQxaZ~$ ztGO$CroV6E_bunl-9AZgkFdyInM0mcz#Mond^?PDQcNx7W6jDur*QT7%p;_ECzUwEGP-cX0?)J`?x_dI4j3WtU242-!6nzkhUVeuh zEA>_Y4E)2gF)2;l=AHc$U0+X%1pRQMWW6M>rSy|wQkYQ4*ZxaCy&|Dtu0D`8y3V z2@@ur304}9$i+x!`X#e#`?S>TLBRa@NyMhsIOCsy+g!!6ObS1U; zgO-Oj8YhthdF`r1(vE03>5{1K`+MI8c3F#JTv}sjdMHtD(aHk{1K9`wnaVHe6K3XT ze&&0&^<=H%Fv;v~0)DY2T&sBpVzH)DEGG8pJ@^v{rJT~xVy^sO^W>Gh_@Hzmgw(*3 zZMDQ}1BZdm#o-0Tgusb?K`ImrS$S?u)uzAldFI;H5Zy8S5j;!stH{@7L`3HP2`6OM z^>d)-M44eun<+21&)^Djt80mNCe~fRvjr5kThA3_Z7k}1vDms@I&y9GvBFchibV;0 zLbpKebk?x11}nEXkkexzCUc#$zplQN27ccp=lOarH~O=W#{TUlmtNG6 zjiE27h5bEj7}4;-l^*qjQ`tl~hDwN6XntDGq@i3bNI8Y8l&e#>qSb!3#Ny2O*F0^1 zI#v$0`)$}5Y}I?H+gN0-_!t^rYBh{1TRG2mJ`ht`D&89(P@ODj`?b3JOYN{6P8r*Z zsvYhuA9@MXp3!=#!^NsC{OaS!O@Ws>OVxN@;8hj_NJ5c_Hb(=V=85wx7>iI%EpeOE zD%EXtoK~A37Bjdl)hqkdtfSaqKQpcF+^JV#_H!G*t~d(jW}F(@9RBU6*`&#=-p`tt1`nj zG@@grMV9ueXMVXC4N1+b<^G@`MY`O5>=+Ps2VKL}*O~(exO-hFbBnwy0a_;#JAtye zrU4|8+elWa*>Jc^JNtR~WenRn!R?peaMg2u@XGUqo|O}aD{99Nz)wnswVqK6`$M9l zK*a>o9$H1l>lbo>_a@J;=(Fs_a;cUT?hMZ_{q6F6V8Q{Z=v^l6`XinbLP*s^6(vAi zc3*aTohX||%*LWSl#-a2jmAewgC$b1TBchuvWi^p7BhAK>fbIPXg_jQD?68D&yu*8 z<`01`aoZ~qKHRY z@abQD1m+`nd$JH7q_5liZS4QOi)+NL`SlO|q6cZB^* z(x=wM8QKEM7t*f{3Z!6+D`YsN24QvbogW`k$nekx!$vsF&M$7rI$3*$r%!Z#8crfQ zUw8RsV>7v7#PC02;SY(9%Hy{41q}FnZv(EydU5sXLsmhF7fAI(eVTUD+ ze(w*H3wbo0e65KCG`;JvVII%w$eHfCMi!UqR+hiY@vgK_sRH{LzSkK_)kz+?hnw{7 ztjcJUDK`~7D}n9Fw-&&(ar0+4COWTD^pXV%Ka$7fRn+(3^y;iBbt-L-!Jfg#r4An< zsA05Bm+TT7WcG0WWVX=k=I8KN9YHRJ<#`|Cm~(#tpFwta8Z0xJ)EWOLDtca!itJ0LJyoWXrtpWtI2)2R5QI`anfS} zSJqb+{?@;(Zq6w_2eEaDJxa0yHUm*dT~^geFm!}#I-JL)Q!5!=noX89R0@^Y2tvum zce?ZL--D6t7aiIdZC}C8&q0ZoP81IF9cB%kW;T}#5yCOEdb(e9tzymSAtBp6v@tT> z$H+^JFW*Dop$h=wDiG&Stcf3wNJp0715=g}AcDMGaJ~W!0BRrPx-2Ay@4{wi) z<%HWl6}L5%Knu9j#7{IdSpFgPAW#x{viSh*$ZA1365q3v|D6s zj-N!8u_<0YTyHs73T4@2?tVk4_x(YS{*_quS=e!xKgv6f{0g{C7kl-Y>8~e#bmZ2f zk9`PUv3ZG!z6J!o5$Vj|eH>>HqvU!dN!A)8xc(uRQ$Wi7{dE@;RylRQM}4njU@LE* zDJ>k-JDXfVutl`ONeKErgZbN|Hrh$B!dCq=knWO_FS(&NX!NitW`$bB0{tnbN76F5 zI;pn&yP&1gR*JAg^OrG#F1q`CpI+6svO}j zb`us@?&|TFK)E7zdsfD5lOgrE)9suSXL;?A=F`9xh=4qrz zvDWV#s+}<_4dm28YrSuffKQTms;Zr9{#h`d{XE7w7(aQ@mF}RR@AN<8f_(Q)Z;$G~ zQ}4EUKa33wxGW4?rJ5AMD~uclf7Eq7I5&INQp25fBd#UI{@?#u7Myes*ekL(ieEmn z4Xo&&H6}1H1`~fX8Dd?0{#hGn!5a3sZ+QBx@1t4{!|0(5m&mm&ntSqVlJ{nw7k{Ea zvbD3Gn7>f>d{ZR#fncJhyZ&ecsF4 zEAh>bkn{h_F(9LH0CyUat~P7AAiieSIEc#{GHHF&LSy}Q^G2ZWfQ2^MtlhXpa{o-) zKdH?v){TAi;{oG%)5=OFcn)=(CAB5JIc8S6$ZeF|7Hsyhf4O65bTqb8dv?rZ=o-Aa z)7s2CHzsQ{QTy9uy3H}!tzTX5Kr%5|(m&5l)F}!zbt4a8{i$4@wO(Xbl`Jl16MEF> z2yg7T6m~KS1)Ayn?o(k z7x46z|Q@~1kpj5MW{1JA4qH)Pz*w>;H zXljZg09I8>m+Ig*nzC1yogE^;n8B5dGb58}rQmelUC6;gVv?kLr<^ z+@BUC_qN;FGTvWl(!21zY`9HmfHX~*)fl!UuEfJ9xf+*EnadU@w38%lzMsAXF0}gu zdG|Yj!x|zz1uRTILfk0DRE=`VZ$%wV*ldBBZSO8biY3nurjk?vLXJ14o;mF%>K%2) z(PlYC*CK}(jn>#Hr9Si1NX-S*`XzZ%+gzof&8`TC_k8A*L$+V9IblR|0`h zsJ%M46J`#uN=@$n4zOAeEdUtreEI$ms`-O0q7tc*q>@=rD&w}vagWDm(&T#qV2mIC zsXrQD!Vt-JQAZrR8ZJdCIra8GxVXz2E6`F8eqw~rJzUvK-TWLmU`D>0&Ami0U0^Yt zTJ16aFX@=Utcj=-x(Lmne5pT!q*g#Gj(VENN47T*5>E~4Q}d6Cb8-1;pideBkbQsG zk}0w;Lf@>1!d*q;Hv#@xu*jfHv-UHLBVZKLSL`jk0 z`+ZMCLvuV4n2U&?ZFbH9N=f?Lbl~gQG7hKkJQflcz90nqE&+*TpB--Keed{hRsQMYpG#2o04h_8m2evo z7s!zZv$0b^x@$=Mm_U&I*@2+x!P&uF#b!(7>Cu+p;nKgY7n`b?rQyRA+g|j`FL8H+ z!U`@a)%6@@a#LrSW^xOQPrk11e}tOweO>9XIGkO{NM}crTihMvXG88kbf7->@9s+z zxP6?dI=Nzd(nE&|ZyKhg?P;r6C=l*me2HH2cIjG2^<4Wmd~&EX)o)jpE5A z=GN5c_Vvpu<{5Oo10NfJV5oKn#E>5mtpQ+f9aMc$$Xiakl`7)Y*rB7Jqi`w1rCm?T zK{WbOaSCfk_VY5v`sNcevx*zSS3Ko0FtleS?YQz_ zpSC9u_s$&rW}VosdT~+&Q*W+Oi!eR z7=&~gP0B&o7R%;FN*8OKAVooHKoA5VZ7si}$_4Yz40XBpVd%*K#{lC1v%)5&!!;&t z`@kK_wNF8&q(jKy%3vNW+m#T`_x8!Qhy3^p_Ag<~Nj9)MBAFFZJ*mX2>rA^+Nmx3n z)%$5c>JwH zChHd9CO%wmR9+E$2jch#Va{JJ{uu9{imWf-u^AK5zK zdcWm!%reckW@1(ISO#!h`T4@rSrcJQDTh95k`mm%kIG*T8Oiu)zZXN?m*yR7JV+WkOe(;Sj2a?uel*qR^Y-y4cMl504!zxF!odM2 zeX3@TXoC6^>ZQ+J*GI>sT0zj-8Gq+tcb!&kI~_+e&Ggg#i;mKKfl?ifzKhLd%}KYG zB;%f-;gNcqj#`0Nb2h%0T^V0_Ue`x@X+#_;SiN4b`TL{r;}E8x zelxo3igF1N^mXAcaQ=DhJZtQ^2KpTS;ks5%X{J0-^CK3&Vw~v95BPiZ%D1utI$7wk zIWvo12CQIZhtvvYQQN2Ur5{ciUXghvbJ90RPskh)G`-R-&ti5Y>IzO2SVGocbhy5SHLA z*g*xjrMx0*a&y1Vm_&zz2BvDTC1q+9Dw2L{XOkvV{C*+NKxLt0Wzj+C+ZL#ZIf#6h z(=;bNh0Bn~rp06K=#|y}D~B`P>LA@;Rgc&B$pfPfCD$1|FMN!On9Ft0zbeOpUCy%~ zj4s^wmVbC1{%}RFCGTmHA(iz<9i|>{sZ1c&Et#WaB;YD2?1@=6Nz)(=z7rYJ# zsl{|4YO=%YdtN*Gw|}Rn2-)_z2np>w&JC@&Uw)@-l^^k}=R@UXC_D;$Pv*7Pq(+l; z>y-2<1217B*?>Bwer^Xsy+&uXUA_BF={5fgkDn*UMM}4rwK1Yw$-`wRB-qgn1D5y(t;>EtPDvj zHk^^wV@F7a5*5P4o3kWRu)Ta7SvYw6e$T>|X#H(2380`Z_|<{SN30NH6;-uP(!<+6 zI8r@KB(Npr-6u!&!eas`Hn2#;hp&gn-LHRxQ7An~Z2|{Y9iBbUWCcG_=~c8jtG1?N zA^aF_M(rg-J=UE-pwK2fa}uFNa3_R#lY?l}XyToX4{8xQ6v%!ib+@syZ@ShI*QuxwDv5 z3m+&+wfy;#LiWqiE$)LCN68WMe!7#rzF(H~6z#Ff!Y#7oA9XO6DE_V#H-_~5^D*V{ z74V$5{WT`W@}gTX({#lDlPS8Z_!Fnp*p5MZh<|{eFIVCpo1quJ&+9qWFW*RlqG^xq zT4G|Un{^sK2w!i&`|M9A11OES@%CUG#>*GHQ6bGTo7#CQpDcaIl)C?l4z5!#q0E>P zRz+*2zs><=u*GIA2nze@jSrzg(R+ts_c~|Uj z%2!qX7nTAW#YRd?=vpo>MN1Htvi>n6r`Lx7mF`Cee>>@^u1Ov4f&m%bb{l0BiE{rg zLwN$SiAXRNgk|(?)BI0gZYnEHKJuj*@hCsI5l#h&8;5wgXsElA1#BbP&(4V35>zP# z@0PyB_Eo)1#~8^idj*mnQ{6DIg$6TyN@@i>*GO!VZyh6gO>}jvf#$(`OQ|@0-G(Tvm<;_oa0tPkk2IeKFo- z^F{v2`09A21T*@#R`dsnThKzPRz%~1^oXEb_j~4;s?~Ac>}c4%U+wd?r`PR`+a-?d z{$56mDa$`gMuG)FL^-nmdCbP-|HnPxQ4}{LY~RB3IO|objz>AlaH2&5lv*dwxrM*W zuWcNU30_RXKg2Q!PuJUNa~q%AnO;>Tl~?VY!2ZXA0K$$Jf!&R!vv&>Izwz>>{Vgs| zR>&(Cm)V@kFut~_XLRAMma60${hZ*h8=q9)nZ94%Vz@Qx|@FSD|J!!_4?WT(=E<55=}MvAbIIJu;l}u3%9-c{s;I zmz%%zbIA?5EcYAF_U6K0829wzzwA$jP_$4=tI_4$VdnHQ5*Nn6xQw z&Nt|#UdDjxIcSb5yp_^%KZW#CZ>QW{3CG9kKvNOFUKTFv}_>I6h9Y*t`VEm@F9? zN;fT+?6vAvW;I0lwe<)7s>qVyXtR*?+@I0wxD_%bvOfGz*$6G^hnF1$zBQ?pc-1Cv z*55G!GY%`=f^&D&bXZJW3;AE{f@BKCC&~9DDx}Cmlyb+d2biMZoN137M{vlli zenT3Yzrrx*S=LlGYUf>6r&I+*FD_-TVof{)*BwpV&1|k~6lfdv7EPAUJG`kqcg>x` zf|QLQr;dy15IEIth;R??-$jELZH1b1`4bk)(1pxwx$@t^}G)K(75qH^hGfoeXFZZ$s~gZBb~aFFx-1}&IyzF zCjKaU^+e*4L8dO1*>fL(7w0?yiBmP=U&bhfbb&9KsaQGYHAeD3{;m0(D=7N))1Dn2 z32pw}FOiYNhwSGMzp%?abEZ&E+Ln-#O6Pkd2LPmM07;noUJOn3-A@Z!qqbp43g>X? zAypGl;!I`W_%PodTz7036Gfnm3{6s}Bz&1y-zR%-z@rU zEq?k>J3q^a1qbXZ%(vv5`9p#?#0_C*CoJXLb%W%pi!QK^ajt{qtVl0E$=}iZ7G^!o zUq;$3jNbu^x^dQlYv64;H4aNsiPR2s-Zh>In!q5G&^Fbb95`OCK+(p9-opoCC=45F_txL zan5ndSZ6G*d`}n*H$C-pj%mg2F<(3T>}}pIk4Sytw{m=wvEwe7K|2ri;RjlFPBp@! z8x&5so77{-PUa%{w+uwa%y|MVlRyj85l0b=aW+ zw@Yq%D8a3Ag002bxKY4Ly7$&>4R?)V-QI1rwLVvUB=$&BeB6>!QWgHo%IIwA(BW67 z)534FCPyoS7Rd##DPBg;i7Ir%thBd~ZvO(hB(fqMSh4V%>pGI>k^(Nio8F;-s5=iD z!(|!Y22jIj*LzLl15%UQX6D_?CQ2d4Q$0A5=FnE@3H`vE$@fHkC)&a5$FWK)jDY zEbX~Pw>A+=q!O)Ob_d{Ohc_+ET|EkM9uZF2Jd{mD#Cgfk#{{t0;MsV$@gA*Gv}OtO z_)z@+yl%@LW#APzoCim=ubh_gOsDpvZ>@9L4XPO;Xv74z>F<9KiDtYu-f@-+iRNw8 z{vQKZOr8w>INUCstt*&mP^!Akew{uR9DLv?UKYKZ>>s;V*jaU=Q!Fa6BN~6B=qWK1 z{%o`sppKrV-WHwpxupy&OkV&dW_aT-u_@q%FGb>#+f|*25%6LBYyBEl3%HkeEohU>x!HOUedxN z^IScDR-UEf)AqeXZGo4kWj0f(`Q9&3j|~xk?-M&RkUNy2OiUtg`KTyA5*F*Ql&O2(6k6C6D^a0M1Q2MkZ;^;+YanS-UtAl&$S#VCRNPrQt{=IJ)FTUdx zY1Vh2eHl#qx8%{b`s}tgm5n}{Z0-P>?zz)gXbQAh#a1=)PVVBEj-tkX9G#_NklDHH zeR=f0OP))3kmr!#VI!6f?>aTBP=k0*=DLP^9Af-EFDg>Y{>1NjFT>^h_tIPa24>LG zMNPx|12>fx-Oohfo{vbh)~dhJ2to3s`UR-U%xWEBs)cIFCw2Xmnq{JfWw2rCX@4-l z6JJbO1V))WT%x3xroyp$n91FKHKpu$=m+$8g91;F|2|1&AkIm$e9~x!eza7H`IU&r zaG!*C@S-?tM&&M3+8othFX($ajB7|cQqPVaIAzb6h&JpMIUK;?kORAdh^7UJdhwk( zWRNF>xvD}ov9XS-=8_+RXBO>-3rFrt)_+kKaLVU4wy0R9sbYs$?~d+!!xJa=>>WD# zvrea{;3@#40&jhzn{{|!D=y9&7YI$UpD${VXmGMSGrZPq+;#Gtd2Co-1BSfyHiDtS zS&w(r_km~yGZ;gm8t!kaCHJzkkn`4ZD|+I0C=Tmben7ogn{?eh@ky_cYd z0pQ-aP{iChMqVRmmLdrkDFZmD!tO|R8}Ip{-t`a?GDwXxbwzc@e_DDPdI=V`>iK{Q zf4tbwE+%)q@t-BmzA*xI?G6Q@!$Ut12cy7B(nZfKJ`U0z1Sx0^O?11%nY3pGy1J>w8-?xi@ zqWY8B8bI74MC@YozzlvJ+_|z{4|QigDPWVC^pz1;e;H)kRYzMLWCq_CXb31Qtja5& zt;?6y#HOGI=DLouWQS5xdiGh2D#7%xE1c(0NsgvkD-1ZNncxf4Qy(byBefM{1f8xq zL!u5wsI69fn`#*u0ZU7|MVB7z`JPIm4kR;v6Jgu^^94+xH=N}UwwC{`z%>YSI;eXpRTg%5oeE6M zooc$;L`_+chKNIw5z(u?1AY7Y{mVe_#VRxUl$%Q@RZo-fC-&gLo$<=#+Kh!dtwU2! zVTtVUcq%7CqdfI3$^EsKT$B0&$l;U)-WkA+GldQr9q3kN<1B@>4$Wg{0?xT{uJMqv zH+60kLG)&wxR?Knt+(uogA2BGgF|qK;7;R`;2MIvL(m{01b1s7_={WP(r5_o?(PsI zI5gHcG&Js)JUFUHwWirJDkW7 z7vYjT_|)<~I5oW+!w4mDGw1Yo*%xFuqWgk8nAv;DZ9S>K7)B!I6{T2!A6ik?`W+8} zBP)^5ZwqHrdjPt*BIbS@ea>EqOcwZB@C+j}r~ zxs5PK_Io8L2&C)Qb{^k=+#6P3ugH+2`A9GYxc^g@m#7{Y>MB8!x2*Ucm(G4g65Y%d zrz~%268f*Bn8=5u0_phlgWG7n<^+Nr?*X}-3i(!ViOm7IJ+^ZT&m{rDBmE7q%Spuo za6CBl>(lF_X16}X#sA;_q{M?sGo%z=?Epbfb#3{T!ns(M?HI8Ay*jyZG9Tweyd!=~ z0}&C>tHnc1p4BW8Qf$rf8kkzSCfmt1t2({5`#9ajeXBc-f0MnVzdZUsJb-|=uz}7P z$%-v{5gqr^1D%=50!!J&2M9bubw99lEnfz$(P33jT$MWT`FgR@|FOhh`Za~1smc9; zMe!oL=zn9Rnzdb`^nZ6hlO^J~1{F;@18`Gd^=rjD>&ep~u2 zR`R=mbb1^i;I9z<;`-OSiN@Nw-`MoWj2PshyjFfz61Hi(*W_4z3GO zH+(dm8M`oQg_r3xWwWKwrN#2Sx=CKOaA(Nm{2i@Og z<5(KkVab{`h@)8LSZ3MnbmMYb^K`tch~n$5?&8~rCNLoA2*+2^>@hB7e^;2@tTwxm zccxp#PJ3BvzjVS!4; zwCr8t)r+CI9CngmzG%67`n~q(!*@l#&wns%NgePwZ_J~w3$ne|YxtxqP?$8PQ&9xZ z$UaF;FR9sR5fp}Wq2}2>vle8u#M^zF)Wi{a$%59;?2207bN<$ykr+438q*ok@$r)o ziapc*c#m})8$enq^LVw-Xj;DJHT0ngK59JVsyI$gtaDU;v$mn}`O}J?ZhiO1h2Tm| zW7|>N?DK{(hl05Y?_}x{fBfA&C%-E1i9ZnWi5W00p_DPsiAR{kcD@Eda!NN6Es(vZJ)vSoM)=v8P#@VrO$c=g$~HNww=RwD1>eeZ|mYW z9kNaJA+Y-ohzy31j+spJ!rj$fgT)Fb!9MN9*Xa$P9$Q`GK$;YK2LPrrnry7?@ARJ* zWsx)K7K-+7t2Dk5#c9@}$}rE@p(vum&f5*Y>UK<{<*?!(Q+{3h8-`r*xI>KTg5Yzk z?CHxB|GhWWIKwZ%Z3XMSJ*cg#7Kw=?DCu{M?&oi@NhD7;_G^v_f{h)DV;&qVDJ%L( zZ1L<)52^4V)(xjgzk0vh>$z{_z=Cvn04yki=u#croFHf%;7xqb5kGAcvv? zfn$w2I(B}~3<317!|v!jL^cM!&gunFkHRQ_BaiP2KZy~KgKBdYmNn0+%*0r^V=|9m z>0QcaeqG5r)q4E_-1-ES*{A>@&wJB^f+Td;Z~Ba#;h^Dp(`P7Kc=w>?;TU=o zShxo=PsqV9WOFwOWs3Kk+U7%YSg5tKF?gvexYaE;RvfOX)6ur@dW#CUXQe8C-_`{m zFH$R4kA#WQcxW}XPkB|Lte&k5JX$>Wm1qe&SSmW0jegL|_ib1^f6gkJpA#IzeIv2D zj1L<~?Veq&hv!t3_bS%JdVFHBcYn$&w828IcR!u-!U`he4=M4d4e6m+ZWzpF-J+X5 zTG8~uw+oC3Gv|$CJa676yrj7uv+9i3pV@;{gVZ5~${^W3Y4IP{**vuhNIl>o zRGVAnX670ZXDa&zle#zg?d|bnu!X@ad7dU5kMw)T6rzg$&v~U86>K&k9Sz()W;1DV zmWIQ10wyLv?rKWYBQ-Gm2S~|W2_F;8J=_XBmT)>;2Yp+NN@}|(h}kGRh9mj7((izt za|^p8+9f}m5mKTP?IZJ(Q?RGOz8#N=02Sr z1?tyUp|^%>{}J*b$RR4>&0BRt@Hsr7_f6>Th!v^sK&R3m&pq z=}~gHtS~ABi3w%XH*1orRUG{Ni&kj&UFMB5MX)i(hP@Uj3-*mOwuyaIBEmeq%e-R zmvHGZyCc%rv6m#KGq5du^ftD$hf3`u-H+q}{>^Or>jYf1`3U7D3^QaEf?otG2L%`j zZ~HR=d-RsUNNkWsRiV~4Z0y7|7tbT(VnU3A6|iZbd>{-(xD$+E;Q_jyJNW{uc4+8Bs)gtXNW# zJE1OU7ObrB+=X2eoe~zxzn_XrnO=R1TPKccP@ADhI{+_55WpZm;kbbfLdXvv!O5yn@+H2Ae$dbXvzUCX-East>#0DpO(6kOh=BWDr>aZQ`!(@b zlGca%dNC1CW4=X)n};7`Vxz{5(tNnbci->3(N-S9{(CC%c(NC}4%kE9;nyAizD7=% zqi%?qs{B&5o>Xkvq4s!^qFPdX+egS}|G;G^=8|D`ur_YiqEhE|B&6+V8mCp2S`Eq2 zkJejmu@11}Ch>da1D~d7eT2@^HUO=g^yyL0 zGOt4BWO75u^Hk0<9kX=nXC?IUt~5 zj?|*sj$uLTQiktq9z4*CcPAt?zE_J(7|;n@jE(QV>_xh&Lmn(#EROw-t4n!*-vcIf z`ibco{J6=V#bvI&+j@YMi(ncxjUk|M#L}{xTjYmD)61?Fi7MEu?o4Eipx?{yoVwp% zHRh3PJB5>j`*rG{eV7?ze#rOr{tsau*al<#E)R?t&pahTb&kB0J@SL!-tSfe&R(adOX9#qpUBv`Z=%w_C+PNmO`4p~L-$*+#qXE%z@unck_mHR zCDwy*V)%sHv_ffFanTpu=bT>(CVnoyzHc>^`Hf`}n{_zUtMRtu4t@NG2mtKwf0fIs zgYSx}k5~A+F?G|*b5Sa$We-L<5T;1p-&s8MdWXG;`{zf<5BD-a6|XbRA5892C8ElEkjdw zTI=H_^vA=eRD6@?3jG5&ZxK7Xhg69|GkfeJpJ4AR*~rJWPSEs2SnKwJ?aRX+Xez8D z)`;=;j(0gLmubiAyW-j`mSVbx)Ehd59gSroMeKCic+xGZRo3ucBmgw-`+maaOc?lG z0zmTJan6I_G8rhw;NZG6#c~;H({PWUE{KM6_*L~nv)YC|HFu^pf!C*sBfCKdRc(pY z6Ovt+ck z32e3=P%LdKQ%WBi-R3GuONo=%bVUsyACWret4TKZ@GcwuSV6Tp z7>8?@Q9+l$I~p-DD!wV&k^UguxTJRYucKing^9X*s3gn-`I`2aP)z>0zG<>M0eRjoOPMySp4#>9bN5Aa9KaU?ktm0o=s8HmmSVF#L|_D0k1g(R zHFAif@bBPIX5fG5z6~B!K8dQIBm*?A{QnB56i~ZkE-*u0-g>}QP&O`m9U!2s0vEl* z8fb6QL%C-pcd6w5IvJ-@0u(A{|~hGn`>5UtzE& z=35$Evqn@pM#nqmjY?zN!)cg{{V;eS`69=hkNM@Pju zdd#=B!VRP1@q49J4pqiYF<(+RIj^1Cwa)@eBiu6^bi+>we0D-dRwKU1aR>ucA7c}p zp3iMQ^Qa8I7gTmv@hCN|57*)Hs{gWX@cC%bkv8zhjK1%b2qT6aCiMOr;ck`LlUf-b zZQgyktep|!w1LU0Y3pawJ`r*wLR#eu#%OB?U>P3l){ z|M`P_LEyO}@04A?v`lASnB)|q9r9QTnH21j(Tj(Gfo(nt6vqB~X^hVu_9rg+xg~JFl<*LrMa;=xM-P zs==jJJ@Wcd3gG!-j^acnWWiU`W}UKFWl@N$WRU zhB9@8W=$6~F!h5Bl~dB;SNzX=A(s0tixhS4 ze}t3&;Fc{`^5R5UgGvV_N(O=T{X+hHZpSLxu7%FlB@gBqiz0tQ;H;!20MGpp@FmAQ zE^0V)E(YZ;h!;`ohu|sWCSiX~|97G+vJ>?0R)W%dxVORDVwlsRyyQEyO4gtyF8uV6 z#B?b|&q)SJOU#8Cfrr)8g%2vA>3Sa17>XVFfT20z7!*QOjq>Ap1oHMDCs324B@@ky zI;~Zu-*~u9lT7-gw-&U;G=DH29sK(*RlqtB{sN;zLWn96ozqe`ti>;8-n zgMJK31(bjN9Rs}0g(%JCCwG{SWIXPARr)IDtU3y2Gog#i)e7Im%$V0~|M)ki3C}T; zU{sY?oAHY-dYpKMOGR&J-KK`$_(7wfT$26WN)5{wJ}XmRLxnBsPZEW=r{pqklK`SB zV3jtB<>nhhR&}uA!vr~1^iQP}Hd%@(y$Y>$XVRE*X}=bhqUlcIyv06{;S)D?0fLB$k1oXr8oZOM+l(yvQrl9`~nTgqe&Wx~DYv_yK=dz7_*xj_U!u`Gyo8F7T z5Eqr*@xB8~({d@ZP|h}}Ppxv3R4fep-BSL)1sItx5h*WTu=R;4HI@}JzjFf*n#sc1 z30qkUqU6>9R+@85Sj37iweaV0t4`dPx)6hK_ZYveRD(Ib z^I@MZUcZ+!_4FTf9wVbgv-oR`bzsB;gLt6--=ToQLtT=SV>?&U>&T*RoBLrB5fPDs znp&KdwY8$Br)Ovt;n?_SYQ4{L5;%*qefDy~mZl#yNQ4x}aKm-#($Q zwuIs?!>_o<0RCM;_}f;$%5*qCi?3le8%F3rZR!_VhOAIw@efmJLOx$NW#Qr^C%+^v zf5erP$X466aqe=S=!)qxOb3z5YaR_I61U=Z){HhENGG~H&(Zz$a4CyeWyCh26A-fc zC?F^+CpRol%f!c(g-0hkXSVbkT|%0K**xh*rexBMwt3d{Aw+8CZzvZi5S+##Pe#Sz zYv~?%MI)kql{}Jm!0EssxfgOH18quCU5ubSwlbsO&NY*)WhoFWiBB|}(VlZ^$g+X9 zAC5K#&Y;x*=%hs^xF7o6-yO7i#C>UuO)@Kb?hF3*BU)KFO!zmhY_n}$+a8qAh(<&% zt6L=~5e4$x#kM?x6H}y}pIAcs=jB{zVnRqSU0@Lz^D(g9no;*o$aA#J*{b5*IK2W`M7y zbp+BW@t_1${|^e)!p~O2rR#9TE#cON+dsQgCd|vpdrCJ}#if{_JwJ$KF3WPXp5o00 zQWEwVwIpEKPC)VIDlDnfyx0mhb3BGr7oU@Gl0w1gm${+*^5&s2W072Bnb6CGrgQ?= zp<*_0CQ-q~9e|I|1_TIcRIl5wK0hnAAKQL-D~HwEH<9iz5eLIR4h8Eplc1BxuaFa_ zq)zJ+E1O*SO1E3}M*G@0l4=Hb>q>6D_P)~AypOB-kwu1(N6rbn3*aKocKb) zH9Bs0X+8RsbmnqW#6ia?M58zolGI=J+O~27RxV923(kP2J8vgOWpty#q|U~cX3~Ih z*{=DA+0h-mogz6w;8kYqA8;+J^q0VY%Q2i9iCc|>h^e}Z0eb6uQZ*u z*aTICFqK#hR6N%K$9|5^-g`M7vI*C#4=3|sVlqBf5IC4maTpy>(v4Y4@Z#znJ_)(c z+qw0UR|niyH0)p5j&3v=)$JdO*__RC?P0qG-xs+F%kRtdhLURu`J_^UTaEWP#W@O_ zfoz5G|8cMpxNH!CsYU4SGbNS57qzgxjQE6%=qf@i!Gyey4~%!f>}2U6qmJ*I zU0ydpT2fRy7eCr3k{!gjD-$)0dh7+BL?YY%+F2X6)mvh~pzl#feWb=PBI%{t6b^zd zY0p${R|h|10`tYx!Vv#w5CF14&_ASbCJ7{Srfg?p3BkuBPzf(K>(L{}akFK&d#|Qm z_}UW~Ax(1dIq-*MO%q~0`U--&Aq`mQpi-G^hUSH1DX#g4huND4Wd1m=8X1A|VR4og#GA^4W+uR)80 z29=lD0_UQavwKB*UKH$gQ@!6OXW3gYm-}x1+ZXhb1#FQ$@22d`CIvmt zH9Dt?$rJ<%ZpD%mlv&3!mqT%nv<^Yr*pti#jrZRr}=`aW?G|M^K?Q$>_3Arp+JqXv~}ndBWf?c1}tacF3>z=X1XB@R__# z-6{#uwpL#$wF<1qPIA09nt4!(TQNb~>J@SO?OCsSeZqDDxq)R#kgIwDEa;yIFJ=jDxZS0Xn1ja*1B zfwK4Y-1IKd;YlT6Vbi>N15J(2<`?(fmraIm-GmCma)1>sZ$2_P#JNq_h`c#TRx6w$ zC>pt~pL_Xk-eC;eX*g|e0d-1HYw>*r%82s02$_e`^lD6ZCIlIX+abR&zh8h`w}10`)`)|A$(JFfoc=$n3yL+LW+4{5rzCB ze+!rR7P~v-v4S(9R!Eiaqdy%7Hbd6->Zn@{Ig)=5V9`GO9cqyEU5h~=D+%78uWL3B zqi)M0D#N*c%O@o50Pl#nVBY-6O_G)m#qi&gq$YtuDR-N{ikECLVm@(Pc`$;8Gtt2L zc{Ej*@d)kMVE3-sc&>M8!ZN}!Xk8{Fb(HV=IzCa}`s+JX@h_}UTd51+GfQ4Z^6rtF zNUW&)snEQEFa(>b7$=ky7*OPQ&wKlm{&Gqm>CJf1)*U)1%_FI4q1kEwcdN872~)jb zJ{YMow{>FzMxE&(DqDhjtSXOldv8bV%1!yR_(h5f++=gth~gyW4j}aI#cxT4|HCvW z!z}tT992c!nhKfe4QFTgyh!@ZZ_A&9gX3e?#H%Zxr4i$^7h|9bJs|1-oR?xEHT-cd zXI5=Zg*txxD09!YZ)sGXB^cPobgvy$mnwIj_nLnTkmWF@tHgWV549(|-EvPQz61pS zr(sU-jiN5!pAa2%wY->n4a!G9XNy^Y=|PAdS!K0My{e|WatSHJzKqrA;ZS|t9i4{s@j9AWRF!OsqmcWp1!1s zs!GKwBZr#+Qlnxlbx~IIH7&JeSMX8t-E;rnHgTpYAr1^qMMvqf2MGTB2kbp)KYO&&MkB*{}YGM({N>ND(&T80Q za`5RWk4xW_LCjW5ujlj_{PmtyDF3Px z>^%GgVSt4_WAuci~q<6&2v&pBn!XAcsl zt6yGu7F6A{S3E&Q63<-mGCzFsuj0o_rrWR2hoVJ9JQIsvTs+l(#^>_YSW`qgt$qIb z>6A6laao`G2ftLV6<~VV>|K>2cBkTZFT2A9H;9m!^^riaUHmC^T;k24e9wo1c>8JSJc}xg?Z7{bqEas*}_x{%B!Eb9sCw1n=}Rl*uRN|5jw9| zEpdIr+HE_Pi>~8Lpa}@0=TFxdNdlWImyiu*FdOqwOLLqnc!T?~K+a?fo8$Qy5u|s5 zqQmV^tooxgALbS5&qI8-z`qS{9`WQ!JQD`#{(C3uZMPlsMccD)A3&&rE;7(UG(|?9 z*#|IG6w=h>5?VQElnX~<9nnmWu#=SgN|e)p7Q)^E_N?2XXo-KU!SS1ba>ONxhX#=3!V!y`fuFA;Oml zv4j&E`5qT%Vq!<%FbVoJR7F+tqg_*5%PvDcy$eTq$mo&+#ML!@U(AG$l*f<$BER6f zde%`?{v`;Y@uuF$gNecdco&XXfJhRE)4!T~>o;xBo77CisS&H4fvCB?khp0n5C(2Y zTJPMZ!@1BMZ(#o=C9J9YI=SQg%b0CGFw+;C0yOMC|8}#wLoj=f=dr^@HqbmZQtkMG z!XMSkJ(HGDx+=Q6;Z=;&5X&i%(aSic*#xh~fWfWr+<@Olo##p`r@7Mi4i?J(UHe&p z-;By|zj8(&lSIbqvDBiAOQtu}g`&zqb&)m8eGBqMMHD#l`MPx*O<^{@nbBtfM{y%h zsQsydu~SeVY?ttyEA%e=26k^V@(+4e>_mn0`AYOzo+b$U3ebZ25+0{41Yg7i8%d;p z25Sp=ubM2{WJ(HisiAtFZ~#<|6yk>}q04D)qezu#BjB&s$t05^irL#RshqS@6Jju# z(U^z-6r`dv-B0iL)VCG9lIMe=G!HU6Y3GbjAMVZm)_&(|ySB)iu%cRQSaM8SDTsB~ zukbrsZi3g_h>Utsc%-(2&ln|VVBJm|s-{Qz#}yzQ@;}$uMGl~0F^gO{RYC6N)4tOl zn_Id>L3NvLR&PK}W9?0#_H*aIod*u=>sta5Cs4S*c9NVJ3kJ7WVwclxLN1L*TCTRQ zvgF`IC^<$`mar=ZkiZ8?%*p{9ci?{BDx5`U=)X#*sZZu{t3s&R&;b6ZEh#_nELfc3 zn=`3>4Vvl5vrv+dFtRX$Y2?~FGdB$3UU>PMdEFOU$tN!NOXOj~E#19c1a93I6f-OR z74y#m4Bp9}moY=t#C`_s=H8erSwY7Y@==#|S&9Gppe$PrpC2(2KC+NnQJl)$u{iW0 zkh5s_GegH6Aa-`?p_X{A3?8W3LKz?b|6)jgD479tTlGkMlJBaT&6kO=>I=mns6O6t zTyIl^ZFDlJEiU7?;ruZ(JA{?_2w|-#LEm-{D z#*zRfe-P?`B0SxST*xKwO7H%3%LP|C#~`KnFV$9h1b?ZUl&tCO@qzo_(r^5qtHZmn z#hFBOPMG$dA}seUb0yH9@m%rX#P`cs5rnkp#tn5Yl-Gif+l(C9{D~nVz`wm$dJ8xL zG5vZ)))7Y{F2UAwAKmRoqz_sX{DoSBU7b$`=s=;#41sP`&qVVVNZgW;8B0`;t^+@e zrv{scSONsv6*YH*wGhW-ZLXPQ7j6-wV^KAGDaU{6lr%FTZJ{sN!m+Aihl0BnSA`?N zBM$zOXLgG-qHsaTp`^rHpWFcEm6BBKKAT!PO$!iy7WLIx`Hz~yhyiXnJHc3W2{m;kA5&!1z6KkNhp=&;)bKazuNWSpX=a%`Zx+PiP}B3Vo&ttwP4Yrnv0 zjad=7q^^~2XG|P~l&}4Zm4fQsX}+5K^uflV+f}O&K4C+22!JK=Z~TGTvNO84C|vTv z?}031{ff|+3hjrL>%&_jjLP1^v5*nrfKmWun9GvvtB)6aoj_DvFMR3)8@H#ArlXVd zBUDOh4^gdS0=ong3M2iD2%w|XrP~vKz*U=lh@5>^Qcp`@%PAZ2w+v*-De*{~9|a`w z8QlLI*CSXwkd5?{E4@&r7N7PiCUIcoYHA<2UTmFfj~{3}q;*lvR5o_&+~_QULy#kn zSM|479u|!nQd)AyqSvoIsXC9RJ7`_h1ru9rvV3o~m^;4kQb|}q2(SEHlWvzAvj#rd zmELD58kc*HIW=%pxzQ|w=Z+hS!BZYpUdMDU+;GaT)5+6zCb5mC{&8_8T#Iw6Fo%s5 zKY^9}k5YicWl~1XQoF+6@Fg>|1RC)TtuqHO+w=>B?XY>TqKH$J3BVwcguvaD{4y=Z z{k&@H_ruMi;|jK@Tw5A%F=rk@H&%fm5AfbAn zYKkE>A1vObNhTECqp1pxvjn=W2Q1cczBU$kSAx6pB+1{Ze&wpNF}I2S1%*T74O=4k z@!^r^t10WU_mTJn!dTIXoXA;B^unh+Ya_+^Piyb|yKwxsq18EPBTip$k|hOCnJYgu zC%%Y$k6Z#+dOQKR0h-%d>4Yn()FO~{vluGNCr}*kWnN<(nuGe)rK|b{{|~=(hMYFv z%N>-QXfZFEQ~f>neS=85vP;F)rPpe8#a9-J(O&|!Nj#2aSjPTxL%DbvzNS`_OmAiw z?vcBTdTVn(laQBd+rwvXhQsMg-s!v0^wkO3qvEHgucQt|rYk+49=iNlIu||%&iS2m z+Hqkx7>)F_2qf|BGTA0QB>?N~WSsinZTQv1-DcfVujh1)A4tw{_f6MO6*zq{8ym{m zi$I(a4t$V@$l;ezq3|(M>dya5NoN!LoNXf1xD1%gj^qrtYwP- zgpk_ivBwPxsJLQ>!L&G)N4-!3)J?PhD*1F*g_x1kVwkw+UZ@GqdcF8SF{L-&IeO?( zZ{AsvLdA{k%7E`S-a$e~`acCQ;EJUm10y1WBFzC}DL`{$GpI}4t(FA%TpE4j4{-60 z+1fKg65tey)^^Md;OaBm%GgD_ZG9=&LyM;37668m_Cr!&!8E&bazE>+JjB`%ty!+v+H`#l)q+hV!$Tn zJWC$<3M6Q5U8fsqAJQdCR0LD2xD88|KJ*ULu?k6;6;a`o8_IheoP0yK#K{c?h>#M9 zcqAe}clx~|z>V!Yo~k?oea;7SXNwfk4olOM{_LdvRfH8|4aHtqQm(JW_+Y%n@>k5f zJxIap;No4c)BS)IlrCPU^wmw?W2q|sz^8kw%T1R(Y0OAeXcZ+i|BsNHZ5;I+S!@#|%&p)ka;MKrgk(jrgjQLpvp%4S0~x4ZZNHF@+6YknQI;M^l%G9TBdzj`oa0~ z$$$v%SQDGwlCu9M(J>ECc&u+rT=vOWP=fM(k>p<+gTF=H8~ytz9jICNS*|}sg_NG} z{;i-&P1prfCdRHCFYe29S!-h~$ZayaZBaH4%K|u;)^*!Am-ekOjxU zvY>Y+hA84zUJR`bs&1NDm?#KKh_HSARAE=XR1-*m^_k=Sd*m-fACTAYJY1a4tv+-y z91!MNwsUJP7H*tXqh}!#poUgmj!%-PL;kKBE?t$3 zTdLyOf=QC!QN!+c8|AaJ=cIP$fB#@m*k)&zr^4AN)+nbCLu(jHQWluI)yIb#y5|di zLe(55sf>R7&Xu|ryUHYZ3EJJ;RXCgSPZD)%-JN>h+O_{{muvP$0}{HNBr;*#$?apV)&{Nz-o`|y9@Hd*= z`y{zFX}C_4D46P{X0O*OPjMPBlh2Wi2Mdc@?T#6fw?GLqoX-nR#C2)I?ps~YRH}CO z5BcHQ5>PR5ORB~7ZruFUuW>VW>0kGjV`($(^4e_~?b)xf?Kr{TEW|SOR(aqSW^$TdXJ0L;ObE`1F8VPkC%m(w?XKrKZQIQsHxY!w-pRN4BZFnu z1Lw!HAMR21{P7&pqV1|g)o}|yx4wPCTK_PjH5+f>&C!}co1SY4S3-UA{>XjlGQMwzN5VJH z(PCLdsQVsqa2rf|G)%vR0yo?crSF^A{nMtubqpK^+q%t)$z#DS^K7;HJjEF#MT_u> z;9D!W;?^ua8k=meeqkh(rMToYq-90qcLo2+Nb9emPR7GYirGco(FpG`3|zv6JjE<; zXPhxm06^?PYSfB9O`1*PLQew5!4U12|Lz$kY~LeM4{@mx;iUCYKO{)uXn!v-{;l4C zduS6(q<^+3>?yEFRL***!6ET+JHMvnOL+g-Un}80bLuE_qG(V&AF(_O(V)I)V)O%N zjVTx(Y4gp6U6FI*9Y2G|!Wxr2*Q_F#?ZM&V<&L$Sxby zIbIVU9i|dre>%J+ShEa8yI4Mv$v4;g|FEzzbOuo&MqlXe6AUR5H6#BEN1baK5DCtC zD8(G?gf99}55UbWBnm(_5){Ar%^JDR2Juv+2>gvY+`!#xdEIMO;T`eFmVCGx3jv+4 zg4TCQGp=QuAcYOX))v`&THrfV1T)qENQ(Xi`7XowqT*RE19kPUh)T%Ox?KmoceVOj zztcIPHyIEw7Zo?0RJ2RQ2d`uL8&8LG7@U3#f#Q~`%@~%cN*#}F8WG{3VJhdh6y{#A z&3es^*>KP1GsR)TAp3YTo{??8oMFNUqj}KL5->~R9j~`qKB*jXiYBQ{=ri$SivNTv zWaO^qI=xGQLsYp;`$kF`t2!*-4GEKHwDwPoS-y_s5I1EkafM^C_ZrnS-WjG$u?i69 zj3y#Jucf%iNi1nw35kBa@QmC(0}Pr4pvB9;p3_FIn~!ZAy0?ygc1!jeIo~#HzX1~D zhFOh;^$VS$G@@JIsQqy^&StMOrh@p%Gqf6P%ZZMBZKvm<@R#g$K6Az2MVumZZr>eK z-3K8BDB~Vf^+xa?@yBj^lNZU<-$~O--)0>@Gs10*_YGU7fa8BXQYmg*gRU*MpnR9a zuh9aIDfMotBVF;Ni7TsUmM@6 z4t&yKQ5H^f-=;L4#+~+CHEU&bUvSMi1jK2iSEg6_A^-Rnn|@T1Yq2Bf58N7>CHY+W zkz7ELU@<4zaqf1^DRW3EcE#iLHVW#9;};m(g&d_-mZ#HM_T`oKYuTqVW?fOe6nM^< z@@VSIp&r*cQlE=1lxE*JA^>}xIWF*E@$V~L{W3<#2qT^^U1yrPlG^Vtun6FwElT^G zS5`lGITR?2w=eGg6PXxqGw8{N@55=2o(%P~6=6FD1@m3@pz?_90SKLBOM>$KOm&#s zHlqubKb5sG6^%5_!Ci19pF@afHYP^g6%{ImiaAn8o&@&u2Un6eA@uek2)uOTtcIO} zFoV4<78wwy-E*L9)ttlPl^I9Iq88AfkwQ2qB#dOj*3{kp0I`m1*%BydPmQeIwjyv3 zAz2EkhiY#CK3pL@EaY{lJYt}3A+wS0{t997{dR>QRzK%OVBUvefjnlgoC$6n^cuM; z|4PI6k&pK|c*mvh3V9B&iLPpv7yZcu!Lq21C#$YbnA);qYXo(*WqO%9F(WY|!%P6| zVOq$tNuDvREvWvfvl_+X05~9>@^m1({`7@KnFrTDKAFq4xszs6SwZENthI5xQtQ&| zQ?Y%+LS+3<2c~SbL(yAPVON;G(6-mgh#&ISTG{p5(l#?-u{BudjD&NBdr0AGy0G|X ztddrv3P`8Zu(Ti`UaRa6q!7e*c*~~C)%}>l=GT2mUU@sDlUDZc>bbhY=X16G;_=$} z^?TytpRMnyFFNb?3dP`Ub%2OR+857T=k7;N@0=!->bX7FhnFaHNG^-M+V0GVDw# z*GqM^R#MUlb9G&urcNN019B)HM;9H>o4A_osA(1IQ33S7)|M7DMLd+V>t5!j`HiJ? z^giql2L|Y^yf}oRyrmmL-qH>Kynmn+TWzF&=(!etR-%!|4`*{wpV*xJ?HjQO%ZlAY$|hqm{j_IY!*EFU=D^_0XQ}xwd`sT_T>Xa2dO1vn;@Dv2`57KvHYl-x)>#!w2_zaZo1W zVts$Pc(boQ>imA5B>1O#Z}>=7dK2;U0q6KpE6(d{Y49v@M{nS3FT!2O1x=?MwHMX4 z=whDA8arthVI}yi{a;~60t^JbLs)JhAj9+1qdJ<&V z-~~7vh|5;2G2nR>x)oPC>-DW|pmHRod#IBhyZaKURO974z^ti3r*yk{xP?GHb} zGFDmOGwOws%I1O#{Wa;hp@n+iIt4VJvZE+;ob~t}$K?IGBPqeaX==u5yZXg+R6|Ua zl)SD~!ITmn1l-?%C>CcqrPgIO6Zle%bp$tvtqk05x*;#)d#T2F6vM|m(JD` z7rSP;YBhQMe@wlFSCnD9zOB+q2}no`-HmihcZqZf2na|w4ARmt3?(rlrPAHqozmSs zFyz1teDm)8uKoMgde)kM;EB2J>o|{-ZC3z@>Q26W?5VgCuVzN{<$NB+2|mUztHIOZ z1Q@=&xj5q>rO$F@MGM5jMYA|Jk-_A}Ws(jwfGX@o>xw=DMj{-u`m~u_mEFi_zEcxr zzhbV$S*O8wrgf!i!m-Htxgy_P0n&S2CKa5Ic7&eg8)^CJk~Wm?w0G-UJjn?I@4Ln+ z4$7IW^)7+#?W&>fe7PWf(-%HTE(@a}UN}J^>-a({LNX zR@ZgP62xNYxo_YrFWy{m=)XuzDp~ZMV{*#5qH7mt=HUM<)_yna`b+S6dY6Vzmz8F^ zjU0_Qwdv(n@k=RKoY1=GiBSt-Wi01+>bDS6M5oAUO5Gc{MpRd}9-L%dV9(&J$&>?N zp)VXvL3y46cl5fSkFAQ=V%np)oXmOe?CsL`?nvH6<|kV`$A=GE%i+1`k;Ngo;N|CRh>cyG4m7Su7q z!Ddn8Bl&(lZZb18_CR~8kRJN;zq2_HvL|mI<_KqPSk(j;bYDgkNyu~xSxpGrGh~bB`%F4y2D~P9s9_UFB3SKSW8-At zjwR0Ut6GdqXntq+w6a%Rkl*O@Bi|KPbO{wR+Y%o(U($kqrkZT#{Z0f{dEBTWq>7;@ zjk3|>zJvcshpk&Yv_teza?{T@Gg{AwC715;<0Fj6 z8;XiR)&WawsKx1wnwmhV=`2Q%Qzw1B>wb?evsDf)2Ky++vF>ZEO^*8Q(JBhQ+v98t zM1}_krPteay{wQ#!HT8PHEsTEQ2|;hcI$R9n+a1+J0YjE(CvX8dGFj&aX%f!v-_5U za#0TG#1fOq8iXkmpb5%m8ex2xv>KWd`bYTh|zw|^blf&;m zz$zo)iR%}HA}sK9e^d`t=ap_V_U|#fUZ(nEX?m%g()BGB^p*;VYtX;1V-H_u4bC)p z{2MQXRV}!lDfv*~I_0hcsg~K(vfv5Z2P6Yyr+3R1TWpWPwjkl45R!6po~Eco-&0l* z2BW2YqheOQ{Z0vkJ*1*CjXae?o*Y~ZjN(G_B2|1j#6RVq^pf`{Y@Bo7iN*9!hF5`Z z^3WrqbeZ9s`J1qsWAR-OAc9WzZMLRw9fEss(i>B$YmXz(?StFPc?uId!;T)4ri9n= zKPiV124P~2i4QoSfuzBVsn17NxbKObixYpR-=kumvQ`qdGbBC6Bt5L#P2&mfSJbEb zqMeF*-ZjUM#JT*W+l<>}>a2e=T|O@`O22d&OQcUJsO_@Sw)UJFA9*TF4lfV{E)QlE zPc46YWBP>pEl{EIM;m;Xvg>HMI0^xZz{oZZ*7PpR7i>{nG;D`<{5w!ly8`VABg8&h%wXU$uzC6QMP!9z18?4*b zQF0Ai=xhzT8{$*`f#$70HBJ4v%S5;lO@i(dQJK%WEYE}+j8({lrq4=R>uf7k7kmA~ zV-wOduhr)~WlLt5sis~z@{{alXd$RW?%|~rflH*4qqqkFb#iyA;~TQQkpu^h-5}{C z_p5O&n~SL$kek?YB~dGeNi0Mk2eH#2fn0H;*OFQY($p0;2kXQ%#Ny{9dp?<;i(IV* z$C^rq6-T`>Fbl2*E;j33@XeS&!zoc;X3^421T2y2k~b07wM-r0gujz3!WPloJ_Kpf z_SQ6FhmJ3?A<1&VA(x56rH7QecLPiNm+8Zq&f-pz){NJ@=(-~yzBAru_8H!;NgA1$hO(CBeus?cs@TK%+b zjQUyJ=~>q2Y)dDqjYbv`1}}4-@Rj_8JN@R0YNNauoquu>3$8112qr#CJqeydumrUz zhQ!}+rQf_u|5d1GdmTkwpbxv8{%LIn|BUdlnGBq$@wG5kdyn;m{Zm~W~5@mqRWG1$R9viyOKxaoOZ9^$Wizc0`Unpzd*w~fz~aHTcsy2O3J%ksqOxh|TAyZZB%c>C2q#Nt z=i}qoi$u8(A6e+JT+oZNH+h{<>w4~lORp-TU%o>PnQ2wiqp zO=0MM@{mjD{;XITap(fMp)!F}ioOc6n_P<+?ZaV357I6=bfrwKydfNoYM)y?o-`t| zKRL}%@$I+gr zdOAeZMo9Lmq8)$E^IS{|JFQD6v+0`vUvU=Q4B7Ep+P&8kp_01$0^`*O9LfjbRW830 zYuC+yQr9k?f8&^%HKvcyN;IUMGz)7p`B)CkzQ7Wih0}Q5b8>>HXlzhLyPXF(( zq6P4_pQA^~{nKkJu^p#~X8bda7qaZ2u(9)|HY}Y9r zP3E%8x}vJk{4xv7CSWb!6!Z!ZH0(QNK)Bx2@A5Uf9XomcKE3KQ6~f)iv_ydUM^tBA zjDCrVUmx)c_L2|N?Nbu}>JtCzvFxG`BtA4LW`2!BHTeMjmRYupt9 zxO3cG6RCcE+=tnVFEX^Ymuo@^a;WzVh?`&2$QBXG05f#@yK+<3QuwP}n0?K3>4ko& zx{{C<^5)|#bhamu;B=e)-gKwpr>DT}fycU${wJE~0 z)cDBu)1+tUP7()bi?t-nbT^cqKl&3l$j-%J$38EpJ8A9G-Mh|6H#XA=Ac|2hbANGg z;ujQ{ml0dwY7U0-h&z4qcvgw?EfKpz5LQ0-YnP8R<%VN4=A!O9Y0o5EmrC6M(! zxAEok*Aya-h_V(4eIj~CvC^0#zGv_PSY6&36?VKyv>Wzn7J3hovN3xxPW&7D zYbZp5(izRC)1Wxtwq|~EBh4|BHEToZ1XQ2vy70R-N5jH_<!?i(!tHh=)CHZBGz`S+~nPizae?AWcV^U3iv$#Ft`nh*#`9B&>ZDt`In`j zGU~#ia&3b2c`H%&n`8$ssfXh`v~Pa%`DS_4PE^Mox$daJMg;;-|5kc4YbS!>Vn}eL z1cBvL*t+3$M|2&rN03G^@hfKsr=EE2%vz;zIL2{zM7a#JVbI0mh^|WDMin&dIHsr$ zf+Dwoe{@hsT5J`8HO&)bL64f+wjT~bx>cqWO}muqX-fY1>FsKoDl64aey#hgO-K$O zqaX-3EkNe-4S?b@<>0UIc7w<97E9g4FyA=3v?Na)Q_LS18VEtIGPJgNi=>j z@lNL#VZ}z1!thNl%RjKU=g%q7GM_i$8pYumjY=t-@4g}JEJ6^+$$sX-F9_FSla}98 zctkJmWJo_3r?-b#0&O;mE&P^FLNvX5=dhW((#L%I(UUzB#GgIBAqX4ElBh*-R)!Kr1vEwK;Lp~JV&3&mqyWAIDKXuv5uSn$0-Z6h_T8tYSBfjFRUzCQ^t`XdS z*JE{=t+Q&HCh~BJd*?K-{q-;)_QTmP!2ID2FG;#C$x&xX=s=JZi(Y0<&q0=|* zGCjd)Kh^oJ^~-fPPmSUE6SKrgK?cvFjsJ1`^@V_YSTa%D?Eg10f4Wq4;_*73OBHfn z8oa-zS--c?$gSf7J#v7gng8#92PBI(31}{ZJ**Iyh<;>M*|V6jL5y23f*r;F*UjIS zj21?mbO2+J=UHwz5rDt`rTwMGgbq&GwlwHHWX00-(^7?yAo6o4>ubibyW&u)eiJG` z9Ve{R=d3z9S46y_DDFNVd%!4@Hen+{3Y}*2WJFV&bz4LEgL?}`wH&d0i?$_tSWIL3 zYUTmp)rT$fvP5@Z<`+U?aM9;cj)>1J!Em@vb_*gCo{o1U5tXC-=LK7g1ukYSTA$eX zfQVzhjN-RFn=C*vQocEmii6=ZZmJFs%gDw;Iq2q-iHhUjSyQO<;v;|EWJlKVbvk&- z6I`1c#K^^@FpY^1u5Cv$m6`32bfnyVxvifIXt@Q|_A;taN+_#5e9`_tRdh)z8?FDR=}cPN7lKC8hn+kED{pVoFt zRvuhHlwlvZ8VoEdq$z5+SDCoXm){M>Cj%o}o&Y+Ph_w({%gy;-XXiVLX=%+!uLDjt zt|hgWkE^>?-Z-!ad^VVA7I3;m!H#0(ZyWCMk{RXzi|;A}) z?R{@Ldg*!zaKG$$<59Q2d((TE;T?lKX&Xy5|1fQ*bzC&Bd)?r6%5%|6Rk^t1<8# zyb3E5;dPU4d{5a$rHQGKOkSQNK}IgqhT`72SDC$QZhku1Nd#z|*%tfpNtt35V>cEc zC89(xc)7*)(B~(m(Z5%7l&YaGX_Iz|75QFW=2t3ZiUC*eCeZgm=7`N5>ee-d#r>RUsZ9r&@Kf8l)125%N zj4CIxy>#~gDYI5kjlr1M zqNh0J3>MRYV^~z(ew>aBwwP8FObw~HP688PDo@X%t7NB^IyQ#z z%fRg~nl09Sgw#TUo5O3cQ615gDU!VCl1AO$hmjVQq*0Kb`~a;p=YIJf>s^S?Rcp@< z-kIGhlqhg*=vf{tI^}sNd^2dHV7EAjyJMJggH?ie5aL$JSeY}!>V_HG>45tSlH4WL zWP^})_zc1T^_ct_fI%OffUaQ(r#RvtkZT!21Jf;e%Ul%X9Z#3!m&S z*KA(#K-PxvuKlC> zOzBt8w`1(&(z8z>+(rw};fjTdj84<+^a7Y3e;dxnImMPI2P88b@?1d{Ae@yl(Gmx| z4p{D#%}V#6b{Tv{Z#k^ET#)0e?7Gze!|!+RFuaNl)C^|+Y#l@cv+6g<9gEEg2QR)6 zZU31FFI_xZ{WwQXoUldHdC zkx-O=y7c*wsb!oiJ9E_`F-z^jLl>1Tr^5ub>dR~4^hP6Kz>|w@X9=3k!LK~n?6Q{1 zE#7CHGck>8B8AuBMFfoeSGV ze9)Jr_gfa)U#3^`Kb|tS2WUatpv9NP)&|iobE=o^j29Cf2d~#HCR@+eHe3kjQAx79 zwc-4f6h{*EDS%8dw!luv_iNN0523>F{AiMpD7N!+b2z zq)`(%O!H2A3#-WA*5`C@*RMXaS9)faqs5OBD&_@BMEtoQ@4Zgnsdu)q+-3&NRiziS zi(2S@gd`W#*)h7o+@k?v@3#URC{5tb4)5EX-vr+)cP~7pFwS_GpI08P8ZCJ{tEG=G zc?SXSL+rLG{s@~x@+@4CRJ1r1KvtDk_?chRQ0aaDK#QREMj-IfQT-~uytp(t4{YN? zb?*>#DsD#ebh8A!Pi@t1tnSwNm8O+Rc-t_;pH&a;MFj#11AJ6w_m5|#b!ebJXm1-5 zQk2Y3=$y^%Sl7&5mU05ZrZ&c-s=EL72EQzlS2)uUG9JQDN4=`1;}*3 zXi)WPP6el5SKfmHJkz>dm{mcrydpq-QVUUswUGR_v?V)K7$Wj>dj-EJBpFDE-mG+v zMiYsA2|+)JKG2T0=p^1$l6s0D>)IcT<-!W|vphhf`OH@F?KUL;F09hZ_CnF)oZT5M z@Q0<)iT|CHwy+^)xmcXlwg?bwziRz8@5Xd>+lcza;v*V?OBEm_%aVj#RWuN*g$fwr zU@Gu~)Mfr|%<1dQR$NqAA$ou%11bHw z#X&vO^ewiD0VtvK9(+(u+u`^4b?lK+j}>?GN6qL@V`1YhhSGR*@Gby)VBOT$XNv~lVFZ~ZMR+XyPP)i*nse(r7K_S%Ub;u3kv=KA9c4Xn3`-%Kh7a8jFV^DR zSvj%S{?RT?Z18Wd@T>uC9hzCRsEPG@B_&@fsYWG=59T1Dtq7V6&BF)V=Pc;Bm*s5* z=xNoFyA(LXJMtqI91hflp7HmqxfaQLXiuDMawfwYjozSHQs9R5;VY@!ZV%e15=%lv z9MqIS>%yz>llfM;qdE3t|2kJ{qSx`}#T(z3h%6|f1d1+#IQ?7WAUFwE0#$UtK2@f| zI%T(u{i&rEe;^*c?zrbSP1ua1F0{*LEe{P@r*mrkFR!2j<{2WbDZKa?LR1GKgyKEdZeN&tU=%CfxFHh6C za3H!36gYgC_2B>JXA4#PW{)Kg@IKiZ6X?$od=@lloV0H_E;JW7VNWs9&KTXytwuRz zFpJ((SC80I-8^72Lf)Z0k@G-ba{hrvrfu92k+Jo2 zkd9V;aIewWP)(k=>KTpE1*P`<%0 zmJ|yq`~rQhq>maK4CH2ZSR+s;i9Io+Z`gL$u1b5Q5w&)T>e~ z_%hp4&`{>5t^Iws;ag_Fqj>hh*i!h$hwX1Q-x5m;n*#++=kdTA=DhkHlxbp%U|Kbl7_(44mw>a)UL#0LG>BPe9imDBm!KPt zjVPFXl~9PxiDxLLMXyLbd3%88p$Eak?Btw$WdTC)MgP~dtbD&hqM7_wUF0>xh84Ik zn%pXL=GOv_aQ5W)F8p2k@#>QkMQz66>5^SL17VWhG>eFL-dYNa)c*9Z6ej}opx5AE z*qZF%CSoIPCrm9as{uyvYu1&;&B5x^VbDf5RKn3J}@F=woX+ z!|-`75q!~#^8@>=uVwJB>!=TS5?%a15W6B4`o1ObNFnd_3Ou^ECjVzKl&}9+_y#%` z?r-co5$CMR7iJ8yoADYtnI-?Q1 zl;T|7v0)wEd{uDZZWik7uNfb_cA2Qsee}YC|2znOmKe+=$nh~Lt1^AXLTnJU#kFsjQRdCDUOtzm>lCtSW7zV zjeXH)D*D3Iw0-O|1QBOc)oK%VJ2i3;3j_r|%?Bqf3AP3thpz;TeFwTBM}7ZIKhD~{ z%(}J6tK2;n1eWL-yge|SojDeCoK5>Hc&xhn0dAxq* zb3NI@AT=3?V+rZgJes3?;C${zn|><$JlMbPi*g-QUS+mNc;wXVNUb<=X(*E6c+@S@ zY{vDh@|g(u<1oWU0D5u_u_*hRFxzttiMdx+Yy>V zNWT5CMPz;&x~+b=KO8tNko{{G_ieW_tB_9GQu$g4BAfHyMOXr<{A+b|+Fu`-eiNWk zCQ#>z2e+W&xX#?;>vIBwuLoaqhoIGB*>cN%11Ay_Kd0Ly%8-9XttuB_PV)6C`i3q8 zy@QEX@YbpP^qH0nDjaN0h^HYdQRiaCn;4I?fS2g54gU3xsgn7-Raba57$NZr-{NFX zEdcw4t&*&FV~^jUS#cZsonhz8#?H$Fk06xvVU8#7`OmDxuR<857}xnbwupDqVO((eJpEO!i0sl8AJzc z`fO0&J~8~F@%>ej9&p-h;d0>RYgj3X@7N(jb7!mm0i$o~Ei51FiGFNg3{-HvU$~4~ z^k~!5zI zu{}_78j=DQT;oS>ujPu=$??P2pMl!el?f}KR-`2jcd*kk(nvh%Ve1iT%-CiO zH1NZA4<8}kxfsMRz3uW!5ogs~e)c3QMH`&dK&M2jMRNID2Dn4;0%a@4bdFr7@9Fi7 zsSH_y1h?5Kvl?W!qbRM|iE9RY;FWp@kq;M_;{=6Jq#Dv`U=i z^6RO`ZB{etJ#*I-7&iE{g;#V-_mjv@|3n`>->M{!n@ZMgwpTN<9cQsW`!3Lo*jZMJ z0f2wM&^tMQk)ts#VuiB`XjXV}%-&GubkC=mPwVy;U5uxA`_xi2Ikq`bpmL z%R@JK%S^|CX|1jIwoUmH)P1{d0Bc}G%@;Mu?{r$wU)9vqGu>$+;R9VY|3nA&mZF68 z4jxku$hZXq3er$JyNXD6Sb8yp^v&JT%;9N@omW0pw*qt)p)rNRUCs z8|@s!+FOr9KN33(O4C=ulo$>C6u(-9?BovJ-eE%!Ir;0iR7oolC9Q}OA=R^s6my!R zhT~X9pRvzFl9`)kEKi6xAaS{hinX0x9#nixVW08VDQ_ot9JE4>BBw(3^4f?$2S7a1 z)y~p#Kv{0XC0U63Oh_)BLRS57lW6Sj3a&YBwcDbwueq`9 zS`^Uzkip`2PerLkGMFVkVM5CUL5z91;;ncfZhjEk3eXTDF>TTN$x)o{3Umk0;3MlU zRzLi}%|)VaVw}^IO(EcRh~hMiD-#3OpCB;pvgkk|y8o>-yIIk_7BXI8$PuBG;J-^H z#oRQ4cr^LtanWg2fv3<+Be7qG;|%09DY?|x=dzY?OV}Bo6>YQ18aK+}7&W^O>KY#L z*j>PJru0x4nQQ|2+}CEWw(E_LS+KJdaV0N$cn?jc`tkcdtlQ41B*)PU$sk)y5j&tA zfd*EeOZ*(Q5$=vxwaI?a$2q&P)g}Y?8c!N`0f<5R*D1MgfDD zu`g}!%NJn>Bf;0cgwX25kbcT4NM{Odd05pwrC%533FiWH)vBu)*qIq?B2=kc4T(5- zzv*#+vxp#a`X>GMhTX+VPssJ1B+sf-OuyY`K+NMX z{0e~05i2}@l^4`q*iJI&u9bYJM`0z-40>0c2TWZecY;E~2<6dSuftFb@@ekS zohh`MXlj2G-frh_N|<1;B@2L{JJFEACUQMC>oD_^zIsGyv#Z9RJ9XEl*HPj;&^5b_9Ag=hY^M`>zrPRjB8&d{;T1O>K^Ln(fyavn zrs7<;YKktvfYkk6mx>#BTS!}v3*W#5Zv@D3f1v-P8cXdIih`lrB8(lOVb$elcz z+=pUS+f))QK;!=NOjLJ;sK;5{sI-U$&0AzrdQ{yr$dW;y;mbPhFJ--NBWXcms&Bww z_3x>rv}|_{YuASm7x{(ukM7A4$Gaz-yIAKTjvDwWn;hp=)WZgy!oH;!w!2~d1J_W* zvk44=O}Phr|5jM|rvkHiezJ-i_#boWzY;ic-t(J(z*vc1f!-Z^X63CJ_39s_#8u#= zj##|#?{mf1q`4ftrgGdSe_@LU&SdK5lojsF=BevRGcn_PBbhZznoAvC={w^_%znca z6JHnT_xY!Hea-VmrQB2^&+a~8ma%~yE^mgD9Y%Iaw_r_U%ZxneiRy}@w>F(q40wzBu%pUFA;~HauNbZL6Bs9?nP-Yz(zf;LI!HOw zJz8#6&AscRwk_!w2H)C0oIMjdeEZ#-QpouNhT_B68iyMJFuu&DYF$X*SZdqjio1ux zvWe@)PZBN@XW#bEdy1(l{GQI9Dr@3J$2NV_vFTE&T3*x!jk^2vyILQqc2fAmdIRm& zjxUXuwF)fyo$v2v9fA^)1ar$pCpZn6f~9?RrMSd+vSu38(uYx8XuXbPcHz`zaKN3OePR*7=>=*01W#-D=ij=(z_e!y|?9jjE@-8aK;`DyXN7={sT z1nmx45j|L4Hpm<=3EwDn?1b}Z&S+!`9%kjgkf05gthe8yHz>S*WGjnZ0kmVC!AU<}Jv3>YRNQ$&mD=**RD zFWR-3Z>J#%6UV4dTN@`$!Xko&56cMK5B-?S7m!UcenFVzDbr+6*6o>3r}_DZ%bIAZpq@bfhl?zpg$xs^2GXC@gu5OZ``vHc z^N3I@cH#Zipjrh;#5JXq#JB-A#WG@g5X+4K=B|O7vnyurW8##l=qT>-p<}BYInrlN zQk{{7C|Jqbi=WIM?K!v%j9pY9{U5ho2?tU}Wf_@5C!IWR&~dhy?sdRTV%7*EK!wIZ zR#n3pmV*Cr-CGKZee^6F%snvgns>cbI=H7h;U*NbaN>gm60Kof1T$GV#uhw*b`n(> z6?FgSnkG}l{)U~(s>9cucd#Q*qKVe^EM{^$+=R+rj<}mp)h$$Bo5bYN+-C{DYV!pH zA+L`7RyGwU0K;t)!{t^AwA@7?+q~ds7~um>$L=^;Ble_WK2Orq(KctuZbs~#OB@*N zIZ?g+{arx78GkJCKSDeFPVQttUaXW1U*b={2d-u$L4)Uh#S`3t@%qn<0?X#*!VTQ&N!_EP+uh-}zbX3KzVum@?L3cEhTPT|2_)z$^}wh6Qa0Hy*s=9(k}*LbhOSd7)?9RirFH1Z^t zp1l4T-oBcn%;QNtyPvt726mIay7OaqZvD`4c~%K87uDCfuL8AKB(`2>aISO=g2M#r z)fOtwJtM@u-Xmm5%)Euaoj3)zr+)hri+YQDq@{Qvq z>W1alQPka&)~$t!x8}%DQZMpFg4{IU9BHMuB(OJrv)8;C6;4RN;^T zHyWV{`7uqj``}?t$f^1fx2a2h@+H3HURZTBNA~^Bg_vt^=Uo@yu5EJ#Th^D8{pG8T4C z@u=scZ}AH9AlB+q;b5egpYM97y*_%{@ezljknnt%EjcehVJWCl=L}eoxz}_ka2v?x z89NP7RUVV7V{)*nrW%)WlECoWD+l`cK?VBBci(KVQes*v;|{+hPupO-Em}Dqq8Bk{ zwFm)JQQ*20AG>=iW8{yzDrUwo4RT~>Pxwn2GE3xYd>Tw#`6hvyq|kWZ`q6pnQSegJ zd^rY6ORwW(?1Y=a8s!NYyOKm!zuj8C8?PJW-F$JI>|lyowjc(T2ES~=^nj=+WmEn< zbs9t{%+6qB;<9_7OW#8#54jW-Xg6R)m2e=pqZs#PgV0$96FsaamE!+KDu`-xQRCPD zwUN7adHhz<(n=?0(HtB~VL1&5f4pTAU@&v%*BU;IOR2s-aPSMZ%PVkdWzDZA9qL9l zxu4iXB6AD>+fkPDVY*5*C0KDBa_cD}w@+{_BqL`R)vhM(_NWB-kDPRrjby<06+Rt- zW?-T(jHnCX^fD?ZHh%jI;NEfQt6ncx5k^BS`IBfq+2gl|Pybi(woqEU&}T{OuAd4x zVU;12{B*ku%<0^gp*K1-#dH#GyN8NSA0xBnOmJRw`lV`|ubE%hBjZz;U<}tOlW=;u zOb;gfqyso&U&*@w58X;+c_AE+nA5jcGrUvwNwxyAz=+a&_I~y_$l*F55GR^QM8w4P z7pKLm$4bQk`z}aXjGVLwTL+!dXHZ=x99x4Uk@5#~b5y1bT#s|zHu9Qa`Xo#OVioO#gh|M~*JnP}?z%OcOj@0v4Q26NZa=X6*CPfqGrz^k%_=aY7NnEq}aH2)sldKJAJ?EZE5VS3K# z32E?|30_jI)?CY766w|`X*6-&2u1tzs;bUTHtFSOzjK^YpDQ(C$g~r%-KvjC4~`^%d)NMV^2eL!lruiU0 zaIs`wb#7L)+7U*d$?H>rp^LwK5j`sAuZeG)otBOEz~s2dK9nJ0!f(f!lpUY7dlkK1 zy(?ed+OnT*HFMy+Z#$JN;4ZRC%Rr zc^6#1IY%SPwJr2nwE{k1GdP=5eUM-1uKU2|v&k>oW>;Y_o*N|QQ~N}2ol}8|Guvkx zdu!GU_m^Tc93nC*I{4PtAnb<#^^~i`x>Jt%0FMX>lMD_q0#-W}*K&K&IOLQysO@XM zjTVFhi+Mcd5Vd1UN^K%l78Nkt=@kuasYJ_3(KEhP;kw=V5f73I3c2N$iMv2wqt3Bz zrHs2Ra$b|D^(*qqnHD3kU*(wdL#mmq&j=j{Evn58!mSTK`()P!{gse7)0udDp4eNS z2NjXMJ@oc=gd1Po@)q?DNWv zZXeL}uG3KHP=4PKQ+C%T2sPr3C`*4l+5~v>E}fo#m#V$6G($^xe--r!?HIMQwFmUJ zcBOr`E`m>)1y|mn)c`)3Fll3t{!-t=waKEiN5+X~ef+8SS+cirDY9*p1xcNaHPM(L z1!=cx<>F0;=UKm0^dNBFBo6dGmTj*ILEr8R?A>!VEbHK#aCqf=y2m?_h)k<*jDIuc zqP*`}IG(ywzt*4%kU|5X z&4cYI2*QdxIW}X@DIV8+WcWN&eJWrpSI#kIJ0Z867ZqWr=X$<^a58p9y@_llnmu>w zT#SlcalbA%Un+@L=4zsrQ1ji3;p3Xlx)}<0PDwpdnn69$(^e~bcS_i@!;WZQ+bE~= zHlOoT^H}5nMa=0U$DHy_UYI*AgIW=Q4Mevroh|ANyA~IUoU-s!e$HAIF{DK9*QCHXpXM+?Mk{B-D})8CYK-1>EOZz?VcK z!`TqA3CD*JIdh9ibCc~uDt7a22dTZn@(ax|V@|tUs#?oD!2u!VsOX%wpH9Avtvc%t zHh8|0Fzi+u(J7QSkJRY{aKvTs>zyUNUN@wZK9C@8zng;<^?_r!6KU}PTAKR%+5-fii+XIEh(W@D(?66hPTK& z=*S=j1D=`#S#|s-xE>&-4gnFE%1ALy5}w$mKu}@4!Jd2?A@zQX{&J4bViYk|-2*Ql z3NE?sm4K24YNfK3@t-<&Go8l5&t#WX*LkHZaeUG1->&hI#>vU76$Se-+vsX)VTP5t z_3NmlFP5u%o9$5iVQnj^gm+l(fvcbqdB3`I|>Zs%WaDF4$bztkrdR-K^* zt?*l4Cg~aY+W#8yLM_KM-s#I_^fmELWt4Hv#(QE*5VU zG2m&Q`qjkv?bTOWJY(S`UFi>{5!e@@wEysr}$4b+5Z-v zSM+{?`kV`{AYV5Fv9n&)r{nhdEz3Z+p6a1k_4Ug4JSvYF^8Y)h=`sc}(@{mf{EiJ| z{k~X8AR9yb5|Gl5?i4hCoOXSW#am!2f~E9v|keQ}9-aGoIdA#f6T zK4r(E;ae0I9Ucho!4BunQ)X@?RQQXND8gNmWYl32;e@!Q1%SudV#^L7`ofv(Mm zt*`(j7+uep?MjP-?GsC0g`_(oNO6VSo#n8VcokK?RmQvzbDT~56uO%2uYRJ_x?G8~ zfW1RbN%P^$&+v2dyifOM+hv8vS3&%i*A#xgZ$+Bu6bBg8+g-16I%>h({w|2W0)rlgF`k~CS4!*tjhUXg&C4&?o_=4iebC=G%?NybKQT>BAi?DrSYnw^DuIiE+K{hJm_bL$|rjd@V8v^io8*KZi-F`@fDL8y2 z(eB{}q%tl~14NOAK0D;N?;?RL?Xy+pFyHa{VTX2F#Zb+NO!QA(QA~ zn&|VzBz`UkRv=7d1E8ViP5$E0EbPYc9ulN-FpTqx2RVAzm@^R;eX%3lKeB7L!iHx3 z#r}OrJSeMae~xyJpjq+RZPV?wZABJ?j{SRh;taVXd8n^O)v(85pbgDs>*&nJeU#q6 z1EtUp&N)-1;cWjF;W>UInlU0;o27kXFC>J*Fh!0zYPo2evvnJ95n_ea*Jt1kMxseW z##836sTERJP78sXztwZZcc#J{xX7z}!pmTFSZ^axBj50BqdpDAc@bl&BnveQG|2_= z*i;FpzXJS(>F@53J*Z6h4cOLl7g~sO@vB=b3qdQ=E2QuG_Io-suEB-`4Lo$xfNQlw z$-;&>vv+g8oGqWaEk?Wgf{XcByBs%6WGT?r2AZiJ<7LO={y(bTGOo!74BM7&5Rj6V zmIfIOB1(57T_V!mBL(ROk(lH_y1S%@bm!>NF>>U)|C8_YWuNx({%!YlUFUfmR?(pH z5q?X?Ds!Firt>Dzz;60PS)I!Ffb}Nx%po#kS;F^p*br_tfJm-;(Mo85g__I|HzPun zmU)PpU#F)nQFpy-JEW@TSvJRD(UW$IUc3YIBJA*NbmHMb^=Sfb0)*hHQbzi+Zd1nQ zvf|m%PwHpy;#7U_HiDoCueYt!QeOp_^4W+i0{#zo`7?`&wz2U4uujv8xV&EryfN8d z>u9UDo0b#v*kh=vsriq)Y@abU)gWuMzf@XqsX)u&str(rM_eQ~zmvUd2Pj(lUnW-` zFYo=&6zZ5#PHJnvQdLh!;b~i7(bjD|e7yv#LtA=6cyk32OQA5tYBEG5VzQ|beKKP$ zgv#rJ?s(t`ABYeY87PSCZ46Vz$|=Q&O&XVGDMilD<`xNG9xLserXjiN(3;Vv7@Lx7&ERLsQrE4lw zU8Rxv=N6D9P|8{bfa{Mel@(8W;K&=%a4JjuMx1Eg6(vJjhK#b&H%a^~JS@d+LTKcc)vE`I~A07t>hwNxlo38?* z@l0=S$GZ3gXx;dZ-vd6HdXEhuSBpP~e9pwdt^!2Wf}a!F0|x~8>Ee`s7O)@7n#F2! zj}=@B`WrX)g!&FlG+xbGljJ+JN|~)QbufX!7;evhvg=v#-F8V(B^TwcFOXQ>yd3$B1`9MJ@Qb z!Uk)`kHr`lmi7Vvv2EJkHomxI-qY*F&{``AAKT$n5_5j*Sd|O%n8cwmFvZQn8 z@$JoOt`l=j0{i0*Ra79{Fd=v1RDI8OtC(cj)Ym?Nj$~OEirB4SzTStiT)P7G{SI2n zNPX%2l|}+TTY~&$ERCA3ZX-8E+Iu^1CQdv2{C1k`*lNb#r7v`SdxAas4flGUfd5e# zeHCx@yV0a`M3gml497rH#FQOQO-YuU68f$uy?+}CM>#GccdmOWB==`qYiv93ocGhV z;1@V^@9f@~&tEW=}V^~{Ag`%YCrU+gc{ws-`}-G5qMxQddSYH+pM zU#jW2q8?S4=K`Xe97o)I=@K zqKJK`*Kx=BjO#(M)nuKn{eJQIe7n0hLVLss9y4IF-2+}$Na^}!_D%XKpfbwCxhznY z>R-sfXgj}sK8Utnx&(B7Pv8GAr zt`3?UfKPTQG{HVARLwN8IGt!x)fyo@Tj879Z``kUhp4B?6}o0ZrfLGk_ZR9*JsbIf{S8Dh@ojfQ7o1vX9+a*J#xI=)!M5J=;-=6jjaQ z%#m;Xxuy!BrsWa&WEO%UA>4|D8cJbFbA(Cd;aBz!_GrLKK894_Asc4IBBXx`2xg-& zYi3y-oY1YbVB^|BCm1ZZw+dNyq$1%?S?aqeKGs`3H0E6O&)DI=d3)rm@hpueGL|JK zeEUD+8&R`q3p}A`2t1i{SP>48q()2Q9h=j1E1;?v2DwNFVz1Snn8yc$SCpX(Q4Zx; z4aeExa?1$XHU`jwvY~iL1NygGHMFu!HuMAY<+7>HXpGgw3~e?Xy*Fn`!7oE2?C{1F zHE;|*x7j<|UCJ>j{z_wZG;`#HeuWccQ47)QmaF-ykbv1BWt^sIT@{EdNlr0Gwbu>7 zM0bhT@W*c{974)_6)p1cKKsk-typ44siniaUeOp|g}N$RtqVy$9qx>BIEa5J8d zKQ6Q{Z~T7UTi^>g({XLEFH4`22JSwYME_p5|rEkY@>ZXEfs=`}ZJwhkDQ3~5~CPO9`?by9p7CGmw zqdY=Rfy;3Y_>cZo5#avY^5)qi0jZm>*U6WAD~d>3A8SI>F;ACYUfIfxioY-X{L7R~ zP0^rsG$*&mDCVxmod3S_z>^`Z)tvgwvCDAv=RwMBjOlKzUFxk~<4xfu)Z7cMe_`v! z`&$_WzO4Xf9+Rg(huncDbds2g&;)=YWLJGBj`WRS-6wK7QC@mAGae4fEg`7()cJVA z0XQAD+s4#HXonnTFVcX&>6TQpdLF_e09`4e9<7E1YCY zdY5yM^`cF>Ye^RpAkk^#v`?TJ)mC0~%9*eaz66~qO8Oh^H&`SA-WcPvZaw{7@sDN0 z7OWZUCq3?^Xh(hNSe2IUTi({Zb;^IOsyjlgQU~{g(6jeFt0A-pFX9dPXa%^kFsm1X z8&k}EtFgEUAc;&mUNV?J#^7Cbc}W5_B9eyF+nR33{+H8R`||f! zlkuS&Jjn|qz)D3g`^5|2C6Gw%pU9OKiw|T7hTBb6rDovl&V6+*w%&jezo2P@F7W2-O3)| z|432!+8wd8Op@i8!n!M<^QMks<`p0 z^e9)*@(Ek}!_qMOTlBoXkD5Q$BZxD!DSL6^j*P=@1hJx!g#iN)09Ok^f7uAY~C8>+DJ4 z6eYv#N5xcM4p|sSw&Ux>wfLS9F)(gvB^&&Fb{)+%3M}5Ie!)iR=erAXzE={S5z}JC z!J?`osKx<17$HgMf%zrayYfBG2;Ez2;h{}huiMM-I$odq%>C9cQ3Tno_N=kwv}wknI4 zgKW}LZFs7K3FMXODr?^oq2m!r%my3ML0l!X{~a}PIx95FWJaSkQyWK(pmQ?$LBy|l zn$_gZ9)Z+RYSJ0t)|#)!Ok*Gft>5NtP>+j}h85pg&c_!Fm@?Wkm`g9J*v{f}hQp%Z z8zvG=5dBvPc_=|VJqg3o4o`f7K1rZe(~a3YoB%ZXuS5{3S63fQs-jvwn$qm8TfNW&L*B?1-Bl35w7JQ`2S_Q;u@wFvMXzn2s&4J zo!<2xj%E%a@kG+G1b2#wuP%Q2g++Oze(DsZ6I@ZBgyKU}t+7F;1}%T0E;`o=`NRdJtM>8x*8 zDY_wTSwHDx1tPP)%y*p0alRoH9KWC1mJzBlT5T91nF@>R|OWCxSno zku+rdjGUA7>5l*=9FMSm8wU=YdmbV%k3A=cmt}W%3=vRXg~ACD8@)r+tfv#0($WGi zF{iaLmKK4VGsG?=gS2P4LMRae)lBag>vnQR>Kb0uk|P!~sj(=$A_-J^qyuu-wej1| zwDEJZ6KQxDp&^Fb!e|D+#}|K~1fbV-I|F{a0Q22#h>&``Bvdv3AVMYdf2TQS6aD`+ z&2bwws=O9<;?n)2QzK+OoXk>W*&qGiEElj?tEDv9?y#Q>pQV3P%B2_Uu^wKq^WUD2 z6IY%+5IVZaQeLCHMp}b$bo5H=wRWOOu5#F;{N5UZ@|{*q+?|ws!wl@DZHx0kD2yLlq{#4 zHnj^x5NK1U+4Uin{4*yJOqKiJOS68DNc&u~(OAfO2KozLlaCk|6ZH=3?HC=Ih=8W5 zdbT~B(3Lc>?%r>kS%33L7BS4%?`shR^9{mD7b2ZfQUsxfSMg?3JFmVK;ImCLj{gr` zujz1e{-OUIp2kjJ+3%xaiT72?fq*9wp2LNy!J$6%VocJ&&;S*e{87$8U?}4xcr%=O zrlTO{W0k5)`2JKwK(<~D!IH#>s*?GMsDiFSlRZ9;!OMex+#YGaA_+2oR$YRW7tki} z^QoJ(%P*;tE^2a?9xMsJQi+(gY3qsXkAw#L@LqZ!{n!>Z37-oa3ua)m_5%0@x-VwI z!%+gawp5Yxf>aVQ3hu)JfUrX5SW(f3 zHaC*llJ<{*UD=(3!pi;BsZMXpP8*+)!6y=s(hjFWKYL&or@r2w?T+Uj=<&Vok6Osr zmWk2N02^279Z^#)#gW3Jw@L#>5B{mA`sM4es^22&cA_2&iSQ;7zX7_JZ(&4jFXI`h6BY0{vM70ro*Q97ZQ!IDErQ z=e+`+^T35y%Ol+XS$->j&ns(rWlpV0ngRG(os22_faM zo!5gxO7~Jqg91tyIwh1WHk=<7GvU#+Hk=B+L0laYPYbe6M=^t36PPz`K~+gvz1u|T z8}PHoXt(+}zl!>6;Vs=dy+DNH^odw}oAsVllD@#l0h(9)!l%^_rB?Yf_Xom79>dgy zmvMJIg6gHb2GY>uRh;^sE70tIQx+h7GC+7r_)ZE?&Y&4o!mR1YsocUNMzNfFeM>Bn zd}D~f8hcnM=w<*22zH`^-plV}8GSgD_wZO}q^{k3K0o>8&7HV%OTB=`dv(zpc4qay zYG}ZDy>x4Gj}6DgJWR`lN~Pw7+ChI%DAK_eU^cRQX?GMaLr+2it$JLNX}F!!FtY;>8%K$ zh9e7Xpb@3)P#sA?v8D_>Bc;J0+Cw`di)EbnF2xk|Hx|A3Td2pL;DdeWu7X6I4|U$z+D=S|y6kRN|$R*(19 zQtJF2*lRrNjguqd9vio_PjrN}pmA(sMR!l>BtwrLG!YNt(ACs>(#Wq&9vh|pW&fC? zq|_1*srtpCe&5j9+iSYZiPx<=E~7!(3@_<&ygV5 zhg5%BsJBSJXZ7UZASV)Avmkx+Ve6~-mVdS+#~6OezB={1YLR${uoQ^xw;5%Ul$`^ zSE@7==lkn~?ft^0QMM9Tb}#(>2#`b@+!?tnyz0_I)Txs1_!7ErFYM%`+UtBNz98EL zr{Q^?Wj83MMk``}JgKhFPPVXX!PPqX!kb`Gn#K9D83L`3fg4kQB?_9BqA!bcsN9Fj zNU$PBPyFP5aRSDozraVT!b^87O3UYAP)Q!%4hjALP2E8>4|RKfpYmC6gOB>3q_`C+ zeO^O;_&T>(iux*o@aIW(k*FC6_ij0(gzjS+6kJ>dqyQsA(1=X#pt)#-P&Zl zXRwguB~Y2Pc0GW@ix zZnLuyfU%5|bqf6`{i@VbweSzmLIQcf&u6x$*FzISIcLus?eKeVG-@^x&lz2;!m zSdQx>hNrsXe4_5xS+>!i^oQchFFaPm)F-oP-5u7-7n=S;*b+998*zNo1t#>-k0)k6 zofSKNP+2xi5aqhq`B?orhz^jsZun2X;U>paidJ?v0x{{9&=@%ya<6*K)+XpRQkl|L zINm3vRn`F&zH8qxN^5FB?{t-*KPC226FrfvYskhc?Asl0uc#_Kl>i&1-$o_JkE+r- zCD)pyRn?cVYX(hv-|z%hZE)}Xf^djFk>=Td*i-5GVe=EC{!zeCjC z0Foo^$6zB?s7vXQou2>cpmS z_k0&2!@|&n7j(--nMZt(mc~VS)rQ^BbG?Nf2Pe;)i>+o49j=1%8(Voud7r}-jROWt zDXsXCQ$;IfrKZGhV~voPd9Sd(#P)xu;E~3=62r1ua`xO0tiP}=m9ASY2pu1D&vRg* zoIThy4A^*OL5@Nk@{=}7!@zvI?-m5GO3R<|1IX zHNE%BsLHD&Z^d-nImmG-r~!a}z!G#UHuYP{rqql>sT0xQJZvUbq;%>lj|{dguAjrV zK++6NI%TX^1!W4om;|UhU#UJjg^4v30xKvHyWU;ALHobF5Nk;(JA*_LTun1&Ojl*P zX%P+IzSkoFrDovbnF?ZVv$jKVxB{4YUkFx>6VDs}U~uBQPTW!iG%3|DYkk+Qdzv@; ztcW|WU*FYvEz`^Qi1@^w_)JJUSoJltY2RnI!o=*)tP_K-C*fQPRKv-xq|5#p_~~M8 z1LEyy9#AzG!J9m|8}+oii}Ok#!>LqP`#`sp+04-=@?pP-Zp!M;RB`bksvhW`_wX=j zTeQJ_Rb=WJq{0CcJRPweY46CJQ_lLkds7;r z+A1yJ{J2Pa&SMVM|7+w3<9nrkMzkZ!4lpx&x+7ydO4fMy*XX$L?JK1-*U^ML*sM(z zaJOFGM;M})`g11c4bQthLM!IB`phJP9ZDOiV~ngC&YQ_>&WnP8aQ&s>wt5s`sbjY* zHpZ8)A4DUyQr6$!^7^2eg{ep4^?~tIBiMg!Iq<{Q<(eNT zad7+f~eRS&oGQpFZhBjRX(Bo&`JPyR}VYK;y~6@eAyiF5o**_f=lbKn(}ap zxO`-QcQ20e0}dF?lWR561=WmUDV@Iwmt=w3X&heuOMPk&wZNPIr}YiQKD^FCsJ;aB7NNy-MA_}C>t7mGKJ3VdN&!%gCHZ&hi^P=m(6;9kABt{2RPS;gsDj3a!5o<7 z8ak;QcIwnoiLH`dAU$Veiy;)+>IS=j90E%v);X1~Qt4+jJ6{Afzox(ayA35WL*BD& zL)&?V?n582==R~gpr^&dGohu^TksCfG-lb2+fxL;P@$>Lrxqbrxs%6Lt0}i575B@1 zDaV9|7GGY(lB4%)Xh+ePIL?vDQvzo@hO2LS8AjAI8UD{_;q{cT)n%()pl|czi~b2` z<&K|U?Otk|EEhP|0yybzZ$j$`4(8H961P9!(F>ZmHY)gyNwFt*C?r;KK4}5Ej5?4{ z^)csNcBjoTYA(h__?o{EZmMr&Jn~6@KAjM7t}s#m_I6IMA}~g$%)e}=We3ordO@+p z^@;}S;&=PFaYVK+WmQ!f zNrG(N-sjEO8e9JHwF%e_Jb(UD-u0gPWTJiIxWR)*Z~95&+ilt%JPitcW;Rt`Wbie1*vs~Ae4Wl-PJ5RoewB$}bY2&U4j(4+odR=`EYj{6m%lIUyV;FK ztgxp9lE}K{w?j2VG1ruUDOT*-7fiyzT|*2qq7e^6)Mt7l3E98f>5(is_dJ7y?7_-i z5wftIqZctWeV*2J6X=x_(v+9k(|8XQTZYXKBK79Cy%soNx+VNE6K-iYqAck8?Ke5e zJ7Z8t=U=`E;y7Sm9$7E7&wv5fO2y?VDDCA-P|?=w|q zW{@;aTa+}}!2eYI=JtI+kZ2u#^%s;h#77ww`z>TV6*0brGd*Q~_!Mvat*iz%S$PVe~cyY}5YLMy`Gst=t|; z32}k{AhOq6mqgn>qf@w9Vqd+%t4X33lR_n)knZ=0 z<`1L_a?gPd%L4%zb??!v4IQbE`&d7&zd(r?vK%TKauMO%Y*)zKyZwq6@&Y}&x`*y= zY)f>ITN=(Df|X5Yac2r!D6OS(WP2VcppLPz0O)^;|CC!4WQPV;nH0W6$!cJ1T21jt z*uUB{YYHJm;QV4VAfjb)Byci##glg^J6AxISV2#7{d@ne+DITCos;B<3jcv2@1oGe zD;Z17Ay?5x)d7h9D&N+-=g&;YQ520cVcR7N3Lxkb)qG;`0>7uPV?i>>U)4z-D@F>M zVMoOj`qHj$G$G7h6%<=Jc^RL$YoS6__WOd%O3|Fzec>`bRhf3oHO;sD0t9nG$-N}H z)5@}cPy^1Bv+Ux9uv6K#lj@V78gSjPtig7e_k*eDH)zOH>g!&@~`I(|A1iWVSS|P{C`CRI7ziw`(QIi+Jz+I=O!&0i( znySOAuh)s_072@ap4rYhFN(%PN#QOTA#V5cC&_zCWQBeWZ?)Q_T01_In6!k>l+bZQ zpGQI4{gcxw@=K0OPQL5?PE+f1%UnS!v5hgXjYS{KiZZ$HxFjZxu``vr%E0US;;E;O zS#pKNlbkfS(}Hp3(VqueMFCX39h`TEBX91;H`pF!K}*g1-goND`%6xbpG{!H(tnL^ z#NC4h9(^@3_>qly4`WXKGDfmL0}81?y=neZLvZ8F+(Y83a);B=szeB-{Vs*C@nU6y z$rGg`(+$?enFP}GUXxaUxIA52n)^1HmiBg-7W$O?P@|pf0rJ$4qj~AORX=n%_(_YL zJ_9TU9-2RSNdK7ewp2&yTbr)}?IqQYHrpc_|M-ggnNt$T&zIbvwS&A!N4G1ep>@Vz zVR^RwkB+^*=J}uc&4SLRb==cB_zS+T@PT3S32Z1+BFdnz%N*+Ry2Tl}&mTDEk(N0w zq9(5!Hi46`-%n>1!f-}~^cXCB5pl)+w~j71o%Su))+}hwCB$4QfYw0}-I3x>5A}iJ zf~ZFdY=E;{!1M`#7-OwTCA=|$8`(4{Tg-mt%V zzyLL8T%nJD)L=qkK$pk|g+JGFyoi6i4Gv~1m#%JD9H^BL49N(B2Z(h4n^48U#z!aW zT76!Q=t9@BRR1)N!((1oz$>bWOE>Q65()3|nxnZCy~6Yy(N|vB+e170eA{b|RUEXh ze}@nHjcD7wZ|OjPauXx>QoK;KFhO72|CuK}$P?!@$Fhq;t@1N4xn|HC6A1)<4K}Oo z#3888Y`3eWXzy)}sig&;mo^W+j%Xmvs+eJ8`<~if+LYt7++bHTLMz@vjaPM9q+sKW-eJJT7Tj6MyW8vk6 zn6M~+8vi`t`P~(myhJw0TyRISrhx@uuqNCYiK(Thr$xM?Ha%7A^c5JEdKgfrbE;){ z&={TMM|9}_!R@q zZ4bt1q#V=d?|*b5a@x=QeJJJ&y0wYukAICIGqQyKEoQFoiaqS2I?plfH0t6d77EK2 zUz3uNX2t)qgj4%OwCgGFh;fb#o-WY{kEIpCs99TOB)H!uI5U>%ma6ZKa2KkLkx>ut60G{)&W867H^gdM@5+>Yxo>`% zPHJn@${XpRKP~k@|I9*13SS}ZZPlVAqcP<}V*25Pphv7y&C+BYZWFC<2jDca_!3Z~ zw)98;Rli`M$EhOAsJ^1~p?*edm&P|5ZlNVz0cdbmxA>aPnrlA?ADSq-O4!W>trXS? zWjfTPN@LI_K*dJ&h>ruKzRZ|}PQouc8^d_vR1nQn@Ay%V5%_mzwf zQ9E^3)@s=m<2+-Q7OO$L!wV>ueD_a_iwIxplI}L$u{h+y1(;WlvG{`OWhVp^e2Z&= z7Cs+lc4l|W)!dEw3F!c>129O3j-z92x}$oXmk&7&fi|jR9?TmYErTBjL6NVRN)l8n z!=n7ouq+<`-n~F%*kVy{9G{sz6pAb+r(_RH16O^-X-T*?q^cd9cTLOg=@%(#T&J+g zMX|9zb$;RQ{epJMEhV_%-D8|_hCl&fzpE$BS`||oOqR-{L4983m^LQ{7y%;rvN(J& z2KLzC@9ZNr7BlV*trkCz&Re|Nq9}ClMv!c5^(y8?bePjV#t_9@lKL93RTI9c>Fm*u zRV~U5Kq#i9*`@63|KuD3e}18>u+S5}T%i$yOci0Q$ZRj~2XRFFJ;kokX~28NT5KTy2Eev{33$+*qHyhv!z zPNrKe(~QKZfsb{HCsO_?VE<(wA-;Thpz)?D09-`%lY^9z^Cu57=^5%}3{-QsdurNE z2CyARYmf5Plca`{tj3OE`z__(tsZ2ZlYQq>tLHHUlBq4y4!B3E8Ps3@&$E0Sw zwP0scm=2A5xtV(4Sz(K!kS+~#1LFS>xwn*Ppe5EkdShHWO@dmE>*Rge+I!&Vj>ys% z;ngM#6}kDWLF{RF&)4V{_hQ_9f&^7+{;T}?Nep`qvGtYsR@mPcXzaK@U6ltilXImW z8*ra@mi1Bvc+*osUeCpsbaMu#ffbyNX8od5_#N zR?h2?SJo87!7)3e;vo$|-29&K=7aQKAleC%nEpvx|VtDC3qd+AY@FSKEU?3Oo zuNx82{y|F*hD_SMp?Vk8gdcRzL?xsXbULrToPT`$dxlL-j)3khQv-bjky=_$)FU%D zx2o0}h-r94T^SOAC-60Q?S9TDaLq#Rx+H1I^zK+%(dnPugudbu?CycqBf$S^9ykFw zf4D5VlKpw#tNHt%t0D*7t;tlN+(dtWrZ!7rDnH?&a`c67rR|@-B7zkD&nKL`39|^% zYk0G_r{lzv%Ehv|)oWIDb@=Vp&i@EcHN}^XISZ>h@DQBs;`ow~T)w^lgJUUgkVvRB zw%+R)d^7*ga`B+N3wfAv_O)#Jm@LF%?0opa`;^G$`f6l*QG422C!rHG8r0ubFe6uL zRo)Kxo$vrH5up;ROnIm|m85g_)q!3R>TXl*1W}G@py!utii?M4ZX6KvzK`wxh)Szr z+IqPU29aMFhO(*V?Z9jY)zqSJF;gv6wmm+fa%t?=lmT$3%wJM9%e+UQSM7<|&>1P@ zMkn5MK^-Sz4W-q{&UX>oNtdEv;}50E!$Er+Kx9K1K*g0u>s;w`At;l>tcJ5K9BaWN zO(>pW;aYZ#T;Z`E!88OhmJX`VCxZ@w@r4@NI4+-0wc1Jcoh}cBQhj zLvdKRY={G_Aac^uN~XJ*5nnSXy;IA!_DmcwC?dtjkyB&dgT!}(0jhutv*{MV?00AjzyrC#240g~gm*6dpQG+B-l3H7XO$AzIZK-I%J7MBIwp9Epy&oQFp03dqv%2(8 zI~YpKYF~M0a6EOsL836NJ-k8BoP@DzGeU8jf3f=~^GeSJ*!k782HP2j1)G%Meih!j z@%TCII&$%Wix4`=71->vVt&GkUudUW;Mi+6Q`ZdOx;OjE;|9CN?pNBu4A1s~gFB`? zPkpvDzgp%m`T}OR6vy0`Z$J#* z%_j3X?j!-cK5wvasPNg0yeQcih&xpz*M(7%#|&U3Y8C4!V?8|&>tcr?$hIZnvMKBo(2c5hTPAlUYjlQJfZ*fSV!ok4sn0+PL9z4m{& zxj}6Imz!%f7(X0KC1|}+ZIPUs%8Z6Zn93-NEMNNl?g_Kr>$av6`1%_kcr2-yQ#WnB zkV*z-aeN3!0Y?z3BGm9$uMb%e;q1&G@L&v1hgK4Z+V%4wdDhZek3Yw(Y0B=1bqB09{zChJG#q)$Y@y z>P|TRr&&Kla{v-?*af-YWlm#>fVD{OEK+(V8S|A331RGi1i0Z6J#m+!7rzY#*CBJK zY0G-)krC3?erpyNk&7IhmtPWR~H2~!jUCZ`f}~`u|DS2ix0v3998O6R# zyWa}5?kAWqun?AsjFCwAhLI4Kd9&L=b&n}oy42g{?-CqXt<3hBtV|VC@(LpTPu)*N zsHQ4o@a+~&OT+N(Ry8B)wKh-5k5f_StK=$>ty5RC`CI{JP2U@A?w}cko!MTACw?7R z`~8}{HSRg-~m~`dKMf8gyH^Tl0<=c;SQd*U! zV@}YNzrZSc<;Id1pUG(b7EIZ(qsA8KISI7> z$B|WIW4tP{H#0hNx(ls-PY1u5_8nW$R-30=YfiNNAvJCuIF@0SQg3H(Gf|8uaMC<< z>HdaVnrt!ERq>08A-k1--*7j~EHz|Y=werO(4fk6o~YyAcc*C{u@Dq24At@+yWHCv zw+JNM4esDo;uc$k{aE0EyL}U;lKN9nuja4PNqtYodmHv1<0$DaK1^Q(5+=F#u0}KO z=Hs(mVtdC;d>1<*NjSE%oNc5NQ5!+lSWg1BlVGkq(4!ind~y(!aqjMuzew@;UM&=} zhukGBj-YzFnjeKtIfVL5(*;s(wu~p;Pt?1(JG2F-l4F+&HZ%?c+<)mgSraU>xJcPp3l zUc4k=i;axXKmsFb#{&E%xm6^jzqYGKb4lJvG%6XEM|dN#uya;{VpY=s%zaAvjgP9_ ztpQ8ut!rt(8drbec;=yqYE(+i#rOEmy>BCC3=LId!64Kt#N_4U=MyP#WQotNRj3;B*d3ZnLQ0tO*px!D+U@$QUf&tz9i#2J&6M|LtkGypS%}_uCU6 z76X7+Jw8>ztL>K0YZC*!kO^4Ux8*j4r5{8rHYm+rGFq@x&DenP>Zxtfw8JCAuk;3g zc7n^+_tz(RN4gXA6(PR_liN?q@w00*F}vXD__|LL9wl&$T%jNG6-%6QeUOQ5<}_kx zQi!{kLNw%uBJy2*Q@oBDNqkSf_sR%~g50E0gcU`AXP#|H9d{5k>F{A>-;`bqGr|U` z&e6Vv!5SP(k`u;d)8yW!$ItkaXiXK`HK8L+V%FeoyOKq&;I*lyAZbNqi?KbQgFsnN zwE4Bi)=0@tZnYyAj!kCI*L zqtP@O`mv*NvlCC-w+RYmowC*x-n#!QH&A82`b|cirE`po;R-ILYbh%jh z%*ll^*3(BNCjgfDmG_YJfJ(x)S~Aie@A$*hw+kogLrxdsXA*$+ZF@L9`Hff`+xS6s zs+*x;4RM(ALg0B)UI3oYr(D$2fDUxH)>hLjI=E zC!>W_Lo=$eL<-*�Qh|)q2xil$|N8+eh-{WRpd)$OY>V(NGE8_}Vp#oKI~(dvD(m z2ixD&4s1yU5(305fh~PFWxHDi7qhu(ehi&u4)Ldl%l6P~_n7wc9_p#H8-k*~`O6!6 zq&s+|k%N;cHlTYT>Pe=Lt6KgfRZ<--C6{iM+MTrnG6tC zHO)P*jrEJUYK4ZbPN%?9NaBjHrQF~bM4`OOYTE^H1_V8W~=Tf}9WD~EK*P6GR z9@JdWoa(=&7DZVZ*Wor~*Dn+Kn3JK$;mU8Ye~=bw6kGLqAv8ZTiEN_NtStU`kNfH# zJ?XzK0D?bu>qjgD-M?|~RC4S()XXv(TmNVS+TMc- zPUW`LuAzu?=V34>Ac{tW!`2OhX6?N0QhixM2vLyET z!xj%zlY=h9=P?KB_f}xrlh-X0PPtr0;0ymeY4u%Sce^bw=gQrk;g+z-?T0DdWJ#;2 za?@&~We-!Nz$Y`2r&j!#l;ht>+65M&!iF1UQdIgA?Dft$p~|%SQ*jl0O3Pp!y%Z~g z6A%Ch5}CRV5(|nON>+9Ic5O^0R2dV{5g2$H7}@pi;*}CR#iD15NvZ2ejW#-h_S4VK zQ%X_I?3xriBpa9t!ucv+k2RixJ-$`=ojjG-3Lz_vjz;WXe;k?+a7~IYA944Osif7f zF(W!s-$Myx&G;B{KW4Ho{|hc|$G5RE*o(|V+&oA%qz8>0>kBy8}=8?uV@e)cv zE@Gp%ehUcwTpv84(%jUP3MoY=k6=v=EiRk`Xaff1yj1!bvE(^Yr;nlsEAx>D|M0v- zZBiVAPy4YSe$noJrGO%6i$r^b>m_OALK%Z-@AXF#dMRXLE7v0BB&@G$%jnwRn!G;B z@1v;dH0kmwb+fxeVy0(5gb@nUu38U#!#l8@2MnlR)ZKGGQoh!ShQICyT=b;2f0q9a zAp2KBpf(MXX~$B1u-mk-llMXk4 zxH2IOl+hAhYIPmM%(0EHp|r{k-<1h;R)03x`BA5pn#uFYsBwg9?uV1(u-LSVPS@ex z=v+m)X64r~DQWjKp^_k8`wl@t3dPDwQ2|!2bSwHjnEc50)KMIK_-{k4*Jc*{!=|iO z^p$|U+s^sfSD)7pRH7JMEWekn(R~Vck?r_6ydhG2Z0ihFwYsnWp^0nAo{;~%RM8J( zF9v_n#=bKQ7D$^Hi8O{+KY8P{yg!+u{Za1k`FA07OnyF=cS#`Hp$*uXEe%JHKfaR;n^uM~&|1h^qWU@gr0HjY z)>m_|vdCq(y{k`618O@Zes}l$GtbuZI_qt?3~>SI*zeCF)d0p8<3Rg_$*AgP9J-d= z|AOQRsdTm+w<fe)+<GLB9bMnLq!XL6zd!WWJZ+H@P>EGjL zLN>BmO#*aJ8ZGm9xD<&mfh3&(4V!)9!evAX0Gsc3AbP3!Rz6*J;ApNKb&U*T z!g*<6zx##%72z63;^p^g`Zoo6f&=6~=!n|hjHL~w?Nuky?^9f+EDThWx#bV1R7r6B zms0F}Hb2Ii>ntl072o~IB;eHqVt(#}$aKnK7Uyc%>choXf5$b{ZM4`g~|@FjIDcW|-@00i2KqIN#<3 zygZ&l>

    y%!-&0ogXT#GQoR4<*p$wv_K|y%^c2VMY>+^|% zgS@8m!}j5eZGGwcv{d$@t}U17I!AX$A=c0E+l8yzkM@w^QOgp&08u^f>**r2REbQ24d2nh?|fz107e|D2mbY zIS+l6E6Vg8OK3crz;uy*JmYUEUZKkgF2_ovJZ_zPVWLn^o|UO+{VJR*^)KR%Yg;s@ z;)+ahgDs=oYkOO1qw-O!`D;T4)cMq~NLi2q(w`Z#y|>~iU^7gtXymUKar&S`PS?c_ zz8HtF^#6)6kK5FInRT5b#kqBpD@?n;a7;R2FGi$Ov>J@s3 zDdP&C&H&9$Mw4j$JAfYlapmyI?4$$yp2}a@fgHt?*s7|=|MM7(OxO%s@1Kw+7mWvY zGRTkFbuCF=E-rDet^E4`0Kh;$zoO$hMaQ1+>$Xba@pP|^%#}+zSC|L;4@=>awVG#{ zci)K&Z@#(tl%Fq!yAOC{KS%Ry*e-<`r{rwWIk~8Oi}5{`e@@5y6B)fnWYm6M?tMtj%-CjRY$G$_}b>=B^ah}fGX*xGU-!J{VG;fv2 zlFf2K`*-$xBjhgw?vqlAo5g&Kciey1In}AzTg~hgDfFJ$r7VCA{)pa_PoFn{3;24N zZSv$U3&sNj2?jSl0iME(wOsfjJP!;oeWza^*T6dCskB4e1V6+U19t7r*lWQN7Ayib zYkBZj?%`f^u-G%fbFdr?0?)ClvNnJ>k_RVz))C;BvrVBR1%t7JTEHOnYkv4Jwjlyq zTo5D)+~G7Uu-#z?dEuMp&jHVN{e*2x%K|5z%?+F0kCJ|5JQlq#K^aGLj+(v`8!o3h zp&#YMVb&%D1rkgFwzEk9HZ6X`UW|?w8zTNT;dHbvVZUQtKs&J^5|n_R8Z2eJSWg6f zROoNU)9j7l3UeeBzw|n537(sNgZPf!(8kqauglN<+BeJ}vHS?8n!D{+i|7Je%e1`4X7Cspt+GzO8a|G7}V5NSy6WrD-UTI=o zL0?ji!_VS10r|C_-wyk&EIQ=bk`HY=BrTh?lu{LSE?3mwf1;%Ilx|mAs&w*B1E(x& zGxN%y??$14l-y77M|Gw9(07-SX9oUG7Jo2WK3Td>WdBLIn5*x)!=vSjM>F(2hev>i zyzBoA!517BFInU9EpQs13&9^9kA}ykpOV7$+w@-LYl}4ho`cHov_0@W_#YT_Qu!e~ zZ;tXOcqv#3uCDQUC1ol<^vcot`5nH?_qCxsSIIr=%8gdeg{kx9lj-v%Z}xIov2d4+ zS(PiPTRxGG4t*l~v(Fh;f|+2a!vyH8{td2PQp(IbD{~JQ$N{|j=LOS!JUXZR^3txoav?8Qj^tmEj}Kpxw9S`f#O5NIzdu(_W@O5_9DRTC zl?NAUdkssWE8Fm;6FAAbhcRJn7V8)>R`hjxrZo3$^@{UJo3T~aK)r2TR%>x@j^ zep=!dosjs2$K>P9$K}Y0bUBxITF#%-`WSoq3=Cpj#QKP_Wqz`50>8jU=E^6`6>SUi zfq6k2b$(kO#@o#&+IjSZH}=fc<+?^YtaCxzc3#Kn6Kz|8e&3j>>l?+HL;O8$}jxknJCTD6vDI zlUi*RyWlU7d(ZU~Okk59I>m3^tm*3$X{i5SW{cL=}I*o)cj0I9Mu3#x^FPESB zqjM73k~IeNgK@UG=gOu%-0rRMMjhF7N@vvpv>7~qHHZ{Q%L<4EE} zZ#EmY^1)B{ynNUC8un}`V^@roPxarWJnh%iQPSYn2A*t6Fr|+7jja{`J1YL`niTwJ zOj#4S_r=~bcOs<=q^zst0x7?xq|fxzVLz3(*8V^C{sYXa>g@lAL5lPypknXc#B}o* z6QhZ-_e$@*_s%eM6%a&8)*j&Yd!!#O{O}{57pH$8p)&=G(V;Hfd&cC}@0_2`@Qo+6Y0qJu zIASvBu_HQt(r|%${zY7h)6b8vyLj6RwisR#@^enk*cMMejTFvFJjGKy#Zx@R(~lBD zABtZD#@fSb2a4s;K!(7MfR8{Fbr?pl!Zyl!45rbb4TMvijX4n*VKbT^XJbzKi7J6n z{3F<+7{{MaZm9k=OkoW89N?Ec}DSP%R z<$$$k%?71Ro*iCa6BevF#DdpHv zrR+ZtlyCh#JbJQ@jvTM!qbF+j_*t4dD@i?;opgbeqh=>-)7SHqHh!9pFIuW2JCm&s zRu1i_A1Nt1diaozA33Bw2M=r7_7m!{=Bx{(9J%$3HXb~#v;+HfeDzu#nLJA=|eB%ji*psTXuZ!p zckJk48>b+D%4(ahZz65jW^HhDdR!^TZBG5#_-0O!XAXIGTsrT5olKvvlrgqWhTA$B zIWbsEi*4=gwzX>QJeIUoCuYvq{xMTDWyEZC7_~wT$8T2eCA+n3SBg>(9n#69ElQoW zFnCU)&x?P4e3`6&`o3kGQpiuetW7K1<-ZJ_oHR#gr!LUGNs}~ea7VrU{`0!I$t{5* z2p&)(lXHrJcVZAjQHX*NBbig8!Sn2yNBPNVXVEuXk$CeYJlDN>7sVrd!t$fMB>$es z+jB`62k&ihuTy5hqcPUS=2^Ubu__L#=-#O1lxv~G2ns>?U~KRnZO4%XgCD_wC|@z) z!T)04AVtDB%L#ZWQ{k;B8^Im;cSiY9g8JY_cq>ZTf0iHPC@1`3$a=i<VTOUB`}gP z;ZOtWBtHQpIO$M6Mn!@?sh9OYpIHy%R*!SoY9NY`eZ`=~(-?>XE^Orho za`<`4^<_W44nKphjkos0JHcAo08fRt!eimJ@LYKB4#Uia%Uyp{E&uUR3w7N84?z=7n=H$@UAY)&+YNe)n?zut8 zdob1sSZnM3h^@U78#e0bp@Z7EFGa&v>{g43n^k4h22~ibUNy&TP{(OoG->@_?Xr0} zv3HM-uUMnQ>=Aihz*qM?gt*K9tY`Lfw1M^R+Fe!^I z(n6zkkn!DR^L1wC94#F^SZ#XO(4z^zRqopP0^Vl(b9gtwf$%*D$53$6?fo&>Ssn~b z{=k^1EP``tpV@ufK>=>BjzP%yE4&ZmAv_9)8Tc=TJ!BMTXtFZd@B2e#qVmeAbElk~ z0H!&4C2Rxo1abxZH!81Sq{G;VfeHs!PK~8Z40ss@pc7=tDXF|oh5ZDw2lCP7@`E=7 zTaq7#6xxjZb-DaFpCF&y)$A^ppYoBXxE}i^OA^;P0o^${gHJX-U;zEW`5F9T3^@qF zIZm@jLas90bQ!BRZ*WmRhoE+M7&9|Dm`LMPp?{dHIv)?ni zKTiKgv@_W~k35&hPafCigPWbs%mrtZHdz3D4*DBp1A;Y7HXxt_9jFUTNZsvpoCG_d zXTw3#Ihvx!L?34Pk@0X0s*6F8dQzY;+k(21hA zC1KO!jTOj=$Zi~h!&^9znQ;`xc81;+*%rB&abbR#59Dp`CAbo~GI|IOj*g58fhEY< z*b&jqW~3V=VNXO?&0Lb#$>i2A?04u^IZO|Fu=Rt1-VY~J)(rWOwQWtXJ~%v$@nj6h zk6zq4v0DGp8}c?0oQ)*`K&+ZG-^cM9Q3q)-+E`i#mU*NOZ$!WOMb88q&=^F z@|wnN9;dZC*XpAWKhm%7wRMA|X(1!t0!EM|#PdPBS>JXq&kWC%tC!!ahD00xV04|) zwMLH^*?8Vm|5`Qd{;rmc`b=qa7ASQ?lJVGGO5V0z$qSY$dCVjw5BW;TL&qw4#MegS zFGKd*@C<0^I3*9Z`}zLOEG4g88}N(0dzHLPR5cyZER`Nv4Lz@PEspK!lDEZ5YN}jt&$w^y{7a8wg5tc)qK|YW5Wsc?9oMiRy zQS!k9O5VTE`npZY3zsQ*?35rs{T((=yN&h_8LfRo$7t>785%iltGX;bt#0ejYr@uJ zN=n|V{d){+3~zQBE=93vxna{6AvS$v*fcFE#HKyT+Plx{rcd;ZKHAvq89!AAR<6`8 z>)*WXDeAlCgbSn`yi?kIPC|&-$R=jw>?IT;j9Hp9yvkDqitO0 zEm87T8%O5V&n@|(jLHgWPx4Of-?d%4?Q`jl6b;^TLEX1XLk~(D&PyCgM!%1oJn72Z zxpK93eiMwDn|tP#@6+ZedF_UvUgq_H%`4-xWQCH)*}Rfx%4{XC-x##t&;52AN9KOa zO`L*{Q%lhG?xZ^Sb7oxW0wSFhEMH7m7e&Rivb zHA%aMjMc6|ql2|heM?sc^)n`{%TcyIzxpO<57)QYI-R#TShumy*YMz(I$&)&K53Tr zO`N0=13T!2Hh)r9@1ze;W`!9THmSsB=L zu#b@+dl~*3@K6_g0dKN8;CBQFp!=g7$6qOjdOg1cON~Fn_pnR(1m1CAC%zG`Jo3|4 zu*}*34iQK}0-Ff(aQS$f75Hd*Xb=1{HgWK%3r43My!q;{M%E5=xE}AK3H{?!U7xxX ztF6uOO8N#KfIZ*?Si)hR1an|}V;=*S^BaMpUXO|G3B1Hk$04X3I0}EqZ-;S$7t<%N zkES2g!#IKY*xd-oj6HxH8y)jV|G);ASWVkpkgeZiMX?l23)dcH(iUvru54R-tQ+*#*iol#nCAS3+?us} zSfd=!!M@3@RlCNUn?G9kk=E{CtFd2?)oahZrmUH495__qcSfvd0y}66V?*1+=Zp2> z_Mtp$m5n%Z=x(ED@K?H8uXlJtV+VEC!Ku@9Y}I=0+Y$0;k4J_n?spT6IZI|f+Om* z`n(1woz{w-2eo&1fSF*Y#{>u*M26dMziryFN8>i7sq3nHu#nOL*r@@%*aI|6PevRGwt$J>e`t6n$oVNa2 zpRw+oICoBaZ2os{+^C(i<_8$`h0Q5=YB-iWe%eL+Vonbl(T26_gFPH`|MgTQFIyGV z?dP7ht+M^y#2G;z);nv#*5p1bB@l|HX8Z;8GDZT;huwb(iYn7em8RW@EirSXVe6xjh~^^$9?!s1O*hv28gEwg6i$ubwg9EpbQ;>!5 z5k=->-@su(ZtSc*^a;#nJdn@G&tXG@7Y%lpO>ipv4ltMN$YvzQnEeOxAc2$k^I+@6 zX9&3zTtJrf2f{Dgxy;lKYJvUy=T{veB?`%HPWk<{FWk=_e6=EIz;q8@-A9h;a z0{WZ8-#CoqKI-8BU5CG{OZIzUqpc6xL|IX+=CC9uN0XO)tVw)ftUdyK+551L=oh|F zwA}}Y(g$RG@-P>P;}c!o%qMfnc)2|xhb`E(>l$3A2ICrN(Vj(GxN@Ny)@rEh^Iadv zrgs=I7T_cp36@7>V~3?)HU)3NQu3nTc+dzeC7|+ABWv65-us<;&h6=*L3B#^RwRQH zJ1^yXar*ZVcncOIr%u^)NIh1bbbdNxjRo!bA;69^=fBs1gNL+p=Z-)I&7hn({rm}= z3$_<@zDJH6alw>7=j4oS@$}P3;he-%JjGKy#nTm>+*>GP-pGN|D*-tO;V4>hh{fp^ zrEc93b=`?}1RCr-d@;BL0Tjn#^6>_&8bfQiPwvGy+G$cJ&D%QfM}aFSU=f}Wpix8; zfWezCQ5tgslTVza$2EdQoM4AwX~H1N0O~+F?1g<24z;wApdx2TM8GuR3WX?rA^;*P z#1cG#u#OPzf{McYD3B5G2)c|04DlVInKnD&6v531fscN3iZ6tqkKxjvDoEm9PBL=$ zT6^5RRv$trZ@r}4Xny)d{j|e55r=i5KxRIeCxm!}QJlIlmf`@7(>LFpasfpKf~^VM zd8_8uW6d8{wfA0A+aA@`u4@yuYTrsNS{T)CpcdsSt3~m$0hKIgRQ@t#zmezNGHUTo z=}3Mf{(q~KT9mNw)oQ9mvu0}1HX)!kZH!u9{J%vDwWwJ;xR0``R9A~8P1K@!b8Ams zwJ2LjElO1g%4yOxa=qe@uh*@w7UjeCQ~z5ftqt~_G8;8ki&oZ0UvJBnK{;it9Lv}I z)i>4r^|#crQf(!CG+G_zrl{kx(@GpXSFP%{QuE@a)V#d)yMc`n_fi&Zw|bj5Zm8ys z>Z@hj_DcBT8+BN4L>-r(QR4VjYSpce{bs*cvVN2(qvkd?jZ2qPqZ&2TwtWYEF@BmB z?K!E{CId`4A@$xNB`!LlmLuk?MVFpx-n6kRyG1kWBlZ0!sa4od=C6j$Q7P*`b5x;< zjYGp=9GFW_tt~ItGAhecq|D}3s;Jpp@2F*|N^1APP<^oAsC(;U=Q+F7ruRTKZ`oYU zn%dae=a1S@v1%}<3AUcvB^tF2%4JSV+q&?18i#oj?RVPPEV8cNwl(rb3AK3Bh&59+ zT&t~HtF`qn!PZjKw@a(c>t*!R>lO9KcdF}!O7&H}Q8Tq_(Oe1j8>kg^u+F)kPo53d zTyd+PwpOndtW7JkMT17c`m{1#-R_yOJmmAwRdJ(txmHfiYm`>ARwdN3TUot7w5j?{ z>#45Oy6MG_Uk)4;UI_x*QA`m`0Pnz<1wVBs!Jhk#6 z$4#fe4#ycsHLkNhA8hrYQ^ewMgtDEz4odlJYF`r=7f>F9(Ke2^)h(u16aS*7-OH%` zhxL@u#rR)>@d4u>Evgz{;JJrSz%TssA0^Kh;42 zF$@F?n>V#ROSgWu-q!~A&O4-pK@+X6L^ZEfL(R)DUdB6ZY#UXmsHTR$-THj0iAy(X z)p2RDVeN=R(tGQqHq&;hWxvtZ-nK#6O$^tJw_k!;|JT&g$oCVB@N>i*gC9-7B>O!b zXN|zojLPy9DYJR~`f66Xw3@&9uG-XTtxm(2sGDuCKUjA`?Z?bh%Z^>ttXU&9Z*KEM z8yZI1z}(lY6I^FL+Z)!hw%Bu3wmI_c^m+XLin`tUsS&K#H*Jl)QQYmzTH2l~!PaVP z>r?IKt@LWuhWh>6HT2LcRUG~HjjH-vshTQXtC1QvYoRtxo2m_aMD}W|A%~k_t;by> zzdmEzz}jOB?cS#ElvTY~%c|j<<e12pgoK$_nXoh1fZ=)#LnMzcG$!?6a4PRFqE&r0I)Ik5CKv~vT!`bQ#{2} zJjK)huPHRQT@fkl@)eb~Y}umz{rjtC&6+Axri?09tf=ZHv&8A=Pt~ecQPm1%Rjsn^ zS=)3`tI;OIEnZ{#RXF|JpzoiY^lU7KEd^$yPWW;%JGi!eO82j0hkg_z=C0b2Pu0yZ%HzR@`P4?jsH?PA&zT9%xQ!}Ci3!xHNk;$KA}@a z&TBBLfjiUY>v@?BJX~=;!Ag! z9%FzWp1@kCKeV|;H-NJ)dRlCx=$3KhCGV)^qnwQp=Ujpx-5gT3)x$x7yor;xp#P20#u3TZEW#qk$?Dz;Sg5CmutfWr9Wq|Erbz*)ZClV zhP9=v_4N%aGrbS5s@k(Jsp^w|SJl5gquOO_szu*P>agg9+ATV&rUNFbX5(h6TBU*! z`;Tg>R;6-?O_fx)?fYu=)e5I0O`Nq?jXxTy8nx;KzgM+B(kI^!>U!x_Rei_C+S*>X zQ!h39YE|$?%DvJzC#2b_(ujqd)vnvec7Ih>C|g=pte*5S{7(_{#&|J?jO7dA+9+Ns z82ef_uFRvS=sagYj9HcPrQK(}_8+R%xHbA42bz>ZP6yyNj;lW>Si63Dif?v=JYMgQ`y?+*AjVbEUTBWbweL0hdy!5 zey`P_u4-FZ6>HctShAvi_fkpy`uXDe+nXg-qHGyeE?-8~N)%T$_l!i=*(An z#;R1=V11IWj9sT)j1}vZ>n}x~ua}Ho2tI3-dQ&>^ zUiWF;-J6tL@Blap|MP)w{BLE$Z@~(m(CN+GJk!}79p5A`7zUOZrV-cxpC{puf-TQs zIJgKtJ`FI z0kD;U<=A!6_paW(IxJDnZbn}41zRMTioMI}q^++6{$ca;`dWfI&@+Rpj637pXjG$1 zY`6rUg1v9_e#7Ze3HkuH=x=Od%s8+W9WKF!ZY>y(hyQb^D>x0_k%zq!?Q{DN@B%Er zmTc`LNRcrh*oELu0#WG~_F#8-s^#Hu3VdB?J3&RP6UxK}2-btu>|^i?a_wM$1=%{q zmKlrH;3Kv@clZPAC)CNiHNvN@12B^|un$7d%wfOSR2f%-n%D=j?;voJ=K@S+zu@;U z1est@FIcyrp6>m$YL2L>60J+gSVZ%$~1HuoSyL zfge13tUK0G7^FlQSu1DJy^U_s>+PRVt@qwhy>^vUvk5%F_)J;j)o+A&1jg{J$CAfV zzLN)jlo6J~FTkqO##gEsuY$)w@KiqGZxFvZ9&PtfCj1882H%7Kkq3VGc9;j=1rH-H z_f-hxYB2fTk|7@rc^qwdVt{_)LDvw7yn-`dP!!zp2J6Z>w?JK1!UDY`AvL zo~MZ@WoI=rxLxvQ9b8O2g~0KCVZWn@oy40bX0=HLSXnrw04zh_R0{Ou=cc9FZVSd9$`b1xRLY^D6XpoZ$ zvGY+DG7UkYv>o0Ik46^d@NLEbjDU9|42pW`xbXN z0CQxrN>uhHkssd~aEITpsZyu+Z{olu@Rvh<2>iz%1=-gfbQ>OS6io!c(yu4qe=^WL zFfTSg9DaZ-4JLZokv^h3a6$I$g_sA!1jfwEj>!97cI1BdHb~~r)*7-pxQ%}jW6VB{ zaw(rN!`@0Bhd*EqZ82=Z2dwt++CRc-_9$+hv7T6$;T{kD0Wv$|%KnA6fTzrblR>R~ z_F?Qb7;n}*_)7or8AFz*ugI+I0kT)kuHSU|jVcYVq{<&v*5fZcu6#L6e)fTstV?7~ z_5fh1ms62Vt*-P#2|SkaNj`KUU@7a5_S>^qtVuEb{UejVhE!GkPwK07Ldav#HC4UH z_u};LA#|qbPHWVzqx#(jsO99X&QE9XL20@@rZW$iTsC!ynkRNr<%$&?ZdOH($)Kz_ z{k*9{g$mC0Qm0NG4H`7a1y=r?lQXu((@!IXa}rPS6i@LKPgihq&ZG7hO$2VBltmyy z2(B@thBJI3Ok=Rb*h=t=PYA>~r(#5QK^rE#AgrJmMG)iOf3i@(>zPd~&J} z0zSbcQ2~=v0x@cH;*D3*BB1#7gh_7;`5ChYEMvhOrz0HhTIV0xwEp zf=JTe7GwDl;&4#L**E%j8NTDtjAAzWb{S5eMVO|1PFJE_`pw%Ued1mOPJ(8fkR0BN z@&ILQG{A_2Gc&?1K~XM$D9lnXPQ)lSI0+D?f;+*-#s_6C%3p#&-RXG<%?P$ANXpso zm9A3G8dob{?c6F@F24#rSx^OUyG8}`8|Aj|dGZ#^`z@c9SE!H*7AYK1;gJ0Q*HCx52 z2+N~=u1x!lGO3UHUA=aXwYxx$oXTZeqnt*?ZoE~`R!>l~DamTL_@qj78lroD^*_p; zHH&g&&ZK;KtUSsIsX&4J%9TBu-v1~uwv4MEQ|3B-JZ)|ZGp0t2sn72yQbr6Fu-|iMy~>SC(LzP_x6<|1Wc(Iq zxGn$jL_PG^=an~K9_7e>RnYg?HZb>;<aBW?j)AT%r!aV%OI`rlEJT@PWSSNn1 zl9#gc*xIl>dF{8Hwx+MPXCs%bw|tbr@6^RTe%;3s^^@1FIU~MXnd$42Hju{?_vA3j zo>v9(=T-K*uX2WxYikr#^sCkIFd5q!^T_4gJFwc`e-76 zk)S^3#1Scz;0ByL7VlUb<_r>VltNidunNjD43wPYol%0167Yy&l~dHnk1`eBn^A(_ z(;f_GCdih5If+R4J^2v|04bCzIykm5X8XHMqA=t+oKT%%#w?XpuEEKFL zwYiS5Zpwx!?mCK17vu_0#`uMj`Q6}+lpJ6Xo4`*Ll<;$>WcNls?k^bx(qg#dKmy8N zuyuh`jyh);?`&gvF-G^C+4D!vQk>8SmbjpP6pkpyEkAgR@;MsRPg=cawR6OA3RAF! zdA0nkHRgkHi@vEknwTHviTPrl$MSkXwwa7DoL72cRi4^8RiH**6?)U2{a+hzDQbKmkA3F0|NS$c5naL;j8AyJ$0vNl<%?YB z8vF%5aY6$QNS_{( z-Zz$2YuHl#xp>XsUMoBI)!BYj?|+mSV~-JTJZ+5qczPUx_@Dgz_P?|@U+!FPO!H*U ztowfXN0sU{RPC33tLD@9=-Jxsbp7pjDaX}Wl*{%huJ5$L<0Eb0f9iJYD#Y5zoL-WL z`S$w&*B5I)zvr;B9M;;Wm0gH>tRML-@74JV>Z+Xil-aN^OHRYR+VCAND2u&%NPV&1>an%9K~xGUwGFf16i>`{mWyV|jJxR8F-Tn@Km- z&ZK)A{z_dZ_tn8;hqTFX5<{YsBSL<_^N#Tf83SI#+cDs`oV4ol*d86n4Dw)%it<8a z6ZmxTPm2eqal)G^vqnBA6X1A6nHbY>WJx!qS($t%5As0t4UfnR$PK0Ymk!!VnPGna z#%AO!pOB~E!yG7s@sWT{98`IuM{Gi7!?*}ersp^Qh+zw?iA_E~d>h#eBN+}oI7wv` z>`rhc`FU#y7({R+C$i(98(TiOg3}7T7x@x{8~YfXVsX}iPvf|YJc;oVT*GOH`Ep}o zvKIGpU_`H(y?)eRCke*`DdQ=g;whfu>Hjf>=C&&$g znKMpT0Abz z(St^>=TwdwIh3tdHeFTas=#qBN;s~12iCHI%?f9D^q@Fv68MMCDE)vMD0IdnKe7Z4 zmIQyGgFIq;GyO0a9#B{`auF&a0vBp=J1LCkrUC2p%X*T8$HM;nvh$Og=stG;oyl(jXe<^ zBTkjP;R(GT&cLV6opQQR^nu8>=w*HIDPzC^bzCQig>s@YZ)`%3O+X8C?&RyxY4XL7 zUUXv~wLel0_D82n$A*S})Z}aC7@0L1)Ivf|^)^kX3uK&ay`g`_$rwF%H1S4J#*#OP zxV)5a^&rclA0?rBO|nM9we|O|e|OHX*l8JKbgr(iB?ISZ+U|9j z%ss(09FBD4&08ZIsoXAs6uK0fs4uK5n_c6#9M+GAo_JAZdyaGVxZeAuzWb&3 zR(+>(ACA$3e|}b1TOY`qElcK${ryi9V|d9NgyWyfeq&tyTrqDM-5*l6tcDNP7sl?M zN1syhF2kID^y9tO?*kk-_k*f@GF88N_AQ&MJi+)HxjM6C4T$f|KXv)}^!0Mxw=ttU zt{<1lWA*Ww!}4agva;HIWwpH7?VhVGPmX9Ej0J0*GMH1!^<&`kKwRg4i0|&+@HZ%{ zQKs0Fjblk)u2O{u*&GBKE>V#}BzTmZ1mteoLTm1b|UJ@mE4*U!LL_U8n_u&J>^~>dxWN<@1uqmkIHm z{8k@W2hYxzC%^vuZcQ~Dx5?QJOLqHGcmD3r%If*Q$#u~_fP>&F_*?X*+x2|C&T2X9 zpxVq&)ho?DQn5SlPyd~~L485nz-`K;?wjuWrCw^>-QC+WS?Xtx;)h$L`lD9p@pr4M zK;fb;FJ)bJJpU8q#x^ppzAe#l1y^J5i%^cMv%B$tuD|^rJzJ~2noZrM9y_exww3L< zO=>cJlb)*BM1^m(=PvAb4v(V_OO2?XGW{Cy^+xt9KgvTpU7kokm=CV;yTei=%MW&D z3$c{Xt8LHVurxA<>22|K`86M<=zQ_rU-x542x!Mw((UO(8)EwNZ=d;U=5u>`mT+*?qh>FePZvx+cc38@#}PD+xQ?W5e&Ix$C5B-kg!1#=m%DS1^Dau`jP9A{Wt)Y zK+EYSk41evqlth{@XG}|G6!I(jUD5P9U8efn%EQJ^OY_;GHx~>_*`+IPb}tRXXQ`^ zhezbM`J=t`lRZ#$JUmttyyyAMD-u-KOg{@DB>tFrUc894>%P z;N+Q;&X&!3^w>#T=&N6Y99EA189uIzC-SrN&!ODPnUueNeibZRP`Mw;tt{D1?#X7? z?>C~IJV)RuWyL;U84>wBmU=w3>*$WM*S2T2x;@{o7~N-te1WbBos)m|-$LY!-<{rY&hoH(OOD^ThKeuFk zji;YV3g;xA;whfuDW2lVB?KpgSp-hr%t&A(LNEd%fq@w%1ZDz9IBmum=1^QhCWK(n zMCpyd9-B~>QWruc!Hop|amo!w?9=B?hq>gOT@l_97HNyC!vr3JBQW-1#Eu4GaIbSJ z{;YJM3=f@wii6`HoXDZFa(_&u`yR zRB*~pRNyB+#z_QJ9D@lGMFHa8suJEyy$GKKKA|M>iFRT%McM5Xwd8*z2%SYdvj*jO?TX_=W8XV2U3>)V5A=W zpQltHUmoSnb+xW3V(p`@e7Tj+^51ydoqD271JxL@TJ6_K-Az#JzC&s=?}*+`_(Tu< z;V&x4y*aY!nu0O){-^0$8$ZU;k0<{#?u;RG$egk+m;>@N-mzuG5aW~J zzhCpz@a(obwd@r;rYyr4}unvV3oP%?W&A_Mu{L>#(}!r8wFVV^vl*a>zr}$4rcU$xpPi& z^xyU(w2isA-R6YT9dEFEa#l0EE|*!EDqW@AwQ{Mj&GEHw7(aQ~ctJkn1KEvoU4~yo z$@2yHL^|Iv-sN!)Ugxj#>3Fe~9mQG4AH)AC58g$7Ul#l@%E$QRf3Cx0sUxH7KEG>2 zq)d1;|I=o8E^To2+CBDrp=$~%Z}u!IlslImdHfkw==pUJNcr_<{k?2M6}#>R<;{{= z1#;yG?xDVr3Khuju&`kMeEQ`RRRan2yxc)}JvU8$*VxcOFx!}$#}X-A>Lg$#FX zuVeWi_~TQ0BXNM5%}vw$+oc}Hs@~fm)fur|PnNC|+-qg$&y({<_5MeRdGt8v=ZI^} zIpfH9g13x6bIEUvcSdD}bd6z2-rQHKK*9Wa@bSN^bhlAzxAc^nP2Q>JtF+Qh#cpvp zn?HLt6{a4rDOx{s?AMjYT0f_5FA&KCu`c-SlD>pE%V%V*UTaj$*2In17O}Z6YI9aZ zc?@Tv0@oB#VQYU;E32r-O7>swGlpo7--r0|@naA^F9q`yRJKe7l{0HWJ^uTG8rrXb z&K)hFl+*c?@Xgh_y=7kApYWJEP3fZ@M|L?Z#UO4m z@I;JPQ5gjT68ApV>9LD}k0vt_qzEteiIZpHea?^QLo=B>?c?l2tM zm>>iBg9k1bgdb1w6i@LKPx18sZwk$AS40ZCd_|?jix<1MQQmdeUCxkyz3ppnzy0<& zT>*6K%{S?WqSxr=8?M(Mo_Epy!UbRDv_6*(2X8nPIAVPrjYXvk8u zpF>#DqoHR;7Q^Y3JOpXPCY&Q1ec8y3FZMzXN<-#G7WFz(4kSWf%~)ca#I}drieoWy z^s?k-?#&|vsNiIY4jh>~HX(y^_!{kbZ_0a4C+KvjCL7|2%A2DIevJlxkeFL!WezHI zIzM#SwqEko$m8B@g0nKgCnWToj0p$$xG}>H_l(gCfh`Vw{NnA4T|N@`AxEQkck|0S z#Cg{0p+43GdVH@xKz<&#YMcuiWvzN=Sm*eOKA!*WJM-&xz37m!SEf5%L$oXWPB}RK z`UCbDPxRI4&dFXM`moW}nUp1S7G3x7b$Y1kL;6*RU+MPNw<}kTTo<3G=riUCXK^1O zg1v$^qi?3ob`OV26|9?2H#fLWcUHJjcmAcAZola|-Cn?`km2fe_J6S(0=hny>^FYr z^TwNWJN(u#`SyG64(M+C4u81m<{~#?(~0`)t7M&JOq+k$wucNEw&Bh;9EQ8IF<>y9;cjC{DOTKJFoqA8BE!aTDKMl^ zitBKvFx&-1t$v75&{7CEMX zgm}(-ON|PE3-4XV4d{i5#)qaQ&qBIn6Bnpg@?P#Kg~-X_5JK{%zS<$?>#p zr>D%lyNbcerLX%uYF;vb)c+VCeJG~cPxQ-Fo*@S{pF$x0Ep0t#D3FqQ2j%t1W^G$T zp7hrk$rkvI{$Bf$l9>m*GQO(yh;5m)DqHI3eq_)DW$)L^LEu-L8OqID0hR>+ssk}) zIL zJbW|}f5Gnj7#KMx0V|CkYQ6@0u=v6lYG}>s{JGigMOUKY=WIj{pBBP&?vy&EP7?32 zkr1(4=d&&twy^jB%AkMig*d9IZ+19sBnLD;Yx#`z}@D^ab=*p*tZ{N*K487$pYRrF4>Z^Cm zAKj^Of#?e5q>&9Sqj?r$8zY4#HZ_FwZKo}-TVO|lC$qtS7kT{4E_2AQJ`a!{%1!by z-Fw^|H!agP3UwEn5JkDvAY8b-DuXUdOD{CK*u9QlT`pN=SSPHpFBV0dI$bzIN?NW$ zqdeQ!#^PozZu8QqWQb3e51)1kfD9cU&*|2WYI?s(*z;Ns;YYh!N>ist1wXR4k2kbt zEt+pC>;r@06Pn(t3~ay|lWCJZn3TFkWBU+MV?uMBuYF)>aexjZdg}}FCnV{cec}5h z!NQ(qb1gWJy?bKu;5Ke{CI5Agi*^B>i|+V7a7JA*EO41YEW#-SvbWfkp%uTlK7V5! z_jde}q_$+47J`sYGq?AQ3yeCdYd<7t+8_1v-Y=PHDw8jCN_k4ZA(0@vA8_UGhZ@|6 zy~V=*vBuQ3#%(#j4rcPsNaoe;!d><4O%{LoB>SaL53KCO^G@`H;_c}AbH@PflgjRr zNWu-D4XyLzCtxXq25H^b7G7G%~{MS)G2iTI_wH_?F=#1XusC;248a1#8AmFHFr$Dop0n=|Q}5+&A<@SvX!coO#{<=Be^SE2^@3}32MDkJZ17~5vd*Vy5jtEX>6F9K1;Q0@23dmQp0ZF*@%}KoeGBk0Q$A;Ge5L^bf`*6w5c#y za!kLuP2#>ueBSNW+kH=n8|c5n(5+wSGJ+la0wFb0Kk-Pp)?G|yZ#zAA*$ z$vnlklVP7F75?72g)M%T-HF!3D}X-jY)TEft>{F%?w^@3EPbTIU-||;jJe~;)IRu$ zx5Hl>uKU^5F^LTG&uoNeZ-~1?hbG=bj-&D)Uc+Z^r_KsZ^V_i#l{D$zb$XVbX!C~I z{c`Tjh_ebI%QD|TZ+SMw`OBH2YNDDBQJ7_h&`Bq8+Pl|>OhMi4Sko%Ld{T+FE9a;U zm`+477Pu?f@c?Ot49Z$a=|r`yTfJ1B5$4fx*<7{mM6CM1O1f|2QZh1pP9=Rm>T6j? zpQ*mFyG$wJy&Zi_F=`4WB_mCl`;$!C>ZxztN37~>^QcRFrC-ySSPG(JZ3NIw?e7h) z`UwXs*|{%Y6>8kCLWyTI7QeBlHHqq%mm=Gh%Ga03L8iz`NkVY7S6l_3-9gjunWinC z3Ja^eU8#HLWu55CEEBWi^81?Iy=H#B|I+(W_~p}8eeC)`?!pt&DVcE@@h)rP)exL?`&MDpIrG%4h^2I$IX*92+QoehrJ0pdDI9Ue~!plky&nR{Cs zDx>!x;ip!n)VgxA>4n&IQP^~?SI$e3nz~Vn%Fe@prAVGefu^&MX}Z>m+)dGyuX;zv zSOE?bYSDU*3x0LJzD*URF(&46r42ESq7XM5nVOZ~Gdk8nw|S!DUYg^Abn`c5Up$-x zceM%|B_S@w5%COqm3p}CpV+m7tJCtE_M7zXXOL>_je4aeBU8Du^|?N5D9^EHW5nd< z_J`+2t>!g2WlhB+P9u_X&?e!rpZ6ByN19*qy}|(i&D~$3x{GB!DE;0-6Y)NJ%<5K+ z!#zTKja{3&ba|-yEgu(3E5)ymvh=SXtGFJ~CQDnYymB5|?=B#~C27a`taui)m}Zno zmIMQD_5BTJfj%31+S&%O6JVX=ln_>|AXN)$ zb|y?pj5^E1O)+-qubKTNHh}~-d zLn2ef=#HoV9!uwsMgcGE>63wnuTOGY;C#V+8cx*s8B*jYyU*6^L}$U6%KW_p>@y^& z822;*rLs|Igzt{i?Tc8b9?t9(k2;g^-AF~w=J|f+jHs*(cbQZA!k!MoN1J9{k@etu zOCn*DLi_vK*DMfL5C$S7xKp{gH0!ist53lT0SxdhQf6`#K8%U<(6 zkwv|dZjC&BJy_xByIOf-zgIyqb6L1QQ?he8C-@(fVBrZ#Bo#b4u9Qu`>2XN^Q|o85 zDXS@Wkg1zK!{-CN+bRXZ*~47-nVA-SzBxjR$SbKfeserZT9=0X%RiE8#!O36$B7Fh zxz6jBmracbm_ct*`!d#%@k3)I9MJnlH(RkZrAFV;-ee@+DM+i&sC7DMbrx;1AWHKO zxB({iX)FNe*1ri@=E7*V(%q_O`MV{0`#1{gq-(vu*jzPz)M`zT(~Sbol&ufe?}?v> zEO^sd8QN^7aoOw-_d?_11VFccY|W0j2tYMKsQ5X3r2&lL&gx zpmkGkZG(|o?{vW9Ku5h&xHkr4%JT(xcX;owAVmT~UX zC#F&7(vo$lU*iV&j+AG637?UkrRiPC%O-5Gawi9Hr@(&~4nBlYbT1#pjrx%X*hT*H zSnw20?0{sZ2c;Tj9Dbi?XK9)zY(6Y^Y+Pe6NnTQQ>L!r|yhFZ}yPE*-;eV#dD_O1u zhQD74uYQ&O(*M=5Hd{d6e(f3KH7tDY?&Lo93=O5>OZ0V}@Vu5IIjd*-2KxO}VeKmZ z(YUp`EHIAlBR`SEbDNhDZe+@qu1R&BvMA|Dc_gJc(YR8cYZgYfz_%9NK84IUiGP)h zC;Nt`EOso+egB_B!2LgYeB?2rdUvvPA5CD?PGFRoDmUP}JX{phFu=Urtqf}S`(<%# zz9(WEE1OZlayK?-`3hT41TGTh z%KnBYkr>4u_venfB_S8)UU-HQlS$D@DqckGya~*{t~?h5gJUCIXw5j>na+$WWRoI} z;w{y4SUICw@WbAg_8MBP!`C3EJH0N>czfMz$)e{f!Fa~7$X-J-!)WQj>>g_}jpF`7 z?4s431=mjs>_C6(H+WvB`1WR7KLnJ#4>5xc*~QBRz3l}5BskB$4!O=bJNrckV-bfTfe|h=iHsrVex(j-k4vzboVs9{(bc1;GBLM4M^xpVFhGJmn?uAkj0!|ehH?N*_SvYBNZEHPcl@hW{W`a9Eo@2kCq zR>CWpi=H~ZPflt)%PZ0W+=TJ<{U<9b)4H39J`X;V!_{#?b{9oS1BYLosQwNyJpLRF zbli)P#iyOBh1`gI`3Z&4mIDE|6^MhgPt|2NGqnK$jUTiSBrRptR_=(SI#ij0U5@;jy!=e;ONGPi>vA9~Jho z&dp|Lh;0zCUex-^Te)kQlANHxSSmrb$bFfpSiMrtY_k)Gj>CzDPv{VES8=xRP2t$m z-9;T_{#GX2WY0G4ZOT2gvY=$`=8MaIs7Mbg$mL34dun+T>k_ae*gsiUdE<4<-#mK! zJkWi=r1)k&YsaDxDqB7+FS94Ad#}WBsehhup>%Hkd%3y3Wg1ZR0HJF^+-jRo&cJBz zyZ4HK3~>gcH4n255WUG6%JsLc{qP0ru?1-IjcDCvAMp9u2Q&XPM`K^)e1``v5#xEZ zEch{VF9G-y;q?$`nefjDSJxMMeW9^a5Fht=3Vb4lpA%vqjBb~dCWMztGClJlFnop( ziVdx9Up@x(X~NjgNVV{DSNYyaSWatvgW3mp#Ff+2Lj!c$U**vohsYE-y_71@HI z|JbaDOKw_Hmu$!w`{f+R=w`)UL@woBL*i<}noT z#rZn~jR8_AAS`=WK)frU?s(VgzTGzG=O(s49@>=>wbNdmUUvV}=EgPoA{8Kz&LFbj zu9X}aKWVQ{dQls9-v_8vYaJV5-H*MtB}t866BP^MIitJ2gT_NTX|3D6M3;()7=aEQ z7Gi1Jx;5nV4ab#q4SKsJ%a^J#33>&mw~iL2#j<6KhItT|a!o(OKT`@ObIO9emQwq* zxsWK3BDey8q^tEXpMny<&_h0VPZK`< zv=yx#9K8x-ZLAVvtvtoRi(Y#mM{woc+u-&i_V2~m+F`|(T!4rA7lt<`%p0HJh}MOr z;%5rnJL~g}OkfGayaeH(Cwa=VQ+?-c;`gn1ZSN@+G!BuQYM_jIO|zMY{8z2T*ZtPG zV_&xFE@`C$Qv9+B30#l@e|QS({#~4xB*@MpdiWWgFRd{IQ%UcXCy&MM&aa3A{a|&Qp#84TKJ~Ku)sxJZQCbm+ z-Meqc#e|f|aHOz%OtP2uFtGw3zC6ddeEsAmIr_O0{?5iHhHtB}Dao8xN2{KUv2%C{ zyx*{24Pi^HkVjj7*R7VzjC%i^5caJW81NP&rZY~SK5(4?fnT9!FcJAod+%Lz$Pe)> zCacW5oc?dR{HjElk})$pw6W>aQ8h6&aW-xjpICCzv{#wu&OWOdq{s1KN{8`>s*T@W z#3YC0Br#x|OE8nY*KT`B?XgGNJLQ!uwbP;`#$ljXE_TXfEdIL#nqB(=p=t4Roy?x| za(`DzC`Ixi?|DbCh)6zgiwiWORLrQVy|>1!YSv*?rh9@dURcZ^eRwX*=m2P$=MpBwCsuN|q9+2bx`7GJMWWP5u z1-#s&dqWbfDZ*9-8fsZ(x*rYpc5>TQWxRyH?4x0i#l?FYr{KF2pY-uFPZVI$Ik|>88yLe%~hXyboS;PR2f86 z$}ECB+++@Y3FMcbf(Bj*|`2OBfgk%yvd|#cW$W%mW6a$l;h6*!qic_lD2@JE$SX4 zZHq@uZr1(bcMdb@Lgixnw}tGUs~fKZr91M15T_)gczSn}|9J68?MVoIct7N$a}dML zmWw^|N~FCa&`8701EGO_gwctRSG^y3@GdsNv~p`N87*Y z!S`iRn5oICh0$WzKTi}fqI8aGH4-E?t(-BlR|^|xo^oM;v9t33p7)l0!R#9i@}7a< z+6gG}hTTX!B~go3=j}NCh>6mgbN8d&?6?~rijV4JBTVDPqkw)7tN!%7KTJo$D@Q>5 zpQ|Eb^(tpCj+Cm9nf(dr^8t{Ck3zu+=c#O{cTUxJ_aDgBhHwm$VOJk`+kCUz8IRKJ zmrhxy5czkmqnv31Pywcy&o{WEJy746Rq~V}-VaPqZ8Gw72_<~RM1PUvGLxft6FGh` z6>~f@!wlz5Haw37pV#vW4r(sCk{2G28uj0d$wt_R z)>`wJ9hs9aa-1$lf3L71Z$_$|yup>y0t%lFyQvD|;N&uqqk|OfFx15#UD`j^6%T(m zj|V@UaV?u}eg^Go8{o19GmjXTc<54c5D{LI4{`q_-y}d27oP@T-5E8%?iZRwA!Zn0 zAk;H-kRKkn$}PTsJtlse188$RZw#4*DQnWfaJ{K5BIH^Gsc-_w9`J*BjcH8tlEy*h zPB(WUz}__c>Px$>@Z<{f)~h*apd>&X9iAkt%xgA;ZyoBErfy%H{p;0z0bhXFrcF9uOXKo94xNTU|e?&PI?gXL^=hCkoGso)$m&x5gi4tTI&W8-o@dRND8 zOn1~i7ZqZ)G4@NkuyBS6$-WAhC|IY3z>(}uyubRo3bP(Y{0^DKKB5PD;{y=76s63A z)K25UWhW1!5{<0Nsqx5KOCF~f(7%}<(=cdkJ2}7x*uxmpuHOKF^4*Qy7&+ex4Fx+7 z(g(J>gljO!7V$*IJD_epLBReWz*I$F{>=y<%D8_jB{`Ta)7wu$#vM|WEmi_d3@Ugu zD?lAbMnJkIZ2x!2qyt@t*^DwtX}{m`u|ffP(q>ss)D7-g7z@@mUALf>T*TLbAw9Pb zA{0)p;p3^IW4UpY6iVA;;MCE)=_>?S?`+)T#(hx&WPS`_;8KXy6m-*L1damH*ynz2exv0Dfs z1M}jpo*)x`wSR{XC5DT05dRr!pZ=w^5_1KmXais`^WIqJNV>UhsIyhcu!f7hdXX3= z>k3>jxA*RnXs|pC(-`|!726bUmr|3izQ{iUNq2j>nrQjS*4ESW80kMdf96Wv>zmP7 z7~Er(j&uj#J-D{Ul43AlkVw$ZXXZ1m+^JZ*{Jb$Fy}WDRM})5$9e*2O#~=<^$SOF- zR>_}LjQUndLNn7o|Mhb1Tp=y*&p)&xBkz{lUM#W#_T{=!Hc8uvZ09a8h#g+15g7EX z@df#COT#TSRq%(`7)A878=i%Cqgm^WvWLM7-B~AIPcF8^n_G~#+h5yAXlbUl2hx;5 zgVWj*SuEg(hX$N#+6s%NN6_EMo%2n6`)S8lr?<>XzH#n!%Rt*RR3%XiXMv{OVUD;) zR+D~Ky%Iv*qNsk7lA7mkTNnf#)yOTc*FEq!r3EiTH0?WNnAf376Z6N=$$2bJ$yR5x z#Ncr*@N&D{Div?S3&a)E#Qf%drF6MR*Fi{$$pb`1GTTqMzy8?LRc^Cl#q(5hlgH5E zx^iSg&d=0-%#iNK)!sqdrleH(es=gBmGhWJ5jeja z^f<~O2{M8!_)Ko$oZY!jg^1hC|I)ceB*Jgjh7mE z!0${8xy+eNLJBw=yRW_$4K=Ge%q5&`jzWyiUtLCxIdqnI@Fu!zO*{oi-h@NFxsr}A z?|tbeciJBTb;#WNBUAp8g8}Mo5z&?5z9l;t_2OL7Bcn%0pNDL+&X~g&9sbYv$`koY{<*k(3HntZCGDnVL`e zJpEi4KsAjg|k25fup=IF4bv#Jn zkJRA`umj?*@$^2o{*yiX2>wi3s<)R_^Mg@dpXSa%$)Ti_lS<7I3fy@9M*UeXXQeRI zb;=lcyX;_MzdNG2LhPAzf}QJ|>sCV+)cqLHh1IE4wdn0bB`V}BGKcUfuaeSwlKYgn zD}f{G_JHxg9Glm=G+a46uR{%0Jo$>W=m|8e9$QZ`n<{{(+t!CuROr#`J&MkUKp#!o z^0FfP8L*vzp(z-!E_)KXO7xhfKspyeOm<&Dz=d}$DQS4og8d!!(v$SChq2E@2qt^; z)GKt_MXhFYp1R;lv57#REb&Fm^z3x&=+lId;09bNK7Y~^92rmHmx7|TWBI`@9bL`& zx)8zfboXCg6|xz1HQ_I?-!hF?Dgk0^qwnbFa4DC^4~Xq8kWnc@9T%K?V*h15y`NYl zwJ7rbqrAVE>-VOk>rD|Ae=Ch3HLf*O5dT2h~ihiR^!qluk1S(^x|) z6F$}~kO4NbAkmi!at;Je-ybJ$SBI|VoDfE{!*clTBK~Yq^U{3KrM|dMIryYKqrZ}F zN7iqTUUteuv!bTk4hBmQIvYV6$}}BEo!mif=JZ}`OI;}#zLKcGD(9nrt`z)wMD&3l z*dCN&CB16Vr86Wnk1mi~#-EN9F<>Nw0F8r}wgupgL?$4wEo(3su<6n$y_zw0&ivqH z*a%1;%@sxashCMJ0(QQQR?R)1BG6oLntMhf^W$@XKpV6h^|W~ybmmXEEon$U6wDbe z%?M|wr9nQ_jQUWE)_Abx6|1y2eE_F}$J6V*xqS!S1LZslc=psKfzm6d{|IKNxasJz zsq&|E?L`8wBtRDDU|GX^FdKVb{q~A&+==&Di>N&EzV*c1wzIu2ZW}-n5GHFJY<^A> zRINKoZrgcw@5^3agt$ep-MsamE9Ea;O@hBLAsG)OJg1M>y?EHkV32g1i6dK|UPitV zic@E_k+MM*jVyYOR=+|@uBKWrr3B8ox}~l$i%X2T0{V`g%$hQ0184ri;IP~g^m~FD z;MMpGI5@4KMtOv07LR&vrBGV%=0V(E(@D(&k8By=*3slvS-I!R-o)NzOQQ8AdK_GP zA73suKWJ{-{1|7&Y$!R-PqDN?V|5>XIMwkN8H#3UJm2TP&&(=4KQ|bpF1EF)xjxYs zp_w(E4d*-|!3zjkeIb3T)gN^S+F-zB!FN|C?&2MISU!#XrnZX;-;COR;TnI3msZ=+ z$Al$2^pXD#bP$QHDw#_Ov)xlr3Tx?+iMM1AFDR57nv|Vu_*y zF&mX_RWaWVNt!;7~}diQ%J%ChPla#m3qlx8kRw2V5dnE z4Lh-ar}w2herCq0yb?}AP30L95TIH!{yJUhUWNu3_6FY-Y$a{ZXgNd7mRo!3XSbKk<5^T13c*>Cn{Tr-_trb>G zf2JVeO&wbNG*0}WZ{fkPsc$Ltt#)fb3ZiEh@o|-PE;Q#mFXZY5tC8*SOkSJ#Y57VV2Sc7D|@6Og3R64IVCb2-IM2w z-k1W~XUas)0oy5NYeETOwGt$!}>@c6mJD_F!#x70cL>CQS2L-I@FwAFGq%bR2ED7IAqYV#ey~0LfJl&y$kKtq^SSvxq9z5Z>v8B7v zET-oW6^=+KD&pwb`GS(W-aZhoLLd1#+NIY z<-}dzDN5D>l(l9~ZD7)MI5R-~!Ha8En)^5%B{i+V{%F_&I>V4g%%C$EiFQkXsfIxf z0A*&tM@UdJG-7`{TcV0jd7Eb@8Y~5QJb9sx@OsbmMmj%D2)R2+5!K+X`CCVqc(;3t zrFhiT(rIB~b=K>`C=`9pACFYz4r?5LcOd7E2jX7E{{M1}m)f)3sS6@bD9Q$D;)EZcil8tv1;RCVTRV zu`>6^9VAI5aA7X;3Tg}IfJ zlpV$9j(2A~|8;y;m`Hdo#K!R>ot=-w!}^KD{#J^=cn-!A#yT}$Z|1dCt~J>?Tw1_l z80%W#-Ff5m&TiOv#93Yof50OYevIYJ`h22>CY;@7AS*__iWmOnh@tIE4Z(sZ&gHYv z+Em>WJk>a&lw!@;Y$0kxbNcPx3VN~!S{5X)%`D;MVxCMvtFdWuDVfVe>AjN!q9|HY z3Y4UwAxs~;Ns`Wt3SB4YC0Qj?>E&CclOmej;vqssuXzua@L*JbTwyq&s`5a5S%0WWAo`aS+C|=_{pq93}4zGfnf!+^K`|kDA1q%8Jq?D4%60gpE|QB zvA#5w+_IVDnib~A0W_L0@DTNtR?@p#vhro(*{{!m_4>EatdezI``sO5IE9a38x13% zjg}CbPlrfs=1^twuX-sMP7s<>PpAxg5rk_%UC#Upniw3uc9Kz~>lZvN0iPovc7iQw z&g6}^f!Es0ys9^^P!|^LC(#c=xl+yuScVYQfrWlm{eA3V5{lvVu^kfcK#Cd{`HJqU z*kMC-G4J_@Kb^>hkWfglJAE~*saVf z)TUd@rLBlA(rLv5>HJy6(r2h<#=_7 z0~x9cPA{fuGBrveJfI2?57Njp+aAi z73a>;eW{G-!e=;;UvJPls<%{yuQ&gBVpLg+pGDuxj&>fPtDK~1(E%YjA#Kl74fxyK zzH>$@!~$}1R2d0fwf_vWBcD_A3w#RO6mJXhHyz`U^HJbuLA?vwpx-DQN+lU}d+j7F z7q8MV$c&8KwF&*JTd!N0!rS$W;P=_dOXtn@ z2u21~sSQjqNsXf&%<~D5BQpA28c7<)?Ni7C{P%$*L!sl+-Ssakt`F}@?c7uI=ln1_ z<{G17snnkyT~M2=Rki-N;^O+RNeT?!X-2le7UjfET@G_0yosR-(7#O*bR?r8JGSGM zq?=B{6%| zBJCN*K4zLS67a5<`2$Y&Yd?oDTi4$`Fe(`#fP%%4^z(}-7mE3~yB!MrgAKo_v~SE@ zQ7?Ix8vhRDx2a&?3#~_lyC~tCalQrj!(4J{5LyV8PBwS(?gclEEOAL@O+nyr)TW~M zK$d^Sxs40)z}VxC{PprCv)tAEeTstbPQgIJ!;?O1dpTRc%kyM8L8cx5ObgHA1h0Up zfmvRT?jU8{1nD?l@4sDdUE0??)t=*B|4p~%vmBA%G4iz`j31Y=M-qAtH1gK#jg?3( zE|n=8%l+Ip|D%!VGEkHww)H8~(N5U@lpY{crzf+0IR(c@28{FNM*X!C1qZxjB`$Bs zTOAlyY6Q5(mp87sI2(Qf`9lkR3vYHiBL)`a{C+eNGgcObT5vfej#DAQk3z459s?qN z;KY8K$WT$u>M&Hvjy*nFDkw6ON^Jm?|46IUnrH@wwH2~+IKX~{SR~^9yZy1LN-HPw ztNgJh1X^>KY|r6vY0OGv;pPjill^u=0of$Gh$ta7aG#IUcbag(`8BXDx`(4+xg zV|%@Y9wnV-v{Xn`yAZ@X9yC)JU-cLs(x0Y!4QG|}=&#H$qy_g;0v5B1zGizntuEMT zDBmPmO{NgxRAcFv25rz|f=+!0y{r^3ByKTSylmP3 zswD`x(l&5(8*NE{ZfUc*f>yE@UA)C?f&6SQsml&HO?{Q|gUFM24E9)7E z#^fMDd@^hd65I^S?H2x}qadd*=jrR=5(r07ZSRjbju=qSjWxJ-#n8JUN_tpq-~H$v zYh2we?xJy~xVj3re$Pg&*J!=8g~ne>lSwH#x{{5z%ngqH;zW!R1|uED?#HP!nB$yEd0s4Me;H6J7P2DfTz$+6kEUx*(mj16s9zu39A>m zk6+v?5&AJW^4}m<-kRHL{PIwCIKD7;Io<)h1S{dy2l{))MtNeE%}TXZ0F;qwKlZMnfj!c@XNo^Pw!c#Q_Vj zR)awtI>NEG`0gbKu+kmaNQ}jj!Hs;nN>9CY(vP&{{V;wU`Z8PLV#iygW5|mB#_EJP z022#*M5XqMkJ{nhwnMR#(=Ivh>`||RP3~%3bkJKe;HW@-%EqIMG3u<-bD_&r&}CGt zzb4_h4IHpLTI`Zj>)Yy1h#MoqQ=+^e^HYjzso)KXkp+SML|*Q7GEM?*1(;Ln^0K%3 zQs+253^aVRnfc9{^ZiRZB4%V&s z)L97N1QFMpyb3&#@HrWr#&|3=ukkpo3t@K)eCHE*V9UxQGQ4?nmP#c+PsrA>-Z8^F z81d*4Cyk{{(;@WcDZDc0FuBxWdyrzG8I;WiP}dtHLX=I`3DFAMl~WRB{{)1`jj3n- ztmIZOSF@-%;4vZcZpy4^0@Tm29RA(8jJkyVuJ=QHnQCD+2F!L&*cS5CW>|07brxrP zeL2~K@3sAlm0dUqN3%DHsY~c@krl-B(nXc}v!6>9o@ny%Y5vbNoU`o>?P4{T-pHAN5z^anG^@% zO<}2{#JIsvrB*XfKAsHhMfIKab`>IUM1?k3F-aNnHVzZ}BYImq9f@BgEZig#C57U5 zdR+SuO`{t1EOJa zkSwCqA*1jAXQ7hFpK^}?{@^y`o#P$B>Z1^GLR5%girxiJqc}4W74n+w$ zoY1Fh1pciH9Qp)QlcA_`veRa7VVLnC|A=3N{So%bTwZ4w@6CwIMNLv=Edu&z0s=2j z?*jjF9+>&|a)UOQQT)Wp;SqR8uUx;q zRK->;rLXbV$|Cg)tAo+~R9PAlS($qm@^x&i-IO_mmDaMmEC3tN7hX+f`{M5LbHuyl z2=xfn8)d1Vz=zlU7W#6E)Mp_fx4gMhw< z#wKMW_~0(XeY(HUnWApTfav&B>L?%gY5R9aM8$VwgWtc>Sh;@LxfT9UD&|#S9V*{! zwVOISoPb@?LZ<7Cgdoo2?|7VMb%)$jr|M!;H><@+sy*WTS9pulj_2-EFev!S)9em& zjX~jU(SYf?Kq-iG`meM~<1#yj!HSBs;>x2v$W)z!VS1~}Rcd@$xjutaDI~_dd7~ps%Y7pZ%J@pU#&rz?cWx+h0p#DB&Qjd7grr#db}njr8X;8N#a zEwaJ6fKr|A&!Y(hP#rPWS9Xtv$u{fnHO%)jMiGD1;Bp(bh8($EHP$tXIN9F2pr742 zJiiAnFT|M)Wr=PLldK!n{x%kEXcQa`3LssWqc$?H>PeeyaO3C2k5;7?9`8M@k>r&s z^irVu4GPR|YWnzF(ZPiMau4@PD1De2G+TdbNOwHf;x{xyu|H5Pvz2l-pbJ&~3;iuJ zT^DTzbOQV&ly%5<%1bhlYZUE*Hk6sF?`!J0WM4-lzw&A9_{9>sg4jeEi@PY z9X!&}3^r)5J{eEiC~Ihvf>tZ{FKpLmK|%!zN0s8H>%!s;4%&MTbDIwRX>M1RX0}U3 zb1j;5$_D^bpWlTMSj)Q&(w%F4_^G9M9Z5F! zCjK>E9#n11vKD(bJy>nJiU)ih!I38z@U9k@aaB6v-!Lb#;yhLVe%jyT2F*u`aXk!URT#}YzABJfMcV9A+MD=qk^ z?Y9j_qxjL%*k+Q7#nWFs2;XL|ay(o9@^3@(s0a4Vja_a~Eewy7fH0qTK|Whtg7Pk? zs?Q_zbm6Qplw>sWgm@naGz`xjDlpr7a z*JsSm&_u%td)fqoH|&E12NUYalzeAeO~yFBIGB{F9c@_eu^NJAlRhFUR4~Qxe-{Ms z=OJrxq{oAD!CyKjQ!aH)zo@TjIy6 zk>QMbDMqhBU;myVEmx;B4r9j4 zlo^uuXXuEbRR2(lI({)Qa`R{<4>Qy^mOC$Km_~C8e&}!Ai~Bm*Sz>qnFAFugWEJj~ z{l@|zNBvvh4sd+#@l1hjlM5f(KZU!!WYzQ4!aMg+xb};}OUX=kJ5@d2cv8=UnMacb6NbEM6Y`&m{X% zj{~^^L*0wfBJr=*!sqz1ID8jT%RUmg60jkO@g~uKT7z^-t44RDxlc?p+kL$v-5GF) zUV4u+ZO9+FT@#U5lf05A4nGzm9>0sFnzcdmXs*{F4Nsv&xr!uDJB?_JV(QSH?7KE+ zwyUj;9iOBf_yohpj+{IP6G3iw-X z;NS0shRUos(~AF^^oJFJzZdTbQmYN;@6B7fTWMC%2?i`KyH69p0*v$=^;Puz^t1=Y zKJL|$FzT-PeZ^vUK@2LuVgiP|lT&&^BZ#lzKl*lp$(I=J}v63S@`zQf2>5mzmls zkT+*F+;AxL#$-3WN#NzrN^Exx0=;FzPBWD9iAnPhf4@T*Ll_emE3dP{HPBqJ4i`Wx z>qszI=@TbCJ$3Dwx_cve6Wj{vZIw1`s|u5q*pxqSBttzIB#!=`S`yY=6|l4aqWF#O zs1fA91*$($b^gbb)qgJjyT$V5OlTagAZwklAADe+O-hFS@*m6o43S4)OYNn?6W$xW z1y%E#_dz#b8E2pB@%N%xY`<`6D6bi#Y|qGQRHbrOQbGH(nU(klITZ%`e1?1H%JkIFss29dnwEzJ9S*9p0^kju&dOpaRaZprdq935Fp&(s&Nlq>3a z>g`JK#|}qO(~9Gjfu765PpJR^RrMm=a7!W0ulx9Fg;U`Ed-HmiJ#LyrOQYzh@(lrbtEes_!bT>mYLp}39=Q+pddACQxLFKXMW*xbxhOfj8B`pydifYI_Jh8IRvcjVo23)j$0d)PT-w& zso_+xsf1xfPFY@W$rgf2hy}WfwSrKU$`CW_RNiXgt>LoIZVtQ2^r{-I2T=l~a~?m)<8ZzHGJ;=i{If4NK)HCi(apk1C^0~b)?)}=U^0Vq zkgyWa^;gab^sr@C-D%+v+oX^gD2qnUNXtvA%N7!=-zz-J0js^t4g3g~c}xdCTJYSD z?P9|3)$8!?Dq^_I30Pp@SH974an7V-;|?k#^m3p*l>3^+@Sriu&$I=6v+~HAFgsPH z#D@u{rurFwSxEbWf)5}3T*d%DY2}|Z!+4objz9$0<0nwvI=Z%&muprOiD5ozqye0S z{1_xeWbf}D=9^||Tt-&n#4z#np-u8}&RvSTzS9**An_85JQY&F(cetCliJ9`MFShg zzJMQ+JcI8UZq}-97D+-2mBZ=8;i?W`zMYWoHND50$7SmEFb1u1`=SFlXW?P|6{X|R5 zezBTB`NKCf@2Nh~((Hcs6LF}PplD37#+#(Gpg7+S5h!4mVcuZG;AJdOT6`b%N3P;s z?|Fq5YBNwBfEeH&CtE#Q(z<-xtlL9EEA`F)Y})%fG5e(l4*IRjhLa z!4DTxycjU>*x3wt82jYtx988NyvFUVyfgNBk@s9>OaGThA>4p8tH9ursocHX=X3WT z1g~yCT0vTY*Yck4{@73rzY5bD+YgfZ=tNgkUJB&p&?41#jFk%Lb8b2j(MYTKtbN+D z@_A@oC#WdykynWPFVFcOlkiK*jzsgjpC`M4V&ugufriCSE*kaTIR6gL(-!`IoQV23 zDR^-H9-$Ox@V#ox7+p3z;!+-dWUSn2b*?OVILnN`;Ip0GX4S2)-O@PVJfb?MO&KdWaR3LjMj8@dW7E&;*s$Ag?*dWX8E;seO zDR%z?eqw?4m0dI(q>|lSKBv#(d#h(PM=&MPAD+6)@ zdIyAVJ$a9`U@GWIVvn22^K~nsI+9M2toLI$8A+B<&Hiv4x;d3LFPPND!QUA&e?jtf zYgIE?L|K$~DTLvBCxe;j(WPEIS%#S^;BN2Awz)X~VK3s8e?N#C{Ku@oN!H^ z$EH>4>5Fy*6wszL?{;}j26s))MzU-)XML`nnEKN4Q6;UhbCnZ47dIR;17gtUFNU?TO(lx?XF%!UAYY6bSVf}eRkW$yGa?qMx#jYXc1oP%t^XZ(t~Ek&$_OWE-50=yq45gzmnlP==RsE*GBtPxghe=syTV zf>Ln_A7xKDHN(xZ(--HoqMG8V6R6yY1c9!1!5*C3Z}O{fNAu!@pi;kyl5{anv^!Dv z3XO7^_HA|0m)*z!-qfkxuZ1#-phu#uvBGz4?gW1x@klB(^55}(&I=~qB01k|IWdYl z+oCugvPXGr6U(+`IDo30!CaCK_G+#7%a6;x_yrYCJLFDVmQLF&Q+54BMjzk9OjE^8owqurVmrjUaw(l| zTvC|MUBQ?^ECsdN;u^p#bSxY6A71>~=HPMv)&i6BMEUe!~*Ft_b6zV*t zGgZ(ZJI!7j!$mTgO2b9W`{$UzQSpyUN@K17Tc9h%)Uw;jh)WI>XnF%yWq;OqhpOSJ zTErvfv6Xf3fI6hEG;IhwdE@Uk=E>Dw)6nyHDxvoc zi>lcEjZic9-3?2GxFs_%8z|;huoxVH!|d%k{F?ktmdt?HLa1=c=_ZZ#4Y1#wv?>m# zv}fJ1&%R~4&nTZ6A5a+G);BbW7hzUsy|_X|8clCBL;)`NQ%uHP5j)MZPR`)!+i#=~ z-rp1I8h9yx|3dA&^zMQ!tu7$TMUQ$S4?Ou2rK!cZoQHg`Nueep@K;??p64%@%iGr) zzk@Svw=?fBcTgY_PAs9Z@jbHgtD*81?qvO)9sVp557S?B8=VukWY=+dcRLqv&qR)K z5rX8Jj@$38Xts`1W#U-4L#ti>mQ&CyyZPqwk~>-*wT)eI{Cs1f&xvTUn*5&q^NZJi z-o}O;Ey9n$TK7e#J2?n&W%U(Y5`Mcicp91H)1y#uGvIU9qfQE876^g3#ouXW6_XzC zh{H42l^2k9A16hSz6w8Fg)dTER1lrIca5EJm-hV?U&&Ut_)VcI{-$$P_@>_0E>SCOYA3C z>Y;Xx@IT)f-FSf8dM!WhnqI1Pz|-p3RDq6rp-m?Y4i!L)pATB?2{m0P9oT%;u%+Jd z{^ff)Ffk2_M}G<^h5?{-r~dHY?Yy)g`Juw`?Y-&e$*Y%#>i+|ywB>90Tz}x~>7To( zU*qnd?-_UI5Y}ePQeSds;C`?sd=UORqoV!}n@DwlA0JNs z`OCY|(ZFRHZJ#pR^iT&8zv}1Te926cY}=DrRuy7|!)ZzBj&+JuLrneF9nhrWV!1-f zn!m!O>j{?rwX_yzKz~$V-S9Xd7$FmEv^s*TkiYi-Wr0h9<>XEv7C86wJYVy>bmVSh%R80|ZPYjx7u){Sl-5EyBf0$h%en^y~yMs zYHGwS_a5%$30fi^7qV`~te!_tfUF`YqPsa7K z1sa$wSIGs6k5ZkS6tAO5za28Gs(8P0^ZU<=|7_KaF>R!PsEs~K8T+=bX)P;STgWnU z5rgGLC5T6_;>P48cCWksojdpbP@7?Sn6r;g&7=rrBE*eeV78=&6v|o4W_y&yW1WYo z(MyVaRpxpy^o(P!+6j&PVS0uL9PEu#s)`#ioS^r=<`g^m z>v%rOWI2BBUuu>+PPQs@=!w%fu+4a1X(+JvxT}{O#9&GO#UgI$*KbL#+?0IO%9%(% z?pd8q(nOR1LyZzbj+C0J?8$r(6~ z-2&+6lMZio@Wr}U$>a|FlSe5|96X2*X5hFjZMC?&(|;K0;C0Nzhz)OF#|B>OX&oL% zUHE0HPkXPqiRQK&231aLp-7&oJ^~$PUS*g~u|V#BD|<6lUt#2E&^a={yX^hpO!!R7 zefs=2F`G*#QDuRF{qD!pozL5_dj6!djX*7zLN&gz3eOe+uN92c<&}O?Zr#4+lnW%aK-<}!M4Mz>`cV6@ z-BQxPtP;W^`oP&K{Lkaw5u}y2fzi6&i%|m!>B#jfg#YJg3})$1P(q_)?-V6}#tTQ} ziqiw_QG9a-dnK3HE^<#~-HHcgu=_W;;b*Da3LqV$UDW z6i-&RS@|-BiF~6kZPysl$^)g)NnIQ{YGhW6p(1tESZMvlvp`|AasQcnGz_bU#k0{F zvrHWJMyoSvFMQ*tUE-4ESfvfb^BKnyv3cQWxoZK8l+J>$J~7Hoe<|Pr79Nv}IPFuk zwT#VqX6*I(WW?Mb*OYQ|W1Dp83L~X?`ij~giG3a=kVwL7K1Oe8D7{JrR;a&anjs|M z{bL~-Qk?<&8c?|SfL~Q|=So(;%^&se7>PIB(xg$2YU3J9|aWd&Pr%P)+&uekM2CcSAW5GK+nD0M^AOs%|UmHIfNi^yisI8 zWL9LSPQi%l(pBAQ4$|ia!Ut;->S$nmyn;MyU(*2^wxk%0NtK18%Ke(`DKJoR;ND!a zXzVFp$LxlEdgb9fMG&9&Y?xoYxo*dGupcK=3G?@Mr{w)OqkOsUFJC~!G|KP;Uq8Km z_0S&_i2%x@*lZY~b-f!hkjMQz+pitNPS5^cMbV-XNTCdHPGbZ&nW(2$lwwDGiafjD zewg!0P6z>ahk=G%k9^ntJWmE5!K&|P4if98H%dF?I>oblrBF+99NjWCZFh=`Oim(R z$$(c8XH54qXEZHdTClpt_V{PFmWkT^0Le}Q5KS8miUz_wpa4}wrgtW-v2}(jm?B?8 zuUh=zU!xcjd@%9b4_7R#6yC{lfwkljKeB3Vx-YuAGayO8r4x1=30V?>#>X}7o2wg~ z(Y2*xwkO~ypQ#K=m9@ZCLNP5XS;vsMEu&ybrx&}E$MQLxNs|EFO=<${H%wEnl3EyW zkQ1B6NC1iiB=gJHgI)N!EI-nJ^GZ*Dhzm1;%KH9Jp&|=Q<`Kx4v!zVUWvx;Ua$;Uv za&|F0#~#CIw^j(z*a5LUWXNE;#Nfggu4!)Ouae`T;Uh2maS^X&zc@QWwU}^_M7N$7 zR298MU#zFB`?pAkNKc?5PLn1f{rM$OROsX(jBj2WtIAfZ`DGi#9G zf4j7Ed~IC_%+=q@sey2R;q6Hv&Msz|cnW*>*z*u}IpG{2y`-^H_si?6*D68ms}Wf) zV~UO0fYboCV)GJ`$*w*^6c2Q2YlSM1g{aFXy_VU0%=Kiym1lRv9=R5U`c!|r7%}SL{)lPLsX&|`G-Z{XD>u|O~ zA7??c#SzNx@?m6BKb1^73u}_dmQX#3$b=r7s;E%@8=*I$8Fz%xd-tbfavtj+ z@?Odv4Xo}kTd117;Ks8Q#Y&nuBZ@<+_0c~}{!jj&k1C-Mg*wg-pHi26vqlj@uD}v8~Z7FR9tP68zcBDGT9l9UDqQm%+p@O2q08f`2uf~s8Df4Xije9U}) z1nEE99?8}QxtmsJ9(Sw5XOHr>wSCH$zNheBLJ5J$IqhLnwpx+~?$6v+iD(}9Fg!yv z_RI=ikm(Fjf&Wv+GzDHcUCZ7KaHYv55?$%qe#yt4Vcj22gWU&hRQv3@Y%x_l{P5cU z^&-%*_qjZ)(>Cjj;cLHx2UD2}Y)-*VA^GHBtXUuQ-oRSv+YbyrOe%86cW|%mxKnf1 zp9FG2dq)%li~ad?sx7;F%A?a(lVY~5)#Lu(!Ki5!UX8Ngcu9F7v6*`7v+VY=4B?-% zyMAnPxp(l(&Y8#yQ5y%WnC9wlTZvV<&%Ir!TEJ%&!AqH3LF`-n8kpSbGa6|BqG7n0 zhWPb3?F#;J*o_)fK${Gg`Oo8)-LA$Ehql9OX2qFnBZ_T}nT&=qy+VtM2Wzj_^G$zv zerb$J7Me$Y@i$9>=-?9-$$UeAhRxY{;l6X)6EX zO6ysU)yH@X=f1?jRtV9iG;PZB?QeK+s+1Y-un$;dMG8ERzX;tjHZ}IH0^q=G<(=sunhyK6bQyNfo7f>cy!FpACA`r;s4pV@B;VP_C7nhC4A}S@p z)T=&sYCc`wkCW{;m5^tWO$b<}-r}IBgZucS>ppGbt9=>>;rf-UKqtYH=6yC3xc`y@ zUv{%+4%a3L5XOQcl6X(vZUi3QQB5@~3DU-Qr=1lUhG)L>rLOac<4qYlb=@2;^WfX) zmk0wMic5^93fnVbG8gpJSFf4PHmn3lqiXRJ^8T{8ua|pd;A^85?6NxX;KG=uZ`P3W1wua3KYO1i@un>W`y(9{q+i zWLNdcmwKDN)Zcz%Z)@J2Tsr4v^y-8e$z!BlqK+S#c_Y<^hPZZx+@1!!th;5~c)id) zi1)St0kcnnZ6xhvDdbZT__O~u18|uA`4=rqIuMvLCGm%}V+I{~(1dB&aw!yC!}6b& zD(MQ0g-O&#CL~IPu3JUdM0f7C4@SjBc9YG=KDLd8NbR=xGHulr!?I-Kgt-AsfCla} z8iFQvM1entU2qr>l!<@jahcf}d#6PC+$H;+&HE^oC(v!2%7#^2_kkPJR7^M04d|IEqgsLwE7v|^_rdCRzjy~myz54I zNz|6z6f9EDBw{tFu@jy11i{=si=qDDaxZMP4ugAday@x2k zL^KSKc^_t0G5V6TD|Wex;!96AgT~JudeOHk9A_S; zbN#o+ZAw1N?uEzgN3=k6%g&eX1Hw|6qv%2KvhzP}h~FW+{XD_Yb2fX1b0p}5#b-C6 zcXl`D`G?C4E>oR&lZ}6FD29Lcez6aF-4SO??htoc?t+8r(d#AK&Pnv+TM7K@Mf+<; z=Ox=f@cvOu1$ys|ZQ?{SmCR(9+`9|%5=?LwmD6T&b>CmP~YZRSa$68w0*V(Gfl=B?}9fNY>YlEeC zr&sxqlA%)%rBja*%lH5{awIZc@JB+6CDq<dD9 zcbC%KlTmM+Ux=Gn_cF9_6LzJD#FC}OJ$8|7?3*vCi}%W11`?7NgB?WX$aSdm{u?K{ z?@v$xyW(c^-%^m1xk`OnaNOuYP@sTfr;*&g;zz4y`k}-`IX%bU0tLmWD2bgL1l%_M zL(N?fT7ZO7m`nkJ9}8p_)H9(T{c))EBw$^}Tm6Sb)mCStGD@N zi6f^ke(1qZ)JzyAsmE9K+R<%}1S)fdeFJC{&uvgAxz^pq$iaidtn3N&VkoF?^ZQN3 zyxY;9Rn3>*fRZegPKSdOI)&#ps_m=VGZIJj>N6rCs`YxK-MCBj=L6;^+w~dh$4#gs7zl9PN!EZA$F(pcRJ);t0__`JF!|rha;U zNvr&v2a;c!IaULXqv`z0dO7@7qfHr4>TMTq`tMuje^b;Bep>|~xqRiN$QdBP8K;>$ z`92J$ry!YS#ppXEy>Il!NA{E0bex=JJ8Mk=&`JFYf19{tB1F7PRT0^YsB-k>(<8<;uMdHFEcMcBP64y{T?*C=C(zQ9|ui6y`T}t#1qT@K<7-- zo2b$p?P&7c%F9KXz(!TZRu#s^2LdnFF0B(CmweL)bW8jFHj`Ir3SBiAeXCt?(blY9 zmms>@kJt_d#hUE}iUnc54=Kl;mz?*<@Sv#9aHWes|C zBWU6%{UqU_b}A4I#7vf0w}Aa{I~;n&Y%u}y*;ZO(zPsaDN{2|V58xTLt@(CP&%(`< z>jf8|Mfa$f`Q?T(Ahr11PWGC|Y0oG-zDF(MJmOY%0pFxuHVRG%C-9mm@mwUY)#h?) zpI>n6x;ObN3uslK=nAN1bwmaqJ9=agi}My#eTf5vC9+6RUciOhY3~c)!j)DT7E07w$K< zyBAcJ+8-M~TPL%bfvfs^EnxqKc>&PEpT#@5et^-DvagF*E|32{iD$8)Q`8#Q?v^{5~ z)E|FY?|ouGXQcetdH5~saMRZ{qsjV~b|DHvC-rpG>aejYarw8Ov~jOo-`5lspw5(> zRZxaps=$2iL_fDqSUGe09}+`fa%R@h{0poCewfR4#bfA$d1A483Ag}Jxx&g!|KaTm z2XbSIOZ{Bt^ak7bzDW!?iJaq!3TWgU5O>Hh^p7pW+0IE=(;-E*5?Dm==nNN^VKtrt zDk3u_?h%C9Ji@yQk^kVj_7#2{y8D3${wq1VhB@|f_%H2>CCk=_yFJ|p)<<*ON zhO}p;x#F1bcJ93k6&>73!n&UDYPD06Y=pi7jSYvTCif)7_lhE4W;#MYyt0-!qV1$hY>l#yy|M zLz`K>c~`HND;T4M6L^zg$$!M2IP$2-=_4>Z2$6R3`C(YYUn7(=R>qiq5@z5VOIErz zVIyFL{?pZ%;ceM?>Bau4kTh?J@IscVHSBwi+b40q%(^J{Cu^)*qQv&3y@dO59G3-m zFEw8JG#L$>h<1RdwyrBsUyQw2SM*OVzZQCXeJyt?SN>{PP_U3*UDdp0Q5JWPp+S~3 zUouuWy$fCN-lx~Nz50r1Z(5jfqP#Qdj#-$gsBC_1P~p_!{S^TP`%JH`1EZtABFdr{ zbjqnx4J&#-@G_vt)0)Lle&tc^OmB1$%Kw6lbQ|v?)1z*cQ*{wO!(~pV4e~4d(=F}` zWll;C6z`Xtb!;5?3gahkjsH3S`Q)YdW1lqXh^tCxT`AW$w*DdgH(JGz zi4S4RaSJ)W^*)J^5I2}6j^Rb&4_wg2kaD0&2OxKMG|5{sH;g z-2F?GVqxjQ;+EP#h+H*wF8{aGe5;o+s6Tu9dO6GZ>*EmRh^^(nl89SJL_fEIO}rG; zFR!~q$2;v8G+>%^7RSlK!cuMNufL~iJ!eb?$#A*Jtp!3)I$OF;$a$qTTIzE}iC8mh zWqNrz9iQmbN=5UG;WNf^lk$qMw%6We`btN3IDw^V!!a`-3_<*M(nm$Wsv#}&uIlI4 zGlVCv&99%etnkfsRaXn(R6SBt|8w)>^7=KYuc{=js_R~G*!yEg{Y98*Awsh+4T`ts(Xz@s5FR&?hZnS^?rvHzY*n`iUjLoF~RIP5*&)V{%)b}q*_lv@3B0(~< ztFL^SVt>m#B45a^Q6NQcUIq+VKAXKEGtr$#QfJ{%Pl4*FK+;jyjl!hm#saG!klEaT zxR?9Yie01F4@9P+x$W(wE>PqB0`i*|TP=;4s>cHQyvF>Mp5f#TpOb^*k1e;KSDH4V zr=2r11h9!evSHH?neqFr>seBNrHi~3I1Ss3v9p{U=+|!z|M^Ng2{?F7H@X|nFFg1L zFLgkVflQ0H5laZ@!t~_6qqR)R|2!=N7q)WMCHp&YPSFeT!V6(dZ;7Z=JFq%$`fwz5 z)go)~sBD06(0_yqERZ#8j;V*xlPqlR&{q`)j#ky0F&{*NuwCYITd$bhYMG)+B3oWh zKNLRrxfpzJfBDgPHb=L#mX`bU-kIE%`l%y-d8wrJf`o<*sshS*ZmlUhI~Rnye1ac3 zYQ}VZbNNT=i7gaC?45{!=_BsY^DIx)9&h!9(H1SQX>)=> z`kSmK+mgV-In zewW_I%aLh~-{kSBf(`Kt>Q1%%BJC1k)B*iNPfl=4bvJ(OQR9oA)%gsBM5*8KXcajZU=nB-5{3=>?li&m-vQ@3>Oh#&-&x{A*(vaiz5z2`J(yzPb z-p8!Tl7B$@ZgOs}&0X2P(EdN>gb)Akxj2iAug3ZI=u{HB!Zai(h>TwFZ5RPX!c^K@ zQ_{)tkX-x0yb!eGg;k)0e^iS3ieXlXY)blWY(A`aWy4`zPBAPFpOK8AN;5^#{;;4P z?FhB4c+>jbe*}Wqh#ITFtQh9LaRB52gX9vh!V!hYH4WvRo`KAwx}nd5n^{0f8dAyd zfKEJI78ZgTP!!OLz|&{H8&u$_re?x@fDUd}xu z37jOq_&S%JbBkk(1 zURcYxcY|UZ_nHX(fHoY0@JtzB-NF<*890ruQ|}@8TI+Snz-y+pD&i#@D=%7mtj4ZMA-hhSeD2aRs!Brt6!(mz`Z5GY$h;g4=_v^n|$SEw{hjMQ$kI&&e1*-X><|v&NE_ z-Rjs6QXO1_4z8~!(F&z+tk<-}<#7Bi#`DD1emNqBW)iAj51T(I#*+?Pchw*3aj-bv z*KrOim>kO6x1&RL6^9cH76*R8G?SQ#MD_1=c=kpYA^O zAp&dqi2&s;BMFSlRq={*3(V`Yk_T}QWObr_3l{NB^#=fkAS)y8Yam~)pKnd;*qw)Z zOAeG?wBd5GXQmwJ0_*UZnmY{>4LB8S`Z1@R=#8@Mv2^_$b%^5XiF-?UL+s5TidUZo zg=ZZl?XbRzNK6~v43#1zFBfmffoTZ3Zk{ERCmJP1rN!P8E+;-vgL94#u!7$0*v}~o zV%?Fl5;g~~?OrNh3$S!TiI<54-W(FBNWOX|OBB>cffs-^K=fwQ70Xv3gw46uEa2B! zeQiM$u5ORIAihk#@lvUm&ke~*f6V~YBOppn+R(5}yx$hT$|g7DIL+-j;3j;9&|CY8 zjPkP0ObMoasDf+L&w0^-YZVMlh3SQ^O(!Q;$Ft!L=fiR5CAw9gtY|AXi_81OL%_LFdJfMv4DiEqgN}@}&jjfFPePpa zkbRP(*%E?FJHMC@vu5s*xO!v8di&%sV~=1b;zwTqbpT1T(7<0VhosA}#JJxX57bpQ ztUnyKzq381YyE?P^vg%uR#7DW+bm`6&v{El_vWvNJPUY*VE$K7&5nmbxA>2d#z6au zsfvV#$KIL2rK?-hctS>V2>rTEq#p4H9G*wJR0QFxuKryj1VRU1Ga!fl{j`Mh>z^0_ zQSUWeGJxEIZ`^54PQU+CvJKy>HRDx!+>>AfCRs0W)+dRWo) z^B)`mUgU#ggYD$511+wnIIpl5Ed#)VW+}7yf!mwHna{7SY;{mP;K6|?!J zXt3B@j-v-EMTK1@DQAjt+en0QsguU7b?LN2^&2M`$VuPl^T*g0hpVD_MlsJ;e9}83 zoS=%c=Nm-LLKLf9KYBYuDi2eB_&^dHWEAi(W+@MDdo(v30og@0OhxK(#-AQ%t}f;N zGjwE{6bo%>r-7r2cXI0z_YltA3p&af|IaHC_EA-H(3IF9b%Ij;l9hK2u2h%|Su*Ry06 zDA#sMJ5&>ye5#;hqrP1?vXQ%szCkLko8 z#yZgkG&Y+PTdvW6@VKrVSpdA*&ue64xX5Y@I53INzKO>tzcIp* zJ*X8}ZDUCNpS_2<2lPt#Z(ZP8Z-i8U1QK@F#<`(-dZGPsE$e?Xqo?3+Bumwc;{NNk zrwbv>XMjexXu4UDhv3wQG7}Bxre<&(3?=r&gz@wmDx%sFTz7H3!r8)KQHS0!X}d0e zC_kV^QRz{YQ8rR$Ns{flZvpPF%C!HwYdN*QgAOa@$Mk$eO^7#W&?WS78)qjoVjVQS z&3msYPf5hpk7*XBP7u1Q@x)NlZ7a3Kc(^v+Pe;7V&P%ztn_(rrq|fHb?RGt*$YofH z74GF>>h+h@On*xee!FWZFqBxp5>cmJFiA&k1wy>^82%{F-Jn@wreU3+d1aB*A5Gs! zOdM?_MpsObHq~paa=Fy9vVXgYBPHulKZ#+fH415`U0r$>$LG2wcZ;EiYZO-gepwjy zQbzc^wdYFUJG$VxmC0|!_!OGIDj;wF=qnpG7})z?@f^+7v#m@ND%wMRb~R!qF*w16 z3p`~ehfA$1iOP)j!c>zJ=Hlw+v+^AzRfqUTd+nVFuj+>OE8e5le;@qS4E(jYSI#tK zuM>Y2HBPK>JDoWezc#IG?79uR>vpm)mjb=|QW|#!kgV8~Rxck|@YrwImkw;(GGu~T zO1ZsKEmdco5?Xl>Wl9t4Lra^NsPXIPYx`f@1ueL#u6QT{X99PQS<{vi1J2B zmCzlsvWAvrRe$iwC^Td#<;l)uEp41!XNE=nX66YzO^2@_8 zbb#{rnK%(v31|+y7=w2d8^1mpQqJsnQ+6;i1og8FPq^wnYm&~xl_iP3;Bbi+<5@zFdr@Oti5rzP^`9zDeC4K-5^~i#$zXi z5<2V^8A|;AM$9!STp{IvVqdtWXrw`efqA!sKQTRB_1`(F1{$qda*EF+>!5qffuQ8 zZYfP8ZSi4?xc;&K?wmPw2_)oA3!=e!xZcoEF?{ETs(=})m{U7J;^>qkjq#~ky9WY8 zxn1mcLO1=#@&VYDn%s0{`aMk~*1+>V84Oag*Vio1Iy)&h@Ciz?iK37{aP2(?oPI4V zFdY%XYWqr!a%?yJ-P3*(n&ss(C~N3c7=y~fs#>7Zo}(f7#R|V_W$eqxEG)4c{gb8^q*w0xgoqFWzDg+$yPMV zKapP1aGr}yyoYWd+cW{Olb)LLtIiN@S2;a4r%`!%)wb^+*nq6t4*IP~Ffcm3x98#I z4l8*ADcC@*Jm2oozGxrNlzWIJRiO{M;y6^9%YDBP^d^uw!LBkMrssbs_a^Je!B^V< z1jOE|^-{42FO~)%TcB0# z$9d;2ebg$%)TPn(!<<@wxSxK@beGs%3k$30hg*DAowJ_uh1*Mu?Bz~VTea-Tn83dd3~(}s3?^y{W9$oO9xO(Eb4p+&Ya978#y!oRz)K%woLQZ? zN*`&~PYasj>L=@t51}t)6MnAvF33*aas3a5B0Rpw?CzRcf97Ua5J38K^OT5-HS`nF zrjMc$>HOcOQ_n1io`Jc%04~h|9G;E;Ehow?`acW<3jh+6^*HE_AaQH&{qH!KtPsF& z2ahIU{C(dkmC3x|fA3@9hwK~+@HxGXJH~`OUZdW>eKK}CW)lh|zGp>?UAWx2gx8R8 zsW@_N4#-d7{m6&BhbV%X&&FNS$d(EJOJZrDzIN4M6edNBdDa+l&5?8U28f>F3FBQp zS9$jmXF&E)wlf~9EuqE8B31$Harr&>N*`>c$VEN5E$E7O&l)w%#=%Z-263>|qq}@c zGZ+HWY@=!;zooqrq$9NqQ~-N6^Pz3;x1^|_RC(W>Jqny=_%9&4344#rja_3{ z-^HH$^A14z>UZRzfFcFO9#ISpbPf;zklr#$2gM*zz;bAU= z@W(Nt)+tN=)lGM)|DN6}K!)T)cU6*i?y;oejHhh|eEQ)mZwGw^0c%q38v~`)RNEO3 z6Jl1zvZxh2rPWo2l-%a$2Ze}h)YRplDu^9;CcG)_)EgB){!*iRO5nZM$mUMUJ-w6>^1AO@VI>~@CJ1G4zi1Qul?aM{!e)(0>tNOzK>lP(Jtsw&;M8O zm&K#HX%WfD%wF$uSi9@7w1o59$Wgik=6?}iGG9GDHP&ko8}xVx_%Q&E(oITyM1fc9 z(wbxk;eqx8p$C`<&v~)ecP{0rD&spb+n@Z73pFGIYPeoES6RSPl8&o`-gQxV0Ox4a z-MN?fgR=2ly9%9JcJ~i)8}-w$0medwQUN=QGf)=Q>jT_6RIJsvpqwXxoIXSxR zpFU@7MM=~Bhl+R|Qf+eNH9c(FCbWA!OxB+Cow0Eq?7qQZe;X7Z@V@}aKsUd8m;>fw z-Bw+gwNw`tuF{3%eYRF?KHTp{mOmZO?Q{F_?={l;``&svyX?l3_ERLevZHHdxqTiy z5~nde`maV zukq5wt8{GoGR>T`L*161)O*WLYx2UqIy`%oG<~5oWuCt0`B-H4?>-Pb3*Vm6g)R2% zuqGGSI%EA=d6etQrylC_&&4Ub?(=_RYje^(x7M|0s{tv!l4fq3tG7OVC(t>9Q8?=J zjKkCHJA4IQD8cFI1>waU`002T*yQuWYwQ}BiT(|HCHgoHwsgVc#*e@V?1lJF5Hyh< z{DGeY_k(vKe*>?v>F`!TbdlJqTsiP(_!<1oW4L{X-{Yqe)i;u8H$EuX^&Iw7m$jF} zVF@7Q4Z}Wx5i>W>bit6=iD(D>-C;HLalh3^fGL;)#$od!@YDHw&@O8q!Fu?vU^_%V ziLMv_4aO8aLZ^AT#Nnd&br8r(y_CaV;&KW7D>`Nun8JJz0Agdx_<_T*`c48*=pXwM z_DLKt7u74rChTU|^uQr>;k>QW>x_|?VsRdwF+nbF%m^f8eR!;c!gmI^xgeu{XX*T z_nNl%2X)^lePmsoXRIUojz2~#eXW3p;MC5&!G4L~9NCz#7h_G#vN>k`g1@wjd)J0* z1w1}v>w@d#_j|t;wzgOkc3r8)i`Hz^`58;JX68nHx+vAXnRLkF!`eF68fkk=%~)U* zyT`M2=J$B4|E=NrXHD}=EwFtBYn`=y+He<~1O*Nd{2;# zc~fCDu?HZK(!Gfgers)jucjXi6XFzhLU4+gaS(jx0sz4c`yKvE`v`JGmPbY;P>+6q z`N(>gON=o=tGt;S9{+gf$DL2jMzJRM4 z1$O$x-UR zZzMlsm!9847j^qcA-8_O{%q@8#`#&A4Eia$srwoVJ)Zww0I*=c2 zE!@`hHuap>Q)le&^pVr*-oCqTx$zcd%51;i8|FjatZIb(>9I4GF84;toJPMevUT;R z5C5bgD~4R62T13Oar*z*I}iA(if#X6M|!i|qTZ|5hGGE(K?M~XAYi9TmyQZbCn2F1 zE1+Nj8@+b|frL&Vw1g_sJ0u|xK(TPwe|^{96E=r~_rCvo@9L8?`ON2>>@$64uivaT z^FJQLWA)EwvvTmP>4gS|l}dv{%80~^FQSY2quXYnYm0>6N$}?+5+WfIA|Vnkf`G#S zjNF`!bIkDuV^<7=VRTQN4$%~7fN3T@idY;7Eq#~UO?e7~8X8=BjBjD~Ikm_MIuS~9 zsxD502+LhS;fyjEMjdAa7-$5w;f#AJ9|10cXcX5l=cY8&^7FOMXO1MC_7`M!FgB(= zlyAZZ3~MnqR^}XL8-*y$s0(~Yu#53X ziTZrPY)PA$C~3*-ByG=LNjrK}=lr;&9m|xo0|zB-b*iMz(%+}eleFclC2jW}O_L#M znVK#=-A)U!@0ptZpr%jRBx!ROOWGu@m-1b`)W>(?M6J`+yJo#t&*3AImbzKe;ucEU z^!aD&qU;k{l6L%$??XENDI2{xVon#Yl(Ze%etu`Y_+#$IVgI2cGCOsT z^qRX>+E3depDf-XYqlSdOzr21tW0wVN;`DeTQjcArK@xvbsbXgrmfywXFu2ZJ)-O6 z+ijATxLndE>sn$RP1dz$>&joxtkq?@es=8k#x_gWGwbK@VL7&Ovz(Z}SPtrXoIh=a zygPS?L?s-MVY4^OnkhJQ&XW^KtK=x}0&Up3UD6i#b&Z?ptv5}RmbAueC+*q%outiM z;1^I{Ufxq{Hpx%vnX>=*0r_&}2&puvvZv%jNLIsB>Y%9P zgl>#lf&n2Q#ySY$o_O~OqraiIJwj7(C^um)!ay7)wQK~foNh)y!G~bbZu3Q8i35bD zcXd)7{zLNq->VI09jZ;-?QhSS?=Cv=uH2nC^+;TU61N<}$I zdJKY~mC&~frRRh^%17Brddd%VAcXGIW^*8S9f}z~1Pb^yxcI;O^cX#?p-yzcChOjM+$?`y*M0wd{Gi zZYt~iTp~Agyi5Ac8z}pa9gs6ekIDCIm3~2UpgrIobRZa^PmWF{C?-SKz&mIXv!@NR z_>!5CCaF8Pg>JiaoW5CF&Dbez7af!CtACPVD~`&NIjM4B$~?)MyFiYmDz1ZH)@F@h z)$a{!X_K4pojU$t33J4LgnbF^XZ~rwrqA%l;h2u+`ke=5__7_+Vfq&79=BDdt=%Jg zbRWV&62}#5ZJsETd1p?+Tjm}7+P7cQR_OkT=hVd>i}~Gu;OzbnY<2rNx1RYQd?r16 zGq;|#t_;QMtQD)}*v$E|dGZ1oIcvSd%-<({;&;o8>8oY;WSy7zg>qc+IGwuK1F{#S zF3M)jP15q?wS2eUXy;1Zr?Ni`wDXAOJ+x|r9GkT_FhZJfyVWg?k-<;Ne6 zOVaK&(q8x3*|$xglu??Df(qUPL(m`}18wDGPn^k#D7?Tx=#~r4Ko^HTJETueVRCm zT-_g_K;vKr=xZ>*C!u`g1XA`W7z$yW1uqCs7#bK8Xg##s%4ZC9Oi=uBBZxCTc7g9W z{4*wodDT5-EXL9p1cSYdL%9A%LL@{&Bt$|agbTj9?V<>NmoKVt;=~Ery?eK$q@>8| z)vIOg+O_sQ694!yHD#S_N?9WtQr61Cbz9|&wdu;k{wN==IVn@u?U6MpsoB4W%O8pV z3vr#swHi}XWb5{=vg^<;S+R44bf3}P4)!v`J>*o*ND$rw8BQb5X#<%a^19J0N1MD2 z<5T!Elg}X=MUJTvzJePXkO^_{82kfrHg0v`)+G!mk@s$p4gA zb54XC_ixOW%{c=?bZzL&(Dj&+BW)$0-(H+m(Dx9~?{Mgs_30Un+>D`o47XCyj?lot zJsc)xIw#U|vmj0%%Il&t;-IjgYz?`w$#QX=dsJg3&-nvgo#RJI|J>l`Om~G*ErzV? z_A39ayi;g^2jq|)+Q3bW+#nLaG2X^<^{&-6uE?BmRHPivXZ4_O>C)-4CO zm~#zt1mDIjR5;Ht7RWm}+z$OF>EXNA?^|z&9=QPTh#VUJE_zC|Im&Q&4m{u1LtFh+ z=LTe!-1dUwhZ&MGehqWT9Z`3fToM^GH+3NA=1`?~}-DGNY z@D9N1`tJh#E_y-AMW)ZXWDTNUeRhbB_qq|Xc++C(-@m`yck6wgp=m*lPidsge`T!7 zZ#aLDj`lsI@g|Lb)mU7kw*N1k{vxd>w37JE@v`IaPT9VHx1?^_Bq=MBC1v^?N%?Y& zqzwI9Qa=4gQihF^l+Q;>$|wH+qb5npyhKS^qqKI@MoHPM`BGCQW$`jenJ~>uPn!7) zlt!$Rl#LrCWxf6m%~-$Q%ftT*mq^OkDPH;s(* zn!}oniX}_eZIKack4XPDr{%ph-^+xx`z1MLgKSD&D;qazTeTmlOP9;0iBo0$uo1HG z>*?~%?2Xbt`FrWUQKZ**BJXb(`AR?IHyx0*ic=fct&$Dv)>vKZwGQgy`t(%S$BaeE(qr5rY4T~jwEuFhd_6T@mMzrvmAq6^ zb(|?bm9;WvvNr~NE?Df<%bMM?+50|!q0aY2FAeo4t@PTJxajQj$uqs@tjl@2K1b;K z_(In@Ykt*gd%kIYqHG>9PL_Wal>NtvPblKx{|n;(6tYks6` zo;pi*txu7S`!>k%6<^6SgDQEp7tjyhXK)pI0-aNQNh&~gC4&<@f zx;!G-OFN;JRXsZkWQoxKpqv&NDebkJ2yu+4;HBqAYn&!R0|$w6V1ex^xZx3f5Pj1= zqJN{`91!YkTEI0h!qPmZ5v*&q{9Iscz!PwYeF6W2 zqa3b_92$8wV+fuu+`7=@&Fo9qW4LidX3U-k>|`De9y@3{F`RPHE#kxqHgKpqV~mqW zP}hn)mT?DbIn)9B9}YQSUlT5{FF=+I_S-yxd%B*G@s{md)^4x@^T1Veh*d1KF=LG} zhl+j75eKTXR=`Hmv;VL|T}clfI&9Q6fYUB|IxrXPWy~03Fxl3I!(P&ZzpQV4j{F^6 z0Bs??Iia$qNKa%>M_Qg?kEGblUWM^u+;A%4`8_@Ek$#E&WXbj=GGhD)sq$nMxjcuy zM;s_nQX}sL7>QGd;%3+zw21spI@a{l8oAMuo3!rKsQbB`Eptk>Ppis^WZfqn*rNO3 zJ+f)rR^1!xyC1JK2HNw9Vi-8&FbqtCetfBT1Pw4eQrZZ1K_ge{|2*e+hgr}I!z_)C z=7IsFho()Lr8Guq378j_#wdLO2f@y9Qx&g$99gc|IZ^SKwt?FTN-ud1UHw)gSPkYF zCTkk#6lIZ~e6AeY!Kc;>9|o?$m%*RGr@^nmx52;J?;F-hDt&=&LMx$-Iz}5m8YY{D zd?72poG25gt&$IyWk`=yksiAJ9^yEVc31#cN6>UPgZ>sndps z1o~ojK+rkIQz|Wj=iz_=cuKJC?Qw6L-2*m0@O@wmhq|I4@c7rN)@pneBe z;Vn5#9bCc&1G;9k3Va0bwB3}24N~XtfO|N*S8P_; z7ch?C1lY}CtMIb$(%>+-3h&LFz#Eeu8<_0di*+o~KMq|u)Y4#cg>4q|2hR*YcX#yN zW@pNclkk((fKXqw+0Rz~7~ETVHk z_mZk>V9%aCa_ZED4`llz1XH#}!tW#aa}o)W5DAeG34fq~a5L1I1fyS+#5mHyP$O(b z=-hW!UlWRk2GYZ9TY6>oTzXF1CH;Bj|Kg>`NEcx@%--pp%~b6^z3P=Zvh0TB2Tm(@S5wfkZWJ>8H`>q>GC3(!|Di zdHCM;ZHa2!Ov}_d>u97NBHt;4I&0MRBF|fE`IHl*<&n2xQ;DkgnnbmHU81^l@yhMp zQ`^(SEC0>564juI=GS(=@~T8t*K(+`RU1fjV!?^8+SI`-H>NU+e8RVv)qY zscoxKN4nP6@pxPF)8^P%Kk8UA7S?`k)9)cNp0ufpjwka~FR&guc9N*>+HRu1CSv?? z=&b4Mw{9mDUVU92s@YPiHEtuV-_~`hee16M>=vVa?W$$6#$1`rTIsmzcrp%ewe#kh z=S^C8^Gkc)*7@Rp)@?0+P1(BAHNkpdtu@zjI{9s5?J%}lS4^9>68l;+>7sM|TD_K1 zxlwzm@LFeisX<$L{ne(@P1_OM>UHVHoYDsBq@Pxo)y5_B~X}W0JKJOq=)1swUd>{EM3KaMj?&BpP9I0m2d#wW zp%8;6KucZVL^H4fM{H-5f`IL9;s0@0BxM%u}=+^|K2ULk}Hb-E(8VGa{^@;ulV0ys_C)+f>%i8A&NK5(dIb91C>a_MDl=cSEwnBK-jp*k zX`~$g;jB>=Yn5>cn_k}?PAPPbQ{X;n%y9|j1q=j#~h5v`cSdtC#J1M~EIFhY;OS?CA&_U2n2-E*{wPiO@+4f;}F z@$Zc`UYZ~lKwllL?bO+$LC_q^2Lq`KT2sfTiPQ-_VMy@AlePw;q_MH+0MyYxYC5TlXu`8oTQ=@b=C2o#lns+RDQtxV287AU4gg!#(JjB z>=|jFj%l>6=h&95B}UhB+gF=NjRtMx3B}oG8h4cX^;%2ER~2U)H=orX%=ob8xDKC}A zqF91fIb$Ap2GYO>*x?4gatUJ-%7t$UDl173?Sw933}bX#u>=KUsG^eFH8?>XY@(gC zgEGMh@F0}$B0Y4Rn<#_n!91Ry$EW@Bb9Q>@Cwv+_+Q4}OP0{DVw!_=(hF|t1W^n3b z2TIb=6XMx>&^GuPctqMjKE{lFOgLvs_$A7x%@_tdB^{UwhA_UtdQd!LWCQM^OoYC} zH^EQQ4{($HPw-|JLO5PK5+WfIA|VnY!B@9k6v6NEMHMz~+$bM>@PX8?|EdFR_~~V&?Cami>oGjoPp3@0H}G=Ob(4 zKNerq_`Jp%uSl&nwdB=zbqNdXxk{2$L}ub(YL5{H;$fEj$k zpAnVUfCoe#3O|XlDmQf?OM}ORznPgj(;O`^el&wbjOMvDLDRtRIei+&u{d#BpD-pZ zukj`CHY<$(Lc`RxQ_ZN<`i=oA4sn|IzS#Rrh8&d55-c}ZR#^0Mi@;8D2+ z6#W)?7-MA5W_0_i=iG{cD*PI0HX$$2=iHcy&JbBB#@EPc%`tm`+}7{NpK(B8T#>cHv*QSZ9O2KM{w$S-R+2hH>&WBv9+&I?biHS+%I#N=`{f|J z)=2qwBbWdGIT3?ZBJTi3uEb7VjZ+|gYdaXDq-4`E`*9VT4TJ;-yzrUd6S66JRT2)?r%4bDAk^=EHB#_y=3KA z*FIOT@RY6zE%R~xWNxX8@?O>XuBD&Vp4Z=WzNz;qT~}^BJr{URyI#<_r_GeXcv2qg zS=(P-+f-Bcl{IzEzwq$m@>uzba{m)A$bC<}B;}u|D$hOswA6gGg4EQtS)Fx7|0svP z(SQ2t)@hZ%bIPX;)X(_PUfNL6PhUpYW@*;=6H>E!WqCE~6{#_#hCDv7g51>QZ=TMI zcOO~;O#w^5Q+p#`;JypuhJMC$D!rWO!QDDV};&mG}?zA68Z?eRN9B#1{i|_0?z#e z^nf^UnvM;;eMFi=k;aMk-B1t_c>=_n;gWd?`ObX*YBaETs-@ z7x)BycY&KRu_0+Qwv9Q?us2~Wac~R`=tIFD4s^glh5mz|U=7$uYNC0P%ZQ}F- zR)e9|cE+RK4R#m;a$97r$WOrnY*oNg^ou;F9Iz0F5(0RR^Ct7cfd}a4Xe$S!hSSHo z!}REv?JHOhieIc7_CnanAV)^0%=jS}wsg!J5)NS82Wy8ri?0OZ71mEDh^S$-x9* zDQS84*b_1?I3MZ%mo>di{ucE&c|zaSnnQFA^r|N{S||=x*LS~?(&|Tj407}kJmsAS z-@qgA%kW6)grgTPDcysXK%0n_t9Z|XSnB8>xX1sb2}ffbO#%an)C=8%4w4@_3B9C! z)CIjHZ(XodBWXx$n5=1BIgXx^p0?0#zir?f{1|*0{26>2{2F{4{2P2682U2w{UxoR zwlgk@(JvN%Kwd2IfYh$^g0zkuDlv0*$$%{$mVU5Hq)*auY5Va+sqalM$NHe- zV?3hbcD+__OS=(?TIcr?Gk1@)==8q4q;0Qq?>+MTqe^QvEwsKmeItUUw4d?%Jw&%R zVQjz%*2yC}hs+am!Eelwww*rItgX1E&z^hnC3*OnYEraZB`NyIGg7v~Gg7&d^2N{T z_`a<9biYzv^H;a|*77Kq^+7$10c(lKTFYJ&x~5o9jMF)5MW2IxwAc22`rP(%-hPJk zHFRCqd{Wn%j{Vb*JS7iQcuwwl>SZbOvZ zCojvZ174L{uPfbAx@EGamwfsdiT`6U6suzs_8C^c{dJ<$ZuX{BedbB2_DluE?B|VN zBZey{694!SoeR2`I(6#EM<0D8TepTk1nrL!OxYF*zmMS0NhCx2mG5$sHP5CG- zIpGgu-{8r#oDzi5F~Vt#TVWO#Z(V%B@)1PC(7E(5^e7@JlNee)M#(5eaRM=i2LuE! zdN)PlNQ&|@cmfdLVeAli+D$ONK!NWt#|U17rxubPgJuL7c3KZY57KL02-RW4gXwX? zK&T31UHHwy#t0&eFySx)6lK^D%)+E&92|N}CW=!WE)fnRphaj5Q;k70V`5CMGJ!Df zD990zqhv>j4Fg)LS1IoVNf^sU9#eVM>sLwsxAIG&CWWN%;{~PAU-L`BocW~SRT}eX z%o`GO=aGWBa(j{g3lxxog$hZ*B1NR&HP?8bJag&t&NW&Xj$aX>6a7Qei!xs+*e&8xvscW{_?kf zNafZ&r1RX}(sRWLdA0v2xuaBh$#eBpa<%@RKcALKfAVQLxwKtcZthDjk*hDuA%Fhc zEmEmftaP5UOY@$Ty6=pUJ0E;Z^5)7ZS6_aKm++i9yC10vsfMtgn_k+!(@xbb1k`CeF` zyS3t{abv;vy!i{r)p_$vPF;Vwb#C()@P2dg{4#a^-NWOQVgLaD^hrcPRC?k!w{G0p z;~9~4!<@4gLf2JxIeyzHi!wD|AuXd2^=aOG+OAyrw0-#sN}jw0B)>i@L?n%y56aL! zWUt}8MEy?vt}V37FVntf=haV_H>c*il0M{ z+wl>FkG|UoED;a}g^dLMN6Ci36dH}9j8oHt0Vi|>twxh-c{edaCxZcn9f~WvsSCju z!ZD>^2;sO*%H6)`!uGW7M!Q1O-`w$LX*;g1othU6w1M>W3jrDeH*g^|fN{`Q+5@fQ zG`Iq-3&`^yKW~OCp~0ocQ4e}Z-=M$HTa<6Xz$vUK4o$eHAA0n0wvLkUA!0no~;v0A4aImX@wYO zfs0Ogj*!2?I~7bg9z1M;z?wyX%!&3k51JkvWv;lLGt^m=v0_h5}mvif!&f_IbFO#d=D2;iupcJm5bnHHT$Mfquex=5%eL4_~LF@zbpiR(?Y}`~D z1uY~k>4Ir^?&u_+hRr^0f!0_ZK236UIlOlCka~Ge8RUgNxxCavxjc6`>)K;<*)PYi z_QGw;mp8XzVZJ=M9n(57J@AxAJ7;4szXJZt(Kv)Va{S4rlqyojbQDXus@Axv|s}QtQ1* z(lhyc=`>@jJl&w3Twmlm!`a-IU*^@xxVbTOJk$HD*A`kzcv#yB#+TwY3+jQNywt@3nCU{yv zBlwz2ah8}@ahJ7MNZV^|vo>g?KEqKhlXBhqqtbo3}s_x#W_9a^-h z%7{<$%8!}( zz`tPBfnp3y&JqfO;8FzAIYVbBvS z6CT0n|KO@dzu;R?8iqPj65y{;K*FP-d_!qTTT#}zQ`3Wi^xy?N5QZ|`Yyqvr=rR;X z2#g2iL$^^JqErK?*nb532oHr*Cx%Sm912?ua6%m^3ABN>f$i{$@PHT{n<4KB&yY7X zoc!^m@vNk0zHIK4UNfFxH40t=hxFj22}Xdw7z%+2@RabVU>3NDp>1f}jMEN-L6Hy% zkq`-yaB&Aywp}E_@A5?z7A#mGHEPt5E0rf<2#A+ndTAtH1kuem@uqtol-dKvC?ER0 zyghZZJXEWdT$Rf=>WZw1|6I)B$1616rm>pFW*S>vDwj0%Wo%BirhJlpB6H(8ax9I= zwBUsfA3tnzHJmI=$AbKl=<*;Z(=w2GA;ZG?j$0>?zoO&8m>yXp{2uZ!3=ECOKv#s3 zpq7C%%9Ty8^mt3!fJ{)!gvWzNgzrJ#gaN13iQEu}IsFdbEd=xRib&)4R3Ht!Et*^b;NozBPC_DgoXJ2O8vc z+_ZuoF{qmh4avKbjZd^&S!ln`4{b5o9nJ|l-sthTorAID)=CUh^R>?B%>j9QnIh@Q zi&F}`A~M1mDKpGTkg>*b!}V|WhS|mkV%UknsT=Qb0bUh-B8TePm@yA8c`{6Nqc~Xx z19~^~mDvZfGG;Y%7|#k%89XGFu=&7dbC|)A#KxF8*1jNrW&MTn*~qNn-H{#Gnq)os z{kQx&W*n@DE|EU5PB6+f`KB+c%wF^QKfE^vsu;uCL3BE1=3wLAla@Io=W99T%15r0 zOA9FNyF{Pes1ajR%K29}QihAHXQKOG^Iy{P61lX6zOU6a-hY`~npa~EqW+&le=#P;^qEl=x^?Mc3m#|b+E_t!rC(?b{G3gw?SDt7ZC3y?$yW{^(S;6!--c?dwjQ&JomuAXfU3NnbiVWBw z(sX#D++V4dTyd4s9+x*z*Cjf~#h!RUnt!>-94YxMR^fTM#Q)vW6N6(wAA@6d*}47r zP2)N9ACNx)Pk$phL(g zjjljdS8^u@4qzz} zIbXJ3&Bb7QsS~=X|6?D4qXP0gY-Ml;$wD1iP&m98vtpPR|%=8R%BAbwU0Lwj2pT-14|}K7h_B7KyO3SO{sw!8>WjAAM2Hyt%=6)wy9xGdEZQyzSBG=1f4cbe)X<{_~+a1kypA8mmW!3(rNZidAwl<$(^rY_U~37eF}~NF{B^Ai|CKdd27YB z-L>C;o{goUxD|}y%5-CJ&U^=c4}Bimo?tw$9M;QOEIk)bU3vbzxH7Y!`~SN#gTJ}w z#O&u@T^94|vyvKXX>6(W>Ymqdigy>94c&EE>YhP2^plGaxK$GR2u5O4fz5$oX^;*n z9lx~6rDpemJ`$ZAJOex;bd$q-I2aU6#!l08aO4F`;UR);P+9_=2H&9j)MtkbKxbTg ziR9CWjUx0Bn^1IP*urr8qR~>YRB1Oj51$-rCjxEdz#OwfA-&IQf?42H=xvuAV!*z@ z^m`6_b*#8x0)CA{5nP}xIQDa(5$(r0khWbYz&lP}JK1=Qne#PuT1K10XjI%BM4hC-TnQd} z4+DoIN;soj0>-_hM`=rXoPRK64VRws-3dV$@#3Tj6NTUtr;>1ia^`KCXZZpid7ls%igG&zsjAJDXIZUz(Fq+(uLVN6_V$#3trAK(qZ6~4WQR?FS ziQ?4+y9fwy!hl&+2HQ^4RYn$te31EPjx6tpX6E>V!7~QQPB@Ps8^N_Ppp`W$6HPl1 z7_Z;A-k47Wz%chV7Cw`T;3nrAIi=v+1?8G%*T}U`6p?FgEGR{C6_6rVYs{xHe;^jn z-wGFzBG+9jMXtY2KQ&%+jXo>v{hlYU#(Ywwpr*SvBux>`N3`_%Nm+R{ZEnrOXTJPl z>e6zpuIr`9pZv)Gh4i`0OMNa6W$-`GbLa8mIqmVw;FGrTEZ8=p)|oF?PRWz=3c2By z+vM3ddP*1Hk+SZ9(Q?;=k4gSKxg^h3mrJ1nS|52Rhkk0?^5xav$b0jxQn_{aY)8s^ z?~awb%T_Qa$aC^$$HG!DPfjVE>k7H$AODbQtz)E1+)n9l}8LMGq0A#I26#aq5u45bL@`=_3#_(gzqkY_PX-RIj3#GNb7@$U)=mbT||+(4jgx>{M=O97?rdzO5>hcxKvr;9$7ooPFbj)rquKsXvq?`}T6PQO+_B&{G^SaheE*1zQ%F zF%H5^%BEkY#0}tv3(N%yR_dk=IM^T@bc#fTg|r7{AdWHA3B5PrIRaKEI1CE$0>V6m zsW^Nh_y%hcY;qzV<C|FH6O8QrGm_Qhe3sv-z9t=XcXz3C3D!%4xozG7B z3soKym^bE+aby0$VH|4_f`_{ulz-;oChXK&Kb7+%KTP{?WqlaK5&kSSy zyg`}s8AelM)p3(j8S)}FN^%zCCgAGYcZF-Z_1Qr$m{Efhv3^N<+$|u5fJzQ&Fg5)C=zj z&xWxm>BHSj2`|N72*YGkwxV29yn;tU0Si`bJh0K`f;KRAl+T=l_k8C78}?Z2Vc=V> zt}y+Lgh+^lNQi_;aKTr%T@=CZ@8i$h~*nDaDHy4_ihg{tw4{ zH5SwOKnZ!^`3I!bTczZ_p7+ULyZlwIY<{KjBJeu!RLH{Mlg!W&xukDYh+GFlLu8@o zP>`FV+rronqdt@SAjd+EsS(){`WEyw$l^Hk3wbQYm)vX*Uxy5h8z}e<1AodfLq?1N zeSXs9w|pwEg#3y_yf_q$a&f*x4hgSj{FL&Q9PoxN2uDeb7dadi$3Sk1fR91fh5U{K zJULJXgMK1B42Ry?p=Q+I&~xykd<+)pHwW)=2;REA>#R@c3z0cet{IhT|B=UWTUe;< zlml#V{-Z6Vhi^jmiL)W{$j|`44BuxmPaN~O)r+~%Iv6ALmGH;3hkz5J-2g`0k=HR7 z8F)|lL1gF{ zCbK?*{2($##t@zo17+5jIi~0uW6a>2(NEHE_*uqp+PY~bCouT}Yk+>$^lm}xG_jMd zAsk<5&$h$ctWIRT%mogotP92)r(Rpnx;~Ispo?VAap*xNY-<-8FY6th0b`~-F^0uB zr!rOq#?Efk!~hnanLHTW-lg#hotH~4m%kVPyFAkN5qaXnC!|=PVv_HTeBtHUF5+lO zBn`4w`bng0t>>y1SIM8d{6&iOx<^X2FCnF>6_XN0ZC0e!#k zkrKDxDJAYutiA6(?|JFc-f!p81O2_|T~gwv05pk>-~t=E-#)6G)iZ?{N^ zTW*sQcipY^YMqor8GMr7wVk$w!HWB}ykd9UCifJ*LmqwhMQQTk1nHTSCEXGaN}ZUG zq)hn=hDH1i*4%%eV$)r>%YDV~l_#p#lO}^FnFGiB`U-uxOQc__$XjDq%5%*-$pfWI zdpLDBdza#JU$MLG|Ki2(m#U55(syUIIa2ajwQ*Z}e)pZX%6<3iSkiXae%gJP&Kcvz zm@!9;H+^tp{hy4*iGlGfrEPTW>{F^V^D-k{j+SA%DH&KDqU_yXD?n@05~SUP<>Z&<@&g3w_cxN160D`?)^z z%eD3d>*9aPp}i%vO}A^_e`xs+-6f?O6_=8+CFCEmw@RKj^V&O&zKh$9pr7EQIf6qo zkX8CL3_1kO#eoew6dVS)trFU1vf;|+Y@qD=oNL~WCuM%hX)-+ z=ZN0P=qB|-OQ_q~R-k{uAs?Efk#sm?igCS2N9K$ZfO{#5g1?u>F%5%lul_zqf(s7NR}Ax~vi?NeLumWmL3|lS(cRP9U#5c9V|f zk6!!fhEZ0_#X&lcTp7g$DoM*nmwdywTNqo5v;`}NAu-mclQ^)a3>8nPkt&l!!yLd# zdw(+{yXMNj%axCukaAl1XThGpjh+PpZ-JA*yXZ^nuU0$yIYH+@IIM+&QVdUqZ=}Av z<85W4NKn$1ez}QQjlP?IuGBQlc8UIvjegVcGpa2n<8m@8>T41s9fF-Yw;^BNwC75-D_P_=PhjCDbFcnY zHL4ATD8XtS3giv;vm%xy+f`j(N+N)rmNJ^p)$=@RS>N0v6)yN(h}sD62&IVvh}wwm z_7<8C-Vxryjy=Qy69k%*BCn>7_ZxM;HWw93V%{s3kV&aD4NkKkIFL{l8!mdblZ8ht zGI})mGZD*ZYe&qNfc!W2-hGxrZU@s5t3(hdhENOL=^T`kH%6y^y?-VqvOr~*vlj}22M>y@Rgg}zaQQNM>Gj>kKr7#sz{?q_p%le?9!0al( z;=b20{xD%w5fi^Vo;ME^F~vNldgFs2FvYb~A>I$PN`w(HOH%1YoB(Sxn;t4ergMMp z^SKu|)?zhvv0}ikfb1ZV;3u_dvyoA>+s>dr7B-I;%nTnN;7f78Dp;K<2svUH)j0&F zKNVSt#Ltm4C`eN48TI`=9@tt-J_87QbI=D{W$>fKgJm#E-cE^(9^^fPrE9A2IXo1R zc&T<{Q9goDN^k%LI;R^@0o}i^0LcR7kwKH4|0?ev#bgb!{0fdvynQ1AMh^TQ{~U0^ zdnPn|mV7Ms_R%c0m#Guf(z%nim(S2n25&c{o~W57Q%xV+lpEG=Zqk|kbk}D8-$?E~ z5pH)H|LR-Tt5Cv@y%-A8!%XitqLH*-C&(ddtuCM1s#7c4Qi7{qS?xqs157@!GP*QS zSRu`nXT4f!myg590(d;&C$Qg3zL0fNK2)`bO=&2t?;hExbjAf7TzXvpq14k5R_bwF zsoN-9U~ZQ!>AhBNvmf8nEW@)d!^PVGY3`bx`h>3@4$zvGf5)r0eHPm^(tDyrd+FQI zu6~r=g_y`dtOfm$_LqAwt`qo>hTk(DE&kp%H$5$5ffDH|F&P8n>fAQ7Jk$3P4%5}B zGDA^SP0dsGE;_-~YVH>SbjX~9|31YoWN2L^@ja-5!WFijtwtf)!9c!GY!`1!!*B`x zRbyPa!NG84Jxw2q>d|A8mHg)q23)?Excpu^-=9&?oTn~y&OlfAByJm?MTrsO5?YWb z{Z7Rl=m~$JoZ`}5%IB8(8(NvF6QiMh9>I!_0OMTA_RzGQ5Eg++ap+^;<3<>Qw6j;~ zNnh?Vc!jzmxZF*T#~GJ8j$Rf;=9*Jlu}3(7cLZex2l)vv6R6C}lPDF6WsUH~gT1vS zmpURIHBJ`QIS^8ChS2fkz7=Mf;J*Uta9F4s4SFn2`$vuSbWkBgA1};tyHQ){=O19B zKKt3D1shW^)D+B8#?H)D6>Th49zv7O3{^xzlj8?}x`&wS_hw(rax0npp2z=_Ze^~w z(F%`>Nd7l9>xEXGy3!1f+TTFD{&K)mRaNz3R$j&zGrA~ro8heLP+)DKCI7Hrt=M>0 z_LIoNPHbYlqTqHAHxdp3`%!kCE?5XtA57r zUn3OZ;oDsNMiO?dw}{PRZf`W{ z?QxX5bp(V?QlqCTSFrN( z=3{{E7eS`q9X<;so1>&52@edaj=se)oqWwoSQP>5AK6W#z;X+=VEPH* zxUw?I*@UZ7G`p2jZl>l}l^0>;T&Y?JC|LbNS|SC91xAhg;YRp`1Z*o5oKEJ2Ws&4^ zS`TY2rbaQ6CiQzZJcH=Bln6%5LSk0i>+b}_BwMeytWCe;uUilG;Fz_qI1Sdj(Af90flCRSc@ai0ejyovb>@i(o~y`41Q1d{+r;qhs^;lSjLj)d3=FG zohxQXF82FM!lLUi1dADD(UD%4;HrB68KASDkE5JM2g&q6Yd=m!<<}RbDt{ZHx}d-F zr!01#)!A(BE~@DFaC9CMN>M5QI5i+hC&T&>60qv%%|@}lA{;+YKc{Ya((ZLIe@*Nd zD8f-lk>w8hQOj0urylb$Fm6xWt9GDLPlm=HLh*(&QL(X(jQ0}aA)9g$GASF=i_IOv z@{SHtyG~6$tWMow>XJOdGE;swBh9HiE1!|uUOTXd1!Q?nhE4RWpy1_Vn0kdiHQ7Nx z;=*@g)tCyK&ut55z8WtfdQ;_{BK^Anuzg0Kgl#IS@-!@|m7Fe_c5x6TuZ*UqX1+O zZ_sQ)-N{d@ZBQlon&-xJrCvKUZHaRgXQ2&|sz%jNuA(dkcU`}@ZrN{A-Z`~4M3+#^ zwFS7B%wITq|Ikl-|9R#ERohuI#PQ!JpTEvrQaj=;6E~&uSITP=ap%zUVByZTm}76< z;*p-|t(ho9=Rk$(=7ZHd0jy~`fSFPN*bX|dbBfj_oNp?q!&K)Hw+b|*h?15Qwj;i` zNc!;y*VXQJb{?Z-UoRPBO_IeZ#a8T?D}p^!uAi3H=T*yCtiR+_hO>2wx%|1ubeTxD zpmC)58<4pW4DKGK|u&A2GD_((&2)(Ldj}l$JCwg)Wj_|1~Fl%KX0@3KR-u*%d)1;C*aKDdkfeNi7Oy0cTQ#lgnlbxdv-c$|$jD zQSdU_u=Flwd~%=Sme8Ubm-D;EznC5uqG#>6wn_;E-Pb&*PPpc9F13@zG%<$e1? zP1U!ugvD>Jm^~NZ=bNB~a>}G}F$lp6>*)fKG3p&Qw35M@~a$nG-4in*3 zysmJ^l1@L%C595irC2&GXg!AGzUE615*;Rj3gWW^M(Hr2r3BJNx=##S~Ow|8|u8j8m8*@h0(CWFf;91jD)+bKcIGQ~abpbC~kF7FYemTC# zVzei`eSIT+GGrjz9qm9PZ|w9Hs=TR0(%c1gmBZ`PBZ*^eS(^tzGiUo)DV|-$?!D}4 znh@(dB93}`+UT|xpYpSn%S7wSz}hLDJbhx7YenZI{FNWdWPWiC`$qA5x|Y}@n&Emt(6A}&c`_TGuJ^0R`r#Tvk$7b7RU z?PuA__IJZlmgZC0#_2KAap4x-J{}{f`$;yAe~6SFVJI@7Bnm@TX@n8xFy-;NQ& zGQELoq{nu@eJDk(!pw$V-qMs-VMu$>ZI=0w=?`TyybCi*gNt?;WGCj*(sKV7 zhtsAzQB@!*pjn{km%uIm(&c6oi+;v~!PR+!f_EsTY53|%b_{TRJ*{LXP-{}e8_#bG zfu0#yVKUko5A)*~?}Ybx408WOS-vdy135LL@>CQhobSd6ptUra*h5GSZ6)ZRU0 zn_lO5+y5$RlgPO`0F1x__FE-Ncbxn!%{?_qXGaW+6ehXsQv#yKP7m|S(fbDXxkv#~ zr(g{uLB)LQuHJ`Oc#S6&?1`l-a6ddDZ~osiBP{j2p{sIe#i+%aNAEwEmCkRpc&_dn zclH*j91hX|BX57>3xI?7+7rhJ0ZB zLIdwf18lwlc-BA(FrvUmNS6R*XT1Gf?B9)84r_;RU0$UzVyM#xJ%LXL-S;CHFUMcl99s}VFGamA0$ROrL+=MujWclKj~NU zw5{j;2i?-kowd+#HT{Fjig}~AB_*H-FsZEaQDOv@dQWqX(vioy*N|gPbua?G37b3c zvpm;+V7P^rZdL#xxwnzb;Iw}|qt+YP`eo1l{jRL<;8`o*m3sL8;|{*H(%sPk{5+=Y zqDHSdH)D0j(N%>V??XOaS>3pR^BgvS zJ>>2SR;3T^anbsoO&j_Ly`uzkx&38x4?+RxE1xK{uyKj@5eE6--@&2-#ER{BWTyb) zd!)Sv$2V&VkxTT^FXlB3Bkt>MILeQ{L?xDhhVpP?h|1~xNpX8Ie|4<(BdY{XrTiN# zFh-kMUqDX+WPj!oK*=(?IYeZ?M@n-H;iEtuf~Yq;xb~N( z^P#pHGITI@YG9V|_)lU#4-g^E;}mxH+GMdqivw@+u6Kut2M6p#PfUvGxDbRW81fz8 zGe7L9rGcf9rSYYyrP-y$xdYRp^`iTkb5*J$jgOL9LdM;iIovty3vD&WsThMzGdrzY zKbv*eONTojN(ql-vsE$BuEow4`sjEpe^1)Urq3pv+VZA0&EU){P4Y>$;_NMvMec3> zSAR%k-u$TJRj*_SW=Aw!MC2}=USPj=WD-{XI_p|BLTX%wienrik#vLn4W4f~~TlBjU8C%&SQ8+Z9e{ppw1O!z;&qk`%3vr_gWV z7>f&xe8;ty@5`qta|%TYSiqBidie8Mr`J-6>u*1daE`u!gx6IE18dncXGnR=rw5Nc zf@Vzx?9=P^7HGCDqxEJb>549f(#?qfNc?Wvq@f&Hkj<%q?VXlu?B8eq(WH1?qYF-n zc|{y&gR3iGjF*n<{8&U*2Fc>vo@b(f;DeNUe<%=Oa(pyH4siF+*mb&`)8{0>^+XkIF=hSTRqf39i7GhlUZlwV`gyp&gK| z3N%}tF@QW@>Yr-9Iy8HQ9zPkZCxtu?jG7=$M$y@F){`B!h0V`o_hWNX@BfylX%94Z zF9t!<{DzW53tE>1eN^l#>Pfj+O>8^r@qJnOiaP%Q!i<*iQX^~ZY&&=ej0d(VziL16 zB>~Fybq?L;HCP54?ow~X99R0?b4eWt46F2Fd$q&k0bF_83*JNMLi|MQc4qUNFwbae;4;n{#7tu~q zJ=kPo8vmG$hc3xpOnW_*@s3jJLQy|kWOHu2EYvU(_|OfHjB8q)ND6ko0GBNZAH1a4 zW&k9b+L1;j_F&<8+O{Y&u5;YI+S7hN1OCjp`Y|e@@#kj$ptoR>6Iw#b*pA#!3nWe% zes6NL*!j7qK+do;g*2R8Sz%MadXOS5-)k6|Oi4*8jkvJDg*e6?N)X&-{%_!t!teVd zQ>Dx+azcE4*9Ts&XevnvOugOkv&JV7tMbcyP&0iZi7Wkc0{j zzK*mSjSD{D%fgQ_bIGDl)QNpNVJ-x;G;O?nqC^(dkY%ZUMc$GCGfQ`6khR@@tijcw zi*!hTPR7hAhkuNWmD%KU)HLXr#F*~>?pMxld*a^?q_iD@SCK{j z=Sc&6nH0FI4j}@RjHdkq2*QB*3aZ{}BhIxR9oF@fEW9fK2B_$sBG5o3Bv!52&xT?T#snoR_v?Wj;R zLzPjE-BlU~)2}sinp6c=QZl)HKew2qn21`Hsr_xbaRb{K=^IStb;ef(xL>sJJlyK6 z-KyQC3VkZzquGMf@r45A*0Yc(bi9X<5!kYD;Eqw5IiQDtGWECsV{)Akd4HDZ=gX%P zy>q~Ndaj{Koi3xE)7)~xb%5S&F$82j^mE2c_{x2iK(y0nNN^BHX!Yh!jH9$pqOZ?< zr~M97fg^*l3HoXAeW|pQt}0{vnKdc`g(E4ER{fH@GmMmJGosWdCOfNNK~1hQ@f$<) zb6pFP+^WfuSKF9|P^eOT2~O?QT!8@J@L&F3`A*)M9{zsYQ- zwqI(J^BgGf9-Qxp4Dc$)3GQp1d8#J3LI77LfIUyn$O|^xSuRt;)*fcK@OSO~zL{02^yoS_r4}}R{?^S*A=p(7 z)WsyH5ALZE2_*4wPnAp2QH27saBNvp<$PuW`Qxc!Ygv7TK*ii|t|Y%dMfyE2Hy2V! zW1H=G+Wp*$ejVzW`3As4k#!cjz%qf&==a*~FY<%t^56|aarZx1({r8^AaJwRAhBIi z89&OELNvrxofR!6T(<%vE0fNK3YJpi6f6NBYnLQ!0GuypvZJpvGG%qF-SB>7^~T*R1Eq#ghd*FqeXh6MEV(ux{qu)FhK} z?1(OUU@K`A@xG!5V$2&yEwR9S>p?`LfX+%|E}CL53t~A8ILSU~(>k)l5;BvGw{)1^ z7<;-!3VE6inAfXCJpk!uFUn9nn*Z5R$Wg0q@;wr7_+&>3A(n)Ah&3e`O?lE1K|F#O zCCIdrE5u|fzK?Qly=p&7EB1vA1&ECrxk!A&eoaD%^DG9v8Ft%aIk9noI7rV~TRknZ zS{~*SODyy%YKQi0u73blwp`6B4N3TXPdR7o8hk?DyR%%X%L{t;%30h+quX{NAl%Z$F&b&Cc2qUi zBc%F5%9eYdo)oV$^qdWh6lwJeAoeQQX>SxS=_9#vW!MHg*nr#BS;HQ@G1Y`K&oo(F z#Mz?*t!=S}+e+;W)OM_mXNmPwY$>zU$M7Yfv_r8Ry63gDjv}L`#=p9oZ>}wp|S6SMn+q;pk zVZ4kl?mf+2=!O^QykGe}y&?4ji0b6ZP*(Yc^fhY$V4q%21H!(vjnPzdT4o-S9m@BT zPv1-Er@2#fPIoFMtdd+3H5y|doT+32OGw+k$-586#kj?un<=P3lmh&wS`uME;zpWd z=Cw~0S?MRRuPR#)c6Om6(zR$L)N+YB&chtvZZBWUp#CVf_n_7ZqPw*h3yY(rWVwm` z8$%i#{j5n8qXhH{+b6P-T5~uAZ{b71(Ro-s_=MccjZ0+gcw&}(9XhKm!KEYH3SWv& zSC+yB<+mJxy)Bg3n=R)4W`h?B4TbLCTwM+)xgYz9DzzLgi-;Z`^rr|UQ>29T2gI>k zWImM{Ontr6MbPzRgRys@Mrn&q(lcoCiAMP_^5>SSzWmmE$06HAzE6sG{d+*anLW7E z5Z_s;y!7eU8Rgq#F?6hPj z`;5NkOEg!hsQVqnj!(qucJAj!M(Tc)=L-%YEo!Gj^iGYP}%;lwZVw@ngx5)wVK13p!KobPPCi# zUnOpf#>})tP41719c7B7(q`Hw$|jBV(>UA?E2VD~>vLXE zw%(FU%pII)%BZQ$@E(8P7}-A$?O(fx+PSfKLuGIc&W=bI+$VAD59KU6#jT~7?bAD& zE;r+a8D>2>Wv`5@14vZgA73I11&_fff^hXYcJ#?dPVEVy=JW8k-DtY?!LwF3>$vg; z#MS;kiQ}4czaD9^g7vKMDG!oltC21`Z>IJbIp19;!kMbMr%ntK*!rOaonUV{Y{^q@oXvQO(hej<)YLv z#iO^me(|xjQhk`*X;Do(8l~^-i#@L$K8`W_#dIdOZav!T(Tyr zl#x${^T?m}8jT5?5zDu3F~~b>cT0!V&w2$C_vX|&RgyoH4*28+W+wQD({Pb=nDxuy zQE|u^xBAxgl{wPM`)s4cmaMrl-^x2eDowC_k*~Wc45|1ALf;zE`;vEuVYWa>8vGsOPFObrl>eu}X02<-cC_nN>?=wyNblgpie%6?> zA^PMiUk+(k6<3&2uCgL=1fZk;wbUi;c&cte^>9-Ehxkh!kC>u^`G=tkv2mEbkR5fW z&9eidaJ{iDiL36n<0?H8h?=tV6N0UM&QjlwvSS-KXEvl}TCG(%W+5)B-;EV4YCGQ& z#^3^teyI&RECld~$j(ABw~6Mw7f+0^2I}{;C8hn(JXchQ?&tSSiuivfiUgC&aB4o? zATk%moP5)QMd!SC_*);;>8jqMlKv8rXBRP#6p{PhNBRQ#|M>Uu>_>)nWTsM2S1I+s z9FkM!dp9%RGtrQ#U*6b4VVynT9PXZN!Zj(#cBFEs*q-bSEi zZP14}W|)aRdjIdGSq{?qPi+0|20!RbX3M}-b8F`L%9#;E(bw3>9EFYD`=WiBfW&iQ zmfdUpXjtU<^s!LW@wXld;(6aHr)k~5GWfs)7AiZ^)d1NBp3?c}pCywVY$ z!*UwZ%V%W*It-Y|$F6qF-pA@_9$I8yE%taz?h!m&-!tyfOXcaC#ndHmmcOeyogtvR z`(e6<2jv;z8RUwU;QSXFkO;f19|5kBhc)La;G+A8 z_D)i$QW^PB1y4&;c=n7ikUT`W=`HA}lVH_o&symUJNa|Qvg;TfY(^8!6PSS{oVGRdjK4))^N9B!|pG|uPdIA|@7&+^I9QvC6+S3NM z7u)xmG46C8#@*F`gSB&xX2t#u_?S!AakVwy(w=k}bY%}tlK4+}GZ1+>oD9#n>8Vkg z<|v){mXbl*dbL2ieSip4nzyf7m|Je5sL0fv+n2vkiklW&T3Plz03*~KK9$nsTiq5I zU&$Hqth^yeb^~F7E51(QCkGFmKj75vdhudM1WO+Q!2OA%!8GO7SCnkO$hQQecd51qiewn=l%ENIpU*GgwZlM1IJ8(q|AJqS~ze>gL@gE zF-|AWgf7Wok_rfiYfVm-lFykT{h$3F~9MREN8{cVqFj2$=CUwdt1oP z5}$j~iL_1J)J9ide49lIoNg#~wT*E-h*1q%4~+9nrj6hF1Km(btCo1+Vug*k4@feg zcRZxtZoKdR#EzbA6>#*orb)pt!lxM?dd!A?34N?cUPk?L+qiNxEL`oQnrw>x+Mo&M z(c^#>4km=4IoDe+vR-7lybbGdPO1}3Q7@hw(z_o-^uU*`M1F<^mfYRXYS_# zswT1U_L0-6%77+GCz|HdGVgYiX8nLZ5DQ*3ose=r-gPqn6%uVeN?^;t+PiFvRVF0M zD%UP9rt!R=z?040rEpcKVV86~&n$goxs7;$T)ho+U@4p_tx>5v?yk~_#Kv;w%Y7cA zG>iU+U;o_p@32|XlB_#F69I0b+2~s!8j2?AFu01PW_1PU5mOYtNl}$I0pCN!xyB=3 zjHB9(JHSsuqw$_Z;%wrWuXgCYt}R`sg!3uo1yw1gMx4C1vTz7Fdak6$2KELFyHQhM z;i;3h<-hkeU=$_3c9*?=jxXQ@a$4atm(#%U0jq$eZ*#_vi!(68X7NqcG%RG$MyuNX zH~x1@W)fmCXVBXTQjHOJ)VL?1w=q|4U9|HA1+~d+`o{m>)>Im4ML6`IQQ3R#k5uAm-a`CcGLz{|LHgeS!)hJc_g6Z2RayTBu_zSfOkA;r`fDJNch6xy`W% z&TFuYz>^VWGlI6sKFbRqRo)RZjk8krkZS;_}_+)gGQ>lRxbv*5N^#~pMiRs*vDrYM;W4&D@1;GCOr$G9Z_4ek~ZQ8?+PIm4B|5K8^h@3DPXqG*RUhvxnD);ZU zP>rmV!s<*b2AbnqA}Ssff{123vae!<%U;RR?4WA-9}$bp^9J)mLqQ&*YpI5EJ<cWfRSj>=O!zQ+%H}9M{+`l%c_2>2cNCrRm z!pd^EyVb!r(DdCpa9Yr+G4o%o7TC&46_uTYa)3O$wD%o}d$B;^AQu$M&)v4$$hIf9{G_}f6N1$iMcP=S zC8HcyZ1qlhI0Qt1n?B_~el!>WE&ZY0F4i)l5JB!##RR>A>K;nTLO+l%(Ja5_hcHVOE&diX zW|O+1WX*w2cX#r8HfgO$;3}|%q&>SZK9i!*URCLH_hP+Z^HL|Y|JT`ZA6&BB&H7(R z9MAsJ&sm;`CC|(Jr#&%rDFfp@$n2t4Bt58lLxlpi1^G}jg4|W z-kb{Ye7~)jW8^lez-#YjE=_}ycHXMR&h?l-_o2T&tqMeO#xq%2L^#Z}_F*I)dm4m| zhv!wo9TGCwe?1A$xQG?b6Xl1Au#)=hmqIB40Q>V&VLYr0!nGt8dHG2=N$WGb09X6C zKGWs(*q^Tr-%Cd=HZIdLez5fJ{p`wx417NQxr>;CD#y}In~-4n0Z1~T1?c<}XEvYh zvGK_i;6y>yG)rxAoXIywq=F2*nE)~_x5g^E{ls0Q_QhLpEqD@5z+*}{;Lh3H(rqKz zseM6T^wY4?DyUC_UvAx$%F+Tj8u5wyJHQ8s0P!L|jEZ$$xS)$pn9Jke&$ za7s|b%5N-7TclbMqkCv}*aa+y4bF6DxAA>UCzb}ZMI9{{YI{jRqDy>rxMfay1TX9X zUkh^1yBF+-X==aAYf;Ku=1lxYfcJ8m0aTy?%!IfXYJ?x+WPg)a1D5#tKYFZUIS-0= z28DQ!7*n?eak~Q!hEm-g(F0T;49JnFNlG^}$T#?DmYW7ZpBL8WNvZW1K#%FN#JL$3 zKcjJSG}>ulY=CR6)ts?84~r|@MGYqN%cl(dtBgKri_?ub=jMqy_1C!5-lTKuqe)R2 zHgr>qdL?Yx{hPA-^8p81v?Ax_-bYMnTq!SfD0(>V1@yzrh-PAwRpo_fl=!Q!`^PqR zA|(ioMIm(&CnHAcl~t>C8xwVu4I`7?mBYcUaf?EHw^kfHrhRmCQ3aJq8D9%2VJcHg zh9_~h3UTVHpte86Czg(EFsq=xxyED0TvVwF4{jns2$BJ2yP?mj2F=|A^6A>7Om^Rr z#kSmexfk(>6X3)$CwFuu?L8y9P5D*{F)BuabuGL~c2~U2cDt6U2DSgxNy&LtO`?gL4r_cdF&qB!)e^xziDMR>j+InMr6s3cX~VEFA7@`b*b+}04PNpt9YbV#yNUj2|J;J^M#eYL;-Y|Oel$2BYOF;eEuxi{e%E)B;`7w-L z5QJMAzTCv(Kv=tb8E#C~z@o=lD!R==XCHoQySiCX)X}7W)TdkRbNpVv#~=&P!lMC=NRfl z@2)@`n#py2XuCxWDgOxQ#M)x$J{{G~ymrC#93dmo!cxbw{h=ES&x8y%AnBtT2<|Ou z8|Tr+cTKQ@AR4FKkvzvyV_R_%c5A%HQo+U?M9fAhsz!vKpbkJI+o}Gv*5~(r@JN>i z{k#+GYoeSnw5vViNNS}ajkoA3eVRzyBqHtdQ<4ht9?$oN~*K^J1He9zk5)IrK8gqvz~`$jBkBsn>+;DH%GzhgO( zuKmvSt>TDwLfwGG%RlcJq7%!6f@|h-lMEU43{+MN#F%V;X1?>*Bcn{dL6pu{8HjM3 zF#J@GJhdGGb8Q%lIOh;06FMJ*I5bR%Oo zqfdqHnCD$Nu*sex zo_Rw#I&Mm6N_2tigiO)YYn;Cjx~NaJ0eC%Ej7BIn0}Uu#Cm z4cF;{VTk#UIdND&!TrTa&6cvL&%9;Hb#k-dH3^Rq!#D;LHo&ufupTqQ16DIlyG58eggnG%9mdwDq38C|$2+=}_y2`gaYA*;LtK6r81IeV$HF zjTJ8GHF*>)>PAuV+LOMpY)qE)vhu7XhFbp=|I7s+{-z68wmcmd%H%hFXj;9prR3b zIy>2W;gPV`HDautO`kIDQcE@6k8v7RI$NoeF0;A`Dd1H4+3^k`J1PMA0#_`;H^Iq* ze#uzSMw4FP-3BYkdm`CaYnE7Z{YM3C)6H>4sH( zKZ51`1s*~fb7|rMjv-TiY6bOJkwkUezvJTkq028J85qCM#uvXhYbLoXfZ6KxAr2FF({ zmWDRD^;x~(E?wmJ#g6g(nlGY$7T6oRz7*t;oI+wN zZcqRTka=6OU^C35koJt0m)Lu{JJrMb1bKx;O~X^YIHn@Kt26&^+-XwxOz)kvx1QEj zq(3d5QQ&waw)->O|D=b3mTBs{fiCoQoxF*nw>mJHzRm+lE@DL)rl}7t!

    &?^bwG zRnE%#_1fscdK^3c!cZIXKk0baaYHy&FO}6QUuq~yAKOHkvNtHYFU8dFwcVYo#xzP< z_SG|2d!JkDH<)E9|BMx`Y5*Y2;w}~=HcdT_^-GnyBTD~X` zLabcYJI=2C2|w`%-l@pi=`h_G2g|Vj7t?e2l`j|m?87H>jqmbIqKXU{MYHdD+!|Dm zr$(1ho$%kOakT4fXtRB}0azYU3N51!&ZA7rmxOQ_GK7+_P??Z z|HOtx*}l07$)!3Bi~a|nUp31_V$Jy66RYPLc(&k{ZIioI35SXT$ml|EUU_|bTw&#T zHQmCuk*OA%OZob#)#!Py#WOjAM3TfOj6)^$e0iY8C7jMVZCts){qg46dxdVC9?ev1 z6#0S9JA+6^_Ewh9>BLPc8b4pXFlvrdm_q3_RFT_@#%`sbwjOYsq-=q+n8jI?@|!(V zw)AdyQ6KV6oEgW6GSncqQ*x+3K2@;7y}zB`=bKa^vklBwbsH~ke@x1k7Fm%(J?ci? z#J?PGZ~YzrzUFw6tRQNe?>GUe6Oyv)Gt?a@86V{>$X1vd?R73&NZcxacoQ0k?tL2* z$S>dpN_dW*HKaI6U8}{!9ie>f{&!L#vq{N(;aczdr{hCDprCf}{2 zB7rX56gmbR9lr~REVR0@C643Y{$VjWJ#wv?i7}4f*fiqgKOjCm{xa4wFT{ImHn@ZK z8sFR~6Lu!&9yrzR;i`3P{{ZJ6G@D`z50IZ7q{gI+0C_O zymumD))GQ<@HXsoIDd&urr2{QrV*4LVHb=LnEjmAMEfaiuSLM|!6*s0XsxpTY@c4p z-Fj+g|L*I9K`67stGe97*!&@oOgm(tGSyU}n&4BmHr-7F53b)sbnmT2;c`C^y^Ujh zqISnO7$vsv6T5si63&CYEy^Xf#LaGN0`zwCH z>pv8&@`tca-2UdE6Wo5h6KTHnsI23N>I3hQvB!9VTaN&^BGOrvi@oJ@&-8+)=n8m6UC3X1z8 zh;Rmlc2Fr=**@a!W{#pQI2#lm7-*qERq4^ECYY?j*9XJRV4p7C1gG(4 zwTaED7k{5Tgb|up4w|(@bFl#9RKh2=uONM9Z2$0D9VYwL7xmD})G|>h%}!F3SQDNR zHmO1D@|bWxtS#i{GYv#0y=I7#93E6?&^A%&SiXP_J99nZtflN1ek+1#(mKZ&_T8w? zF>7YpX0T%fu{lWe<;wFw5eC0b8Alj;I^wlMOUMUj^&dd_8YksbEk%g)l}^;{Ps#ve zK7;Ew5?c?)=CLo*^f(~b+XjB+9iwtyVPm&oY;!V`YJa=*^cGK^g7c8IIp%>GYHVJ%%rYm3q`%MZ$_}Nqvw3R9$o! zD(G`t{+lq$!(7mhv`!!o5(kAO%~e@_WvIjR8=K9jM~pZfu;(dCWqzX~EtvY&=Z`F_ zDS7STQWZ2gEO7Ppzp%Ur%AI!=$?DX<5z1)X)=g2T!=>>f?d1#c3!XEA!?%Y<@S+3q zmGRtbc#jKA5@-%Z@~WwjFE#TiVVw`sPxL%K6vX`T+~WDCMNP>#^T-JAXECSO?9(8T zF_9~;hd+t->ILMZ2iB_5M%dZR+~rB|yV%BHT{v+f^Uj~W zvwO?j*t|I(-~7QT!5s<9<$PG#c_f6s?v$18MOuHs#uortX7?^rdHYZUl;nqTVnR!a zVM><8EH;0ID9Fv{@J(Qh!F{c<_aye--Fdwd{N@U@_ARiDPQB-N>X!M351F9UD!S87 z&Py;>uTmT-LjhDq2&x!)=Q8Ytl)wS34voHPq+m&RAnE*=e=JF%6xQ!c&^uo0m?T6q zOgFMo34Jpg ze>lkFnnWYZ*o}01rjc>3o#V)MfiG)Ff>A;pH0kO9_X}}dkQ=>BH^r+?oi*=`*y58A zEVk7iEa_UPw?bQ#U#!nB^fRc*Ms%Z+h?>#X_|@>XJ#5o;NBviz{Btk|$lpbs!bhr~ zXknu7*Mt2zn*W8caSnesrShd0A!1pTlJ(+CH({qvriLquujuK8|0L56cAD_Ly;v{H zyzCd?E|j!HjLxjMU))Wp3O*&=W3U{^=w%7P`tmPJ+C~G7ZBNO{0>RtD?I&jAJs9@Y z?JlKYbc8h|Wl%3TIJTqfGT<}5f8qOwP4Diqj%mNfREY}sbB`=wkwZn_nG*)2C^_B! zdcW#OWrFgAYw|P}9Aq7@EfuYWw*6hZ>>g1`>giWa!lO&#(0ZT95mEKw$H%`mWN@{T z>Z_aACC3j)bi{GZ!pRS5qT<3u6R(BuNf4d^yE{A~|1=mv?Fpi5fy7`_fNoR`C*Ek?WX8vwtZ+a~4mmmb8LE zs1e-4TaIJYlOmFC&OSpRKMjO=>EaF~eLwPt*)DRb{w<MATkSl5W4HcqBd>f`5&9H?`NxK!c$#Cp<6)p_tO zV%vR$8vNnR^@kh6W-YCVv$tkMH^C?CT4mFYdN8i~B=LR8R9jI!THiYiLw0+VOBX4& z+J7Ms;53*$DfW=C+V`fhUvZz2neyDr@xLo7h-wc&Nzat*mHpT$m?70t`>>}Rs`de) z5X#|Z)7bBJU@@Y0S>&N1Y$80QBV5asPmSFsljr+p5=srVsRd-~OflXWn9)=!_4cGz zW>b()>YX*QBX*RgqRUS{H`Nz6=NEDtUV1lPA91|HEJqxhPCq@?NOOC)XBIW{B?J4x z^%Une2jsge+3u*nHg!9zdp!i6+`CdC$k1J<|Xw;Kldso-qi7OxVS$gy!F*QC$Z_CCOuQsRCqUviE_FS%iz%3jL)`utZFG_FJ z+~t3<^%j0nhwr+sNO!3WFm!i!w{)p=w@7z4h|-O8tAupdICPhE_s}py40-0a*4}5G zvp@TP_{RG_&wXE4q#R~i4UJL%G!4J`4w7P)2jiluzY}o)nspe^bi~4ya}(vYQ*%2= zr&iBF$TyO>1bel#e;sKMeB_XdO8hfjk$w(qRICv#1e7ykxhPZY0AR{fIoAiBNNH$Q=s#k{tC0s$5%GGI@am zxwlZ7X28(k^D95zdR=Y;3im_PuvceZKNg2QV|K077WK?uh}0%QHB|!lmi{6KaV1Zv zY!LiY>5!KH5Gb9CoKEP}4u)k2yLS?{SXzH?kkWl|L?|y3`2IYd-B>$II7kAeaw%PR zza=+^n2#6H^~uD%WNUf=W<+R$I$}@1Nb#5-z4H6HCg<+3r^*l#|9EA8Qqr90>wVFX z4`pl}zKi%J!_I(4!9q2krAaqIwct4C`fM@Yk~*LcZQ;73jY~hS?*PoF6$b)?0r)_#`S^Eb-pdAD%5r`AJ;4Md*Py2gx+k> z&$#5gPsF9A*ts2ceCo*{`TS1^rv^5bdQ~<5RAniv(68g$dlYY|lC$Mex-gu;C8jZ3UvvP z%F~=zF<<-eujym8JA>b+S#iTXzqa$(-_g+iQAw7!BWanx5x`udf7L<#$C441s|H-GM6 z_kQwaDPx=R^L_P&Vk_0P-1A0a@sGZwePMY_La`8XjGHI2-l?mBE4pDExMUkI%kSdO zpsN%+f(R{Ja&81!wJufLA3YI!tsgXnidVTcg$foJ>90{-8TSp7ny^KFVw&)N+P)_Z z!T%Fsg0TZ26TTobqPRfKql5Hn+Y9QC_za>iNk)6$2iX~K{R5eCV;EZid&O$ebN9^G z2$kth5Ya+Sv09nYB5_~SBlFeIA*L&y!{&6}lDih1OE0|@#gix`!uk~JZw^$hK%@1V zmI=9>B7oXD3o7t3B9ZuyMasUX-xrjcL&t!iS0V)8UY`aVPZV%PVpSvER6)-a)Sap_o-~ zZbS4E*Zh5FMqu3uHfgtc>xXkQldGOIGtNK$ZL1IST{@2T9KW6oyxMwVeDsqnSkASW zGup{n^62)=1j5UJ8d@qP`)sSfYTtxZw0@xcU|@O(4;NpM-v~KGu4lB?D1xyOy%-U8 zdg{lszs+W?|7cw`WSHC*o^$cP#uEjBSIVJhWT#$}EJSA@ASQe~EYiE?a3H7r%GwwAfVc=5> z%>U%qsq4*OTv&LXc0Pkx%Y|s46zA3wqXqR#p`q$^vfmD$NR2A$(ec<Jr|bqS1cRGj5@emo1dTCHCx@9E%Ic<&MaW`6ST^Zi7@pU~Y2 zy(ved=WreA7p|&F>|?V1*(+2lR|&hxtyr3=>u#K&EU5C8n(Te-Q zaKo$aP04D(EEifIvOVY>2r}R!!fDoLHwq*K{>71e43x4;BXcn3B7(ajYHTzO%ZPc4 z$CNzN6=^e99gO@>SOeOU;U(oYn=o&z zz-r+Bhge>iED}4im=;P&XT*Tq_lObQ*Wq@2Uu6rw)+am*!0b7*m8KZmj%DTSWWAlwE%<@wT`%aYRw=LqNWIr+-ol80B;fx2KLPz47RMr%o zDdBFKy$M96-Fds5=@qcB6qwaZj>LRA3&S{aW3|MI2qRx|wG?OSx+%E+nrbV&SBt$V zsDiSe>1W%Wt#l!rtBdu37rB7(LG^~&VJ|gb(jyCLk*bs7hro~_fB(-K^##HIv^+aO zNqOB3ge2kdHIJmmi+x@v$7O@E+S;B| z1p1p5ng>5{6zp@{4DrplZd#8&bb02&^Thv^HasF&j0Q`7#$9ndK^|7UzJ}Q^?TIhb zhx3@pg6)EQA!9k^JnXa05lyJqd@e<_(Kd)T-fB{jjLPw4jd#+3FVIJLM4!jo`4Nu8 z!Ni?E&v~@)h}v}0DLE0|0>AQwU^})&IZ+(F%$?KjEs6f~vbXu%VNZOHvgp(Uzcw)K zcYMa3;@AtF zm0^-NB#ka+&K;xf<4T2@wAubymOUOUAEyMr+SNQv*r?2!TT*>N0->YEIBaVLJV z$PfqeMHzoz>5CLNmQMf%5-Q!I%>5VC;xo4_lH4bdjD`eyrB?0wGW-+VD5jxB_bT^B z3qMfM1D%QjXCPY%$U^sDKN`;E|H(SU#>VP&`FpiJy03k=2tL@4UNdueXfkiwS1tbP}a6Y*aL@LR+SRo(- zTS-gscA^R^iynLzSBDKD)sHC_3^Zx_J5UI|z1X z*_G%;OeY52Sb8i%HM zG{*ChIVJ~i;6I-eP2Wh8GHKxn^%+DX!%lqWYxg}oEGP0CIgD{cb#yd&5P|;P`NR%^ z`gK306?=MIE04s1N&(xR1;b|s&`St|sjOlARzg<L;_+VMIdVS|%G5cgReSN->2ma3TEaoxU`t*{p(WCqPr~jDW z9s7;&cHD&DpCUOGbl=n8!m1mR>xd{OTJ_kDlxl;BrQ{_?cZ+K=PV%q-s z9}sN|K1*4liH3>O&I?Qf>k7~Kapb&#>y@4CZ=B zGNOf$x?FwE6IP}57(7Ein7|_zvlc^vc2x5W6ubyf-P^;uh7Lts31S$)pGUAyT)tFg z=U^+WORdX|1j}2=#CKL8_#dHYH=Qn@15M~0$?ZmI$r?5wFSHVOdN8^i!6%Xt&r4aq zm&(01*g+q3_J6GHYo(7y_U*1bF3HDx(`ctu<^eD`vp{r&Ut zGnpXO<-O5)My6Gaa$+ev1kj>O={TodGg0BlptfAgv-rogN2!?PWsT=v4-$Op^T06% z4Pn|Y{Af&vATalxB>H6*$$euHesAf6VfGCwE{^O?m=VK2#crRhnOexU7!X=EC2kGEv%Si)aI3&MM(sj}l!13&x6F!g;2bUmH%yog#% zj9h+^{_f^2-(u_Frn3NBOe^ldHdT}9HO1(l1Jm7!*mrFc1fbQ5zKIb2fDt3NyyuEb z7F6^~b0~|H!!|JeQ^72gkVe=gR=-a1sY_Z$iR!MaF|2Qs;(^@P2&`e>m0__c?H`0D z1(>M$rYe|QGrb&DqcbbkRVB8{Y`jU04ivAGKn2$#N15TG`5K*=j=#$gka;+yI^7O= znvi)l#4L+Hi<)z)MZGW9I%s9$KxH7eSXwN_WbPB0T2oCivY*<1m|3or&@1YLkd*ff zTQN_XK?kg66rZR%I4^P~>+);2>b`5duXM-~+wU7#+Olmu1hRnCfU+(#Lmhc$J91mj|&ikXvGSe>q`armW(xsH;RJY>abB6!X zj=u~df78#36(K(WG{jA_kF+7VA^%-}jwED{{v~`QLT3cLGgs7jbbbD}$SI-N#%;CgplaSrW)kgocQLgoZRBXu2vZH_ zdfm9!5T2=iQ0&T-F||aHwCnh4QDiSQY0M`aA2(*)8wv$R2gA6Fx0M(NA~H%3(v%uJ zI0Ax-G+`-JMJ+6c7FZVV(}&04{u(S7-bgGnjbGpV($fF7swItOmS=`_Fqfrd75voW zYQfjZ6}Z;4ZkJ5E-eAtIKc%X=+Yw+Y{W54yLFY^2as1*ZKFAlhFQLTWQzQF>se?0K zco9Buosp<7Jvw-p&)=Pzlc_hm1U)XjxDGC52VMr2_*KSu!f1@85S$GmcQeE<_j=!G zZ)qVwg#^fwsxeggq%=%XHUdF}Lu%MKlf&Un_#`9WiEWS15P8%MikwrN?1y@wj+W5l zy6^4;WoBol8Dra@);XXsTT@)JhMU-=Tj^KKWoVb=uB-+1Dnk;+L3T>&hcf9w9v zNO4&$AOc!B`Tg+m?d1V;PYtfeCw49Ut9R_|sPMJKgLI`%>Fj34Dz*4PpPhb}gShk> zrh(yVG1}uPP2I>L9}c>Z=kOG!5Sl+u_XbJsUg~e%9EAb!ct5v2v|}3yU8YI6wK_D; z&*PN6aMA+;4bP|Bv-!xCF4gps+L$|~^XbggL4ynfosf~n{x9IQ75eLf2~1F=2J^*{ zze8cjl-Z%MaM;!K40YC=yH;@fY&ASG!=|DrcRBwOzmdqU$y_=N?Q}u|KWGNVOjeYR z5gCDGg*PIkq!?+|t&JHjmir=$j2Efdb(@BnRN+0qG?IzJv?m z5)(DkFAjUe(Y3hGZ~+;Z`S{K0_kb)8$fv*#_b<&mq9Z)ZVC8TOZo*(oW2vv_JJEGGcy#8;m@dkRz5l4>A`#C&VDeWpz;z1{Yl+uB>GXryfl#pH zU=!=LS|%mBDoP>VgSMeA7hLfVzzKqhp-yOPrEl|1C33A%VFBZ>%A0iOyw*_KWL@(~ z)r~VWqePhl{Q)c*9;i%S9QikElgZRr^DUpv{bN2DD4R$J~$xbuf!54qO$2=e}=aNt9 zs#hC7Re@5Ci=O_*4sA;1&+dbJQ<82KE6(^SY^4|=ZaAJW*#RvKrISvg;PE^kinE*& z-#}IrG6gJA3YOS9a&MjRP%61A{c5OGQJ|$c(`u&6lc1UAi&-ob@cs2 zZARI4UT24RCtA~cSNu3V>Wpm@L*NSgelPs`= z-vp9vm2DaoipXo+MxXVf>ywp9EHrn`<1q0ohBCdPY#<7j^L+K zcE|G^gaUU?x$ma^!nov%Vzv3OB%EoZ5(j$G7^u)^Yo(-Pm8CX2?8zTkxCK`4{x=$< zpCr(0MS<{<)9i!A_zwXh3JQ+B(acQC{%^{|DGYl>F_ho>zEfv#Knv5_Unp60f0v3I zJqY*(`F38`njD;^iRNvjUnPF5-Jl9AlwW*IzUv{Nn$tg`@oQZL>(tna_%+U!=#-n0 zwu#)^4lnUmkZyyRKupxTg%Rrb~*6Q{X)e@D+Z zG-yxNMgzGfE>U;hk-hl}JgP%V%&x*gSM!y{k%g#U)mWz%{T*EdS z@d2ZJYJ1+CFN$2djzjD`Xwe5A?v~c87Y&RdbR2$3ET4l1LW8OQD9*<|5>@D1=T&-F z*XIbS;SDb)Cz7kBY1&SZ9#4gWe~~)T?tpp1$om>ieLD)r08Gk9y@b&#bFERo{|$W_ z*q9CWecA+7aQ3#OWai9F&2H_+5=UP?7~)U-zSz4Y--++(OGe$^u+2kg<{V3t^*dAs45>&r` zJ$tu>d3s`Q@^=PTb#M45lZ2Iyb2N>_X`wooQbH*Vy!E z`z7T**K@ZcRrC?2@I5ccyGIi`e0yhQcg%UvlDT1=Gn#filUmSu`*y)@`BsTC*?o`y z^N*C}b#j+3U945lm{sFvRKH&@zOe=w3=;1sG8DTcQwIxvv6p?@U@KES@^x6Up<+L^ znnl+6WlQHE>RS)f&u1t&)XD#;D{`7NGzBLwa~P!5sd+5X+3vQ~F?5R)OmBOUWbuM- zcy)dsQEf6(e$Zw^Y!#nMy{>ddHLkRl>J7blSag@Es7R`%5)XwPq#%{YE(TB4ks0Fv zzV+MB+ypwgrp(+A6M>c6$c(HoHUjDlUG#J(tFnQJ~?wdC#{+-tD0$q1ne#> zAK!aU01jUsZ=_lNoL8l9i&Bn>O&Vy%sUNogx@fJ=i}Avtn(j=r|G_I7-2d%eX3ja{ z55Lg7<~50YwYhdXOxK=4<+q9Cx7Y;!w>Q${RfE{MmQWt~oYDpH1W^rvJ7h@9Mh};5 z*Beg;RfkH(sZx~urP%xZH|Q3cJLUr>^YWGI#wltrO=Mb7nq@P;E0SF2;ujH4y|eG$ z#%d=AZnZiQNRYws4F^Hi=Ip}J2*WjOlj4R^cvuFiCbVCh_VdMTW#16Ya)nrlXc-Z!rlIIK+okTCgC~5|WgShF{U&9DND?HR$^73ERtUC2K+i z8ipsxA8It`;1LPs@cr$zO^w;z7CI64gyk<;`{s+h#<+1q!KUJG*BB!6$w({QE0Y`Z z#RKjbZ|Mk2f`|0q5tYlq2@$ACAin4m)y15DFU8$kO%%4T1bG-?>QXEbUSD@G0$$(9 zdaJgTTWJp6Z&D-e=eH*%dl-?lf7wstX$&F;9Mq=WM*M_MiW=38ie=H9+W+Xh942?) zQ*D>B4gnWY?UqPXxmBn7jLlbrwMn=<>Glfvj~M=;<1(f3o&25;ZTT zFFrWnZouins4hqM0fomkOlKboH2BqOY{x{|A`yrL%7{?S82X`Ya~txTP|25u6n`RcLoihgOjkc*#n<-Cj889vylZqtr4F9d6k<0 zY3CFFRO5ffkV>#p8Tm!9IXXI6+GugX;|`a94iB=`6=Mtc1TOcDN0mku?A2qR1l6*E zL@01P0)kGor~E)vGE7_7HGaCIeRa5Mq3AlfyCSf>&M85d*@gd9P(wi^I+7Adw;zh| z$!0ZBJ8X(<#?*>ouGb8&zk0?tB6gj>Fh*?oTodh1XnHB_rO-Wg-V8s#LRaM;rx27T zFX{mnQZ$0;dmHLrdT%iN$E&}`Aur-^y(8;&?%+u7?p?UkAF+6~sKJ}jh-+FP5x?}d z4nK5&&olvFXE{zC)25Eebt2}%voVGTgvUgVK-yJflOlEU1e$Rd4l)!#n76Eou79AT zf4o0^-CTMY3(~ohzQMid-Q@11Iz{6$afM80lNI2s+lMTohxWjhzUW_*Lc=N3B;I-p z!J_=EH9+<^?-mJ`D8mGBqFHWAh|$j%(GmKE8NB6%CZmh^@MzGk0wbtZn@Y%}VP5!a zL~z6Wm#S6C#GzKm@`0AQbBX5`v~_ZCpHV0PdK;%W&)6(k<#-xn;UK)u?@Pz9)Uafr_3m4r@T?yFWw0THRR}vFv-7{r5n6B2jH>LBynyGsK=%Qp@j<}ka(dsHR ze~Wu-z{aZ=tb|6+8A5L=UMC+*R36~>csW>RH<@2=5n+e^poClg<$L6Y(!~M&|EjJ% zC!zo`W%Crxn+e|k{pp&Dfg{8ODlCjLz{2I$#_+dLBV0|P41+|?=mxjKF#HKMqMOl~ zFthyP+#(v5wSx&1I+%g)mE;t6OdgnifMkX;xs6Ksa%I)CLQL=(@o;H>De z82Y~bR;GctyyQ?(|63BxPwq7z&jQTfgmKF(LfyTuz?LX=%(QMmH>4tN6{LZU$o*jd ztk1xY7K{-U*MGUeUyDCDNp*MS-F=tNf9~j}?sY}7vH^GmgKHPERI|FjnMz>jXl=Jk z!Un`&>O zmG=ezoSEyI$n2NWD(mv+wR>Xw1gD04XyGebnfoO*2!8t~q5e5xB_PY##uecor=EhbQC0QcuM1dv@- zEmOlVBm^Sj-wiYH{@~A4kg|w5TIMnNJX5F62DzF)p5ag#rAZ`DJn396U;p9rp%Z5M zgEJ@%ek{PJ(2jqv7BahG1OW)mb#pTc90%+aKYeqUH?~}c2pe`mOK*9l&S73NPhd?3 z#`a1*Z=qAVYaYQk!fU<>gk|WWLtZ|wps4%;BkOQw+P-Mu>HoCH(;F^mH4HeFs?2g;SvT1G{l5@)fz`@q$D8b~ z{9ma)VYlJUk@KUXao?`;`SivcDXOODUC@?)A%A@ZkIamrlf0j85fNhQrh%1nh|UX->`Wot2kjbE zStPZ4ov{10nPZo^C}c!#gk)JgPrf^%P5fZ?>D@h$jy0bz#GLlpQW|yrDmU2GkQ&bY ziI<7yO<4Opfl4Y`E-qT+T3TZ9bYyC&OCiMTDOr-akAb_Vu|J;4;~NpMjCq4_lW(I4 ziH8QI@Y_rf#dyEOLUoU`y~ zZx(l-H>GLJee!?REJG}MB!Vw;$Yuk*G- z4hcPDMehnUxr<9?=5*l-=I?^L?fVLpd+ejxqYj7O73 z+_cedq;|&#v~eZT5$_;3Z8se8@39FfXAv>~0kW4(9#J9k&T=z5$JvH1F6Xz*{RT?M z;5`a{wL$-geF=`POkgr4-Aa2e|T5Yb=}GYFLuw5|vlz#JM!@!8EOy-;)KP1RR$d6-!>|44EbeocmfM-+ zL zR_THhrz}qgw=EH7g~|iSb1)o^!XD1r^Ff)8^;&<*HO00?<`~j?X(>3ap`iK1D>if{ zReQP?Wmzr?JP>)US&ZHtF*aJS{3!s$fd^u2L%)=Q$o3t+dpvMe?g^M4Ag$p164}x@; zRzVFAKry`&f8r*V+dM$=_`d%On%p60#KGzPMYFyYF3M z?)26c7g&HR3$?_$;^E*Vumup&a#-!-d7b_C5f_qdLz5VB5SAHwAU7Gc#W)eQPY|n% zWJAbPl9xtm=i6iTh+Bvw{F zNYW`*uWSl4+ zXk7p_-EIh*Kv%jlyh_`;v|VF7*^WiJ49>F@xcl$odjC%xkwv5PN*x-RBjeB(;&+ zihuo*Yz31j;Bdo)GB}%d-mbGf5A+}3w%vChR!Ab`GdYBmP=fnf4 zq_nrAaiB9=&Kvmj&b`vH#}zNPTMyK+4>0W59R4M?%+5Kbt~8-TT`m)san=0iADJ&K z-_Hv|7~FI#93J-boDNpoOxF@V^&h{aNd!gr1zpDXb(MI{ppA-|>>s*dVnXRD33E(wvnmtT&f+dEJ*jWs3;OY}-xNzF$IAG&AB7%~&Jeou;i1 zOAXnrD5P|gY;lPB9>rCywJPk}ci4_)aW?SdpXP{p=Z=xzCC3kEX)+G?iinLRyKf$q z@Y-$}vTcBy4D24ZX`f08VDXZ~b`I?>imIy*Q~_23o~;STZ=~{`DS@dko*!~+1xlQ< zE1fj5DxMbX%+!ByCf(7GgMLTw*U(^c-sF*HyF*y)%-FT#9+d2JMa^X26 zqkS&s& zrswfVm`{=^a4P#p+tM+M7iH^CgNJ6t>nDpK^d9{AYccQeg?Z8+!FLPm_YYbtgZJeo zx{W~v_F@nCDewZ^)UwzH>-A_~(xi)g@%#0id=H^>UOb9F`$?VES3i&>J!{UZy7#9r z@QUg929amN5ax=0`rXJWjzi!g_8Bc$juAbB1`Kva3Y1ZbketQ2M8;#fiCxtw2%`h6 z%jt>`AO+ySbf%Jlu*y-_emgS`L$VyRx8@x%yK2u^^l?xQFD^iL@OG=ne^a$dr6- zY{KMZ_7%e%ZlAd-2;+3sHnp@*43gm6u+UuMP8p>yjigM3KwMACEIzz$ItgJi|2w3F zuZO(q3pjYG_%V1CQu}Xku+x3q7js2)>IHj!FFx=9^0=Wg(x$2p1uu7grJ93G^fHX+s4@5VX3Re{lG$1c~iWMIwfhP@n>v1oqZxB${UB1yeXP`%~~+3 zzMQ;?oUlh|&X^ca0I@~qcyS)qfJZ>E@hj~aOy*SjIcHHI!rE#G}Qs{X9;Q6++P zcqAzQj5|oPy>t2!x9!T?MAw`;01t+aw2Je+tgn#H#vp#x9X;dS%+EdJe^;!2SE&_y zV1@{K3$Xb4+LJNFnW~IuZ6m!kpR7o(^LDm802X~{UuTi3SR2b<+64QiJB7`Ss}>n7 zH|YC3VUGWD&m510Ou;C-P$)F@cEsDSsDt>e8OUHp6u2+uSH=GHQZh;JoIAs6&+6x3 zC+PI=JWa&AGcy4u;rU0J-~V@ztyJOD4>3JAHs|O|8>@^jX^Hc;%D20-Gl5s1{NPUz zovwq-8HbDaq#|xV#%7xtCUn&<9J;{skZ%nI49+Q%%7eQR>a-pSN0RAn;w8ty=Q7I1 zo$|ICScFYi2Y}* z5nr7{e8UDye8S=<8w*wBLn~!CKc~506jC~{>$ZdhQa~|h?NrYR8%l=FQo=C~_kyX?CgxYs8koyCPLW&(v%EgE4 zd4UPC9jL?_TadtvYKcCDknC;6IonNlH z9@uu}`0HAMf@QV*tCAUrcP$27vCWLe6~l?Oj@C`kjYawQX7xf~>fJa3O$#DukCecL zfJ}XfZl0%PeBX62=tJn52`;{rZV}swlq_uW@vB~v#z=i}+Z?YI1C?W}kw1PHxscoF z)mbj&$IO3ykJTKuV=j353cR&b%Ya0(#a1-FABQ(t#2+UK(u_!7EGYyd`>+M;Puugr z-D*91I>7B9iyOS6)+p1r;>fqX`v+)JcHSDBsKQiauBDADZ24T5kNMGR)jEVxBmb2d_)*iDi0)vVeBaSp$Uu!pwQl2en4aT`ImweD|PTPDGN zwQnDVa%nk)=vkk)8_DM6W|=N9aZpvWg$8nhP?~u_;D}AlrIy+rUL}S3l(X?EIy&N4 zR9kjidv$BC4>l0P z+zc_wv?mrI4%xx|C61T`SCXP z<@D>=8%p9T#f)!iZpqx+B6FQF~DE%E+aq@$=AI%!2;tXT#BEPKE-cG(~tmF^Qj z2$7_Aw^-Gli&mY)CE69yuHkxxx)${=~CCQ8woGf2Ftc>+ueZr^Lm2 z(9<~=Sy!2Ikv`zPAE>iRaWmeTF0mC)b7P+k@V-d0u3DoeZ4fvelONVkXC+0)QyEC+ zM=+8<^6qma#|<04+f$FtjB|ia#HTiOE8nl2pc-cGueEGc9_(Tc4~Ygl!x7wfI+1=h zDfQ8fS_R&H_N#oR>U0Fwyi+jm3Q8%;PI@fp|CA+Z#+&gcIc_b0K~8A-0LDPjkWfAv zJLb6*vioyv#Z3pT?AbbelIJ*4<)~T0-FgylSp26=Da9o;mm{K+sR5dw6viT@^3o(V zeCJeQGn!27{y1bI(&o@$Jz;iqo#U3zy)wYz_|H(J&E*epNSG0N8^{$g|HAylf8Oe% zIlPaY?Y_z-#z(hSnRqEx6C_@B`hIOU9y!RkU4_^C6#h^0dH?dKqGM0<`4Hjoa;t&H zxEVWo#aODJ?x&#+x0SM$V!A7v&(Wo4<4IOOp6BXthUv{(uZ(fhvg=G223Gsq2eNMe zrPS#yyme^)GArq;{=*}{l(EMuO?FmiKZL~W%cw?#xv!3^Err+nuS52kF@&gAPby%qA%s8OKeuAq zJ;A1gaLl=bG0}k1gx<@TMNOTl7X*K!(Q5HU)!`WT1OQnn!q#w-BBZiR(!?pW$SxHj z5d8(u;VYF;!EJsS{}FlnuRG{S6(VnbVO%46=s-o8*d(%hjqF?i`YAe{c5N{MY7aMF zJ#kObLSvYSuK(n)y*V~ue;L`-1Iszeht-%{0)Ux6W9FJfd`OUmsC!R0#u~K>Cnp?W z{NPC`HV()a9YskmJrIHaB9UPalJUixa66*OvV3hkpt+*WUY=#4_EYBVYsbhUMq~7x zESK>J^Ma^V-iVd`Y);pGm+5p13>P_lS0Z}#`jA4Xb*1v`%p6HhjQELR8tb_FdIdoe^&aeE{OzV$K)vYI)*3 zjri*(z}H*N%?bQ$#rqk0i@4otUR~bL*1PIu098h7{~L9ujX>RP?>*Ur6YI^-Z{3;c zbT!VFI1Jd{p4;8;;LIPjS|7FMtzK0FbsyJ%W{K~2%tkjv=xVBNPY}CvH=2@%x5`P4)}hx7DSe}hM=aR;m;dIT3s>h!Gg8eR-5AGmL2()Qhm{-X9gmEcc&+N<@|(0R4^C6N*?6t@6L#t|MI?NsHLCu>PEZXFcDh8Gny_pe z@|U=3u;w~U55C?kdW?@!eq8ZOyZ$wqcp^ss@UCKHLOZtJXPZc*%_1={WIM8Iz2}pt z#wmM?k{^@(^1~m`P-3wyhVTKp3le71Eru~);^k^j0@rJLU^i)0p6}@hSOSED!?!jxCZsr@@Wd;91qnn&!_u+G@~;I)HT&J{aMH{L=gE zTG2Oy<|}$b2Ve%1r%Qn(Y~lvX&U;Vb^F4ClEJp8yE*yS9sD$p%zCP5JhtznNer zS|Y9$DojKeZ+!mhqX(0hvGz;{A0csRbN=N*k8nF7R2unjx$AeCatl(- zj9-B@QbB3m#Yl1kS6ogEe3y>~C+{S`QUhuwaaEkDUptCRCB=Zplk$k%vaH!7kVGi< za@g@GNd1K=h<76q4Y|C*7^I>rU{JE9~(y(}O}FnUoG&alpw+dV>@{ z1c$r0Zj$WjU}N9k)|roW`1|cluYp;pHXvt6voP)+U%J&refH1C-tSur<$LS=Qp0}}Pk$UKdx&THU~6&ninJPgMpiN?5Uphj zmj)k2Z;Iq<>?o#tVT7i|yZayP$08U7*ZWWcq99e6TCLp z@YcOfq?RMiGbwy1+&~(M%$3cnMW38N~iKoPW0!NzoiiTmbobm}<#- zW#{Qwa%#QvW?@`GYXM185W_|qY37y)O&HtdJ(#W8 z-~aK5vZD2sm*$FDU9SDXFHd1LfU~w2^*TV%Gx9K_E*m8BCW!Vpf~N^N&>#_(47Xel zq@YX?TO@U(rg`8S;mH$pjP|n)`h5R|-A3Zl7@C^UP1|GJMIABWjZz$V6}A1#D5uJ2 zJ}&`ebuPi!&^%Euu`-ZOUgn0owqsIrPN=Uxpn2{*=)M>E9nZsoPbm1^90sFVNnIe` z@ITSaIjWWSDL2O*s+(&j6t$TLTH7qF~Ql>iB z33EB)P0W|kT*62BJ1P3+m&#<%>Q#fM*>$R?+P!u=b9r~mnNoJc0g1@fQxwY+X4Daa zUW@-GWPVMGoA^3$|DJ^bND~qZYAwKAQwlnZPjC;Ib zYYoycr%L(FpH*kUj(^X8{~~Q3xPSJfFnDZ7(Nf^`II$@F+#*}(I@1i8QP z(y3y)363M41hq~j)i|=)q(17-R+-&ZJvQzC~7m|2VI*Y$HBKU>XpY9+0V@zEALN-Ryj;6d{U)a9=OnQutQA$zwh?)qVY{Cvm)c~O+i1bQ8`=wcp|-Kjb#K`?a;V%nexYKbXH zn9#}8^r@3LqFUIP311L)D%Nax*6J=t#&&BIhwlu3$-%yO7feox6?`O%bb=}e!gfV& zKR=;231x~D;=dmU5PIPZDTPFY$(e1THo)zce_E*q^h(%~6LHp(ge^oJj1M^N(Ipf1 zlG9~kpyA=67mQC&otk(WC)v36A_+%36X(y|y`Pz)q^W>+t;Y4)10=bVL*!{VsTxJ5#V#B7fkEG3?gq;@={+ z#Vx9HqJhYON1RO;{CGzkQSaZtjt@D83HgO=J-9z+?xgrJ>#oQ9={a|r4q^g3)#*-M z#VgL$NCgq)x|@&{a!W~iwvp;xj5=&td9HsEnakgBW`_@ybfHNIo# zvz1(j7t0=h=nE{R9b&nJe*9oBClLZe#ySt0TRYNWY@R?1t&X`2X@E=5M!^x zIV@4iX3)-{T_x;Hqu0Z6O6ZgSQX4Tnm*1w~|~y)l~fc*I_T6W<4L8kp`4} zDEHK=^HYTVqko8OAhzex8=xc3BCFkQ3U3e+_ z1r(Ve_cY{4?Z5e1KCjXOID1_@WSdP3ntK^}pO-ag_}ta$`_c|H|4)m%;iE0D)g#L5 z^->PAsCz@Pdb^{ zwif>{(zYiZn!aab9F{`-=6Fv>=VzLSR6GfPWuA4~`+v&Yzg#AvZY5ne@~g$KdFb*0 zMt_<`VvI(`W$n|MN%Ra)ra-P5sQtf(kf<0k(%xMpy@E11SdZn}23-q<4%7X)HYyl> zMbJspAnwFn&c;gVG>T7?8Bp;q549v)wK~8_Jt{<+Va1meDsRg>o+v{v z?QS{w^pq~pPeLaOG`+N#6^kcZvq=g^rL?N+MO12lti)OAuXC%m@vO!F#7N$D1hs;mq;(`_NoLrPNuX9+;EY6;gLjrVxep{ z;!f5uVn*7-WhMlq&a1j?+j2j^xRw!TkX1t*gF;y`0#svE8Ma2~NHHMcAQU_zKb&tq zG@=-gYS0Q1mTC-jlTL|^Hj$Os2lxl+>UTqv%W@Rt19=|Nissx8@+27k4Iyr^$+i=% z-w0bqQf3b^SeNt7J{hnI@f&b?nPx%F;!+F7<@#%Y3 zQnI?#XC1S+NrB%XtbIYo0Qds>RxGDk8B*I?WXrVei8wf%W~6%l@WrvAx+`3a1a=6r z1_3tae8|5GJRYYEZ_78y@O8M^yf;u|(NT`E!Hf~{@DTq#>-n3hzeQzeaCgv>$1{U{ z#l;Sv?d(wa3sG5~3p?4KxhU|x;POmb#ONiHP;SsZqr|qje)5vqMRK^KaH~_?W&1(= z@n~l6dO){Gc^c75R9jHmx-1`V5peD&#`Zg`NnK2@;;> zu|l(u@a@&JN*d4=DH*>FoKvwP=8A9s?L94WvR2)Q`Ad$>Y6{OD<3KX`xAJs#TMV^- zS2I8WbRAN#Ut~Yetp%!9#6DB}LZ+dMo@>ESUA2|&y_m#t+sL$@NsXA`_0gF2fj&cZ z^X5I9N}0)0fhVC?8SR4XH;(ORx#dLF}l|b{W50?ke$%Sj5|9Hp|IO=(E;c`4%~RlfN1GM{6K8>@iLml5XA^QrK+8vL(+=O6S12@_;I zI%X+ogb9iuvvrubE0hQcf-H&9kQe9(BD#@Y4Z+v!*Y0baX<08QFjXlW)$4S){e$e6^5R9b%ca-D}{*B%$`xNH2{{c?O1!N6-+a6?sJXy5<`=`B9 zp&y$45Iv~?2`bneY)8P>kY}6+^#&HwC$=Jvmhh5PtmyH9qJ0|}3MVfS{}@MiA@-klYg#+02%7WcFfB5VDL0(lLF!?2fO8Dp6ecz-efdm~kz}#s> zBWbXIs@L&Lmc$eS;IFHfhy(LjHwel5b0-1^+W}z`XMSFAPY9qN3(ia${gne&+TN@! z;Gmz3BeIUpUi!NQuS{QHeGd}+&DB^Y^f#;(KqU08 zm^@%-lLTw4r<0rKfXN-4mhM8NB-bwJOrN;NslTY0lkFUxqE%S7 z^nUS06PTGsAn|gZ=Gsht+|wkW@N1j|4E2n-I18BwlUG>2Xiqh>jD&6`{dh?&opS!( z@0=E7M?zXOnw&E^v!p?>w9U_9co_QFSDSiQ>yStA5a!qUVwTzM(=K)YBdx<>k$q^o z@rTTj+-Mxx`&iOXHz^48`Zte?Aw90N#nST!^&;CgNY<0@N-(=PQ5~YZzxNGXq$jT%`uXs<^z)(nknp$l*22sFo^PN%?SUWnm@VRYLC!u(kw#X;v<8WhQsv4w*LgaIrj!mbKLdO?uLuL0XCB_d}cO zu&ZC(&W(rKfT@PYo3devQ-H1QQF2 zeQstI?aVu2Gn0<7S%2#c&*6H)LXKNw^5bwPY4$Pf;bv^6>YFn*_oYeJ(KzkXcOrkY z*ZTQG;#W@FG`u*Hs=-h)4UatXlcm5gUG&W2?~<0K_r0pWAlqiTTo3HbWWt&^-cuwo zko(@@Km4OF#df9{6_R<2oma_!?)4sTa!Cm%M7!~c1A9a_PVQ4C_5viEjx&!MZK5XV z3l3iK&^0Flnke-?xrz8q9pfh@Nobu#CmZyvypky9H!V|cmj*fa5Q7U6Lf?Y?(*TqK z$Jb|Z4F-pYCE~;eAs2gM$Pr>ONzfDt;dkN{&Pd3#q}~QzJ<{1i`EA>rw3wn@`_{u~ zY=3zXn;KJ~H&oD%zxD~2q;Au-3y(DkP^xr-^HGEW*HSR;YE}&`fc6NptfJv=D!b+A zSbR$$7>lGI3xlNBB%f`K*a=!F1YPpdxm#>WRy0T_TOw`){Yj4JOOXKtw~_df<$Op7 zi;y}#qEgn$sxKaKBP${JR#3|>avJt0x<4ra0GxF1yo+%?J<8Zkz6p<^zwKjVw8tK)Ey~6V z3)CgmVaz{832sU}?e9~WO-%C}haND5gja@63;(IHsOs$$CVz!<&t23}H_ZMc8zKBh zVBz$5o8peNF2C0sZ-zT;uI?v07n$=@>l_8hdW#;9f8p~m#`Ama_efAbIsWMmwPe%7 zLbz^|RXpA*@7Y#>%0MY%EV>vVmvcRMldq~7X%P$79>G#JHhvb}k4ph#W0&7cjtCsX zHGMB;)XRRX|66oARdu`BMErx2x4ki)3oY}}RHSY9*cMWha=XllRTa{l6xl9d&rLM= z{&L6<>hynQMwgpbx4khR;4831!6R}w7@x_8N4f3aP3Qi?YqYWGSK>figovt+*hP+J z)T1$nSHrQMT?Oy@T?R5ghN#syN5~(;ZMU*^((r_Gt9z9hF}YUioZP@|7GeU5rT-=ka%jVi%%wkwJtmU^)+;DI3SeC1I{dDi-n}CE??5|I|BCyY{ z=Q_=6EUi5kgq^Q)2cPgJ>D)ay$bZu~LQ&!+!e$fyOISpaIX9yyQf5l^uCgt@DF#*L z$af>tS5(b2H?nD-idcQo7=mcqAJt4#fVzlVxt4*rZfWS0_c%l}Ii_R$(7ZoW!nHIj z^tjDwa|1T#X3xG9GXI$i;8Wt~am2gl4k%2RFknmKpYn-)%QZd_wz-M@$V_K$`4LkN zOSxwGaPVxuKs_7gwp@lh?~__*2yL?;2wk^oj*n{|Nr&Ctp3uqE9Ia^m98DUDeKEGov!430Oe4+R zK1T{ziP-N!_AzUX+zsind#xT&ge~R@FiT-e@OC?gzw<6L&G6b0B$7*s(d=(<~bI=1QJT5RQZ4|7= z%H|m(e#kG>0^e5SNWwgyiBL!mN%tv3lJ7(g@y#&NDNXEmT~i)aet}u8R|&r2HP?ZA zrN%7nH4k$+Y}h)34Ylt>3^QIs9Blov;u*egVRh1XQftSC?sxO4g%s)cfnAwGifFa> zQ>=*B=Gya$C)EWza#qWiG_65nMKdk&mB^Xuzg5c~8vX{g{9kv3(T{m*#}(yYUi7ny z)KSO<^{`XG-ca0X-QIII^1EVRs(l&w6e)^bKKz^9f5ynUmpzC8gH4+0gp=lbs|3C{ zODwib4qM77^iF;WFAYO3S;=56r>f9bkujC9dbW|mqi>kA13@%Ph$b|sSTMUGkRc{h zs2DMx6~YJz5`yu=J+-h*8InTs93|9tK>du3+?4C8yl%>0wjQKW=SrzBSX-Bmr{oCCz~hatTh*;)vsq9o`*tl)oc)O5U<~VwkwO8El$rfQSX-DxrIYH@NZR7xt=?N8gXuqsCkjyjXy1 z$dBJp5xB)0bN5U&hXuN&&#l!bD?P~^dOTWB6D{Sc@^^)vg)yo{$3GB+ziR~}@4Rar z4mMUj=iWCRshgXd3xk_;U3xf@k1ZS42YR_)kN4}usgeelcGlj4QtoFq?x(ZTpd4mW zkhi4^(I(bv|GQ3FZpQb^%Vi+ph7ZGQ+2~mIP4`nbRon)}M{ZoV!{ArFdCn6WSvin& zwL)@@M`2GG1WK#vniXuk?bI2tEPvh=y48LRp8&a!k$_8uZ7uB^MI5Yd^Cx)cTe=-E zZd> zs`i+834R(LW6B^N$A2nZF__Ue_FK~iaA-LKAsUFMOVvCHnYIvIZ|oc90z*mnwz}^2 z&3UmLX8$<^W_O+ByYhv`hHCp+nR_mqe5EWs1LF0=r4a9-Wfyyso3`yPp1YPS!>r5k z0btG_XOqfbtJ%gcyP(UK=eSHpkDla(cToITMq1H@t8c}9rN{|acfrYO%cYFiErl5t zoSokh5?CTAjbhz(HQ4xbk?|`9aB5dV1uiB#aluVno%fe`X`~DDwD&Q+gqT&lU>`YO zCj$pbe?FQ%lHl}bxde992+j+uwB@#mIlZp@8#=g9=uh#8bmd=b$$LYKC2H8z=(a~Z3^!PPRzba2+QojIQ($h}@_QEJprr0+d<{Cp_?L|9g zZp0b?6nyto?S}Mjqb-$haVeIl4EQ4#v5Af4GketCK+^9LHn!gYnf8cvbNZ7|A`6Og zPA#Ejo(XVGfE4!0A%-)w0=rakB1XC}1J6YEBEO{ij#G;6jBgHCI}zZT%%`5=M192* zy2IwhlB0mKC&-~2V^gH)n1vxtYQS8^ZuUC)}a{QsqX}XL29YYKqn(Bj-=I*qT*2 zeB;I$GCivJ;4BE@a)TnG{w|1EbYp(#iHdj&)=esAtNdb{ao*b0@;0D4rrmPABv$6m zU<;{=%?AoyBId#tdxqoK=ePSbmlNJ9T-663gwcUlziZ2i(7JW&I`@Yr-=uEr9#hh@ z%+ze$kU*Nm-f#C5Vk;xr0{ICPdcb&Lz;v}t#Fb2qFsoa%-+WWm4DT_4TdKR5+@5_M zffW~ousz-S-LqaO$9{u-8`=F|fU2;jf6)bsi0UGzdPu`6{$I*Yk zrVay(t3umX`P}Q++uzfQ+snimYu2PSTOvr?%Oy3nEG!D?D{i~SoL-vSMplt44+6V$ z9(*$|JJY?%hC&6FU(VOTz$WWOnR9{fHY16s_WEu$jb&wxx9H4)k0Qc+f|BR7o?72M z0D6k|Kf3*KROs;Ix=kvPWlZ!5V~u+|F4Q|@quFu7xtWRLA~(&1KEsUh;aJnXe~k!k znZA5z2MkNro~I2LOgOJ=4}giYgYcmMyPqU+!s+<)6 zu~M4OQ+pu~oO*&%iWcmR=$-5Wdls^8A5>znzw}#wHcWDcq1489f|u?6*K1if31!qv zTnil_xYFCqW+;NIM*g39yO)sIXf_IyO1`hC^*GnnW3?>vIA3Kj>h5IFs;(sBwkg~V zz^u^f5Yu4Ht*|-LTGT+x0_Bz*l|GNL&U+sU+9WO-HL-STALV(*vy`fpO}~^qp!`K) z%5|*sKwGFb(sQ3XDc9Yyd6FFxb(6ZGT!+H&N0+%6xp)bqiJc*q8u~?WGywa%L)uv2TNbKQ}2|xyi}Ysr%oUm?1*ok3A?LcC~E%wR3iuXwb04Bq%<8L@EhZ z?P4N{6B?K-6xt$26N8Q9BEsX;$A&43a6yZB5{*?#%xY+b6{@iZ%RdU+?Y1CT@tMAW zE#UTH1jII)^s{-$TM?Cd$2AMh@vxl|Rd(mKnbtmb3|SGJ-(dLbpp)^5o8(b0p#X+s z*C%1YH8=KdK)wIedAkgu8oQtxY+pEKn0NldRZ?#(7sGy} zoUpmylXQib9WodZJYr^F=_J#41zmzSCeb{x%;qr;{Y0FLE@?27B_bPvJ{1Lva{K+J zz;q&7dMKACccgJg)Y_3FP%&s&%0qc&1jkv^1e-sXLxFI3DSBE#nq-F@uIQ36q zzw7s}@}<)1@>B+GA(eDP&xVULUbT(1i?8pVh0vFZ7X<3aQahDxy#^ybac;={LwK;uZI4WC(U*x^IqZ*#RJGsm1E_MV}xK>pO zVZ6!%sE%G)|Ni1e<79HFGUszq7(5ZUSU(ZC>O3ijD%SqB+GJan*0gmxk$Oj++8~SS z-+QT0Ct}>`EyI7L6?gdaUYRRklUFLMf!jV{zW!13-?gFBiH^W&>w`CFo^(t}kBcQ(bN1kI| z#m*OE@x5=s;-V4PhRqwpeQ1&LBycm>JDpKGq8!3bnEoU_lh)*FDagsuDpKZeu9qC1Eooj(GWN5I0iR(r&AlW_p7Vz=v&& z;Hx=jzo0T*zk1?n`0$f0RJ;r zXQxX9vy4{!oDH{?fgv?C{bu=c{M@?7z#{}%iA&fdh~s_i;knvCT@4r65I)FXZ)QD;bdVq z|12GD^r?=$Ax;G@z1=gs_wtu-bflf|)a2_n#T{mU-+CLB!3VZ5J|GzIFgfW;p>m-J z>_Ub=YDcXgMMEnx00tsfNgpc&t`M#lbZZ!Yr+&UXEE%Nl4i5$&;l<$m@>^0I|*Zi`wRCTNxO!0eS}92{MA!`P320EpfX+imqG*w^nF zS^vZQ!v>2~C!ko+KHz&9oWa-l@9Va_LP=`ho56-m{@X$m4$jrttoKZJfBR&J#02HJ zlTbT=1at)xhtD|j$T_VckHIMJ*vHC*oNmjmqaz!TWt@2^v&)Wgc&0mdStAt=yoLGN z^`fze4fK`ngCDi>Isy_V5PQXHBPP6$0pOGX`1Deg$3DHqFCqwW(R`P~0uk3cynS*H z8sJZYpS@&g7ZzSt%mx?N1akX z-J!<&}G8b?}>1|gxOh; zkw?)KZ6`iuoRJMZAc-G3clCkYbGP|BpA5y8m0+=bqbiQAU~Nj9ydm4dp_o%4>K!lm zZ@7Tkwc#^fMnx=PiADWTeviSX>GFNaWT4u)c#{TiLp8A6`DZ-e_~9;e+T}jor3k+o z0@J~mCrt4i@Z@I(Y>{sI?C1ti^R|0Iqeb0dp?jsQr~eF?5#7PhI`Ar}9=ik&$DYpCSxU?*Duid}56-yGW6C29D94BaK z{3QmPT5$VuG&Kr>q=@A+K+@kEiHTFeHqYWER~V@p-e%F#*BW%6<=EpajvNhz4<0(f z1|sa4bA^4GY$P&6p;TBw5?X%6EU@<&97M|Sox~~l%T-Joeq!QDTK)55g;-hpS8g}K z!SFo%lfhLAIhB9h)H#Ow>|L$sTd`86u-QsIgL-xL*vlbZD*qV8y@KxQKBP#I_Cilb zR?YCwIA)vHi(D$buD(UI&VJza*EiMYuOjy&vTt6SnDUajT}3Q{dv%Z~FA zK^TD|z$T&=)e81g$Ts6_GLJ^?o1Bl8cQ=ta+JgMix0xhqj3ul{l2WzCe`Cx65Bc!k ztPV+K=$xCXO9y!;i`}fph0)wX{xh&~^rA0L6)Nnfr&5hugBT)Tr7=XrdRjX1xmC2D zL5>xA7nbE)o+-d;ZFTBs()>SfET=VJFT0xRzNrCdRy7mfmN3n3S#9^UdDt-&@C4Nc z36S*`CAYM+Y~j={+obHQ4q zl?7|>suRO4-!7gRvjhH+xS1h_2_xkaNeL#^RnSf$Pcv(=Bq2Z_;!5iiA}gESUwV1@ z&z(B7hHPUFQo%IuVq3kp- zY4HmBPF^ZKqDcDnH{RiKLIYgKZ&d)Q@9#BZ+>U9Q^eAj(w^^0pPIitE@vm(yVq3y> z$H@2>J5-2h-w}P;sL8&T{&~3dAoo&4+W1{%jARd&j^z_-OZO%u3}YV_j`U;-%HNyz zz$xA4Q_$kAehh}tdGv{cvB=pJWWqM-3`VD_+mOCEBS{fV_@|T=mSB9kxbGRcaRr2v z3%1^fgjGXW@AphLo=?~1hdhbkd6Zb+-^0%6))Gv$M%dDLS{|Ff z!X)O_$*6u1z}8-*jVD$swhI+wksYGI*>6lM^(p8MKH6M{${1=hnlh5Qsj6+nsJ2tJ zmz^p8m)lHUC>ueVLCU~kCYReS8N}XRu{^oGMw+x-Bo-HpClzmUnjjsK2a#SKpmlkVTeh^luyVUiWnSU}o3*CVC^U8~eKLRLRf6xNh{2A%I) z0{MonE=DNP*K$O$6p0Y7E6uM=1*%S@ZMcm8v{yYy*q3w`uPU#Cjz!<(r7;*xGkm_z zZxT$V35|m{*jlBo{s=Ukgr|9i9K7nE7k5_+w0PEb4*RSO?p>mtuNTB23rya)G&0Ua z>Vt+K2;N%EN_*nWbSeTr#yUn8uZ5jWh*7h=QXwU7Xs~Ya)DeWj$oN+~6W+hSbN8Js zy_fqb>QQXV8}Lfg9??0z@V66HTW@vtQnkfpU$GL}i`TUR$`bn~vz{*fQDfORYQKq{ zQMIxVU8pt|ozW+yJUcKYLR3e_$ey)KIS*IssWH^eZupM?c|rZtxR3s&m8vjNYwvEkZ%AbFO+-;bUM3DFG`uZ|8oEHx`g+q0@ub^+OuYuYO zjl2-?bo+IiuiHV8f3YK*{|} zMgcy;88W42EQQW+0c1#+w0l)O#N>x5!4onbRKlixe2d>%TdwFi3lx> z7m?X*O;FEg9F)Q)VM>4&{B+=>> zVe1DIUnU@|B%}uN*83yrX`RU4D{_vi(_ZMe*5A^FNByy3XNt{BDf6F@qSv9)R1vCP zM+(Z@y8OI1LeSZELlW^2tXYC2Glx_l;w=XmNgJ2TMn8$M#5SDV{>}(Y67rYRc*pN4 zB-;SJ1es4N*tkt8x7WgBjfE`}|)^yoAuJu8vjx)QDV34hs& zwJ}z=%Ljb-%_h%dW7K#Q`79EZYUiR0FNP0k-*z2n0#dsQ6na~vD7g{CcvuV^pFwFi zZ6t&ZB>AM-i9KVK4V{?f!#_=atYPw)O!-00)Fp%H*(n6EATq&H`l$!;i6G|0nh0F; zkwE3=FZ+F|38g8x6qiZ&i^e7XE55bCkKGYNKvuUx)QX95OxuUyxjU=_r(btp&@tdm zPFS&0iCdz&8eTxL+|?4KL{ND*VP&Qr#|fiN>S_Mvi=c(1CeK&!E$XtZSIA3)rH9I} zOE$L?97LH)PJQ_oO>Dv~JuqxO_#-9MnciIA2J1idDLNdGZuKIN%+6!P2-$^Uv72iWrkM~w$ zgDxv#rL&#rEseTs{G+z%EZ7~LX7}Mh`L?SkOwRL{ZYKX6PK55wESScZ4(y-G#sT)5I6ry#$zEI3-Ruvs$8_7( z&x?DiY~of=etecO`y`(g{XS5aG1$P=-%-2y$}U?Yre#Np?mqyHu>oi#I1)rxLz+UF zFwzdA0S6TDE-Qp3C+`G1J$bCkWFQ>T8$!H{&FpGh zpz``y2PnPlc<2UMD9N_t>L_o~et3z3;)X)Fmr47QhhZNgb3UWT9KPD)|?D^@+i~0R? z4Oqc>JVai0#Ckj_pO(omD(60(8Ca=zVmxdGH0;1MOJDV7_tInMxb}6Tz4npRzgsgl zi#0}hkNY4+ZiUptI?&cW9Q!7CYzX-1f$w*`F`kJAo>S`k6c(*%b%g%z?%?rf654I1 zU)ma(CX%b7x4(+&g(0$>Jp%{xm?GNl^pZ~MgCw_X*gCoEt_>{qmW5iZSuzQy|V~J6)h>5wML^ene(LpdlffGg_ zCwLJq<`Y&$a8(~esx*F;6R%Zc-F3Gd&Kj0T2CIwX%jUt>C2F1$BMB?hNoOvffW;c3 zgld!w3o`kH)$dY{1Y?4#ZBqG@R1b+2A12AAUT|ET#U?V??sfZofx1kA(j&$mFmBQn?%b^ssE=hBkn)cPdCe zJgYA9=@HX7#6S)jc8sM{OX~JJz8+2&!0xrzvqrl1v_BLs`DBvsW20BQPgQFxK5+Xs zQP1G1M4!s6t7d`G;#D~K^GzsK5-}*m6FZO&Z3!&cSz*Oa>J1KN2pAE6+B149 z7Wi0DHl0rwEDN}{VL`#Xg&wZFpmJcsG2o!0)Vz-J+e>ZexAv5UDkVbCCpwQ0=EeQb zGy@MowL5QdTCK|bpMx>w%R7;!ZiKd>OQ06jMjuk07o@3EV8&>CN~(>FH%60ZD$^CE zeWM&;XibBOT_jf4%Ck+I@O{GSmd^5)HN7-&v>;#)J%uhJL8v}OP$Q**|Lf$5kwd~0 z?W(qRq5YLeU7a)H=fltbpL3IV){n;4|LEt=C8QOv&-C1mT&f+!t6j}TwWl)Ko0)6@ zg6~)D*tHAdU~E(JXzw@&tx>6WQbHL$81^N9&@-`r#Wq5$xFhQL#ew|I{o#x02X9M?Be@_?euv zF-xS5G5(HDQ(mRV#5gR(F#&>vL}+^|Ny52;i-9^+hPXrHF2gU+Z!FreGM!Z%@PSQ#B33H~3B`L$wtBQYFM zM*v<^i6C45as49ZKJ8CM@Me*{oA6+%p(^plXO4cSY=w8HcR*+M?nJ`8cne_nW+zAx zU9)cSPPv7lqQHTv#Kn>*uJ&@Z#}S-%+4C*LgYToAV=_SUqiz$)ZEP+yGzud17C8iF znob615m*sJA_-~}pM?-9v&q7*t15#IrmNtDW5I*h5%&>tI>8szCCKObop8cT?inW3 zS)WLB^eyLGzr`V{us9Wd^!daIN``n+N0W6Q`7)((pzafTtMqJ}auc<#C4C-$BlSj8 zbWV#s$?4Up&;xN4z39mQ^VR)HX|YjE3h6M5zpY<^e0l8hZFEi$DKvnPzgoVI@P$GC z?z_Ax)@3ggWiJp`4(4Bt)XSDxmDP9iLuJ9SA&%+1{(DV%x)Fk~n*j|EKOeA*N{X_J z4(Nt)nV@M+=V48zH88p}nz(;#|Je&wu?x(<^19KHyyU(2X<0810t0KLhqwRwW^TQ0 zZn$<7`nw|H(&rDvkd)m_iKq=krc7(CDcH=F45o5o^!bRs!@l3C z0&%mwf@g=i17oS!$NIns0>Mdir*>MJ!NW4|`IH2Xze{5U)g>(1c?PjC+6j4@A0ZVR zegoC49*>iMvcadeo;b!sD81Od#EBv+ABA=(Dmx(TXo=%5w@O1&Rs!+i+gP_!;-8EL zR$)Xq`Hh`I)TW##ACPcr!4}S;gkBDNw)gF0qG+U%}HSsq%zkd z(-qO@(KcBNElFXTFPVk;#*kmnj!{_Gj>}{weSQ2t$z$Xp-h~cKR?HklOvKXDcEE*G z+I~(+gXk@b!Bl<{ya=_QM`MCd|8zR_-#p5uu7}xU?*)c(g9^=j zi^AP0k_x@fUek@$_S&8BcD_B+1+UtO_$eVnX+0eGE<{@oFNSQ~mF)>{>V375v&4Z7 zyel38IEqloEtmU|4ukI}lffeovF|&)*Yf7d$0L4{%BU*rc2bt1*)bJYA$+F&q4~6- zJ$*A!hGFUqFI*@P4lq&nrtwm(I0}a$4^M~Vygy3?3aujfO#w;vr=+8u4CDlCRI)OR z5|eGG&#S?~bUvld6~m9kY+%}*M%4Y3kzS`SCh7q5S}-%Y(=|ms@IfzDNj|g_i#FJN z6CnW8O#5<4S2J(9jACAx|93%1-fg=p2Mvfdis#7J0puF>tpgUJGQ0Uh!VXZ-rfVDR z{SBU@q>b2~?;}vtatj<0bN1@XgzKL0_PS1bkDzFGICj4nCxCGg-!D0)rpE|a^bS<~ zmwNT%lyQX#V;Gs@_1}>62oIqVPlY5+rd_2%P>BprP%N$?NeSgXD)n;W=po^?jhEF2 zC!4?tX2*c$Y8ocoOsv{RgVf{YUc(&klS^ z*N&NiS__C3Cx0s2+e^jW7ph%yZSp*-ZSs8LZSpLZK7IJ}FDMi~x+U@cNABk~TfW?i zdig(X@>DlxKR!2TJliQ6)ww`WSGTR1-a&VOUR~My=eH8K3{ee0w&H zi8y_$zNwIz8a+B#^L^-1fVq_S9UYvxrTB+^q7l=IzdH2_j)m-_c%PG%@M~14sV+>_ zRQ{{!kyd^dDT#f|D@{or?GdE9rK{nJT88! z`u1PLdeuQEbIYnB$$uhvWDJOL1XfA8wlk1I(nU&bR*oKx*eMd35u zA|K`)-L|y107K;*^QGtr#wM?TKKOE1peY&K+qm@gd!a}zd-w0v3lZb^>+HIOE!N{K zfpW8{6Wz^|v=+a(c7bj+``5j7B7xKF8;zm_Gw zM^labN@U%sZ0~#bDhVPyVd*@B)z zivd2TB#!(XKJwZmsAlr*pd;9SXmfq!wJ4$fY$&wwZoyJKD6%b)+34+&IjHr9Zh_S( z9PLtB(@`OD$Gm+8wJDyVj3fHas8GprvZB9vVYl*U<{kHBJ9sk&gP~}VAVu?;*yS=+ zBnLq9@Rj|bt!^yl62U=WLa`sjU^F;R#b3E^bl$kkgw0>VAVi&(um1_=8E=1J(;-Z*5oW#@Su zsQw|8ZFP7m>69V|XrPBiPZ<&bXs^~bmYdMKUTpCv{cN)3OI>{{G3>bnBj&6PcW)q` z9Pg$zeJiP+$>cnHKFmURo@D>^b>%n^P48X&o}?i*exZ_Z=L;9K4-E4*F9fL_Mi|AK zj&|E5yBTweQz#+;z8%rO;3a5aI_IkhC_i*Q+|8ICi-acdcMVYG{Gu4;m!u1z0g0z#(jFQ z(&DiVX4QLnGHpQ)E|%O{{@Nv$j%<*R&WrE7nVI~h4AzJ3K@KQx$N#73U4`)Yr4-PN z3#K#V{fB}Uao_8KD@<;k|0>0n0RhL`J%v;u3L$f4z4L=G*A$!tz}d$*eMYs=`h7C6 zYh;^wo#HUiWYKT8cYCeqxw@jpTF40`Ft#vWvYyLkq!Q14>U(DSHI=meLww>YiU4E8 zUH@#U1f5XhEI9Nad-9+P`=Q3GH<<*aJf!ZRwh`h|*cWr5Z5(;gB#g5#6_4Dp)xVCA zbnW0C?s}O&HU1Mx87kxOhg3r3lR4Yy_X6>!$MrBn z3CSEuvc}1u0+q71<(+Hc0e$jz8QM4O#mhyrJgd>2p>6TNboLShi10PhB6%gR7lvzC zKnUx1k;nG%y0CQ7MG9@7kzfHZSJtIaDC>t|F&0#$Pbj#U6-#=P^HzVwy3yVUJP#!FfayZlt2BpGlPFq+~0|e;? z3n!WEz!r&Z{%rneoJ#OuTge)gN{KCEhOc*5J8X|>3;_-SiPQLO>O#kGLbmDay|ckW zLm;h1vt2bL%TfI)E-ocN(X5EKTN32iq~_J)e9pG<+<5|9|mayn%OeVe1Ze4WD}lg{kQDt#6>)pJOE=qyiV!7$5$7O)P3r zNy%mWi;BQlYzgvxhjw9gihP$tod<6n&&HZAp|HhY`aqN0JleXjKqWKb|g z#HMcc8NJ!Zqqe=V=}C{Uv&PK#U9>=t)dDT=BS?5NKakN=oxDhQlTV(ud9qQK)3vq< zIJvlO@hMtqh(Bj?RPnt;{ntS~Cg@$o@r)LCJ+#%-!V_^VpqDoriB>5L+4yfx_vjo5 zk?hQVR~xVOajSDaUag7|@T|LR_QyBy(TcF)1{I#3w5V11v@p({Y~4=cjX|FDx&kZT zgbFY+)MMFiYLh04vZ?^THgG3cb6e`_jDZ_=djTC2{%;s&X8xHbODr4p^G&{KOI2kH z=Nu;|eMsue1V3ijuLoepL7@%2VhprU^NW3S0|8D%=xB4npMDzZ%De`C?8#daEWw z-AP#c(LLdgxj<#K3TpUH2Bhb!qY+S}(7$4PabQ1hPSGbLtu05rhS~$D)Xvc}%oOu9sep11qR6wFaXjZI6a;kk^b~Dom*#LC} zf+*)tFyC-$rUQmUjh!S6PNX|_DB#S~wV!a$z6iIDf#O(3B%Kg9nnlc844!fz*?bDQ zmqm!!U%#z&^pe62%%c&hY|$?9&p!`e%&}Cb^!e>{=|AS(h0HY)b`JB6pcP>ftaT1} zBNlNzkQueqvOou_viNRbgRsB_Vlo5(BP})+xr7~}y#%$iD;tO#<$E4KrWQ|f9;7g) z#M00CNqmHiH}O+2$Jcp|7J6c8hRgkZ{;_=KD+3p2iT_8{S-(XUzFVIT>25|q1tg@T z8Kgy|rMp48d+2WI?viflMi@GzVd!p#8W?!_p7WkJu514R`pUK~)FN&8zx!=u){u&1E`HX9f?~3kJFy+B}I2@aV){R5ny;p$XevI|uE;Ro2MtROV~T zmDghpi*Mx0yu;H|AP&5p4V^81tmg&eU@zVkOVHhbcZ@V+H{beD;8mrqEt@Sl!w>>C zf}gC8rU5+FxFkkwp;~Wl@W|J|i)_uqpyVecy*i4jNo`vvqoUAAK_fF&>uwPi=9&<< z5;vE-uV>57ncLH{7h01K=+T4uyNpfNqM3G*aHIhnpT`j;T{*Em)ZW48)GvIwKr2H3 zj}LdIpP}c`|1wr0ChCtd%Z4rn>eI^3`L%t>rO5@&)EA9mN}9jqp=~BU6c8;^2@T?^ zDRprF*A%zFzoH=)+o1XehKEgfnTeCv{^hTkS+wO}SvkD3Zm_ok4&t09vVv|QIBEYt zx{radLdik$bVswQfn_w^BHe0o4(?ALxFyEn)b3vI`Qvg2R7=G_7QH@wFVbJjR&Jx> zEw4Z%&GwM9$VXHxQp<@f0g$+vf`Y=wGjvC>2_Lo`Q5h`fJ*%UFe_lLyCO5k9WLoW3 zRfg2A5>G7Bhij?Zj@tjS-#(D;Yw5-pt~Rx5Uk_2Ha<1R>>2-~eg!+&l8fC?uV315g=y||p{G7$S5!FGx;X{QFq2)t< zoaz_7{F=4pRMK@9cI0h+HII8m`Q4n+O`L(Bu)W$BfS%)?d|7Wd5fhf^O>R}ck$Y1q z4hh+?j!J)nGG3!H%0nG(o}Y#yTSvex7dv^?i~*yLF#qOP&+lUig!^#-r=o$c$u5%MzVLJ+lb4^Dx={qpp|q7*VWCX>(-ukGZh=mFl=6D@ngVjEOctBs zyWcbOvn*sjTJ#ixJq?wJA92(s5+|80zPU+Kl}!Ga;E?Nw10$|rI$qCyQ|rCwrMVgt zb5>w2Q!{oQ%4EfL%*5pD`&uSFdzQ>6WPs{~^C!t&c75XF-EY36>>QM)oC=vBreE{d zT01S%H5dkaKNAKiuu_kg%P_7ZDahL>Pa=b**s5V z*|JPuhs@4#(V6)v(I;Ay?Yj#iX`Hb8d3sGlXQ{OI|X(YDBW31Ud7WhN! zPuM+m6Ae&NQBkMtK>(}K=I93-sBcd!EsCfLZx}EnQa&cKRUiqN8mc2oz8+3g25{J0 zjK`kEQoy#Do+G%2({+44+l54XKbYd4xu1)lG{fL0qIAkj+^2Z)J_-{BNvlli@~w(u3F zw*^*m_Z>iiN?}w`6~2$_A{ezgttcSfP39agjT_N`29wF!h@WNic1|}57RsS87Y~~H z_jZK=M;fN!&cv|6+)Nr8bD$gFD_&ST?H^iTk21O#KanjOzeM+l5?7VOzo?9E$+TNI zIUtMIO4l~CQHz*8csa{A#^aNJb`aVlT}vzQegfYRKpugN4ij-P)a zM+fLX+$W>#rrNiKRugpWu1%S`#D4OH!V_~G2b>u(q8}5fnVA^{Hs%iCk+G4`rh;+j zY2`sal)ot<^}SN_+;*bkw8s{vuf>Kz})ZeI{LuLdH&$M^HVKyTGSLRdnn?^kxo|w-Q~i(^;3#r8hvWpn+)G zYe8yxjn_hP@s?VI^m!r`icMp=Cdh8OhZmF&(kNK=@Lr3Ox89Ay!XLJrT>3do&yq&} zpxX?XV~B8FA0EC}^rm_x2EwJ`hdR{8nz;|sf5xolX~)c{ndP(x9twkv4j)8&6MhlZ z^E>{6MpOJ5W7f*rvf1{~yzY0Io|5A+Jv7n2T_&v35>}MU`E0YDi6-|5tjy|_4$1{o zWl=QHcQ`+Beg6#PeUZp(Lrd{0Vd9Exeu}fCRSvo+fZl0Tbs+!utR{!@Pzqep+9T(iW~ic$ zSFM0BBirJshvm6};lf~OaT1^H<{Oc^Cy5(vc=7iAMX^1(-KX0-l+|_X=$1I%X};A= zp3mB;!O!N|;xOOdLIV!=TA*gz@KztSe0FwWm&soFL!$G)tHahKQOAJo#Tl(3Z)`w0 zs^LW5-{k8)%}nokyt(X4mZH_buPZ4W25hHuhtq<1)ZSw-{~OxLbcibI4WorG~DML1|slCtE!d(gJw=oy`=k}SU9TkQXj2wyH z9Z?WRR-9LJFDUNk=EmQ~qjZa=s}Kw5ld7JJ+VX>7!3f<)_j7xfyE1jKr)_`LLIlWxhR;eZ!k=bUOLZ18~oHK*0lerl86=xmh}!!zA768R7OIxqs`P zfgZ;8>87N5110um1D%Jwi#XbUU3_v$)4vIUQMXSte;?(1(PlG4rrHt6jKYDu90SB< z^q42SID{HGeQA*a*$Xk+?5~j!_$A}jhy!Ga9MR-}0jx*Oa z0VXD3FQ#CtPYTX!Ty9?{+?Rxn;R7O9L$+$0+ndY@B!(|XhgG?KV(%`Z47q&=JA%7| zb8(M9R99Y3h1542>32alPI>yR3pdtJ@vAFF;_x;jw> z-ddI(&tR4s%fGSbfO}{+vF=jJo9@_n^2GnyrzE5D)qj7zTn$M>-hM|#>LBgcxn~#W z?|w&BM#!-oQZ5$$)ho%KpZA1KL|hU3i)mOL^`%_N@)&fj8uz+ z{LF+5hG`n25rkp^oMTM>j?rQpiW&G0;DG$Fh>%09Ljt?E(IEtiz*fSNdBrVb4TfMk zj`|2F$IB&=Wf?=HJqiIku|iTD0%`smg%6jB>_)>z1JjM%{{ zNnr99E`5xpDD8(9;H**q@;^WBn1p zWWSC*EA_*Qnfeqy%Y3uIa=D{r5z@#OUCvTC@}_fqq0AY-=gNEVpLQA{iD zh#>Vuf3Z+~7G!ymU~$*^@r=vzvaMM8lv?!^qAUmoNWeV7I!1?(2AE9~ES^!+z|+%H zRAh$s>YDxN`x@iqe5@Hu({-o&jo3QxxZevmj_;+MtJXt|;0~x_f~89t(qv$@Wu1G} z6xaOI`Gg>rv>TjrBOeIjF%+Em+nW9%gaqm5}#kXFBx~? zxp|;oIo089xZC8=S%Vc8^rrGX%yOAWK+d3P~IB83o2qW$5Y;8DJ-69Ric7n$jF%seojLtmK6Mj;>6Y4j% zj*QalQMGkqE+30>XNa9K=r(K+;do$GY{fjQ5yXA;114MtraxedWoH7{1O-eiG0)Wk&U&14eaj^S01ECuX;<6fs^uzrEA2!p12=T*y9G%}k zO9!8O7nNpX2=o#Y=eZS6kRt~$&ryhR+kPpFsv`-My-0dwa+i%m5L&&PWk#2}lZ`|A zbr(2kNZ03pQc50G2XuHUq$N#v$I$G@^`)Dt;qPg~Cqt#b?w>I^82mHj-p7#FBf0r~ z1+POyaIHadl#o5x9K<-O9Nb7AG2H@9FSS?hyG(?R0rr&ZCGZ1035JME8IL5qV7L}~ z#B+|U`&7pJG8(nqUHo0kSu(vb%7hSc%|wTfE7zkkE7T1S4%dh<)%L`H*0*1L8@Em1 z*2lQMbwdZ!riCPhG3NeF8(UAs;1$c)F2^S4u$TxbO9CFNx@lI+drP_F!x;S?a=3r2 z4X3bw4x0f{GNuTkeLhVQ7Sv4tRoV1@2yIGwNlETDRVx72UCF*&Su6YIg-y-+Ylyx_ z^P*g?pE{`gXInA7t@o+3(l(RNs=q|f>$&OEKj)hTuSJJX`={Bk#ae_8WYxq`6lBll z@tjV}MSkOLiyZgb-E6?5b=_Nof-^&Vr*(aDOs#d#(00SA_4RfcZ2kcfT|HB1HYbw3 zR=a<9KIF~li$VDMt*z&>oyX%9x~G?&L=G3JjY`d-z14ECt}h3u*=>Amdg7*;7B(<1 z^{Dc~(N%;D>C-KEl@azP!vIo$hT*l+rfa(otlAX%eEnJ%Zrfp=Ue8h$D}Ccf0^7Fo z>Gj&@@>vyn+oe|fy26yE@%{w>ph%{|Y9a`@5EI9+77HWe!cw zm`4efj{hRn(G^|6nAf!$B=r64o}Ur}l6JoYn8BB3P0tD+m$UKD4VC-sUxd#jt{0IghkSQMc1kTRfACgo)^vc$PV>mr( zF3~P9rUX4g`TdX;#nPwz4%j2P=Mi5GM<`d0f(#v4-;}T=HTfJO8C+Ot^A@Ib_T}>e zLbWy!zA5qAgy$Lts^IF!cLll!NpFu~+`dOY`m(lhy0Ikg<`~&-SIbV**z&n)0jgT$ZSoCOJXZ_J>oVa!T&3jw)doSbHC`kmdvZx9Fpf8xA zz7^#fg%!Jl#bcxd+mTT_(D|NO2K?W*#b4$vMU!e=y?X)?;%=M2R%N8B>mP=aL zH7jcIA!jOxqBjg5kyXk;OJ_MR=$eBZVfhWCWe-$6Q7(p2J@0M01cm3}@29g+I~15aQ$@93Y@ynxYZtq8o0mx=`y$ ze`V(Wt=K0gF%~Kb8{R^6r_Dpwp)NzFTK1{7xu)2ULuPv(q{mg|_kCK7NP4vqPkk$r zFVz;F+v+8CEa@l+fByBX;hMRi3OM^V78hr;np&M|z9gL8rJ2$2UHh^C8gRJ*d3WgN zt}WUDi*0mh;&G1P>7SV#PoD4mxXZXho(p2{G~P~V;QHuXebeic33=6IAyoR1Y#@pz zbX>=_KwImT(GK3D!BUr{g8XtjiJXB48m(x&%skO(9S7 zMWE%>i>jCvZ-CtByw=MSe}_%T`LZ+a3uWJm&`WWGy=Jafd#=ZgkZmo(o1i^P;X2TgjB zy+6XKT*qh~A*I=CpCe)z@==HcU8Zf@8P-_9JXNP;9H#j@dvIv=xNG1%`T<7*{DTS6 zohIHCJFj54P&j@WBQGOAjYlWp)y~F7dS#+i&d7(#SQ#kdqO~nzobF`1l_Cu#74BPf z*UFOdVOJvfKnZ9AzK%qWl;Zz+SfID&kMA4AFcs77Xc~`LhIau?=%qh6HEO$!3I$+j zPvUron(}&;ot0Ko{{kK_d)j+?0&l1eYoZhii>mm`-m;X$O@akkj_{VhimCv++34N> zR)5q2jG!G=n$+4}wTitnNCT+T!W>h(SF~djn;h*n;m^Wj-Q+p6Q#=91@@)Dx&agP% zT)bKfGq$ZpF~M5sUutILJr&@@mtr5xS8(OI4gVP z^8v*h3-ph;27U`4mh7k)@eG!PL!~9c@+gXOZSVh#J-_tSQc&VP|1vM1MxDXWC)y~S zrSP6q96b6=RV@ENj_{7~IT|tJF=BjI?bA`FCrcyyzM4pOyS!FKw_1BLqYmLK2ZE!W>4x=x( z200C{m~UtF6so;^poRAZJm=>g)PUyCJbB-{iJ^7#jCrrkn_$I+1}L7BD+FkWezsX7 zsJcEt=12$9*|fMWc@?^Ef>a&Jl@lk&nsT;!}21L?D z*~Lv;o7)$P;mds(FA&@s*hz98;4U-Y+lKfgey&qs7ExBU@fu|^8QA-BDgO)Oz@YPG zigQ-|$lkZV{8#VU8&3qQ4$-YA`s>-DI8P}Ehx-?DXe+IamIBp{3)tKm+^h2ja}hi=;3e;eL8&-is{Jy|0)l^#X{c zpqCMPP3^I*LsDCy#;JiCgL)j3%l6uj`&}fiAExEQKN70wO{C^C-jvsNqiHl3_th}` zKg;exL}`lrPeYCTay^pyNv7zuq7WU5_8*f?1Ms5*7ERKq$A@uav?tK<|{0e z!xUNuGq-*Te}KkMW7DW#gwiQQR=TZIwc(MUS@wir@6LCyf~393Eg_tI%0MLi-~;lH ze`i$zZmt8bxA#mi^XHQII{v+9ldPo@wvJ5IeSEjPIeE7t-Kz;F-zvg6Gw4e6{_BHKGs{bZ9vIRp@sMky@(has=Qe$P*p`4Df^&Hd z9;^)_bL^K2o>C1|pKWF|wYFImvZ|hBU)SDCDWF}i-R_tCs}}o)y2#{iI%VLY_`@Z1 zg;m6LxlQfi;Xzd73iw}hs}AGj^u%rj^ziZgu>a{4?D^u1<9k?vBm?FNuCnf)*;x?% zcMPzPqDE-hwbB9Qq_%QjzJz7A{JhoSR{`zDCKVdMzt{Lc;m}L+D^R0Z#h&ImlFYZ! zC?a#Q^(ybZM}6$m!Ur$LtFmkICoN86R|CHw9#tF3GXxwexFe|4hK zIumNTT0OtzUMJ+_Toug68RVU+?j1A~voxM&yBB($%fTf?ZJIsrw^+crtsEKNa_Y=4 zop?#P7A6;9DsXNC|52_KOVSJPZsO#gp|O5Qn{9pDxG%Q>p7z?z9;k@1w6mZ|HO2C= zNDF53#A6GvxON8X?(SE|>@n_ke|wNCxa#*VU!k&R@#}SZq&1hi_72qRZ%LqLJ5S|K z`FgGXhrulF+5Ny>{@Wjf<4C%NgW`c|%ah2MT3ot9tP=b{Ez0P3dCpVq{^(sqOnno< z0a(x|z1nR?9Rekh$zw)vo(OCPoV$P|J7;? z%xOm)#MNk?n*sXx&eb%P-yw;mPXdC9gZTE<-{=r6b}0*?w+wdMHpEHICp9KVxgA2jj-;{B>!p^9!_S}z zNShpGcz57pm`Yri7*S^-L_Y0@0I_Tf^`M&XC zSD7EDApdBGjCdI@eetcoCi2bfR?V&9^g^j3=azpcWD`esN|AyhwbfZ$1cyxVTh7e< ztAmDyOhr)_0;UKKFrjE|$k~XDy{4Avhdqwf*Vrq3U9_7{Vh_^CJ;5FihNmc_0L63Y z{0!PMOqJdx=}8w--~7d*%5KG+ZLai_{oE~E=8f@cf>VDg*wZ2vII?o&fzJLR6%%xY z9oCZyT&*Uk@u77tYV44%xMMz-S@}G3Mar+Dte0UL zK=!oz;I^#)_4!5I^t7i0fuE)8$*3#Te%RgO6+s7?Z;W7p_67^UT;`EZmkp2e<(t_* zmUTDP#a{`NLW9QR+#zv$gxB#P1ovJDo~ZhBr0X=bN%o)@i+)#f!#KSwvb{lFTZ{*% zK{~(6gpY=5Y4yLy#Qo!0OzuYOta0T%zCSd3`!edlk^>5mqQ`~V#1ri^YCiTO ze>vA>276bH8IHZdl~@t?ck7SK4-Z7|(M;vJkR1Vw2QCXzVU;Uk@+RY?-O$x%Z0Xx{08Z}@!m@(}fC>nui>Idq=s zS1sTOJv+BGp>;@tUgXe;>^gVXHlcTXmX;+K?1jusR(}2pKsqLkj@wM-R2R>Wm?1-c z56hLe>k(Es!(PEd#l**7+h3Tl~{v(5L*t@8n)EFyo5+e618dCapVkJpF)v*CVas<7!elTH5XBP08{n&J3U zu%jI04mr=VkjM#tLT|-k6gHHM>%aq!@PWmLj(A+;&Jsi6CUFD0m$}!A5yZE z{HH$-r4Rp_y3WdQ{4EsfFhfGM{bv&({FdwE_R>_1V@OF}Y;~ zNKRj}&>c;C-x7i_wG4gwDVD$cCWJkVgk$A82(Z-aRg)(cScua`L=!PenjqZ0fIqiuZ;4@tx^@n4UEDWmVyMgua*lu3m*mhTqY z963!w13vmxjlLD=jClSHI0VNtH;7RVwGR_*o;n&A3Da|pY3vz zPVkdmJm3__KYf+ZOGHNdYl9J{O9f}EmxpC^86|!|dZLrAKd{Z7v|um5GsUK`Z+G%~ z_xkY!PvRm9@cR5-2y^?zr)$+cE;Yo*?_r#E%m{fax99nF()(B{6P6th3SC)(r!%%;KWkM?5L-Edyp78}sJCKV*4?L1^E1DSac!i}qhu6$1)%`EfBmsN zwp%rkb2=i4MPIk_y(*)X*Gt&v&lNQ#=D@s@Gd$+@7X~oMF1Wr_LG3n63!Eb)v&3z| zi14$vBFA9ykfdKB3 z?=$xl*HFueilc;fxxf)DbUBu4VhMlt`~GX^PUKdiKw{+6E9 z`W==EA~#ZHUu=9vufr7H)^fZ35tG*P4OxA!onhDi(EjHDzIDaTY%~b@^Qk8l`qk76 zH|xw_s2Y>?(HR$<0^SchINVQKvoM@Hj}xvw;oL6R*T*%}d=hwc1Z=zXM=#A59w(ZV z{9fBm{O7RoZtZ%JSVY)7qeMGnsZiQ#sE2M^_t3)m@*zR_+4l%}FT6ONOKPb>fJ=#dTlD`1zK8nNLac#HvolCHtRW zEh!M5W)2knsuh%RH0J%X2f4?jV5}IOV=Zl+vOM@a@oN}JGa8sfuxyqV0>oId>{<7g zSvyvM55u>sH(d}AUZAp)Vn6cC4w#mru_nwvd}#wH#!R&C$-K1{Nfe4NQY z{=0r~v>-;(kzN+}lOMER2rbV7Y1Wd(tBC(hyr%b?1GXUcd|?z4Rj;wao_N==_wUDyHilo;v_u_`-ldJ3m!p`r@y~GF4!3K` zP4n^Z8Fmx8CbK>5SI-|)pO~h^AVEW)>Ll?s$AXiraQG<1iy-t)BDMfS_6m3tZXLJ zdwWJ~xU*7mdIfZ&wEuo-kTLUFq?n7|7L_c!Y^e=NR&s&yQiqSx}V- z`g*M&WKPprqAg+p#cTfH$93>-j@O(jiq*<7br?lHB72DSNB2 z6RA+d(9R9U2e!ZpZW|FUM;Nt8-Nt8;+AHoG?VDCw9cYQkwM)O{-|x$me%q{BJpns+v&n3T~LWU&t&A6#-a|M?q^ws7uAuY-gB z{l_D4(pvLehNpc$D8oWiurPDnx?(Qv zib_L~hQx-(lJ|JwcK{3h1UTbKQw8(_EZ;TKfY7_Jz4m#9rqX(J$RWp>-zE=^y#xb^WQ8TGBBdlFE#!nJCU;Zs^{p2jY#$NM4s9`-zX() z+;NIx$Tb~BAv<_IXvAhU5{NUF7h~j%1kF%n^8zc{ps@4`@v;`!_o&ulfvRrG);bvA z2)SWhMAQT6ggMkSY-wSMKJj>Y$SyD&9t!te8X?GIl{>j+2@@#<-C~g+H>gV zG$Rr!5@okqbR5J^c3!2OI{`#DiuGQ3Q4p_54Q~On_s@%7< z_SweRW9F3y?)O0A$+8-KZ008@=?{#p093a3UU57{7S&fcniMk4!YcbvVVMO{$Ifc+ z1Ho+HPjC+8L6q?R|Z_tZm z6Ls&n)-HV3+FX~LPalD(uZV2R*C3y^xbgS@`CZe%H+;L*K8L?Jf{KP>#L}mbW*D4` zaq>neI|_u7w@oE(-HXHfwWU+mc^e=_sskQ^rD z7y${(I2DpmlAr^8hvhE_@-O!jz8p>|=>1x0;2kJNlydOQ`Hm48T~Y@|1i=+v7Pmv( z?6Sk$mjF2-z0Ihpd2+dEUxMhK;UV|t_aZj|mu7d&!FU(~O2m1Kdps0FaX=ZdG2O%T zwOQ09{)htp3#iCw#X}kC5wN<#>-M~eoh_4Pdv7Jl(S)I$S6zBrD9LfM6Z}<9Rr;

    QT|Eh3GEAC zw+;o3pf+r*>_iE4{FppFeD&)Lrcw6iLL zerREVcg=$jrggL?%__Vn!j9_nj~*fiQ;W_<-O7&B-h)qsG!L@{nK~tOic7U94iCSb zFRs5#9?Z-AKXB|e1?|Eyki^}0K+Pzvr}whAh2}b&^7=?bN4AhQNG{Rpu%X_qW$lV| zAkb~8VS?A(T}Oy=-R`vhxvMaQYq=>d$Gz!k0Pt~Nl)Rx*UXRU9VN@xxnWC-HtnKI8 zy6nO~`(pJQJB^Zm-N)JE$xF?8n>VG3zm5U0fYuf8o@sx{bVngz**$z_%^tosu*cy$ zAbhgO{IMl--1SN)gPr0~d2NP!ZtloUtH5r7w@dMkr%`aJcR{k5BW-H?A%3i+xOBKAgH&V997W8jd)1vLa;92guY*19iVToHMXYllIcPi0j4 z6KP0j>iq%PAO9IFBc{IoFDjp0oF!nM@OseQ82ahjP;TvZ&HJS9NjU;Gv0G1PT;#K%+6!7WX~rMM^&f`9-tgvWx^ggcxQ$43TrXMWZ8~?daWL z8rg~g-ln zGdTywE>FOI-p6Kp6m+6L9;MUB2RbR!m9(J^hHQ-(87T4r&HnitZx>c@D)RTIhz{QT z!GI%tY7Mk7bnaPSIg94_JU*1-|-_|ql!DicDo+nNs|9wAaZ=b1k+B3xVFf8}1E zTK~Lhb}wFNS?ox^k&=0J+kbm?+ZX+p+dd?d+MU}A$}`5@abnXrW9g99Tw3ZH>CouR zXol;t3iEn3+;f42Y<}O!wj8u>nhg`tFH-F`-3?u{FWY#YcIvfLk+xjB-)rJateCi7 zki$Y85i|}YhA>xA{YG^B)kKvh{FuzOQAXP|J8BB2Gv+CN`&wkM+pVg{*jrV{-e6nU z>YJ~b9Mk>%?OW-0fbNj&d2&4oqpPG5;tZ?$4h!{ktr=&T32GQKsL=^-m^*z|plEw_ z+5bIqo3=guS2itjG?iCge*`J1)Opai(GRMq{FI)XU0GeZDgLlpsxTKL;FDR!P_Bw? z!Oh&?l`s)nXItH>cc%+#KkFcuOc?C1d+MO!8xS6{`bFdoiI2ucx1jvN zjx~BtP}NCSP9>Aux~SFvx$0gZ@k%YHWOUOz@Q;=Ho!Z&9Rl3FBNV0vdMg*_NxYg>6 z2Y;;MZF%nJ6ke6)7C27=<;Wm&nryjghCb>(Z@H z_>)bV>&D5Ezny$ZvLRH1n*>8`uw&ZG_Z>OXQ(A%n4dX9pS9iJ%8}F z?^ftVz7L*}tkofyC{V9+5bp5dVhxKp7Dx_-;9|DoTg8jCB~lKh_BvebSvr6B;5Gd^ zT)=TL(2D$!KdNLOsZjR?_y$4*86#dJe99N9K;4hCreC6W`bdqjjfkw08gT_uVDCfR z8KPgm<+rCm5~l88!lmHnk0;9}fD?=MBBA5+dIl|sKZo1k70OietM$kjV?<66JiKia zGi`?AP&L=l;WNtiax+{9`}H{x0$KU(dKhL!`C~UQ92Hueb)UifZzoG^qiJHPdc#RP z*@trN-U=OjgCqz(qLTwp2#(mBtaI{ zEz+UYNfLU2tcXh}!evwz0o5k(A4pF$(yev{xyW}Y>-p{Fs+}BVZ{HeyKpBG8C z*rf7aO}M?|N#Zg=QF@fct*8+*T0PCS zmh)p}2~e>zs*>AMyzX|I25+-FyU$}UbIk`QHg_~l!uC4ABx+qx6oM^Vt!+im*=@(^ zt)cP;zqcFtTvb=}L*@Vdc;@LZY3T0OFIRfD$+n&RnB$&PZ8xuQ7pJown{+4It*Zf< z(RL_JELV|I zYt|eA<(lzd#c@y0<|+s&{>|1>F4YJ>QfYk>`0Y|ZF0uFKIzd3@c?XzR72$+se1Htf z^}NmT?RnbjNu-2%TJiJOCZt zMbMDR<&6jxKPvl|?dgTq3G8L!i=~-O{5T1!HZdE{_$8ylEk?ee&RhKp1dGu>*=Q4p z!&kbovYz~YN8)EeJ_UEQHsf)Sg(45~KGT$7iN@cT1dL?QRy>WPz z!;do^KI+~ti>Go2qHho`4r}{+G3c1t_Gly6n4Z2mj(q3I44Dp>R`EJs-G8{)S@a^X z&-3Jn6}`?g_0K(rB&$vl6V5ByTY;9&2 z*=|arHgQv%bp&EQY(dW{v$9Khscy4;XTzAF#k9>zaUD&WLB}+w10vpX=T*c88+H!d z#kK+0MU*V%@|xoi+0iFVYvF<>l1%biz)AEmcfxf8bNk9pZRi`%2ix5`pfM}PaOQOW zqwI{KN*umjpdJd!y}%%jHEVn4Hjd=UWsN+gv1FxZwEvUF_mw$%{yk^ZtN!_fPrIq6 zrp9@)K>##CAy^Z+Tcx74pXD36ZGD6FNp(9%k=c2Z)QoMZdIdmPuATZa%K_u@!!`d4 z@zW1+&Xy7W>(SHpQdw#q_g$rBH}|9OSysD&-Z4SAa2#W<>d5^23;{MUbmM#!zhj8FWQbig9t+3z)YY4O#+$C{w#|Fh8oBzfjU!kmYk*B z?c<@-E*?xC>K7DY5|Cg@%0!2;0XK9Q)|wdu6&S&J1AW@0H8Hz5Y+)dWW1<0n<1TAL zoMltav65R`0p3%y6Qd5ux-s1ODl2H2wi|#Oq`Fu)gxn@@Rd_DJIAXq(g@%oWD&vME zOnK9nK)gmvb?sj`hou|nF3A|#i7%|};4f()mmB10$Uu&nLQj-u5QM{?*$ivz_wa=U zKo@&APQV$VJT|z&dKevLD_5F+9B5OZ3zDuR;S0NH%;R^ka0xzg=L=#RnOxb{i@CY5 zD_P{pH@VcJY?SNNI?Of=i-w3WM$RFh37gd`Ro7SAym3&_W&vZUE!M`gi%-V# zC@*-o^*~G`_~S7{IvV+a@Vh{JL%lQ^-i3owYueT!&u4scA=s$rP)}TF$7{5MdKy-1^H?v~e!kRZy79h^70&gqBfn&tw(#5{xAc1Ab4L94T$Rfg z;)Ha1A*ugAq92$!Z1~?DTf*DX+`4xvRob7;%*+l4$qL7{pEZJ5R#Y|@2>vfIuRCyK zC`mRpiGRF~0T->EV~PBkfjk89Z3=<#=k%C{sVX{P!%hD(E;pJa3Q1lGp#;}3MH?0QwhT%>oMd75M~c5? z_Zdnf58ylOKMRl~cf-yr_jBNQ?P(PQ_SwC1hN@@wP#8S#^};IVe2afnTM5vpHB^FW zRKJ9E?>6~PTkD#6dM6m0mZz1r=8#&aL{|N zw03<#A6p}BB9OsM>YUQQGQQZJ>?I5KNTG${ zq6Mlcw0qiZ2W?OXFvM~(B`q1PjkY`&cFRt(-?HCJ)P=_52eiS>!}!)^vI)G5i%>}O z7NzsBo(m4Pfwr5#KJ}T|d57HQP^Uj}J$$P&c_Y3k;A-lYTO~%~+7a9+CINz@NA_y| ze_VKW;YkH}6Fv@jG61AdqcJ(~YrbBiU4Pd5;q3I%fA$U1)wUpYi_9ySd8}Il=vl0^ z%2;b>yw2gLlo2>PX3If^=;LH4GfV$h~BfUy0)p*)qANZLS4K{Wu!l z5-fxj{}lG9{|_r0q}F6_Us@OkZ-}IE3^&>%fUwm37$J;J-VuQZM*XwgkaX3#3|Ut2 zly}W&oIQWOXY>P^PWRtswCp4dx@iB4nzQUdfkZT~%`K?i-QvR5IA1#x09GD4wXyl# zzrdHT!@rxrcu8&*;7~C~V&7NZ{$+{ z2%$ZrlW-@T9K;icHXA5LM#L4Cg#@vVA=0rE$D&oT5ra@;{RqMkqoX#en;~^%5Fa$> ze=&8IL2-3ao5fv&(@20|!QGqS0RjXF?(Qy)HLk&e1PLB21b250u8q^UyF1hGOwD}r z_tdRh)m44!+AHyc8bOuZ__~t@3WTUZXB`P^;PDtrlcbfy`xo&hXjz$APKg z#VE_1?y>VZE_q+>-LeU|SP_i0ou&+z;HjZ5`h#L#pMu?9|0my`{y+8nXoi5wyiq_q z4sZctN(zO!tjONnZIS1W#HRl*7(3%=lJ5Ke0b}E%mUrs)HQ}b)a3)K|Ay!(75^xJ* z(Q{2oPlOou@8}Kv{2YQ67x{b|Zau@z&k_O+)RG!MRHojy|1r2q_^*7udp!ZTc5&f| z`0biE3LB))9vw4#YNG#-ne?1%sE0FKnk3Agcx!wcTkD5a52*QyN*0F0ZlOJ;9}(UF z7!84Ggpq^q82GOwaH5Wzs$w;y0y|~0Q97l-&P|a{R8n0fKa}kK^4~8>8R;2KGM?M* zvv0vaFax4mE?7Mn+i%EfB<_y{GDa7w}v;(Xp-L-3;(mZsnZ>nB|3K5=R86e!%cdqvI@ z_~TKsxZ(Dyy4SmeXd{eQv4uBAD!WVVT3>}@Z>`sI4SDDwob=?*XPcNue@Sf)#^(a> z4$O?|WsD%76tNy*#>A8PdO6(Nn|G~Qi8i`tXFGLW&fM+WC$w_QdE=g8PJr2=4XpuD zvmWs?wUMxo{>v=e3(n}w#~^ijhYdjcGOaT0P?NlyG(P3mg}WE23%K9y;(hJFXedQec99zv$u`VQvNP1MZpk6+oR0`Ac&H!P zZkAzXU5)S0$&U&C{ECO^OBMZg>KkB(&*-<020h3keyHI35^)Ql?7DcrS0CxPncGz& z-!Vn+PA|cjX{hVtu4AuDxz8cYP|RX%-c!{f9sQ17%g=XY`SEbMazzMl5RrpLcDdaT zmbx-JNAnpz2opT7US#Ihxfa}?-=x`3eBL(N$0ap8kpO+Cv#!cV3*rwV>##vM=;ka% zEM_z9#c!PXjI+Pl28N*4!Gnz`NOJpEq)7Jbh{|~MgCHU8LkU|!H^|Cdg1A0LA2Lb* z0E!(4DEZK@(YeRP8x`$mv$|}n0Af@g#S?&sj&-Y#QLwI=jORbn zJVXzxn7}#y6l1=vl$g1TK>v56HxCKU$#5#peV-gDaAyg8DL@FOR6o|1La?ax3e5MY z_)kv+N{vG2M%k9k-589St3OrRHuWuq@ln;7(RRM@3TEr&+_yygTz38h`3xL%;3I6o zpEi=MGEAd#GM2~tz0NpAIl);`@#p4>YoE~&fXa$gN^*WGfho=qQ`E%Q$l{GZKxr(t zCiRwRq0Gwf?W?+VNm%N8~&-MTs^qr9GJd{h@|drpanw+=R|tLntfM7JYN zg`d8o*xnw$yYbc`zpUoH7h`toCN@~~5A?qSWw3;8)1};xoq22+tj>C$4i0OlRgNs* zsxO+wvG6*$iawqdA1;kDCfC~+FDV7+%>f$KrxF{8**}~B2XipvU-d3vn!Jf6)NSnQ zs=ey_vyR#(80GtNEP{{g$jqAcE=Ch}!Ilwxg6T(y-XPgY95&4@)?fB3AjXs;QFO(PheWg^-| zAjbWM-&lIi2Y*)I(6t4_`m)K0R&8KY0D?s$dg9ndaAOvONkq|3;Q9O#HY5|0#%*LRIysQ|qy49-W zj?hM_GsVDh5_@eG^2apj#SoYUbCvao$UW)&MDVG^Mj#>()$+J} zH3st%$@wGIf_;$p`b(%EoM=6;Ya?L{cax@UCQS~g_3oKkkf>_bTkf%cfT}E%q+b?$ zEm`PWm&mkZFMCdYLF3y7Xi+f8gS;k?kyxyn(Q#RX5|hA=0K&XL=0rw?7wm?NQgUE@Pq1C3b<}&=CLiXTn3afsm3DHw=x?5epO=n05a_m`8xnqd< zL1m_;OwxVPlP+kM_?4CJT!xd$oRb4;!HcNCxg+pcpfl{`$I6aOvUv|pph zx>~#LEqW20om%H^G5lxLDNZdtpEczpr5K&cKQ)*JNdLObev!!mJ-U!>Of~9ho7rkY zL61z7E@!VEx(%y~e%}LVZBD2xF2-}{)HMI(&y{#h^2`XlG}Ki^TThKSRB1=X@c=`5=0Jd2_ER8eiW$9IFT z8YFy*|9O2*Ha_e8{NuwiX-gNs9w9nsuPfq7#!ep3FLtO#7nS;4K#5Yp`#HqKTzR7>wx4Y{U2 zHk^7_=vhp8$E0-c((x zaFn>tFTD`cyTf55>(Rm!D!T}p8CMbDG8HYz&mwE0h3Dem0)*qGXyNH`+=oGIQu|13 z%|dE^)l$|qRdEI;%mghV&Oazyw?s1VbCo821So!g2~F_qw<)MfqEThv#8XZ`&JABD z&1VR8L~}|)loUnsE0EiW>{h#E2d$&_s36%MKMsuhu4`SwsAAUiJ;K91O{jDfF$rC& zp7UD;VmfEPPnO3!j42;Uv3E!dD|o2t2H^bI)Nc(T86BqV_Q4 zpVy)sUAoh{Snij5-`g^TwIApeQ{Bs0qj=2g6=5KIWiOq2N1MX@kylxn zXu_$42r7H;a{q05T_Ji!3Yhw*#)~Vb&4yfzVPHO zp^!GSAFWh+@y{zhgyC?aEpk3bNJhuE6n5qJ(xrdndYr!XYv<0}(ZW0ZqN6Zo`lG{v z!cx0w%B^$nJRO!@e4U-mlelqt0OoeS|HkUIhr~lvGv!)$`+Z}VZqMbqmrjv3`cGg% zr~;*}*Q?0W8-gCLz(+D6HPZrPZOoJ|9@YSnc^fITNg!cnT;AkiG%LB1=_+m(20R@A za^(n@{#DcDLtk7D)7~Z{n`G&NcNR!eKprt3Z;xjk{cD|SQRTq~pdyY?Rq#xrbrXIK z8yM=dF?5yzC8b89MlnjJ=<@g6^$g3qfp6^`53qQpBE?v%viqnUd9Fr!_LV_Yzb#%8 zPRkjHbf!)H2^wH6MR|(4Cj~c+~vH10OMj^CuFUN85 z@Gd6sgX&%enTi`=9YvES0Mr?+gX}ev*O+vtHUWD<+3+#yi79BKmF%eJFy;RmB;F!I zTO2ykq8QG!OnSqyeb$3e-EZc!N@ZioTh4nhJ^G2X{*&!;bRMf2s1+Bnx8Bpv+4<+3 z1~>*?RUOnq)n`tn+~>qAqqB{^HU1yZt|DxB?&2?tyV8}NyTgQ?$3d>5hh}{3w<3-7 z&u{oxSPrZ4_V1eM+7M9QvIaoY_3`>g+w}lp_v;^IIB?0{U*`GX zR}NWzB&F$5=|b%R(16?`q`VZoxeTB_p!(GH}oT4<6{-3+%}FQ z=xCpjYoxIdr;fUgx}KcBe-K6Yd&e#Q8bNJ>NXm~VRfL)&)$TGYMG|qvBwPOvB?W0a zFnJ6`j8uA4G?Eq1pKy`lQe2!FS%<#ONh7*GdOuPm-dRlFZ;J+@4d8GC{9{s`*pEr) zP3_vX9k^AP;~&I&fe=l~XQhOkK64t2ffrduG=+yG+jbe zv+3x%@(zMg*&`{mVVYmUP0CTwHo|F)*S{AYD!w)D*m#3%&uhl_$_X;If~_??c>xHdA)nT zMe4!#0K{}h=`hJ+H)eg=W!uRMYC?IzP?e2JGrFrrWagxSu#u`Ss^g>i+g{{mq`6-QnFHWcuvm?3B?*$du4e+r!_( zbMEzEL@lkd-z@)B3dET?u9?qvUOdXn4l``lLk9kFWJofCV8*JjB)F5$hVa@Nc&KWQAQ$E#oVc5%xaxMgd1dW#^DM5 zzlg5X>nEo+_F>a6lPvpKr0W2wd__e;pz)0JSns@Gkt!;Gpjo~Me^GC@>+`P*b~hvy z7gu9CrR%sjBiZ6fyF8Hs_A>OYuT{x43&-m)cTVdU+%E`n-RkGKiyw|qmL$cJ1mx+~ z*FTY8X9?DX#0x5K{s=b20>9(7bk|u!6)b;OZj{hTEB6Iw-)7Y%@D)L^ThtDfG~rlV z@)Jtb%A!lcwP*h=vQ;Qv6^T5|==I1&%|jt12u>Sn>9-cX)Nl?4TJRtvz~1KfPaC_z z`M0iXmnI4&uN{?r+@#Em0CJ0&9(29#9jMF140(G^u#;QanCbDb3TOf*1TAdy3fNnd zvNTp!KcH$(^Fv$&+wsVxj500^dq2AW-cOl&%R7=WLc_^U_DqbYY*B%Am%}d*;&tSz zfTmdy|RRrjLZ&kIpYKePD?*r_RA72gI- z_an03){~sM?#=g6-0;D7ZZWD&&(pJ#WBPZUVJmX*v-UG1iPFc%nk*_tm%84}xGU|D zw^XP(7-%f+^Fn*N03BJp=!@a-L;BWF;>wy^JdTcI42{2T&B`VI&C2!K z&8IspeWwNv9#BL@@N>B%GWbVVxh{W%{~z2Y*p}Nt`cgyz@w`TK;EqKvlld z(L)7JSF9icy49?+mp`9VbYS`M3U?QYo%_M(!@lJFv~Li1-@7uDJqYU32dibsYdpgs}UbFFtyhDoUBHS6b%OoHw|c#Ul< zt)lN!b?fd6-!co@s!=_Y7k@FuopJK){DZsBms@^b6fP&YOXTUpOZr7;Tx2tC96cRE zvVuAOVDFA$sV+xhkaa6ri^yTV6~V^X@E*xMWR?KSxvlFJ)m~2XlenIEcYYATx{;rm z7li!u2X)ob5}Z-2GOtc2#;(gJ)LWtWUC~2sgvX-XxCU9tY%bgWKhkkSnvCbwb*M2t z5ahL3K0-T$V+YBulELbJj-N^dm41`lJ`CkSO(qaV-5H9I%7XV4<7sY|VOU29Cy zBoCbyIL}|FZ;Da^xZ>`T2%FBA1J?2cEaR%E%(MRKR*s5U%z9~?#TtniZn+MY9CQ^u zj$wDICj7c}H0K`e^;IZUIQzIJd-%^%{*)Jk{SrH$9+dTi8^l`LE>fZ^dXv7`WfDh;%-3Yusy@mksGAG+$DX z*_-@tQLo1l#A9`&e%`S371mgt)9Q>TgTB@pIet#UAKKeq4nQpc_VXqN59_j-B|3g zfA5*xset3(I>;R}o0x$O8JR-sp5e&+hvE56$HKxwX11AAsBdRsyOa&Kqn7T7Z!)B$ zcDluqxm-`H(Bt1X-N86jpEbobOVDDwa_fw_N7VEMENap$E{AnfWN%uGqi{5-d0^oC zXJjU6&SCB8uvy~pD&=}og!trYpU)zeo7$&ux?X+H6H=k9sWM#iHnY?ibqN%ZS|!;l ziQoz_U@TW1kdi7BJ9+We-IIRwTA@30A8GBs^tah+P$qk!uD5dd=^1eYEr;w~`_Akt z*x7k=m%Uy)x#kq;v0A6z80?E5`e>C}$4Ck}_~&N6eHyK6v9u^CG27!^^>nf_aJ6Eb zvt5#(U&|`;6}DjNJN(t81XI1FeVTjYlb%=$XraFkIqMF`3J@2)6e zCwrQ`{23L|l?IzySXf$oEL98_)>$QAol&j_G^p(Wboajl&c2T5IckN2>2w9>>OCb4ovQ|$5#af}&>J@V zg0C>HfBCM{-Z5pobr0h$NX%1Ga^Xlc51pDroS62%F3|GIqJ1`^i9r&_Gi~X@p%Dre zR*Z)uGGRp6x;d*MV)G96rcFwG!J`06M2bj~j+XqL{+-uIi92 zm`?K8>VlyE99B}FHpuRDRL*gh`iY)#@9>*KI_v&{eiWZ#=TpL|zUP_WWOStAEvi6U z2B!*53qwAS$$Z5|WjWP`r$ zclHi^NFHBMhk(Fw!6*ah;|Z`g<4Y@UcyQt5;L{1!1XH6bLT6qU4fakFYD@YT#W#*A zo4TKh53*<7i*?Ekr=;hhEy@8pVwwSkr#w)NR9N(=y<_gENUw|yBD+s_gLzXeYID-P ziW43iUve~yeOP^{=wZ|(Z;+k-`!{zE9dFsc@mT#E(a&dfCT?@^YMnlF zEO&nly-^r!unmBU*+OaxE9_U)G!E;(#R4*pQhY#vR?C%=Ej;#3p`Tke$|sD@Gn%`F zr-qrC#2=PT#1{LUvz_`5Qyp)iKdj(NlilGQedk`_Rd>^#z!xm z4Ns+&dtA>^fR3t+k0|o%eA*$27?0$c59oq>L0QQMXQ+%=JYRp)JADX|V7Vp{#(6;$ zVJ3Kto#slX?oD{7doaw9Z*l%%TT17=H`+n>b{@NBlQfR z63rd97> zMM*O%aod^ux}5h;-=quA*iQyL1X-Lh=Dve8?`5seQ5^NZAET}v4(x{_XOeRDS@8%7 zJQh<-zqjM_M_b;#UuPCmv_6YUdA5aF=fw5QhrB@cqY{u@{qcQ^r{7r>>RySM!>65Kr2c~tHJ{$kEQ0}G@=PXqD%KC4{p z44sWcuk|L|NPVIk2G`s)U ztX`ubui=#&e0W<@TI(pHSUAC2P8(2lmu-HrNh^GLN;kLPEc@%>@(XBKred9OY!W3? zeLrLUb5!@ofwAp+`j9~a)=Q-U<>n`)8MPL=6I&&~f>EU?P?kd+sLK+Lqkj6sD*0(> zW*D4yKb!3`NibErVU{dnmF=ok@$ED?Y?xArz2$8bb2y7npe3jFQ#0K$a0i>Ze5Ls+ z|LN)kc)(l<%^yB_(@swHEzqe~XttYY0@WMHJ2jngrQ^J?x-_R$7iqUhAMv;SiN9*Z z1X=OYE$S7Z3Vg;bTPVS2fzJKbu&ZaV%GQi0t0aoYnHh$Uw^izttFxWl`o(8oLzQ#! z%ctU5?PVV9c=en`-B%Q7$8vl(OH_Hdp|QM@aJezJ%;zz8q+9J81}Up?FD-wWTslr4 z2Kz|=J}c+2nz@58uE~X6W538i@wF=BrrC7Mkz77rTK z2q!zzET2?pRZi>FUmal6h~7*2bM>c?oXfX4MemnKsg^aL$7PFNJ4E~CpEx*WtTKV$ zsSG!p(&EZq`P&|+is{)!lN_}+`KB5m`j~8((()?#VQ>X--lVCWQCYaFbJ3`lK@D5mGZ}e{PQLV_vt5#nr;SZBy>M&0HQCKoWAI@KQ7px7IoP z=xf^GN*yqsEk;(D4^7&loANkO@4Y$Puh!*pYzhZXNq9_;&vYx{e7EKywQl;JC$0>g zq`#IGRLWhJ)Y^Ic)ZyYx8}!o{RhhyVf}W)kxsMcwH6&NA&yVrx7LBsU1?MSzrP1}p zUAAgmB{61$r!M4r(rTagk&A*b%KkANk%1RiU;0eC**`dO4%JG?U3x#g3+^1DO)3DH z5*c$L5N?m4idZ-z^G7{$9LqYIGWj~uHILA`U?dpbBM-~nd(w^*W=VeRvSGN+1b(u@ z%+`gfoi!OKqYb64{=-@1(Z(nuCw>pY8mFLnuM$?&>V_h$IK3?5%z2!>3dZ&R*gz+! zwBEOOMDK1Soxi+KlVDU69_bVrM~A9R2<<%_omq`y5DEle3oIy#&qQ2fZw)hB#xN>N z<*k+>|0tOr6#SNZCtZZiKquADj?wOjHZ&=e9?j(mHZdLNI$b!esxYB5hKP--Lu|{C zb&{%2r7DLOTHPwg6e+~3f_j>Xfk3g#$&e^lLHic8-hGvJx}*C~9T8<(dzYRc^Uj#xu{#B!!wGFgIL zOch||N{MVz3WpYZ+lh4kR5*unF{4uSsZ=Av34<6f7CC|SW4>oV;1u_HvTL5y3>MF4 z#1u)XEMjt{XXJzDzeM47EU7jJ!*k?WPvMWdj_PL~P@5jhs5y>>mWP|Wo6UV@UC5g% z+X;{MNGys}fL44)E+3|Ao%5WqSm!O>jm}G$PvxuHMEUb)Ar^>D{RTb$XiTS@OpIJ+ zt7%BK;Z2l}P6w)1faTF3sQv?}9#W=VG#AdYhFZoY8xuPa+L6h<`ndW?>IVl(9FBMX zkRT8z#}hLyLba&O-9JeUjJ$qz4nIm^&`c_-?D^Amx z?;qc;NxW{gXch;c0|l2ZM?7^QdhgR8)yff%Z}p_J-6?U3)$E4Pl|Bru(Qc@_1k1FtjB`JuotWyLP#iTUBCP; zSPc>m6j<}*=QQSl(~FpFXbPc&KMth!jLRiB!WjRV(+7wIF&TTx|ugL(9bzIu88aPJ*yF9n%*Fra@ z4xg#J156o_8ZLmlK{*{Zf-(=YWTH1oxf|jR4g)?>9!Mvq;4J_FDb8#43$;eOk;mNV z-;%9Lpkc(@%lZDd-C8#CUFuZNOzvWfmUiVXZzIH@!rRq*A51xgDqcag*EN_D4JH!s zMwe5_z5wfrmT%b{^8e0AVKXY4hjbR*l+Fj_FcfaSF$brvGx&Y1`DXriNb^6kIrhYc z#{UUbOYa4rS`==)={dC*8nkui)HegWj7{0kXg9o zO8(UR)59^=H|z*0qursQl<-^8gm#8K?8|_eMNRX_y?SXyku9bvk)5P z*P(ppUEo%KTsY@FX9rqD^itZ|;}A6AXJW;}KJwsxJl|60R0?0bf| z;{dfZ>s0p^X^=b6boy%8u#;tPlTr~kZC}eP3jI~t*4Adkzq@BM2w_{YxCj}0`1JTtI-6P*43*urWQs(e-yRfWvwmLNiAPBT3fwZI*cuEK7bb9XwHrsJ#D&LIOcrE z=E-k{t(NYm_*EvZegLVYU{{G}%GmmEg+2*)HyrgYSIQ31>nP9i09Cz%UU`1@XswTD zC!lhp2HBUiH^i4-p2q}t80KzG7?A&U{5R2);W!5&$bM|5c=_^rYFBj3$D;O;^;O#D z3J94g<+oY&)vFsDc%8{wu8Z}qV&+PN;I zIZWH=#F{bYCCvBACLk^>89OW>X%=cKDJiQ%Jdz3=ns zxxfl)lS$4NG@vz)MaBBX`NuV%vx+syq>PPp-aLnIlN0J3XnL|)jN}{) zfYI*U>bXRVl<-&2y)iX~ucBS!*MYMOZrV#MC_N z5opV=k%+Gp;RD3gC+3Xos!VpD8$smdalB*#V@DwEXI}nVOaI# z9umBGl`Jq|ee$OSA7#9zxv{$g`LS=>Y5B66zw@mD(smi1t$i83WYJkPdck44d2iw4 zw5bmkHVOBP%_w@lNC7pBIh+G1tWG|!{-Lu|8{YMWpML>F7dHx-I1Dvb59I)jGGr$v zpL(tK$4qLk*CM?i?T1~#T)t5$G2Z&vtp;UMfJFgp_N6;4?4Tk^0`y5dikp>O1Y|O8 zJDWu=xAT>5UnaPXV%ULiMo}z3$*G9x6o!wjO|WN>TU}rk0`E*HMQ3fZB6u@X3G~<^ z5b$cQk7W6yeZ*)+3{Qn1jEmEolN%Xq8T9hckK&qyj-+^p2_yFQ0#L||QhA{s>GBa7 zbG@50Mv?}l5_#e$jA8B3JIPcaUeW8a77;d={<;oKi_T+d`@l=NhHI~YQ!tno{@CS~ zNHuEA_O6l#o{pR_lIg&Y6>D(g>?>=}9m5uP_ei5>H%kcq`8a92ntxmSxg(SJ#k_57&Y(VgIqs@85*~A7l>G_yz<8r+$CZNgl!deg9hF z#CKZmq;Dy*U0C_E^*|5&Fm}2ZwxiN-#Qu8Jx_V><*%G8|Ql+`1+vXu1r0G>0Qr$r0 z+#LKw(1EjxSU2H1|>B zGUMipn)}V7zD$hCWZr#plSgeJt-R)dPlZRt<`^UY z>2z?wkiO67+H zH$N#=FXJF$n~)mk{2PLvYf|=dj;*lTnR3Lb*|Ub`zgirT#M@n69w> z>a09Yb)uW?TJ@IK=0etHN^!c4=+V*8k(NFFr9lw(#gJhGMVO!CIBFexz-L>iR)jiu zi7C`x_OISGp3H)d3}a7|fKJP&PXNOikX!k)P4xAudvrlw%=5`@IT=Ln&FjnLFC%_g zyXXKKz~lVRIXN&U1ZsViPu4y!;!~4{O z_DpQ@+IusY*z9qsvyS`Ci2v;_PHE${^Z0I{9BR8z3We2aTCZyfomcJ$bahyL#&)XX$b4#cu6r ze$k8dINmsv!Hj;a1e--c#sGSTuu08rtT=lw|}%K|0!IN#4YeSzU)OIIt842BIM~d{x1H_ z6oo{jS+ZPUkATZ0q`LM`cqRu3CQjd*YJu2Zy_|3b`_`3#OI5{(#qd) z&;TgMj6YH(0b(2$YQ*?Urq@`RGR0`CvdZ7t@w~HUfQM+O@A3jPjVL%4;E05y-6#cj z;z%b=_$TXpar z#Ef!Lb)_Q6p-)dUu)tclFiZmA=v~(b3I?4d!ZIP){0ZiZM$I@jCD{?p8P8$F>BzvZ zq)*+4<`3+!A7yb%RO*u3trP4b%MlTi`pF35T@`l+5618hVixRNzn6G(7WY;nz%^#s zIswF~lbA1fTC4_*3N)9md;R$5qu2eLQ~ciHj%g&zr{iJRVswAmpmWSGx^gVd$kRef zn<5HrlyRUhD}yQL!qjmq5E0f9F#b4B&5v8*^^CF8M&=->(pkfQaTa#>mC=7|p}Pnv zISkQKC_3}puS~{$v^!z?P~$m{&RuVUrQYxZ_T_X!^ ze@f_h8n&L%n%uvm`(?s=(Ap>-V@+JQeFM5#MaW-{W_$6a4#*iGrF8Q_G0-z{zwA{? znV;5vdu9SZr<-mnji;7#zS<8d;&<}jo;Y2f7RzdP-j6c$MyORx{WE`jg0#N+MbKwF zMa??B2pqQF+KqXg0d@kaTkWe{;z7bi(ice+9W&dY4wn_Gx(z$F*LywiE#JiN^`RPv zhz>ocBisR#Md98MX`{;+QkExQuI$~UHn9uqE0wPN92!}>P^R60*K*6Yr~1dT2Q^$W z>hs4kkihZdyiQC`d)#NGjqjJUcJ{_gEtN(!-V2H;*R4Z#zFN~Vn34P`mSAGx7RC< z-+YsU;cqPOn#Qigs*;+GNpt(OC?3M%=;<%2WU`u2{GlhHgb8b>HzDp%4@wqnjbib z6Un>a(kI}ggtNfsO5T|x_{G2r^`;SsrtI61Qq_Peim=yf*@Sd&vV@eSv`bkH?%t*E zz-Q@Pyhdp+PaX3u&-siSPgKPkN@;ZLe0)y8eZXiRj>Wi6QcZ**s)ZIer+ybamxNuK zbZ?tUGIh{{SyPRWu^U)z-x6{afWF1t&B2dnEQZ7}Buh|MTh(mRE=f&D%oK53Tm8cf z`-sMl!@)u+g3VsEODleql57lj34&&bFgch4ClFVbU>}OhgkFSKOet`tqv4vl-|Y=wxs#6XFq`r zX@&E|hX<1AWYqy$#-wSSY*q26SOdeS|Z{B*Oz|PcuGTKFP9#P4HAJ#nTzOdHsz3UeY(gG*lA zJ=;nm{LNK(@C?IWN##TTqIsCS8e=5IdZ5pu=6wjSrx6RUM`Jeml^9a|W?!0WWE`2n zS*ApM{7%v*v_eoN(ccO7%=_~~;4#9Hax$ki`T%}6heL6Iq_IBUt!Yzq5*tK?4C_De3^yQfO&yW&xe*GsS;JRL{otwb7H@?p~hel(RLY87w8l+1FSkLiXF)_Os`>kZFs zl2CvUf#V#-h~E0=2b8$v);hB*25`#;y+ek{iaXWiL^F5bu!3qA)FmISh*Qmco^dtLP!SzE<6Wz|^U4wP&&6!ne zgUzyXDb%u5+|7Qs$C`>=H}AP|Eq1As;|BMoesOnuU_M!VV)q#-V3RD|37I2T+T_R`NeXa)>_uwFR@eKx@3lnU(rMsnJVR=7eFL1|G%4qPgT6+uXDQTEq6agh9DExIn zpv*ZRHd)<#0ALaYFW-4$N_&m^At{Q9p?nEXXWGPn=H^I3Y>Eja?q-+$Mrye66@y{M z@o*Qz6zMNNu^@B)kky#f+r#)dnnmRx*&d!^K+M=k&D2x5it<=lS&(LNJQv||gSt@# zAM5rqwH+^+wKZMbdqOCbJ9z|21OzP$Uh;!7~X@;7x>ropZ8^ll=y-x#pupHj{rag z&w>FNK=7TxiN0x*Bz17oQ8~^!g9n^k5^TE+xS(Od8%YTrVSzA*tM93GXF~S@??_Wl zs*Xm^_H6EdjSX{Vo>00KJkLUST0Q9>A6M^wcJgwiYVVaRm0jHyzKUX+4P@$9D?cnY zFi@B^p+Gi|t=*h3#|s-|S04Hur6lyXEl-kBI%0z+8-FETr2x}fKD7>5*zN5zPu+3) zR&U%LO)Xs#=$WYrK;lF(p)iH2pIP?WIaTpyynxNsVQQVlq2EcSXfNF4X3OIAqxbZ8 z`bVEN$#Mz-{R3Qq`Q`(wgY%RIWx0!Bgvot`VbWwHX)iYG^vaz)c;apY!ocH9Dy^;{ zdO=q+^9@;hQcKfy1e|p^^iDWv$U9b{ zuME%V7Oxwx;`cazNe}vnqRVhOQ-Z>U1G>q3_j$FWFL}tUx&dAvDNwcm8!!ZW)izv3 zpFq#X_UHc)?0dj)_VdJZ=X3va>+`sqKty6V8) zUO+9GOqhyg92<%g5DSl649dSQJR8Ey83z;QZX>H>+A03O z7y#M-!~n(yGOFk<)40rd{qCJ(DTLJW<>Hn5U*5-&@hNN>dKsF@#m?3yS=p@zgJ#|4 zv<_?iGN^Kz74ipQRPSEC+c5mFU06l^KCb3IxSuPZ&->O~Nl!?rHx*aw3V$qrY%^HG zC|JvF4JBPIe;?_CxzZ4J0%EtXiShS*YEj)FRXV?n!og|;!=TUPAhj(aZpJP8_0&nb z>P0eFUUQFgD?x;=fM!v2GU{Tn#d^s*4CFYcbHshW6|Z<0ZoQ?^hjy?(2q`;CsqcrX z8W6{CsHBZ8b6_ZnTvJ8)H8LlS8bOp=Hf4y&1sGZ^BRdk6>GH~r`yv>iV5%=qX1|Ux5yFZi1Q^df&)t7^HY{W-kc&gF z#Og#32uol&Aj8chwGlz79&(qD7mZUFT5mlPGn|;AUGA^?$^8SJcB>#DO0X8zDV+Fz zoY^6JH}E6`4td?lYKwLkH8YbqR03OTtygk=3Ck%wA;p_f<_Ab;pP>8`kPaUcByp8w z67@qn|2y=pMBw=^AaNEXM|4XoruxAbJg(pP z=`MxHr|Eo^wtFSQu)TW2YXsvBh3<#*C^W<^~cJ ze6FFgFnV~l@3D#4>oM9*Mzx`sre6`NrvG2Lz0R@(0tUMk9u=EoDG0IM3~A|86Mq(O zkr|2D)8q^}=hSrNvm{vaWOB=G-g@>Pom{}OU?7g~Q6eCwKFxZDw71rUt~}uQZe}t1 zdNNUEB_R<8rVUv6gHO%_IYes*U!%vKt_d76J_=sX++U~~o#5a3rM~UHqUi}>Q~jMX zAT4a9KKzJz|o@eXMAr?yMunp*1fIImK*Fm<|~TKa|tZc3mo>srdte&=RDd_L642rw^cL(%>$brFibbVkU&J5Vl#5d>;flbzM!tnZn zr3pt(?0r~+Am^nF=r!2LI)w6AlidSBWnb( z7>okfzy;ECWlZQt)+UtAI)(lPSNqQB>+i>L06e0<(1U^B)BzktcFB9_Yc}!wL9Dj& zIrSN=2Jh*2+DpB{W~<1jS%WbT=mYZ7marD1t(;7ntZyb@i-X*mvG9$A!7k>b%{3fO zab`?DEtE}KarB|izQ$v17qB$oWJ0$}{X^g+aAeneqz`k|`iXfS)^cqA%eugI1dKWB zPIQAfX_T-CmL6&`;YeBWp^AE7&IA7K;1J42#08E`w29x~Bv{IK#QY&ICp%ZPNS$~N zp4#)nn;fcIcb}_X9b0Nrm%f^oH9+IL*muwO9{<+)&G*m!aIbqGjUHtXb5sO9B3bPxI$%Krr;d>H%~eA(l( zR;zFBc6XG2aPDh*?1k}qcE})&=+j%Hy7bVPPTdSctA$ao4v$h_wwFZ6feQf>xf;|I6eiTRSUSW6?);;8(IN?9i-(xIJFf1iL zJz}&v4|zfL`;1h>z9W_K=nHywy88S}BL&8bHojpmB?L2NDXLw&$A z)*-Cz=!1?nj?6v#J*H!(V6`qYCOJoG;OGpoAF zG*9rC0ZYLi*5}3-f@RR{K%e0+pk>%`po4PkgP!o+8wO+RfPD)z6yA=_JRPUuHQDgh zXb^lGdO++!ShKT9E9tBDs_Hf%PHUiL@TT?~J~m((8{A-X~Q$OZ|t6+8mN=u_~h|Lp$m+z0Q-I)q3aXbb5{gUu0mm%TpQF@wWP zz%aMJfyc4&Ll29+<*1dT9RJZHl8-TiFM_9X-k-6yKERF&YzLQ!^cl8K=u->BYVPwK zOs7xaL%|}_vZi1R;}}O>X#@Ak3zouDGM@0qY%IWOoP`B8o`-K{{M`1G`DpVJJuiKO zEm^YOn7M>p0lVCS^&2tpnl@+Hc$hUuupwsC9_C%aoFjd}UK`6}?mx!+CaW^Slu)J9!*#~!R@|ii{m`+A|F`V#3EPoK6u_9|l=8EhU z8Ej$sQ*r-^BSzTTYWQ%CGu-EdO6-sRmxIx_RQR=o#F(T)Dx^Xxq{4qN;55NsJit^S zz=YX>VY>P8o1HmASQ=Nng+O|ky6>f*+T8`U$pJ?J(zE*<>0yMp!c-wl3?Vpkpd2m` z6k6ouDTIwMobesqaL_}D3WEv*g`yY%C}qREVE~J8Gx_u<42EI&cuu{kKY6kyXSva9 za(awR3(^nUogN`9_mhoK`JZw)9ff+39_Equvx`pLU^xUBM+BD$q-n3W-54wS0frt1 zHteE9z>vDv^j=E$z(*mCfPtMK5ppA_;Dj~=*X-WYGrOnP1%}oCx3nnRtM)oy#hMk>p?4mtvwNSb z7US+wk4Zh%Yf!qf?ry2fTbd~IW{VAOS7t+t_3ig9w^_8l<9GZ%@wPjad2btKW@aig zD@&R8w^e47X3A`Qhca8;_x<~g?Ec-Ym6?{V%pTp9*{i2Ad-jOZk-lM5W!`GW6z_P8%T>hCFJhfO=oA=bY*WIZr8n#gD zwjI^g>efB0i@JDz%bV6o8R=H%-hI_|V-qx4xl&?zFLL-y!OsZe4dr`l?GPH}(#z zr$0-#e!u5l8;3+c|I~7Rf!NjF)6HU@_x@zB%rt9xXSL==$%Hnxf>*ubC z`ZG_cKlAdA7RqebelPX6d%PoFf9`odWJL3pIeBv@ zVN84WQjbw{)OYO{dgxW@fhil+Eu)_@Zf&HDYj04-4Yx%7+47!fZg;gh(63Fcew2Sx z!|2L)0GP!j*gA7dS>=KtsVh@Qo|9 z6ydcC;;cV$qCoJLtbbgv?KX`Q^SikhAGfHFcz#en&!9)-48UVwb4`P zVVq|E($O%`@h+{ix(t3w-DYf5kJVqP`^fD*8OPZ(Yw)->GDczoD86I~_)Sr36I?U^D^Uv39Hox|@{-Gb3v&>26FgSQa zeVhA@ly2D8v3U!1?$lBJ9(zJlmao^^ZPIG{q$htaJ#1vZ*Wzu;dU(9e{re1K?VG#y zM$2nuuS>rScqe z2caD3Glm?=n-GBY@F&o1(n7x|lQjYSNeJ+D;4vHYusf{dkkM+|y?NK3o5XO62Rs$H z5HAzj4G)&gn}N^pY#3TWZ%GeN2X9wcdj5Cb&v?Uv^u}|Mp8LrTqwGw`ZoANK>Omf8 zJI*x7DXX-F5s_>AH%_s(>{je4DsDwllzeW>08=I*@5@t|G3feOCP=D{55#O zuDPs*s8hfc44%Ll<_Z7LdTExgS>nMZ1xfEug;Yp|R7izie@Lj?_KT2c@_rRotXQG8 zZQH7R`SSY9U;d&KPB=kloN-1f?g#PoQ%})JfB&maJ?TW%yyj*#dvv0p1)r&!OAF zpc6g>!%cX#DeI=VfvX$EkafoFs+2oFXgRe$j$vcoSlOEnUzOgO*83w&|zRm+3Cly(Q%JR7pIfu7G%-oZTNdX~jQEMlz6X<8Rq-sT7E*z{vn zxp!q%?^E5!&rfsXTT2+9dVUO6iQK0w+DqhjFjnPM$~%hauzH8;_ce~vDJLDL@_#;2 zXaD6yo%y%_$KHEDSyg23+f7iE3<3s3P*lu$f{tSta~d-sIR^m+B}0>=C@P9zKoJ85 zOejG>6h$(EG&zIhh9(&ZI`6lieQq^}%f)eiqw}9{>{_eV4W~|>s$F&VTRT-5A3gPS zU2w{&x}aS7D4%j#^dGr=;`5BNB3|%^OLW0S7a2b|TNj*W_nvX)f&VYOP#2tO<*1WS ze)B)qD;S1T_em#5neW_x&bd*!i!AdQc+PK_FSO^7&p-EEomsxD&anKK>uyoq{%@%J z)SbTJXtgfS=+9UDO=p)cr*lp^$=iE==`uR&m}7MA38i)IjrXYOz)|Wo^9QvbyF&N0 zHf;LKUv$=4r|X>a&WZYc$;A<^?3w4CeWu}-_51wub!*)g#vf*RASIvt=6`VQymO4M zv=jQ4w{d_@(El8(6Z%|ib=tbf>;HrC7ti*c{{#K_BwL+6ZYL+k_H-MAbI*&~MBBWM zq@DrY!yLWT2n(wP_N3~&^K!5qeUv+k=QabzCW25(kc^lpjXv!Ssnb14D7vVbJ=|dQUvX;wOTTVG$7yYS% zE~!{S7e08ZPHTCBiZ?6dCp=~Ihk&Kvs{P02WH{5q)6q4er^C+#yQBw(@xH^W$i^Gs zRq$MVFbG_CM|}7b&h*r4<*~ECTi}0SAovV^fWvHf>AZ}t*#u}{3k6H@{{Z*UQGz{SBKQyHff?X7K?SrQECo~96c%3(?7mM&4()k+XgRkC-FO%Ycv8XW9*|LtzG@LsKSM1bYe2sefA3pRS#8Tuia0|H%`3yO&r(t5_!J~B5?Ui)q zsV2XdK2GPAEvE~uofn>DZKb_No3l?UqqFV$rGL9#HG00FE}v(r`;;B3(|@=wzxfWG zea;y=`^?jIK72Ly%=6DXS7)DI-k*Kdt@o(mQ}3zA^lzPiSLyhq{`i+GbXMZJ-vfQ2 zqmPG;4QmtrzZe{h<4AIs&cjEPgIY~XSu{^hQX`NN7q%O3%`u7?S z=;7C<>QP&k58Na@ZOiRGbM~vrzz=o(->c}nawqGoxH^-)gz>X*#RrNjkoHaa;2r5%C0M(}1OX+V3Do!e^0V2>wHkAV>~h zne2@~6TIi5ZWlT4hTl=vgZANvU@1Jpa0j0e0xZECaKZ7}WJ=^G?stAdfF$^2JO|kz zY`_j*hxa=#18>RpTrdKE8`{R^MFg#}QGxr0P?q+wDUg-V-5|GbaFcvs2C@`~aez(O zLcs|zEMQ|Y-^aEJ4!ZnA{fSKp2ry;c0oG9;@-(=Lj}qmPwUOzl8$4nI0@e%3vLovT zWLz-h{4VD^Mk3$QcCZr+;W@sxfM&*{=`(@+WakjvPQ&Mfz{+{w%sc4N670RKO}K`9435z*Y^nIyAYaC@H>7ar z2AJ)blaLqL@B-f`XoEZ&5*r~P+k<=fMfqH24u>^fz*VaQtfjp{hK44-CM39#F^prc z&1>)++ighr>Y!8bIURx_DNFDqSW0G70i$n$hYIM-?q}+%N3ZgO0g#)0J_Zct-Z-8n z);Rur-YMQk`p>2W;Hi}SdDHK9V@z4bgo=S z2b=2toc#OA6)IG4+smK+^e3gKr)%E4NayvxnvB2Yr2m$b7?YfolX6l{%1Qshgs_+# zNQpp$vJHn$G65wRXgQ4|)yX0VwFp}ncoE`IR{mUh1Wp8I1PO#81Y?B8`00lTHaO2B z)c8px2ofgLpa8@Ohp>InX^eZL@(7u(h%~_jAq8bG%58)#6vC<6gJ6pzEedm#-WZxu zgt8NFs`Au>@Q08}c^qkJkC*@P(DKj$$5zS{m_qwe@^X4gs`B&;x9!MNr3J9j_mHp)4RMBt90wOl+*+pAw&Ax&@Fav zd%G8@&JeO-Ho_wlqZqh~V#;#J&8Q-a9|F?C{<=3fb zLAy6kE)_re=!iarZCs!iv=YDp0h9 z3KuV-;`ZCG|C09mocb5&P>lIz_ZP5w@)wLUby7cNtezr83;CEHSGJt4tKUX#MlE$k zYlA_fbM%C~1wIX5fghpd!>9&t#E|8R(V*0__rF4i3XV0& zJG?F4wAceGP(r#=Fj1bJ+}W*d_L|v=l2LF2CoB=riJ^{@x(Fyh@fT0+@1fLT)3a=*E z6U8OQK@^_s^hSUM3Ssy@0WJi*fU#gL{MTt&HFA8SJW5B5Y#ty8Z?6>@8{y0D;Di#{ z%A+Vn2}rO!&O~4mWiXU^{cX!d!I&uSP!jT-#IsQLg*q`TlKJlC;pr&<$TgyJDA>Ux zf?n8t9c63iBYmUq>_!;=$Iy!7PWT@h@jtZTe;kFN8O~58o0s%@M$R4S<=MTK@#6Wc zFW4EL`58|rgTXCMoDPAy^o{w8(w}pa0hD_P9A@0;vj=Ee{Wy^^ULmNKXPw*ST%TtI zOO44Gt9u4jRPOpmc=_B99;stm9jj8+k5$Qw?LD{my2MfN2FvgW-uuAQ0)Ge?8*<=t z@CtYgzxlt|0e*GJ|L_$44>$|vQkLsHi)X^Kl6m8AoQoAH?08qKNFiPDx7$>2;795; zYmZvLzfjlJcu2>WIM(@Uk$guUSOijEjQk?x0JHWp`JdZlXhcvg>3%hbyo zq?e2xJYlbROPdcj)NijcWy`Bj?lcw7m8N6tKF4jxPH32z^U#?3J=5j{-5CS0p0-$f ziyl)-d2>-D?eA+jiiBBQ69%59A}VU zLPGYz2#1phPCjR(pW&xw;J_0Sb;9qFLy$+{dj#>tcMJ^)`2>E80V|XT^TG1?sg1}i zJeS~qco_YMhbQxD5_Q7!38)0ukhze(Fs>yVOG(H#lt(5b7!jEcUXRR1f0L7!xAhD% zAF>~(X@Y;qko=#VFd$MtGA`qS;SHx=cE*nz8sYC4LurE>q!~L~Pce?H7mx|#enIVmUQq@48s z&y+B?{Vr0X%fGAi*=L{WzWeUekw+ex?ZlHickZ10JIGM>js&gCBj9F7$Tj z&us0_hI2Uj5mbi!gnr6(qQMz7q30rS0H=QB41zyc$GdI|=RS0J=%LV~T6vrv38ede z-}fGDi0#fD4yiNY+=`lSkz2{x zW6u~_|Ipo{v!xz%=;+ctkTO13nD_P@Jp}#cbQ1LHIPLn0n&_6XE#gSZeCD){VwMS} zEN>b5bBzGVgznq#VQgs2&t=NQ%i+j+zh&yO`I77WT*_U*-eY^8$+>eS-tj#4PUp=V zWxgl#0LtaEd($lQ8Se9IDgNh^|HA+A`m?kBm+D#np`0s*HOHNLw(joyoVrcfq4wjy z(X|cJRp=PwB>`)!o#8wAitK!j`ZR8=IgSGjg;@G{0GXD>38B;+5g*r=U#i4 z8V~*411b6BH~(js$z%5<(<@FV>UG*Co)c)yHOhsu;d?52XV-Czhiv`fGyI3G z_n9OKm3=TRpk{YP_eUy~7DC zB_H&zJD;)d$-ID^ivRwS|HFTw{&)_&F5L4U$bl~+mm!}ary;K)w;{iU>*Rymdf?uo z$DXL09_*#`PdBQ|7u$4u>nBw5#PZqKo#)2-7XBxnP~kjP?DnF%Puu1GJ%6j$&TVhu zy0?@2z`j&;N~UWlAFju<*AuHF`QC6}s(XK>>{w|z{02+oc$$1YJA2#7zXlD+iFy(_ z&^u3_ygJX;GxY|KRo}1wp=UNo1HX~FPv5P|J%{O(i!RSDn>{}NYW6nJ2g?CV3t9ey zVQE$SuUQ`DYGUubz3;5e;W@0!!9>1iZ&qMD8C)Z<4Vxpf333)2>11!hg1lk8hZBU6 zdEnjf9_&+v@l$}8Q5U>{@7!bM@d3e(1wOMG3_dizDG<-JOgpeW&Rjjyu?(LXKk*k_ zOz?kvWbiw}riEP+-$nOzq>Mca-bkOEpIIBg63WxQ!zK7Ewmal;crE4Q2ThRhH)8Ej zv{})pz0kn$1sq_b_2d&T@iC=;PJ@6));@fB@N-E{V2)!o{fNnqY%m+zj$DR~)cHSn zfSgHr@Ym=AHeff!kA%c#?|!0ZLiX~q+(Y|qOz=bbSFjqn8lS0DcFK@gf6;H+f}Y}u z@lPB=n~=a>=p4LV))(&OKkUZw#GGJG5;W@l08g!NU}(ToAA9DW&6NW87jS=N z)_dS1_d0HKui+zfeP z4JkOF5_pF&hme7R69Z*D5HBRJ|J$uD{16xt%47JA2Ns~P#Sxb_xB?L+w+SZ*K?I1P z)Wql-5(;dTz6jVZbR)o$O<+MN!uU*`$%*!0d`97n!!5>G9B2>caO>s2%W?r2XIC%Z zE^@eyJFJEj%Htf1!!3$b99VI_L;TWT=2V+pb36$*UhXOe6@C=<%XrLf)B?&^ajRnFb0g;?w zhLDY5&NyZp`BAdp9vMhcupp>nAfWy1X6WOJKxqPEE)x#(-Je%Qn&#IrH4CZ46@_(7 z>B1_0bP*Ll%5p*bUc^3&7gzC;B~`qXiq@kHG7W-{#tZ*>g;^VeP>=B-|j@+ z|87%FUpvEiN|wfEjCIEf-$4}v4g9xyq!^vJc%m!T;QIvC!8g4OeBC}#|{4;>P$XylAZH#WFhzjg(b#C_!r9HR6znbwO}}- zP87E63ios6*~yk&_fV!@+3!j>j=~#5S@=Hq#j`Oe5)265^8Y)t-|=UmyaZ3#rJcks zueS`iC87ZeROrTcqc6&BFol4Ka6&PTPuT`Tl*=gg5=vDRW~N6Ih{P*~DOoMOOdu!o=><^*+M#D>1C2~d`@ zYdqx{U!0Jj3I9``|4}0IKjo>1088re@^e4%`U!4f9?}MO%R`AC$HH)e>7RQ2DQYu) ztr;oPnVamEhaog1HV9yjfQ?>0F=xSA)(pvkvdlN;NOpPN11k^qGnd0I|MA4!U_LP( z%omIg%s1vThJxh8c(HzR#WLed#<0&jWthtQ(lBP&Ua@7R>JMvVYY8jQys4k2;*ATa z#9f7S%(?I;d)M<>KH9#+C*W1#-7jgM@ZNxxe1`9Fei5$y-2Z_W88363<&*#6Q#^~z zbCdbwZ=8!1F6dZTq){>HUKJ`}^Euo{8!fY` z06e)!-n=^R&)2BVEbBO2$nZA8hQxR{&$cmvc1~xbYgiv8+Lin)|BrhEo&T@({7N|+M=ck#--Qfc z!CCOOu;FfY89uq^aP4Pq(thR|nYk9qr|JX0!`u!yXt`*yA}WwOO@(sj)@f&5tlL{X zp*9mXs^4;HfI-M(i=~$DX6S~xZFFLplU2ZQmUWW1gZfyDdK-*}(3J6t)$iqaKJ*Rk zwfSH8s6xt}tC;fTFR9D_T2imQSX_H|6w&7I3aay%JSy8Ezs~4#nfi@;&eqQx+&DjqDJ!Np4+{z2jdKX{2xTy!BGv z%Q_g_kS%cvLT+R?Rn`c|SjeKu3E31`3%r9T;_QPgM)_22pv~;oicCj&WHw~I!W8XQmqZZA)Hm6Z`VrG9Z%okYa$$)LgExicE5%9yE89iXJf%V@O)&| z!xD>fUGH19Kc^_;8tGE}eP1X0Dl#_B&PRdFD z|49jR+wUSJy8OFJ3l=QUlTSXWs#UA%)?07YU3cB(ft5M=e?M2Qc(3lg^EOqw=PuQK zsH55r|I%gHt`omevwknCYW14B_YS*$_nlV0@*(%<CknP$POL>?`Oj-Nu402ggeEopsav)GK5HHcI2Pd~`!WEX`ZxjwISIeh`<=2++eqbX$^XsY zXzm+@aS}ha$5*Gl>UuErqdbQo52pdnq0lMeAc{Va;1YCzINBoX@f-c1J3?{-$zLsB z9|fo)2PG%;cgTtE7hO zGABV*OH<7uHQia4ge*+3D9)zH*4X6GWA+`}*JaWw&sA~Rm*83Sp=`Dn68EBSC-@N= z9U1WQK9@&<6O|*Gp1MOq=4BqR=?-->*Ps_0GeKwM;rQuU$kaIB@_Y|wLHAfC3hrRc zX)oi9{D`eK%qQe^WM6_o(J2t@67K^Fo}H%LSL9ai%DJPU5av6&SKcGa+kMpOft%>9$&@2wgQO4PG#hjuIJt5Sr&#+e zR5QOWxa)M?dHq$Yan&uVapg_A@9OJy-;KA}`+cwOt6oj_RjH!;Za3a~?TvQrI^Fj- zyME0L(dSjy>b@(k(S29iC)ZN`pD54uzy2-y|Aw0r^;g$@wQA_TnwEK1wW`tc;4zi| z^Sr!rrAn%J=igQF?mJYg>BDL@bi8^_|5n|<$W-&E-cYqV4OH)+o-eI(~zE!FO zuKit=?R%q6kE-K`3p|jLPk!^i~aDbNZU-F``L4SW)-gd|PxM`DVNKUi&Zk-d`bCv0SZ6^m*Gom37&zm301% zmGq}uD(R-XDqFt~T+hBQ%!R|z;O|BQ<`{G9dYi*{C1_SP)^^H;dibAuYS{c^?pdyE z&#ZXE4XSwAUsV0(yYxWkXVm56ReEHR^w>)IXSYe4)O^r8s?oH$&yk8Z-KfgfCgwJD zW3Dr|@38eL&#G8S_uY2;fp>>8ydO8&`*m;P{is_yMQyX}bn#DYJd55XIpw297``?A*`*a@$+e6?k}7k;0r4fNMB0PF=z|7O|7inho7 zOi1V^X`=^>f{T}0HXaW~fa4(%G{Tw$JFB0j1@>}c8K-sHII@Xo{KUqP4u{pOXE=>A zIicUh_6qhg9^f1Ei?s}=J%>}hL*leC<^b!82ZulCHdxj%%qQ++13T=NArUNuPMlLa zeNDg^B-Url5yqH%SdW0q%oQ*Ude9bpY1k+h+pq@@+ZqVmWR6gtH5{}?|4v=lF`4^d zy9bBxZV)g+=Kc7S<+BVc|Cmd;?=UO{M+u_jI&+@)1Z=cA9Zw0Y0!t|qa2H!JK{a4A zYcu-9b+EKyn)24kqwzeD3%9jTa_(ne#QC6?nJvz62lqO|#*e$zk9YavAa&avGbr zBDW#GfoJ#Lb-VLh$A8*t89c3c&z**^x2t;n#%eM6J$0YDT|K|tu7~@-rJ8rx^-KSv ziq~AD%J&!zW7t%=QpE&r->KRUv{LI~6V+?RcgC+b>%k{qSGC&pyiFDFGMs=Gjw4Ap zNPF%y+_=v01KL7Yu$A(RU7#g78%L}T+Ry!rD`U;QeBPPRQN%ImH^^13-Q>F~R@T+G zSJs6$RnkS4|8_@Z-DB7iu4ms9<^um6LIZ0n*a?O*-(9|3PRlU=?0cmlenUUfmX|bmiZ4@1>WivVCU156pFN6Z`~6 zc^)+7-SPJVytOin7ymOBr7k|Uc`~1cI z3c(?4ZvK7;Invh5@O9(>d?t`LT+U*R&KjCEwebp0TEy1`nE@XS@BrQjX1cFX9G{JM z;FHL@pA8J)uh=w^kHI6b7d%C_0-L}|(jkzXVm*d8v-C zb+AKMeW9vv5Cmq@eon4rox~;)zA>(i7q|vJpe^e&<{xWA{A6jzfC&S9O~O3#c??EE zJ7iPFleN(~hLdc-b3<bDP!f2 zt$nO6uXedA8>ZB(Y;(G@@t44Ra`LZ`4~Nx1#IX7<*S(^HOTgXB1|AK zVr)gYOmzwuf)0+B!2lO8k8^8s!aqC|}E1Vk_afet7uQH;A#QZWj2 zKsZF;iU-G_yhQ*)k?T9UQQk5E9SA`vHIsMBBO%z~l!~B^qB027INoB2ji1^=!ciAN zmi`@7-uh4ZWWk%?7;=-#Ltl)k$&SCFJPKNbZfJx-07WXFCMOlkJ*D4QN9k29*J+^i<_{~qQzxZ&>8$jJTPeL>BP(Oq$TjLlneSC=E4@msU-p0X z*#DJlM4A7aKB)8#9hBa^o6@^=jh>sH{vXU8mENwkI<{@4PThN}+pFW$^UJO3J?ne* z9ywdRo_Iz*(%Y(Qd%NDz+Q~hgjV|Q&?UmlBu{z#YRh??zuWpaMu3i&2sQ2tWHs9x} zbN@kBrmZ@*X&Ln~`Ptde?WJz7j8(76nfBZt)MMnF1NT~)^v+hcYnO;VUF?&(ni<_{ z*tkK{2KOtyb(;fYmWqb7mpTrqr@7K=#_DPHPwM%Va+gl&>T3OJ-!WZvAMU7|8+Fh% z^*iX^W*zikn{;(fT<;w2Np3%%9c=6#XmOzZ^&4CJjb1-X2d+_%)xn(W(LE~ru#Hh& zo9Fk{RQg?&)bY-HjJ^%j^^u|KIbp4h>0b31F-u+A^|85JTOI4$d(*CM)Q*<+j?}jI zCeW~r{ZAWv^-y|G>bEkqt6>wn*XDd>dqyRj|CDds%*NTq!Rs;F*S0>?s;7?ao2cW9 zZPaOWSM{9OSN$hG;YuZV6GlCD|8`{`3ZuIsBN%)SBO*I`Q;wiN-$f23-<{Deb0|RJ z3n&>wf`<`E*XErzI={>Lks|5tXt(s_-BL;LMx0LIXRbJVt*P@R_;W}o4%s!9GVn|k zVFVMPBux&wBymzE$~?-$!@(s^C&ajxvi#<#JW4zGCPq~-4_=9a5n7>0Bme_NBpFPg z49-tDn_#qqhlezK^=wz(x-km{C)wymFep5idNC4)H1C^vZhUp6TQK-mjSS5gR2e6f z#UXvQ_A6Ivx^fSLBz)Vx!{?z77|Pg@`Hm8kYhFIopH%*-iBGws75!rebovbM=Ng!s z+;?!4e)Aun{QkNBxi8c~{RG%RTb_Y&k>{X5XZ$HoTlf#UV@wSjE)X~b4Y?o1I43|R zm&YjSJEo(UwRw#qpRpnUHoi_^hj{M8!0U!`%GXSD13d2qJ2S?ET0&wTG5?q=%rE8{ z^NqPokV|r6o`c`s2XK}#vri10Y_@=rIv!}eY|Coh`&?b6)i}cDK^|S%<|4I!tcH5` zY^3fj8b*AoioO3;3=81{jhaThBJeV>kTT#V*WvGc!Y9B@KI8vai~kSS+W!HA`5#^e zpSjPz!`r}ecpZEXo|McRf9u?#eF6*HsmqfusK=-b$I4z`Y*N=(MyXTJUh3Gvcwh&k z3FYD6@O?fXepnrAvUaGh&JT4{kJqNC_sk#E>&r}a9cK4-?H<+L&h9_lbKAF5=O>=C z_hMe+xtrDPW$x|aIGof}7Bhwk1C+V9z1-Si3?!jMG1> z=U2*|5;zLpwrHEKN)L3@wGBGxCd1p>4|P<#4hOFLJt^C7zgb^^U*3M3W6V`>i))9Y z1OHPGSP0HC@7mhf*0cUsuBP-RZPjVeN9sIjo4VR^xW_W7w}~jd#;;Mg{;#T2g9p^H zy3OGS3?reTtrgOnJaAxL2@PpCb%V9k-!ZW!2zbo=H@xj&SpIOMmTKL$vz~b-T?;3- zkT%zsvKnaWg68TsE?sR#_Egu=j~QQk()k;ib$qtWgVECXJA4WLi2OtbbC3z#p$NIi z*6%okvi=9ZaQp~~9cAHvoGRic`WsKjxC1ZQ{{6w5${_o}`{2FE0c3XC4x0>wM8G$^ zly-u(;34fso=grj-23BR$2{a0u$%J8HOLHcM^1Pj{YQS_x%34dkL+}a%=Y7cUnhVS z&;h&i`(30&mw#93+i$YUumwrMc}3 zM|&Is{W`i;Y%yH7?>G%&cR@#rgFQAI^hU@y$PliJqCOl}t4BI7od0kpM4li>lR$~# zGlsh^%$*SJx#;raaV-ZA~Ge9}9K&7}dkugA*eGF!Y~Kw=vNk^G9Yx`g8 zzmc;%2q5N^Sh9IZ*Y73UM3G;SQ@#FcE#ut!_b5;hx+W*&D)fUs7C6Had}(b$4@V!8 z6M8xH*WPaG=OieT2ayBgK^fR%(S@TECm@lrMIJ-$=9%bxaR9~X7JY8mj0Xo~0xJl7 zMTR372s0&fvs~oQ`v9NNiY({S`-6^!l!kw>brUc@}zh z^auomBKr@VIM6qRWB%a)jSUeU1+?@{plps^(f0~Jt%bRQzSB<dwPd|^wi?JE>vN8q_Q^wFYmGR-n z%9uVQqA}$IZTa+i691EDf2EAk#;ad`E4ufiamtuw{B5CKBXi%clowh*mM+ZD0{a|0 zZJzp#o~!0>&r!FLbM@}zuQktbh3kuqrm61FrUC7O21d(_iAICr??!WL#D~iG(&iTB z;{86Tj!=)$V6oLfwsqyAkH>5AD{pAlOC$9D`!h6P$~JZTTIyuE>sLSNi8(e87HrXs zMa#74t65rX<>$XTBBDKXf5ATAc+bYxdsk7 zHo{B5Q^Q916ugF06tUx=Lxm56Vc=JG@OZ#y<1GXh5&(h?4LcXQLNFa2r90&t58}Dt z7y&7cuht%d6bLGzer$Z`-r(op13E=Eyar3bNdo%d%h>tAR51JQL3cYZ<+ay$rvwEKtMu8VYA8}(A&@R5KWd{g3y4Fd=m@!%c&O|XH{ z9_z;+d;ZabaFWv@SWU2l^#huu3S42$0?i0W;XeF~7+ZpD362C~2{H+%JF`hD>pA8Q z<B@}vbA@S{lD0*&&*h)w==frv3dK{Z`y7R|L|)~8~UCW zy*yltM~&6|By5V~_GG6hfi6TS}q0Q``#_3-0bv9EugUQi{8~ zyA}7~4#9(F=f3xT#`}!%e#;NYTGzSGIp;A`uTqZQ_MUd!jt#~bb>7{e`zD(-Wi@55 zY3{>QNP>c;xczDwkwCdO4zQ-p*D&PRfVZ3L@y#S&&g@MSO-}4jzD_}%G>8>Mg=|2M?!=LPQ5lG3`vbHOwf$R$#(c_+JLZ4MX8o;{1!KpzUkfJBjMsDo4KV z^fGM7oH2?BxiHC16&o#m2JOZa|IXJPo*fdU#otQV$&@>BAMwYKB-S%8{yZMirgkfL zgLjA>dTfiJUmsAUK4aT(*YI%B*}Ps3)t98H;cr2s0Z0VW`5%QCy9{v6b#dvBmMmA7Fm7i5!FzL zlBKJyytp40o!gj{rF7x<=flJB{imp^<%-}+#I=hM^P|VnR~JaZTPw$ zASuD+5%bTeEF71alkxvP`jZmyiP+NwA!n zNH4&wIOqrR2T-Y>8u;&u!eLL1X zqTi~NrlT{mYUg>ZiO7t($w;5c}48mJXCJ79ts)Pt!Z!TJ`-ruQQWKaa*om zOKA-Cu4(vUyVj&Cy!YL5VKC zK4}J=G%GJTKQ23O=ssl;S4;{yoNKb+8z9H<*+mZgs1B+~wD{n>Yi({PUw6E3`AdIt z6>Wr4n7L#>imbCO+n@VxoKfzi3R_|lO}^< zjYuY04`XGcWkV!G3b2&Ofh~|ipyD=`IZL1$sRpM~H8=U|mYopfw}$ebL{8nD;RXwb z@=p080#X^OdxT^7VnHTAW=@}=WYqfJQqFjj-@omBdYrpsJ@PA0g7!v)+@gB#2M!!` zaz>wAMt44uIH2s7u$=C~)*7xJD4??wB!=iRhXzTP^yB&Ao4 z)%{K#+&aonvxt@*G7aeWZ1GtcHM!Y2XuIOky(*=*r_^4#ON0t%9bhlmpo zvVfMNt3PAqco36zddzG|4g-Cgo&Ho%IW+z&*`LP3l`FjijMw>HTRvY8^Z0@oyJ&6ggPN4s zW78jKFAvd4@tx3XG^k|%tlFYHIkr+Tsd@S@?4w>ii%pbCaD9R|WE$$Yy}zPa_OD`i zCW+T6lS>Sy)(g@p7pwJ~>I!|lIZU@N@IDpexY^GZ_BTigTlvpn$!XYE(zlxAeY)}X zWb@dDS@3KDL{LfcSOl;TPyhd%l!Ke87j01p&rQIJGj6B9tSJ2Z_tUCT2bb2()1Z32 z>m#j<+4~vXqsM-!7{e-^4KUC0;!T#@bFO#o3jTAsZ|OYnYE)4mgMd-93p7T3`x_ByNeZ?@}oUxc~& zWlB#?u*Ep19#=zR=ax+`M`w9!=T<#N1+yk^a={LmB2IqhPN9{}oRnmYT@oDgn|7jEYy@g9B~Ha-KGbsQPN(gam)?Vft_BRf7vtMCUL-(Ai@(y z{St;c@4$ue^m^ZbgPM$XNk#UvUEriTlMjU;nkSlH6u?5pSfqw%z`(3NYq1R1X*d| z3mVw`ee6Nw_`6VP8PW>j?qI}Mr@sGnqdsz*!73;0cqFdGGE)*Qy!hq~nLUWd3^yoq zl01SdnpYqUOl+pL<2r9PT=a85U5VBlkwZd!{+`{7LYhzA69^4n4Bm6V25J0f5oN6P z_8VgGvEk0RCx8&#U%O$lUij`f>+Peyg|Ov|nBqp=7F)n zOGJ3d7@H&@M7Z;#BMw(n{k@qC*t>%M57iJzV2vC%7ym(UN85~9=_!bj4P!=mnX={? zkH=YX zjCzjCO)(>TW1)3jV@SQidi!aQnorzsMZ3xo@Ss3gcNd_1J)&>X2Dr+Glk$V!{+30` zDHsbBxdGftttU1~98H=dGhjW@a-;8SPuK{??bfn#-#LCS%oKf`B{rWuE@srdn+96_ z^Re2Zd={{ayj6zlJ}%h*dub1=Z|$6Ud0$%R|4-qRMp)-@(6{(GN1jjK`1R#Yg|hs%N&C7PXHS6Q+SHFRCrx#5?=L~yRzQC+&M8|B_;J04)mTt#IoVFWXqin&A0X&R&|O= zie))?6@xGP%Z=5Xd+T3V>iicPF)Elg?(BE`87$FHQ_N525IlI5$+X*bi>F+{jJxFr zw&`>Ku>Cxvv1xlgYdB9v?O1Ikv9bHJ|^`BT8N<7A$Iv=h66^$Nu->`w# z%n=xnksx~SISeTpVIqs2rm43UtTzodd+&xVzl~2HeA#w61uO_N=2D=kchOSrUqnE= zUU^_Pb+yts#DhgpI&`b7#PfV z>o{<^HEt^r#%WFO7zSD-7|7}j9tmOZ)#$E1Er)(?ao(t zpHK7nm=R}&W8MDghdT}REA{{;fl4lFB$@!Dfbb=Od+h2YZ)DEBoz<3smQ|#}o#!5tw_#eGDU?x53qRTJs z<=lh|%o!zklY!`U&c|-2IX1`K51i()x0Oq8##fBE8j%@S+--TNdA+l56@UFf1MDB) z6sH~C&-vA7u((z|4V|=m`7bk;XD8+PEBtyFlXH?I)(C3w9DjNlTc?_TUnbst|H*Vu zR9k4CRnN+7-lvr+;vWmd3e*^`5JG(+QIN3*ig3e zmg^Jldb94m?%u)V@%q@u1MAos|IKe45sVJ-6P7Ru5`1xN&yRbd`fXdp6~q2E>TBJ0 zm?UZ^l?N*mi??7E5f~yivchf2UW3D@yhE?F(NBz_>A3t2b4otBDryt^b?h|t^4kqd z5kZr|Z5?U>IXys=#0sSNy3?Vy#}ENmUWWJvYbIg)EFO?p?!8ppQk;DHBb6PwP0&G$ zIvBjkCS3EUs$&NIK|0`rO;S8?(kin|%f|pkN_$TSJRfsHeTVV=G@y(uNF%rn-Jn~W z&myiUr}yZq6K{uFG*cbK7 z?U<#m8~>Lg@F&1euEPp{_t54WHCkC;>_lc4HPr|p>3BEyebXo@*PnU&`4Cv=XCs=) zu={*K23&*ny-ZDnIrJy)>C!3&>qoORKzknVf@P^M6YX8hp}pngI*O@3W+&qLtNBu- zjabOME_J>(!7WGBkJD) z5@G||(XGQ+Xq-Vsss3~yXfh25EPtv4;|Kxt`oK7D2OoF74!{qIEISX0B^zWsWa1uL zVQ7C$bkbn1d{)J^Vv@8<>%(-Z0c{j^$~6HJY4;BWD5g@0z}+|FK1ZHp(+}5M{zTIV zRU6H1R@U4F2Vro0MIGXt`E@CV>1>Fca96cwizn)pvZq@|1h(Ta7L(ZsKjr)sZmvLU zz6X;4k6`EnfdWPQ@=)>w(0-{LNd1dUIa(NRQ9!1E17E2P^ARYq_4#99lEASS*+3Vn zNHjTV;~{pWQ4$tVQw5yMz_@5#AM+(ubY(%$HTu0a)XtSVXZo5%YR#p~#)$n_x(|Y~S+DhZ$3e`#-opUQ=*^?$p z$9e|l??zHH>9c6G2fHT*TNp&$m&>gKT_FOQdBd<;OP=pk z#NqsQXaBoZ?{`^Tr^>uEAeq;^p=REv zpo~hYwRf5$yY_hat7h07_r#HjPtCC`dDKS0ORKxtVQZGoa#FKm4(nRU;2*H+zHG+L zrIudO#kl4vkC(6gg8KBwR^PPwmwWjnUO%VVm*Lu^dTZNRy9$Z89q-2Xma-ESOq`RT zj6Y@g12zl71vhKnrgggo$~XOeq2xTK7H+iW1Ah;fFQ%Cu>>gN8wHw7sEDm3LOaEb5 z=9pQoV)VLo-ylCMc`}4Pk{@N-$7eAPsji@tkRP@+zF@;3@;F4cz~Hw3qf2slyzVXj1%}} z>>^)(_)5;0G60BAvop(%le->9vdiTI0bN`~A`slyZ69>y8nf z+IU_!__n2b?VyV=+LalFIff6_P>qq{EVLpT$yA&}R8F?)O)!ILWOZCaOs4QZ&g4%r zFN2U_IO(A3LAcq5b7M$!ciTMO{Z;~gK(Mg3^excdILOG$R4LhpXuTW`rYj!n22(Fjkux+UytNk9# z{`iq*K=2{JMGP9)x3C(`vRDsHkynNsBQQajjNnKjTv@Ns&FRGxX4*`8^_)2&#eU>hf*_m-5e1YaW!Z4 z@di0HjT30`__Xo1+@Pq;%IM2+Omz;8Hoe#5N2YC;Huh?-2LD>6jd7SFx= zc)XinW^ll7{$UI~F&^=L*AW)1B!jxro6&|724cYobCeSi;p!m35sBaxqM+-lbv)a2 z`2w>NIpAXOAae?$2*fcJAVAZhKU0y<60i!=8Dc?&sfGz{S`A|fhQ$Crd+t!;_=7Fq z5ONW7k=DHRA?{E}>z$LOaFC2h4cAgrF1duf;i9OaMrV#EvSRo59bJCNk~Xc@9HrV!F#k3e|M8na^hAr=QHuF@@~YVn>S(`z!g6xG zGRqcaUI~(=i5g~GI3jaT2wx{u4}5yfNN^HK{ptZDx=%3NuZGbi%SB)r5lQ|pQRCrU z?*FsCQ}El({+3PT0WWfhKAzN)bD8}J!=p-1^vAg0O*Q;iMK`&$|3(x(FYWa-vwI}! zUHPE6@+Xi+aZbM1@aDMJ(1&jKS@52Jh#hZEUc&I-Xs_YCaKXm(uQb!^hv)^3^JFif zid{#xID%a1H>gZBxg@*z{HY47-EF7o}deZ6Q`ZaDidsaXd{%$NFp* z!?Y`%`#(D+d&zn64&#Ea+0t+1KV-2YIoE~eqVkDsyZB}DSC{v@hSBA1zyC3{(qp9# zzWjcjOM`k)eSytI)xZjN&eS|~0@mfPUC%5lRRQsmlk7$F>je^mXEX(pX*{C?NFJ`X zc1T1a@vkHwLeKDYovlgXH;+v@qjRVVD%3)>QR+-yQrX?UvvE%&yvWpfSiASJ25*Jv z)E)CfM|b9CM5>4%)cCgt7@h6My+(IAuG>&a`6$-MlwtW|FVeA6`xsOSH>CJxDoX!o zNqPL^s^o8rWGWa;4lvgXhvivu^;#aTDR-VkB9RwYEFsxM02A|wx^g*+7DONCG>Qk$5*TlBu)#e z57(3>FhCT^zNd2%R`)e?DCPI(Xx>sAgZYkI>tb=%2hO*C&L8L6hfnWGE;+odqP9Nl@U`eL;IUI4STJui>Gq#eDSDCk*|Y~+c1-Jv+!KxkX-?gm$s zj1pI<_c9Fpm*$gtsSk@xPXFC}+wUNUZCT!A)X9o+|E|C;WV`xJ&Fyv^fpx{Rb9%>n za&N&~c24ir+&+zy&TmgKey${%&-urfeTn-4RGde3x@O{|t1T$jy66#lcYIIhRa`XP zYD?07aZo^hGfnYeeEWFB(^ATI;Fu>|4#>rT2Wt^ji9r&PCMc>&YUuu$qMQpqjUx0t zO5}Cjw&|YJ)PvzwLo$!J3mgZ0!zkR>dZe&$vCDsksZvY?szyPLf$h-6_$qkrUY>(RnLx{q?wEeDEl7&O+jk{ zP2O){ZFRAc2Pt(~J%Y4MTd9$mv2KCJWUQhST+T6F_*L^&f=18ut{REhbGvz7UhS^@ zF_vrUl?{A`Bdz%O0b0F)?3z1jN{5p=oK8_xL_r;sspXJm@)mYAOA}PN7t14Wp({y?XG6SX3+-rxMgF1SbT9c>wfEg#O+#y-o_;> zAp|NhDPOVCu3Y!J_pHL|pt|XIe0=YHHp+e!+6bBj08&dPPiSWCAMkLgh1DxN#8#`X zHCTF`wwB+^}n{ZN80TetZujIv;GR2groN@?1MN(91tL({I^zW4OkF6#FL_HVnH&V_<=zd{R| zdQPh~)k=|BUG_$vC$RZ7igd5gA0vOg;N{i5v}k-A_2mIqr^gEN2hww`e402N?-lo% zQUh=69cU_#^#cYutej83N@S$8H@+53V(?L?Wf_#F#YU|%o4tngusX|HX zdluEUuXWoGkzhe_6M+o`vn_OWUJN4%V^a3{cYD(LMAo-m)J9Hfj-{|H3J~kNP!sV1 z8e6bZP&(uHA=7mv3P^gJ{RqvTz!1}}D#E)YgdMA?cVK-e1;L;b2+SPjV|&t15L{!# zi2Y|+fI@*Mk)`N~p&$?^1A9(;EN5)i*CB89>nW7ZqTcOE4n zm1K1$id)Wv59EA~EkIk-G4=cRwaj6UIC;V*Y@E`)i;LPdY&}JS3_ktw3%<{iX#wq! z-!Uv#(4)bM`RB@w$^i+A<48WOzf&97Uuu|l6C@}kwbMUlT>3%rIN1r2(%4%0>W{%n zT`iZWqsE8RDR6MweH{2Twcb6qUUu=HINmw=ffeaCzR$fzWPZT^E(nwcj->zCaj&kH zBIT4%O%c#oH4PV4QrO|ua?1Q?q5r%?V(1}0DQaN@)WcB77SYjbp!9RjfQOp3Aq0cQ z-+jM!{%7z?CL93dKfu8;Y7N<6o_i_{G(hAD`Ivw@CQ4UZ1P zq-gLj^_f|ECZ?GrK{QwLCFWldlx|FVJsAPJcc||Iwx%=FsI0Npg-9 zzbC!=>`D29fJ1eJ@b4SKqTswbT&b@i%1Zcor*%=cd8-Px7ni`lj*^OE&0I;+#gR_gmvuDVq%EA9!&vmZubq#=vq4(fFC1*ZJXP}W z2Z8{yK@VeV86ex6Rp#>n3{=GecBOFvLwcFPn03+=(Rjjc!cgQ$T>zBBnttdrHc?ky zf`Z0*@3$t4^%1iBB zPaY-8XUp~YU5}R})|MNz8l}c(%Qb_6&g~869Sc>QWLGr)xV?CXrnq|yoJ zBgr)HbWoXHR_kBAob{vm#<-T7GxN#QVmr~3rL}V0x^4b(gSm2b3|9oY{PwU2(Hi@A zA;}hDr^mQ?a)@mK-Ivv~i?*!yBfRu=F{{nBExg>zUn`64ZlY7-I`a@l`VxeZ{z%X( zy9M7vtR?lqd(pSO%&5K0-{L z=#Pe}>lcjKa?#1E?xtVKmZ^I%>oS~%AKQomX-ph-(TNJLkwOT8E>+?9DTEK_GD2V$Fb7xp-utE*$a2p5n%MnJxkt9U8$p$@)&CGf;b9} zO23B|ON{a(s|uee7G}X5Aockx~hXNx)silR3`1Scv~ zgu-QcMA%AfP&*II3F%>^pA1AEq?%dmb8^n1?|pzB!eoK3B#Llcx2fBRo~-WOYw~Pj;t&FSWs0;ekv46NYrcUu!`g#?2M0Y42@K- zLfN(3{2uyjh-{@I)zjPUFcPl{zQp2N6FoS?lvaJ1_ODsP7R{yDRk6!I`Tf)$d=}%t zW4PW?@Px(=gdfdniC$6l7n@3`dGfvL;v5*IP{f373lGR_e3=|b&00~(ECBXnzHZ2O z`2(s$W8F*K0NsJac?9?_A_(W)4eR-;5-Clw3{+nV%J!)@1UpR(?OAe87)GC`UKR4* z1Zx0&x|uD{l&pdBxRaPoyUR&$F(?GFB#}F@gj|b&BP%|pt1Im@6C1%Wp+MJHzTa`T zY}g;o_>B$*+CDQ=8nuQ<8si6YK#DNZzVS407P6x*ak)GEh^j}&P(6M|R zrFe8P00&=X}wbe9$OwXlXnu&aPC}I8OtSYFd!H#nyLd=X8x! z49MpdUA>^b1kxFEO!`{?h|vf$b*UWIo86vsu>a?bFw&PoT`XVwcFPZZcqEgZ+=2^; zCLSZvca^4;7r=W3LM}U<&g{r2(UkRy+%@LHaV*Zy%k*&Vj~mZQuGywtp|JSJZI=V% zI;(HTC`G~JoJNgH9zs;#e2bnC#{4~hXhVAp!JVNFV-%fBBDy&U>s(ta`9SLB16pbt{w9!fb=_~v5FYDwbsv2`b` zk9_N%J^RkDS>5_`$G2dLkzk#nUb;ZEq1tekNiS4WdoElurh`|$mcrMYi7$R{6Hk|( zf_O3Nx`yYgZzM8i_%x?zBv|o2cMpyF&;|DoWnPR&%2YVYY)WSRUuV7GA>2Uj*NPtr z^Yre9M!J}9i*B7|UhSlq-XN8G=19uNQtk->dL}sD!95Pz;SS5QaE$kxKa3;MU+=0m?Ig)4O+D^MLtVzry z>S6*830C;Q=Df6L&bFOfd96<9Ala{JTJvrqgbsoiE;0Y;7fEuniR!OK1PiSA=@lOl zPmk%)b~v1&U$Im7W``14bI}LQlbOvlq)$p<@eDI_r;Z_eJc4*}Yq9ISV4g%D(kwrB z!8l`MzI5LvVLLg+Ow|&Om;SZG!OSXM>Zibv+7I2F2bu{6OA6sJwwRwc`MGesOs=aB z*d`BsVvjYauf*A}mqbv=NIZ$W3T(DRlwAr?EyF&2&Q^Yfy(?(@8qq6dE?~sfVW!l$ z1b$hMbcW9Rx58-%Gajf>ck3m`_}=|O@hrNJ|EEmgI~>f zNgR8=VRSORJj_MinX*4=N1ghaw`36oa@G+|7L6C|UgQGRDmT_3xM~u3W@6yAc3#y7 zNFOC_Za>wR;Mxxjx|yW_@rvm8SCJv$$xK%=a^ZL8z#ND9@4b1}>>4vL^X+B+-k%CrOk=ICDmg!LY|ub_BY@4Y##YQcZ~d&ghtw@43Hky;plx%oDC zcfd;M5&;_Z^d!jAJ@d%YxMaw3E!(sv8)eGL=l+05<4-Kz#^w}qD+w!FBz+_?5RS-% z8MZ`E2y9A@-g@R=7`=e>_gYfx$Jz%LvT`y#_mI|m+HT_rHr0m6x5rw$*N4Vbsyn%)13%#qg$os^3LMmVKi$W@ZmC zsA{dqDhDqQHI)Tf&bQN=?yhG5cZ_HBIp%i*D^UM23q~Spx)Pxncs)Q@-kC?WkOD& zU?ox1;A;D6C9uRbvtXWo|I1MuFDmkEq!L!ac`29H{eU%u{z2}H*~N%>y`Ys&r3JYkknx|b9xP(BUDIrApcr?7My?`oaeZ0b)P39z zXj*LMNe<|{tvT?XcCWuwAq($~&2eW*O?BP3PgD3HOLVI(Ups3n=BiMZF3kpX1S^8S z$$Nsw9H}@d{t@&ADv^^r;a{7N6?1nS8j0j_f3Y8R4w}g1;|A%RbKngNxe-oE(8zcC ze3X2J--Ic~g;6SHBZwR%6aBu$a3h*N2}@h9p}Mo|+}z9YAxbx;S-})URT^3U;lufb zXF(zZ)~o8f{_45}llOrXsNRdtl-xS0gtI1afXrbx%~<&kfVtZjnYsJlAFB}q;nYY& zqffgNz(Vf0j|H{zDRXIsr=sp+;Bzm?7wCyoSS9oo*W!=`C5`;box?|sJ@Phk!yaFx z%E`zJo52ZGKXj4Z#N$Bx7j*4NN_58mjiRF)bfju#^D_#4J>ah_G<|WGFJGyWToF9C zd!^u-ky)5-02X!GG#4t==FCzn2^pqwv&ZRG;$KCVAI|iH#o#Pjl2InH)MYW+d~2MbB9iCvoH_>y<~I-m$upFH%O$bnVZZSjMl$^)+RB zsgY&r=QmA1o2{u72U2?+a^KuPFo||Zd6|XII*v4!+%IAp`1P&{ox%Vva?|gG+GndV zDouZFi{yBYI~V6P*}*EeTQ2#tEIiIN=VwPq4~+-_Cf4Pz55tAkUj|y)(mC^U7_J> zlzYsv0H${sj7&b{So3CAC}uzhbP+$yY#Cd33qYy`Ie?mjwZRHnPUH_^ovok!GfF6 zW*Hz!v!}ucOt#9S^RN2nC%Gy@Pa@VrrS-Q^K2)`!w6UwNOlo}cI}cVP{>pyI9c^_V zGIhi)QbBqa*(nfy!F#RIC2ikzw3gng7AkGT^OD!oEvcftJ%2*5JaaVHBGc_?(i9Q5 z&zWS(a{0MpI01tD)Z4CTI`2y{eSlXo{{JlqYI1L7B6^wR6eynw;0zJH^vR%*1nysZoogAZ4l}{K0)ts`sp|$KQb1Jy^C9 zY0(gB_j$>`yL}%~?PLGp?{ZdsgY-j4L31eLA7;Xxv&kc{6E) zYF_o9Y>sfpqB7(WeF_x!#OX;=e)~IOO6JXbrl|YXPgOj51YVm%qr*HGs9CB_Gk#hn zRlyTf(-YB{w<1x^;#;1ia#r}r&2hXv3_S%{A=12}YW?XXYQru4UoVfoeNg@LS8k^< zv$D#USS=#xR;R2)s?{H*3u=mUONe8x=#k#j5ZBX97JOk?`^RB$I#}WKUl#g5!T-lXhfGWV zZA!lJ3w@!|lM5|g$oAeEE;`y{G?<+@I~Cj(o;$I>d)d`}yKCrQG0pa(-$7E(8R$1v zS7pF%cjJuPLN)6AZ4!a``+5V-g17soZ14K->T@OB8`gPXy=B$>j24-qV)Dr9Hx|fs z;a%e_>s0n^!uEZp`vw1M;nC?=Gf`!@ppqybd(_VGwyBqX@wTh&c=+s5a46%{x$1Kf zX!eVLyKMjElFx9~pKtvqp0ktBA{l%f3n;k5g@iXVY|H6Sh8%EPJSo@3{b|iV9;(T0 zJEX}aer2&%269&8POV%&vPK2yu*@w)@_tD!p~Lq@2W8(=Q_AYLSyf|zau=PcgWi?8 zrGIew3u#Tv`yIs;?IX~V%hOjKOl7W0Gyo9C18S^cg$t?b&qq@R>Bd=}o<=hHnZBWi zWe9Qp$a|xpG>e@*^=EKEbnmsuNC51z06?}!AnG6fi=4NER5vrGDcfr; zw>Sw$ISw4ssDx>E-R*U2s|sTmQ-i$^?g6i*1S!KldlEtHc%(cBea$)+qTF;*avVHf z<4K;;I{&%^5(PV@jJe}4c2`M}JxINzT^fR3e@Y75Ay1f2n<*M({{j7x$jkZ92^3fd zWb1{GQM?TP9fJeoyGS}}v^N$&|gklR(PYyG;cqP#d>THeiO$K@w~qH*xw+KQl- zd2OIF6270Wf>8vVeCi*Lfp24Na|G6rx;@{I85E%1a7zaVtH?l%fRn-!-ki~fSBt!M zA)oTHN75ofK9lXg8q~Fnc*j3Y!jXIj%-$>4vwpU>ao%<*SV`>dM5MsAi8P<_6Nr}8z$cds1E z$9yqj;Xl8&!P7lxt}n^_#S;N{r|SqP`mEF1R22e>UYrSPbO@#XWYxa@h zIg@Yh^H?QVXwm)QO;PaOGl#G2torJFgfSDT-e#t}i}DK7oYj_;XtNr5lepKIVda03 zd&}h-dm%L+%uEeof9YLucna+t()m6~aPU4Z-41hG0)ELoZk?lTOVLmxf+N->#<$V*;WOl)wZ1 zpp+SYZqgS?Q5sLB-B}w8I?LOpWOrbQ9JOQcpo}f)$R6x6yChu<+a`#mb8JTS#E?i| zu(Czn-ZZ#SCzRL{gV{QWh_8#s=HWVSlS(yskZ~m6NR|MU{sMGx(r|RJ)36Pr$D~$% z0(k!5k`fEzqKfoFWhj1*Zqtq}zgxjtf^iF=8q!z3YYh!qmCUN^cCQ~KwQwo$aR5i& zIZk$nvK77ae+Wa3xSYzoK?|%aUXB~X8mQ(Izm-DAAkj(ej~R|;cH+Y`gz%bcdk1Qf zx;f^5FW>$ZdNIjCtjjUDh1B#r^V7)xSPl^InE#>eWlHH3%DtuXtt)4C=&1JTBaq(N z*$|&Fy_6xnN@Qu<61}%;yRU~GU4)DwvzIu zyq#KuFocVQPvA1O^yy~D$T@KJ4fGe|Q!fS@;(F#gxggU9k}S??pF3}%D#aV(KNLYD z)&{t|SWdWyJ`1>fvl(%N6h-^y)0-El?I2w@9?%;wiWgZ-_6>v?;)Tlw5et6A@}=a< zD}6B7ec&d{7=^G#)B=@C4;IvhUFjUNEW%^1rmp&3jZm$t(k7b?CSuI+ERAg$e-R13 z*I5|bUWJk@Hqu!0g*5dV|K#y9xDHx?&qtj6$Ryr-z<97h1lK~IV)0O(Loj+d;!cIUoKlPK zZO@U;Wu6y~SxjxhZl9{8&+)vqQjHuu`xY?&^fjluM*)OSo_kD^z5fR9I|W69DAst3 z6xCvzoho*HQsU}-8qD{^0+Yr+>ZR!?J26l8d%8+a`yk-xGX!ljo~Q2Aqs>>^6I8n* zh{CRIGDC;o$&(g$3HMq0jM>fE?3&r$fF}lF^;nCGG5v_l=cnH_orpio_u=&l#t~C!4d`XLjGSz$DyozY6Zk=9LOWP4I49bp2H>5Uezdqc^gtA5)q8EywVi|aMuc%Ix`kuH^Jn@}k*TQLR#1c?^ar9b zaou_lMb!5yVYzrp;A{0ikR>d=pH~>$o(CO}{>9fzDwKvk=AA6`MINxw0cHfnZ2m?|X0wU3 z#KzmF3Ceg(N$oM?F3gxd!tFJKfW@R~?QY^K?EQaL(>IMD` z)2Ck)V;JDHx{Z0A%y%PA{ebHMCGYL+@NYLCmDjhN4;--C=zk=CB7i2i{+mq37__42 z(<%a-)-*>>q{DRy#qHRgB7zFQDRf;{wH&*#m*X!*~?+JZdtf!FGOqG0z<;zCwB}7US+(` zsleZ(+7#LaPI~K%ah*R$q>!L02 z*$9^KUV?+<$Q*d-oe=u7TOEe{mG<+nc6x@VyC^fJr7E~^gO=a+f@?ps9eYdC$Fs-MtK{7uxABSrU**a7jLorn9@^&gytvS`!d z_G8c2`>M;Kn(RKLX*@%JhKixU(Zvu%+Y0>-E62pbwK2KKm8I_-eJaa z0L?6#Sg$*dG(>s`-*hj|@xyk`ey3h&iGt8F7F-#Mkwa>=O4N3ot<(Si)dC5_?8bzGYf#3p|C-PaT}nuM-FOop*yL3Cz& z5ixY8QEge#bFktnx+VnhUBQ^QL2qwr^GQ!;D6mWwv&o!tsgE{|kB*b1b^&-DVWA_8 z1^t2^YyV668y;GQpm+`#udlt#D)+5(uPf3R`!V-T)#e*6zHQkRG9&+#80=%obNZ{5 zgPy~QXR*_wvG%m(8oMa!L)edzBp{%Dqe%BVRZv^Rs?|C2v2r$6O3)~q(N!$;Of~i{ zzM9>J>^@=~3-kAmC%!hivf$?By#9#yhx6tO)+(Nb?x*)m@JB)DfmvC{c>=%2Lz{eU zWp55MJj$#(^9hvJs}Iy~sydo2F0h*UBRF|)q&UBjdA;$I(Ddj3Eb{r~1O~T;Mur(W zg0Xurnw8SoPJN4WdSg2HPSnm#Ab^kCXL|xnoQ6uhWjBQF{TBOtP zjJBPX{S?XqQ*p|e3JnO%Rpd~3<0pd|1N=}tgBGW<9+F;4)4m)t=_np!LEsRGps%n= z_$z9^vqTSd`yl>*xOxk}DEsJLmkxy5tldLEC{j-YHaZZ=K<#=#~y z?RZ5Qg?PMia?JxI%PPQ-Bs~mPjrkOZjaTBC@kVraxaXbG(#4d*mo{l$k2-Fn9_Ojt z>*Zl;L;8CTo%elsy3Mh8`gh;7GHZkf$hc1lFR&BCLHftYt*XZPXC}b*F-Z4G{HW;h z+FGPjBL1OCakUdU-%YT_!f*La8z*}E9P))m)mt7*Zrr*rrpiCK2AbS_{Z_3N1_&Q;3-wO9+Xfh6JU}oF1 za95jM=&N1;DhlIevo@2FP&kn~%@;R&&dTnr&-)aYE4mcCm-m8H1J%a=+F{d+c7c!0h*Ir``i z7WWrSw4V|!K8oY6d0}5;7t;MS$tdF#PN3%~A?n>FW;j!dd zj*qC?D>`9lL}gVi8b;2Cnip(I!WY>^`D(9h(>>dOHs$cvZ6pna)mr1BTT0ghgjvT`Jo%-SGgpAKYgnl z`KiY7wrZ6|o##5&RrxZmCVdn~k;pSF=QImb#W{)(QFxJ5JCcX5g0v*j4D<9)2AQZ+ z$9MTx{XFj>3csMtV-7X0N;1(vK!?LtvAe%iC6N^SYD-)FL`zWLPt2y;dN2Lq78U(4>0@oYtJZN5fK|ri|ay;TOSj8~23obItgX zbN=+k%(XReZ#v=1@V8}!h^*?`Xr&n)N11^Tg+uA51$5j9I=jd0_d(iS{9THkm=u0) zIQ~@^fsI|I8&Q5^o+C0AB%K>9XH>)3=^>qPV^6KFkN{X9w#Kf|Xb9eGS8;ZOs(|s< zL(5rTZ}V60MVzZE%BMdLWIE;=b9>IjMdK}kr<~(@++lHEUz*-CWcXZhmYCgK*ZC}i z>*VKWZA#6Qlc%Oa3o+r_KwF8Xn6rbtf5*SiuWGqF)#|g};>1f2TeNh@_|RzI|LK*S zUp^(bzQi}{@;`I4%KVvW>igO(qt+Z;CF7pFfVtJ-p{i4yssrnipqyx zlny$DR{PiU3BD-ldSa%k)&f!s56zu(+Kox{R=qiW3?ji|dH)T+hX+F4gN2SHi`@Z0 zG>!`y^g3Hq+^BFKKc-|QEfXZu{mgMc2OrGI#owLhNZu2B$Y;>QqeLa_MyxdI$-_id z8a(zoRyh_FtBL%sw{Hi7A?b2n+qByxo?gy87LK_gh|gG=o=u_~utB{X@w!~K?ZhuT zIp|{hqGR}=1;Zp0mF=lmD;C0X+q124PIC4rLa@?vaOZ%9F||b(AUzJ5Yqt-KI&(dY zNmi=p+aGQj$Y@8(Ga+(!y&_z2Db!No4W!}2PZ2oKL{2>Mtrlh5)k=0!Qc=DNTO?H# z)4&ql-&VCt4@1d36Hfx!zxmIH51V9h-h3+6Gn-xNczxR1= zYrnoK#xz+5D*q|>h%BxX=aPRBeU6+_sBbFe#KIg`=2(06g}BDx{I+r z+o%{dvQ^UP65F+KO~$LLaC~R_=*ktyqmu0NTPqSnQoBF+Y128K%QX+5s9ulP4!U6k ztlz$;N0mXj%pmZLRajUbb^AGq;!ELL1*|r1vn4XZ5%Fja{?(hmtrt zf1e1lI<^e9d$YVStw`-JmwQU;PosKG##8l_MajRWg40TmPD<^HeDB89{1&0Lf|hwG zWY=x16 z+xIV;TUs=;r}gScqIBaSuUDxafuAkGh2XkmUQfeAOA{Knb>C#BOB9r|R3 zE@}3aIto{1V8$blr~402gmrmpmC$|4Ou2@u&#OyrUvAchr*ts%=87m*l?n*?TqX96 z9>zRZ?Y2h2Ce*OvKP~lT+~+=9CwG|59jnu^9SflR@Aa-%G+$kxuWk-6J6Fr&D_isn zpH66>&Xa~)wj^!x5(}XOzaD5N>Ne;pb#{GdTKm(@Pp4pFr_sAaZc{?1csIXnmKUI2 zVc(7Y+bOk5ij$s<>YbnkGB$qzz>mfiv66S-5G<*Sw%<^FL&0bFjPEP<@C@JzRL3n1 z7%q87(C(#P(XQ)OSv%^nZ!~=`|IijW^>2S^y=8gkGPHH}Y`4{Q3(7rP?Lj6%uDp@4 zU$Fj^$T;BZn(Q#{Iql}#!6s9MP6=Sird$h%!RmHP|0GW&zfMAnM5Znr3<^%SiR<7b zeT!irkBw39856SuN1;SJ0oo{O_uF23o0jIPD*}M89FRe{#_8OV zUfKZ(46geEjD_j-uobf>ltL@Kv<~NAyx#K1dgAjCVw~+Jq;7#y&&$)tfcwMxyFzf_ z#yCXeijqSRj8Xc4!Wj6A&e%;4jOb!MOdAT)O~6(^ z5!)gI`q2l*L^2-HL1P6?1b6I?aSz|G-6mrY%CT4xmQNMdjHCJEV)SYKs8o5MfbG+5 z*%-pI%W(N^3|m4M5E9IlD$-B=O-_p^&F`hFvI!vN$7$lIH=YltjTFyoC>Pivo1(>H zmkEe!n^PA<(g`*=@nwTnx6wYYn-XLR8pO1prBpO;QtqhM-u)g}@`$sTQ(UJ{`M#rz z;DM&r&h+YajqnuWJXYQC-0Xzrhmh##4Lg6M#o&<0eSRsLH?`Q3ci!F)J)M7=jdWPG zP8nzgAW)}ogOk^&kqEgI?b#|o2gXFj_luJgq{jMGhmsw@ork}(A+pXUj^9DZ1&{3V z@#IQ{g4f&&57&~rxKH;aI}jf!MDSJQx#T3})Z~oh?B)FAqPIjByw2L)@O?@}pMl_Y z(|W%RxvP|4`I*xmr6=qpGevGny`Nj>cf@<^gkcp%hV>533T}}sLd9!38JSdh9ihv1 z%=Z7vSB^rsV@d4clp`qyOhcb33RD?Y*M-og8(N=W;=ekTXQkgUZ zapw5BDm(A6dG_6@8ozh0iH2TJ1Dvn)++4RLW?hF=U%T}SdK@K`p8jKN2o;8f{%qNx z?PZ5~e7TPG8-Qfy+(bSLv?i{h$lI1t+GXx1I{Jwlj&jjjSy-zfkasm`552& zjnI@hSo}8dFZ{jY8uM<=y?nfB#9YRl&f4@-m&W253Tez)EKyz|n=dY6| z%U%?@|)XDKqjU0tyCg;B?8;$^iq^MPLp0i}GP4cN?_} zmj;B@z&9kDBthKx4N92`SbK{mSY>jOgdKTjTAr{Bbl8`jy?0`WT)G9Zc=QLPJ?-N* z+c9_slTNY0%kJK0u_=Z-bY%IG4qPXQdC~(4uDMO5PwTmfn^;lD_#Kp&AO!BNc)_i- zhN;df^=%FZy3+3@aM`lN=lT66F!dHEB-o$$CP>Opmi5XgaZu504nTb`)1y6zuCXy| zsw!tmg5GQEh&GCY>ow_yS{8`*497KsChdBLx6u(_%WZ$(HaE5joJ~+*uH6gJnB+p1 z@$=P3Ip-9w!e+JmKXF^!x|~6Dg)d%K z76hrh^4!th8iJAj$*iB=^mNBFQr?OtikMJHvCf4J4y@NqN7_tAd5oMT&=0M!Fav*z zEb+1E^U>a}GM&}Aw*PA>SQ9KUYwP&1%KTkt{+39bnFaA(Bzm?y%UiXb+3wR#s#MV~ zUi@VkrJd_iV&l=oJhb9x|3h520oIccFFOkY!LMDaHD<>hMI|&B%OF?xP2o^=_*whc zdBOj0y8wNU5%(6`kDj_Ff)I@j?I-M2aL;0}6zL`F7Lh>Dc;VY1u}^~xuDJ$^48z6L zI3S!-jK5<>F4A{o#6g6uyNR=yo3AWSa4}LEtY)PtWNPD!yt2e_ykwI=~p)-HM<;lQ)Vdob7r$N)u&fx zNb-0T(T^=2ET42+4O@Q6guU&i*acJ^1&Ny}u0xq0?Pai?VQ5`;bWxTPS-ps16m7fy z>VwediR-ADW+TJ=dE25(%m0O+KP|f?y>8d?MUv^KojLQh8~Fq|v`a~LmIxBo9&?Jo zWb6n>_WXGp@Vcl){D{<~>;aAFyLKO;{F}p^OWM`EhvG2M*9OqnvVzNxg1LLEP?ZC_ zB|RTvyIfoEdxu!D60_F1!-a-KQ8!q*eP#52A^4J;GT7`BWbyEEK{~(Dp)}e~PwzpD z+Sz}A(7jxa%I$Dfd)0`p(nzymv0ZB6c1&ZB1xufpu-1kJMK51#T zC`XInu*gln)NJtK@chnF2z-6(SRr~t_sYlqK`!%1;9!XLK0)!qgl9MVB2kDUe@ZTx+eVAQwE?Q5O7j|Uh0YTN`6 zUAT${NM=XR+NB=~XiYp<9!w1_U*7T^#0?$IoP=y>t?jCil-7n$z19=7{bpuiCQs$5 zM&px(ryG(>^V_KTjdo;-UW3Ck^)0p)uKrbl|KZTxW4_M2(Qy6K zaC24|9t-=pe;w#?D@F_DOz(Pftf3obLte4*HnHA$5(h@IZmgJu{<|8t!d4lE2pV%e z@TJ}4O3OR_M8ki^nm{f5v>v*S62$uHM{Xp1b?Fn4eg-*^*S#lfvo%SBwwKW@NOzsM z4UqTEk@P3aEtMxFzJ48z0{!F5vURax$yW`mFss5XqMv+0v>GOqtG80e>0$5}YEl&( zy(vl!K(iSI+{l0AW~T31gEYije-T1nw|0J2oo2IBcUqA{RjI-XRik$N^qkXn1k7Wpv*M7>4tb@&I^^nrc?|KhOvV@-{l9{4^7Vy@t(4sjpbN zwEvJ|*MJZMIfvttrx)56A&g0&ku!JvL<7Fb3?(Sm&K1`qF)jy@W&(ubsrfB#bWr1%e&e*^72Us`8^PJx+26(9@k!s8 zJMxTS_Y?gROf<(rt|*9}6$`%xL}~|5bXnuS<_ zagKgQ9M@i+4?O;0_$zniFuxiDGWF-cK?}gJScKzu}wa#$s#UdD=oeG ziqO-!D4y@k5sA1(8&szQ2k0fK#FhIP4rVHYA=B8Tr*6U#PRprI_GqS zT>;$^Brn;BmfG@!n3(F#8QnbLJ7`TERYftK2=FanO{gq{FnXl=VkWTnmFtfzVp&-FF)A*Z`n(h z`v1A%L;Vr$K>L-VosndlpOae=m4c@3yKnW-M;(3q2`y5o1=~Sf%AK<9hH$P&C5cS-eStxka!pMw;q_Jg7H4j13;P2nKCH63>GTWWgyMdtZ-Fw2twoB5k<%yb4^J{jXZ78fQp zy0=IXGE0uXEVt66>?C`^3&nLKpmjGJu`lKQSglyb$@smn<7U}@xBDbECB5bw*cWB^ zG-kDG^&LB5xj3GZJU~1oDFJWiM2N6Lc;|EUg>b!d=Z)0{=$-O_fWoa%_P(`^RzQV?W#}#Uh;?Mz78YspVx5=ntg0BTFS{CY5;WDw8EG z``ibN&@2|ih=@mBO_ZP8F5D9LZ&@r3n0|u0* zHryU$UK7%f5XT;h8azbRzDt|0=4JF{!kM$f0cyhqM+#r8A~gwy&)L&3VZ#t*(r9Yc znA*r`E*M$!cUNz=hNIL{&^=fo+UNw)cnQYm%nbczXQm)2wdzsk(9t}3LzYGn z1-mud%3?U^`^bgc(&dgpx#tlhr_bF9Yv+A5654$%c;PeU@r;aOBOKF{#(w8ngbt1D za!=Nr$Jg23K44d9W~%$SAc}A`?p|y8U47@&Z+^4M#(wNFTeAOfsjCOP*xK)4aIjj8 zaQMD>q&2Y+t_R#ZLboVp?2q>=Zxas`EG1C3Cdzn#zJdZt&?yNT@OusOfH#o^T2I`?fWP9aKl zzde`si#uwLk_s&m(J;so6V)de?4>Go-_g4n-_8GE~i^bn`-zlQrSSR*O9f;Vou2&v;(>5@xF< zub=N0wXcn0Qp+tX)ik0Q;=i7RaL=6WaL2oVb@dW^k|7rTyl~|e|o_-nqYS`d|ht1r*SbQV2_wQOoC4&5ch1o*=DbWfTXNH`r{dM z6HaE2nJ?V+EZQ%w+;}o1o4`||gWCMm?dgpG!Gf~aR_a3Qg7E8ORQ~m?835E{31Yke z9CDXJ<&aTQ<-u;yv)Zu>#D;KU7_1N@7yRX1Z*6;S4|x=6CzbSB0nbYw`vd)W=YI?A zG5UzX6M77-oYxsB&sE)QX}i3Hqb~=9m(aAZTl1rsTtVP?5*fZaybO_}caHHLrcm>! z?j^KU=LOe{E0QMIwOCMm5!4E^KPM~ZDEPxdwJv~nx6JKK_nYdEcEG4*bdB!277&`= z)Lzh7U20948@UfupzAqL;`S(@*H&IRZB=cOUX{gNT*H6=3Ohsp#vrle)5qq2k))Vq zzW!DC?Yg}@pvY$oKcYW1mPeFXVfNaE_^!%r{urNkxe{=mSxxUgjzpqgEwB{Ue5L*J z6{e1Of239Mbyqu-gfVIl1Am+3aTE#OJ;S_M?$7JsF|w#RkpZ(C6qcDeY~Hly0biaX`Be6Lf5Y#ZF8{&WG{sY7R;{k4nE>Ls= z#4)v-ld4@4*U~z_#*8iI`Gs~mOicV+v9Q6%#&^&rzjo#ZzcO-oGUDZyv*}l#DcOJ> zmJ0PBuAVDj-Xez#zonb+j~nl1{ViHVI|LOR{3W&VNV#W)LZxi9xNboG%Z?~Y90OHL zch}erT&DPIbR^}PtT5qU*~X7+0Wy=fBL{ld<3;;xG5t1++Ae_p>{~dZZ?wgv{3=A; z=b4z`!L_1%PngNErd`bV7WB|qXmk6=>vtpTp^!y}AKM|E8m5$u3e|0`-p!*M?JVZc zy*L+nb#DRHR?Er}ghAS22b%f=Z9Kk(nF1WXP#tD1;Wku%ovh+3Ix0fF3}s1yds!;0 zn<&!*y|n2zyvdk7-eg@8z8KvRmEYgs&^h3w=g;~+tF~_oVoQ%pR(5_{X1y{u~I&L7nav>$Np!vaRY)#>jfLe=1NYZXxIO@F!}YPBvFO zt<78C6YKJaso+uUm%s!Qa=$-ismT2z%76VFevvK=Fdh{Fy;2aQqI6xPZosPd>2_iC zVb>(f$d%DnxN9K?&-Ap0R-U_?zlwn|`5Q=qOlFlUY+0vfu6QyhXu=vgIrI!s8|)f< zEL%Q%xVQ>$NAJ@koyKhEo>buE{`Vm{>kn9oqJOvHI>TNh@J=p15{DB;)7T}Od?e~kqa2<|NIh))1wnh#GiU7NKK^@SOP8_cXBvUWpK{822FnmZM zSpOFAH;_!pI+A!Y-3zkCjb<*KkD-9=TWEJqgX6_a*g?)t^qyCzs&w<`=X+R}B=a90#aG*)aAUh#GCDd6eG6M?P-x}w|NjF24^H}^ z)>HQ!c2G(~sC$!7ZG+Wnk{xBIv&VJ21LuQG1Q0fJtx8pI^NeN(k-R)F*LbNam7ZOW zR0+9EfjN!qKbj?x!%`mF@10@4VD&uDE9GI5^ZW=ovVFO=x(}%o5ee32M`o}0?>1P5 z)2|cxw97Si8{T?bF5b{ApRA1mN%0ay+<);&RaHNH3+2{_zulJiK zjY@6Qk{IB;iXX~+`j+3JS1q&Ls4!nkIDg|w=$g&FOyZjgf*+ctXsRT z)-$yoPtkuDVV<{f_!pt9%?huz9tKaW5$sBX4N~^>zgZkV-97hCTu$Fw>j`-$WP%Gq z#=Xz}pkocUOjRVFHCB;OKB7p%<~KO&{~3s2DrT2O7WSo)g5aXse<>yuy+VFn<}}iZ zvO3bO4TpcgaLZQN#!z%8Pm3_K|er{#&0hNC4UL1@v%; zJY7z!kBeNuV{lVyg{pJM$)dGSGHMLuE_+6*uyb zOmpk_MzpBSw^01WanpG+Y-Xc%jS0E=kQkm0jqMU!!ddN7i}WE^>t;)U`TO6)qS-D( z44-bZR+n)FI2YUgU@t@?Q^p9y{!xth`>Di;QQm#i2?Yv*-MmZ8fo_YXaM_H#+}nYs zpBuy>qNuUt@4dJXaD(AmTGT>~Uz&7Ttj?=1BS5;6j8FJk5$9c$#Bf}*W_FN9tSm`d zSQ|R4Uuy69t6?}{6>Sd#%1*f$+N4w|dY{;fy<1Dnx4SQYnjEV2e!$J=gcF3gI3@QyRoBbsW1_QXtPdzVA1nB^Qk>o@ni(Y zf}XG_sL>~C@aOsF?B9u}m}$=g}#w#VTVlb>8{&d5bqnJE#G$mfc# zh}1B6j{fG+NkCjoYR9+SQKEDVfbZ7lH8geW+|sO^MEb_;xgXz6Vdo=r!7fxmOsP znTcxZ7t`ql9*xdkQRNEemghbC&&91vBBrtCirO?s-TM3HjP=R5=CoQpriG~Lua)&Z z2oNeZp;7aVF#lrMfa+YbgH+{`gu~oRTRoJ}MW3iG46I>CN-RDAs@-_}I`3;n&>N5U z0v*(VPv*YkzP9O`gq*mU@?N(>gegvIgh{1JPFaq4v>=jIAZ!1DDVag8Yfo31eu949Bt00~zlaDOp0dH|2521OIPAj2Jzm z2ey)hyKGdl(waha)QwZjP~TwdU^`bD%P=EZt(pl*TEdgy(locZlRKfJpIPC0Q!gOA zBfx_^z1w2jbB?#Hbf4-Ta~De#W!N!e)SIj0{3RPpEZ_emPoBj-_pDsEz7OX@R1k}X z`#xM-Ph?8icd@`FSP-y1U!R+WHx4m=brWBgZ>;`av{%&92>53e*e{f>LY3zImy-XB zn;h+#gf3V6goT17<^4}n7bXcZ@UyOR1EPsO?IJdaborg%DD=x=hp%k#z4n&bmePl9 z@x-i#3krD)9`j?6HlZ``6am6ogL~iBOjfb=wn+?P5B{9lxY|e$v&WT)lb{p9DD=8? zEW2BDBy4}nhczM196lmG6i#p)>sCeaCO+FkJiR^YubZphqUblt@{`Muj%hVlxBVR) zMMo;;y4?2tCac!OFvW!eR~c+Sw0#%I zmPmIE$rQ5BQm|LJR*=l&9CX1jLx9^1PO|Z0NH!5TudK**gQ}oIkPfa1sgoc-8l1g^=_nvTS z$*A#3(mRp;zBpYGi_wD@b}7&{xnvzK6Zl&XE*jtasdswCML#9Ke6hY+MgU``(l+;7 z_+0Xj#L2aH^OiY8rt+#SGQ9$KWUt3xkC#9Ck5+zjizuFy3(4ivQun$SPXrfF zwHp*ZF35WwrY62j3=4a_&AWbkwjy<>Dgm{f$)tei?tyQMufdnPU-srB>Odn}?5*>| zr}LTZ*A!byomy))x}l7Ssy4qpTHhw;%KNd^LR9$mL9N5}_lL%pbB-AoPPTff^-2!h z%SWRBxZ#dqMVdc+75orQ|N4Bew=QZED{axy&cNy zY#*NGTm2^eTDjNOvc?{AN~aE&9qK^`?@m$$_+>`=mTK)D0*{KVh7b>&4$xu=c(U~b z%XHn|^ubcCRmk=6itoDjg>NT43~B>wy|T#ityrlxW-O11(Kl|jd~e8cqtDu2ucy~^ zqV#04!}gGusQOiVnEL+e>1L_cx%?W;JF>g^>g4Xb%r`=&t2Dm_SbM97@`(0_c+mHw z1vW}C`@nl}i_9vE{iGP(#QbjnvJ3~1oQt80jMx>p;?ggdXw43bDh|w@?`y4c^pdaC z(2DjNec*Ac7=sZ^i9=0Y^GE+$-w!Ml|C6V>RJS~w-hj9n-%uq~2A+RCBkn-qu1=52 zKYLRVccj?y#)Qq&(Y%-ONotP?mx{6F6B^r8We!!}^L97jjB@xhU0csE1hPOVM}*b3 ze2xbW%+la~jI_NWmJg63*y*+bvi64lEpXX?y%l&dgzH1pB6%zB4ZL(KZJ>Mt#P6fK z|JSxixt=6^h&p+Zi39wV6Lv&>KIieT4@>qzGG?k=Lz4V7^&-~>pMdrAphXVVPpr)39jF&rMc7muEYKti5#%dq8F)Ea~xR;QcW8p`XzK=k!SE|uhV+F6orCs1Gu(96O4Cj zT^H<@jGU0M5!p5QcHAvK=lph;?#idr8n5ncEp9h*OXezBGZXg?3@MkOW36DMtMgzw zCv+5j*J8&*cVCT~69a%z>3kGXQ)jn;Zxk5}dw5H}Zf^YXv!1n}j`_MX3Ui{|?&ufW z!odyl0fRT*Teq0f!X#603i_&FsbH8jV>uXgDEhclRX$&fo#>i^k6gC64FJO8gdD1m znc@%9cUfpK-i`*rk=y!>s`J--8XIc?%V0JB6(buk&NB`jzVIWvMHS(w-2W8_L1%9! zbnl0uZ*_e=^Ef({_+8~*nR)A-Ma%z4Q|ny`JP~!ws4=k$mV2n<9@!laV!A(=E?zo2 z$B)XW`xrBEua>d<@gOw6o$Ng~75nduyLfls&UxM)mXY&4x?g5GCr3#K4P1dq;{$dX zvZ=SC%$YQh{ z`xaSpNy?f+2y>YBjKgq23A;ssFDko`{ZwWuFza9-p|TEy{JR<16j+bHvx52?bWWvQ z&);`j0bMR_2_8K|L{*o{$oLwLj#R}iSFi2vt@~b_@VAZi?~UPtEhRMpu$~f!V=tH7 z8ypTyO(}j`!*4c}RX1X31Gtp1+N%O)Fq5yS_K2jXIt^WADIb8^Cx9#f8<)dPd`Ae; z3*Sg&p6_PgSUr>WM{Lxdv2U^6juZU}U44PT0(->rrX%9m05NDjC-EpUk4TSA-R5l{ z|5gp^&dK6BvpWmgZV{aq#xbVLy87*BS}q3ox=^44p^icTEmd9NMZ{YM^dRGLeQS20 z>b8=NQY;OmEPNDGviXsq+=@H3fyx*|C`X$Wpe70+tcysFF%>+Kf8G{Lqhk>98qDWP%QjFDWM~U zO@3BE{^Tx&PtMnx=HhWALW0?%6hr4Fy02yyz=#15GF(3U3cV`a(kQSF?UAU*mqOLPWh;?o^m$hsa0$@~q{k z(1+x*n(kVUp?=4u#(&v;#Hh3mT=!ZLBoj~Lhih?{D-gb?;DSk)h^W;drX`@$&nSh+ z%si>t2Bcrx?nE?i@4D#N{XwN8xtjbYq`}w9Ovs|4q1?#F>drr~A#6l9$-}~KwsE=f zJACfscbi|Okq(zc^%u%&J-xa+eHj0|e*^4XB`t-$E>T1ry3ez=``R~ETyt5gJp8`P z?fw-^`FM>ZZK9Qod-f(vb;{C5h&eI^S&i~52O@i$i@H<-AYY_*5#C|kq9T?G57e4W za_7)Bg#}GXJtCsUOb?B#^_C^GD(sM%vx{qTJ1Wz=yzr@}Gb8il)Eo{Uf4^I+Uw+h5 zU(@>i$~G#mr`lsy{*Wxh;q?*zoH?{Uy$s+d0HgPj>-@5t`N*oy`@LF@Laelpfkcn-o|MxcgmW#J1+{#Hd$*VEmPPNrf1 z&*MSfG+Yz+#Xx}E+1FRUgy}b|w)#IDZr`~Bnoh?>c~{VUy6)xB7)f>(`vgx{Z z?#C<6FRp2pvRMmN-h&GX4?l9L(_0|xb;uw5<55N9#lXyKB(FR&;6uVdq}XN7^O@97 z%}fZN2)V-IERlja&t^-|@9F{qEvcDsn8J5GpCHJK0vHO}(yN)q4MLYfHnA%B&xy9O|L;{E_`)>@3JHXs`6SXm6&$s5j z+sv5#JnN}oOqjcmL~Q219fLD3vQcnNBMN@^$hIV2tzT7tw?evic+h?F^fb#dUGFio z_iP0Bd+;Hw3=led?#1rkc3^X7U4OkSdl`y*Mt=&}>2}(S>c`$t5a-_RVgw{_S@<*ej&#>%T#NYk zsu37dpAbH%^wX;9wJ%rEk5oc@OpZ2A4Xe7>QvbH2@4;sOxIt|+=LmgokOsg^5hdNp zf!m`wAt`53--jLT29&5Z-0{!;6APc9%~JQLbr_%R`S5e?tHke`v3G?1x?sIJ0o5j) zDR|dhRaYdQA9c@OQ})3O;i|y|bW1v5Tbp99&{ia~*5KLr&&dX#4XI;-^iY19$I+AE zzxdGF3CcCU2Ky|a4z&3+jr7p~y5-ecA0bHLf+-s8)z{*9ie|TL`#&3qj^nBcn1}uR zDUGfkvYohge@Nwa?-}yDt@8^3R5y2*j$@WFtK#24gpdu*R*e&+BSUb$UqGhxE`kvZ zZDP}7ltr9Lxnv45*-TeAgyL`YwDN5zTX5+VlNZpuH%^jLW+@x1R`ty%FCh-h z$NX;G-24i{pC|8RcWi!3ZA$c0@eI3xEAzyZe>r{WHtmg45&o(}!Gpi{BZrS`uNzzB zAkeJ?J`$WWc?9mM$A5BKuz)Sa6tW+T^)qM);286|8j0 zC$Wu=XTeH=5rdp(dP||;U+J+vvHr1;SO;N(xC^JdUp^wK#EU=q2C2%}8vHvYEHW^{ z-#|2IJ5;>Ta64Chx-`h|!ci1%`EEBA1&_#FLt`K(=TTrQz|jjH7NblU`D0g>XIh++ zE?%`?IC39h!5J)0Rmgutv~4seAvu@OSPj`7)JuqQuq07`jFBN>WaAUPVl*D0$+M<% z7V9n%_K}I-CU_US#RiFtF(qf@zQr{v$aVRjT|d%fg{~8F={%wYqd8;u!M5&&D9UyyNi1# z_gn4~AhpNBi&#*Y)q+c}fXO<_?4gEop}6PAKg+#~u@l}JD6&;iO_I2&#g@Ot5Dbkk ztpY1X&}nt{w*G)@d|ku#b*rb=kJV$zQJs+~*BEN-DgT^@mbr_lIP`b-J+V5itq@j= z9%fs&HyNza*9LTtizdl?I9lspu&LGKJaE){Y5#glCEA4(oZtJdOk8!?#)g&+hDQj) z3u_E>datBkT^jDIADBf6BumArJM#UJ1k!E=%K%e`lDOF-j=$R#LI;Bf{SyXgLsSmj z4`wbDG6ng~*!zxfm3>NfVa(ijZj+gYOv(EkW= z%Ih?@3fux2a0l2CHNQ>;E-yBH{=F`)?<;u1%s`o+~X*bLJ{W3x&XXqh@e*KD(ZFsBM z{8Z9{UhUz}Gkfa8KK?x&zQsE((_fA&`&x zR|+H{w6l{k+=uzJPlC5O?vyi^rUc&EiCFbkr80m%XE@NkJReK2b_Hk%q4k=%h4WKh z{yAT(kSL2>eK0vHqQ1GvxR{x((ELJ6d$CeWX|8h$y+baJE>A#LdLoAoE3%MNf7TMl z_EIbfOL55rn)G#r6*7g{=Tnc)rI z79apW=N}*WA*6k>ZY79pz2~c}Q%$FhdJ9x~3nuW>GR4T#WFPL<8Y{6H>pCPRKcjmS zXm#G~deU2D?ezD~4GIzaem_(s0Nd0x+7Mmg*QlQsW;sy?Uk|`*alOT#>ag5kz9zQW zJ6m&>6%urL1Ut1bRdI;Z$;wlgr~65<40`6z;Q`na$TbR-x0sFk^yIRB2DqBpcDVe@ zcLZyZH)0@2$t(_J>{r^!s;fb~Xuzff2SfzJIX3dggxFVB&evpYrxHbsc!k zqW0cAUKlL8e!~#Jo!MmswrNAGi`rE;knDH&`(UgF?unClcZIFa{Zz&Cj*X(7#94kb zk;`F&x1{RWhW(`rLh>jYJ!|sv7L~KP5z+MQZU4`OwhG4bjTfjT<-I*Tp>@4Z;E25Z zLUP1}v3#FieLL1l!mYsw>!P#`i9}7?tBFH>V)%rC98jy-|5@_jQI#zloHXy3=ZM)b zqv=n%)Jmf43FoH@5>f$>)45iri4xeMix(JUa@=Le^J;0*_>6l&6_?cuiNuQ@nNddg zL>_Lq7T8y1IAJVjvj~$D-)qk)MFM0-4L?fSR z4l4R}C3)FK<<>`e!8vtY!`dwARA|k{!9ih0#rN`(;wQ0_uP2G$-!12qO+)I5Y~*$s z>nzpy?S-V=YNM^aR0P5*8o(Wtq-Zu?(_4e<|s zlvI9zAom<~T*^-{{_txI>J2e)+7)4)xq(jMnUuKHx>C9ts&Nq@(rT8WrLlc1Oo?Cu z4J*XQ8vQB+7z{&j$n~OzS}VqbjJ#FVqtXgl$jC!${Hk`2N#<@?_D*J}^FW8GWM$Cs zn$BB_K&(iS6Rh5S?5BgL_g~c8=tg@PxNmRv?xiXi8ssu0VgM*m_CFyvOEcQBXHm6<%gcfLKQl8Gq`sZ za&bB~EqIwTez^GPxB73}`L%-yIa%*#=LXxK4!F0VHngmd+Zt6UlDje>gRn4qIXwzB zmVUa;{2^|ybx~(@cQGK-z}((5?iushkRt|dlsY}G6gkiMvW#y6ucS_$u12!mx}gb% z&I<%Gcwf&r4Ie zTgs_Y_eyFvqMd5LT3e@fKGlORPilISYIU!rfyU#|HKB7#$)j@e|2=18^>cdS?Fo8h z(mM72TIy@^Sbwm?mf=r-wp`CRZkt>Ke!y>2)s>U~r!zJeY%k9lE`RdLC)%{>VE@+t zwS=uLC;gYC#F*ryoRpJtQcn68Q>s%a5dt~Z9s?c9LmVQ55elbKgd&uW@j#YUnXCNd zM=$@dl}9kJjAD@;X%XO17KXHF!=lJYXTlJ|4T2)V6hbr^L5UsKLPAJJs74v;K`qp8 z?Ln!E5Q+dCH`Ec}h*A{6+LfURL8VOVGX5XJ2Rq$`L;wx{d3l0+66H~#a`G2-#e-~6 zTtgp>jIFp?O545BH^FBq@AKOU?gpga!9j1R(eQ2ZhQ zqrm2WglU9cgja-l{-gaUPtNapexwY+0E)0@bVfmfayld&b}>j$F9D{mc(;t=1v{cKx~hA(ZtC8;ow_$_ zrtY;HM!AlaA&1`$to*~V@@)=NzGi)OuU;?u{@}yvp595_ds;vH^j7y?y`uZ6r~ds> zxhl2Qy;|Kw`G*da?`6414|VU}{ow4^te)OvtJAZ6=l@jYQ)X*_=X7=N)Ir_*KB}H? ze4^e{wyF25AJpr^IqEUsIcul2gX`U_y~+2-?;Sqjz`djAsmH(}cCDlJ~uGZKCmUde$*|T4qd|wNUqtR##7>OPp4W1)tpeP%Cw>+t|jffx0(&P~AIN+mp*5 zZY=)Q+_|H=rMFj)-jAs7TNBlP&JTKgsr2Y)tJQ1pE7tGMcHQPx*Dk5=Pn825n3FAS zUe&XC#T>lf=-=MPh;|>YFMM*p-P_CNb052>tIfj()f(x>D$VrQ8V~8N2Rf)lmmcbA z_x5SuUOgKcov9yML0jtKU8v9hM#E;!t)JF@p4GvwH@0@vNX+x9HZHZS4D+1#z{}V? zvw6|IuFaL^_0_FMef4O#!fd+noQ4GZy{KI#Z zMnP(2aD?GhL7W_BuAZ6T8c~n{2Bp?-w{|BISK!{2<^f`YhE(`57zy@Zh(p1N@z3$q=!LNk{)+<(xa+&R+5cb`%1o4~@Jg^3{)(XvT7pgR zX1>F-p)JZy3~^si{-)RG7p(AZWk<6~Qj0J|#TL#?Xbimn#Px{3t{il8uqhJyLlToS$rzvPm zIgG8Gob3hx#sh`ueUSo`bq8%gxs37E>v_MU;}P|+BRiPM|0ue_XZ~k?fQ9^r(%&~| zK)G5gO@He3C!bf$ulR{;>_*Fd>}u^ zXQeu6?x4kd4QcK-bG<*@>pSY&y?O1K_Zq&UOm8;)A)V6U0vqdGI=XIA-PHLCb$P0R z`uBTKkF+$NzIGZTXeejhU z#)}#@HT-TH@j!SAybj(5FQ+c*h4+xTCteU zpFIW+Rj)A%+|j4k7n{`cmC;sTpXfT6df0nA+r2Lt&ztWC(O#3csORe+8;(6<{b*_Y z)97q{?0R2Kb-Jsvx>l*Fr#tu2s0p()D@&SeOSIuzq<$Gv*H6}{>&qXidp~;*x*G4b zx(|77JO?`P3BLzZp*3_E!)?*nr<(2FvZ^>Hz*wRKn5x=qynfp+THsi&TQzK51fYb#|okv2Ba z%t`h1Y>cnrbb-SN*aF62plb0(i)c4d%GjEl z4Pn4X_%6YVA#sWY*ohI#vBP*ad>H&p-uaqfKTf3tkH8VI7Hos(2IChA`4E|k>+YbF zkU5b7D323p+_@6D1wKxlU@c_=9w!InA@|_C3m*n++`wk*1dLJ0t|4)9HTW3XiOj`X zgn-Iq*$f_xV<~)}`n*o-KXl1hXD?$S;k3kB1`P3y3!rU67Nso&v9oRnX>Ha4SvOvu z??Kj$%c9&HWZmrYCRZWH@*i?7@^eVYy+PKc4cX;QPUb&oGI#CVLr#Q5Pk<~6edsG~ zNA4x4Ha?E@9r+eK1%JR{^aXL}E!J(6p^mCARQ0md3q6yK(ypOMYD-SaNjWJe<)r^i zN|@Vz7b(%@-&LAAb*h>+ZK_jGJyk`E7S(ab9jB8|J~=1<4szKtC+dWf#Z~5n-oOFuHpIBby%9m5g zOG_%>z4;EDgvTfP(vZ=MR<&F?+IR-XdmQbtQQ=_dvJ}pl=!I~+w0n@*(6`}qi7dd$ zR>(fs;CzD>^pH69*(WwO0?A0M&5^Ni=F4^%w0hC?JwE<%m!)vpZ}e&-mmS&Q1^pT) zG_oNYL2Z=9CYVYmOZ^1FAs_G@f=rN6kcHyG10lUT`(1ZT^?u>FXl)`85&a-~vam5J zo7E6hhYad^Mw}PXF`Ded=4Z&b$cw>9>&w89&OSS7(mkq#953o6UESy%}$G3iOq^guVb9F|ucTV?IvBBp`*h_~t*@ zT20o+&Wt?CCUIpM^wgJ6>Fh3NN9B!%(3_3%&>Eu%OdE5y) z`S@5q_ISH)e3t8cKe0^2bIE+CO#GhY@@1?HJmi!lo)Ug@{WvQ}`D02(nfs~hBx_sp zz2VvZ|0z1z)(0n_c9_hy@>VAKzF016&p4svF)DprNnQBYYgO}+*VKLLE~QUgue;kk zsx!~O$g!yOu_aZ`?mx+}3G69z!twg^O?Ru|pi$~IYmeG~v_!Ww?x0gEoN*{NCE8!+ z*it&az|ksaeZ1nvifS})lm}8iwN83^z0~*XpVa8tvHI&B)x2HDgMnp@KHit|QGcl~ zML!P8TrYiMG@jYCEE~0hdIEjQ+xO&q%UZb;N=NsR`Obe%>%_gkfUfa;(ut*=CVXCY zYem(6`UCIxKD{orDiXGuB$6Iu=1x^*`kj9sp>jxrfd__vjbV!GWD%b%hQUbZM_kosTE`c7RI+Q^L0ho+KCn{UAXP z_*Wz+^mgE^+nnehcvsW#C{aH?HPlIl9&GgKo4~>=SzEwY4L_h6^#}hTd|uEOV}m2; z)Oj%V8(rd99X3(}AK|kE9l*Q6+pxxAeS@wyY#<9xvza)jXJM~`SG#?bHraE~fnqlW z>!2CFON9w;wd;Hm;0K<9jnJ3VMOo{By=;bx?aWUhwK>5!pOJos+gj0whm)LXcgFe* z#|SVjU^N>cfQR5eYY6(my2r8I)*{Jza@G>~JfUx9PGI{aPz3B{V^#1Jy0Za&JTX7P zaPK$sh;gts(Drv`zjKgoIi46Ff>GTj$$F0r7L!@q*?NTW4Vx#>UhI#)P5?`J7VD@# zTh3?M@bsELUZb~OeM{eL`$iuw`B1kGx-~kP&gKzwpA99L!>kb*zoAox>f#<3NA)E( z63$mWpK1)Oq0bh67Wr{adqI~xa!Is4`dgYxo`1YfIps8!Ki=MRd$%3Soc|af2)Iaw zC%~J+b$AuL1Kt2%fnQLD?B(tLQdW-g+(&uJ!n*<%z}MkB)Mq@)%Z40&$8kH3rQ~d0 z7US=yotDTkUKuYN&-Nc!2Y*K{Lq0=JLtaB}LwikQu%)VZ(Y=Vy`a@iA2Ml4)VCl)NI3opD(RXPk*=g&6j ziB-}-!<7C@rPd!T&@D~Ub^3W1==kGHs&ttXR4!GY;{6KrVLYH)38QPEAOC}U*=vp@ zI&qD<0tRs}V@O?oZ=kPbzB^`-Q_%G=89G3Z(xpo1yg&U_6*~-2r%yNPN$d9@V{dFq z(0CR(!gx1Wh>VDxkM|!x6Yw)&0Xzci zAqWrohF~{*Bd}L-a=)+p!4B5__zzln_-U}UA@9O39V_5vV6I^_LHKOA10N*t3||p! zir^0X5g7r$5%3!vz!!Bz=AkD&Bm2O!kevw9qd(vWm>;)|lE5(J*KA)>WK(Mkz9jHa z@G1mr!dIyiT=A0_p#?NCnjyF0+kx#Y;5#xT?FJj&S0%{yNmvc;au1ln#^KNtx;npy zjz%Z=JLU1mWv#ILhuuCttQVjUK1O~his2t^1AD=0NgXr$O7%Zy*!0 zS#SJwP;9mI8!Si1!LyhL^c6XlO>ptYqD1NQ z=8;|@Z-cx(Hf-*}Twq@MiJQ;g7qy$`Q20K^0%BsJxAAObu@f zURY4&PBz)}#PY6la-NWrf1PZ6GaIWboT(e?JZy7omijF)%rsf-sWmd$cc=b8_TB@| z%HsO}2c*L;%hE&?5HyzP*Hn$sXre}A?}`ObdhdOgy1OjBcd*1>>Am+N9YKm9u+%Lq zOUIV;KkxHAb9wf1F_@VA@|Qa==Czl5&oj@=nR(71Ecn`@<$t93a}q7l5-rgZEkCkEN~A=g z88UZ>IWa9T-#4tqHjOohBME%LU`I|iBX|@ebC4b-hyY0pzZeN!!C6W0C5o#lN~%<$ z++lP?$wV>2KhmOFD>XeIfccn{9nX+ZiRsPR)Mi(PxiupAcy|xdnyH~K5)9)|8 zT*{QEmiPZ^)lK#VuL-NA^&TaP6_J=C%CBp#lSiAUNW$#BlDz7K)adtt{POmDBrc|y z#1t+drF7$->-xa8S5^ImJkl&lI?dXn*PfJ`13r?Q?zqppwm?29RkWy%t+>SI)n{F| zVpo;FN*;Qpl_XBwBqMi;yp}F9Y>!B{C0X)(k8yJ29rvoDP(osg6_Qf%VfPKMK_2}O zKba@!;E&@K{DY6B{cB_VIb;4J(qBApFI<&x#futGD^#p3f2*G$9jEUy2WH(7)8yCp zJSrti#Om+)B|c936S0j5HJlIUjYYq|h_RGa+Oqz7j*E?xVzDKqXo+}<(dQ%HzrHNA zv>R$)*DBw|JO1nUtQUC8y+ZWNN%3>@!hPriH1Ybkxb`z%?|EZEDe!P!snD{VH2tuV ztls;X$-Ot1|J_S`^yMz{l(!X;q>jN68j>i?2?q^^s#iT4f;^Bb!Ls$xR+Bjv?DL}c zM#1^p=KH^%D>&`I8RQkoWEji1hQNLdg~5XS!k}q}LuVY*J|LG#KEW943JDhUfh0W~ zN60&pL&3H1!s%ufD1+kKRL6oFWWHdo8U=_|RK7)gth6@e6C8^I0dRoC`Gm3V((W-LN1>%L#?F3$Jj>f~VjfC-=g8bCPp^ zoeLa+>;=Fw_{Ln&4hChMl^hmPb9hU9ND%0 zy*Q1YbwN*joMP>-R2Cq|iy>EhSpmMq>+_Cmj#JrS ze_i$ek9>gcJo-RIt{_X0ImjMl5cJ3uC*P2NPF^`&Ko*@xuYdU*tb8Amo8YXEwGKPN z=`T=`<;eW_xLA|%b%sxoo@>rXyD8h`{yOdDimNKw?{SI^7rGW)_|;z?mfAxnnM1Vw z)E)9b{Z57>F$FJ^5(Nv`xZ(>HlH!W9B?}akTW-BuYV~|m`ma7N;|_|9IV{p|i%9bi z*T_Auwvq}}sw&PFmJ*89;rBhShWM#-0q@~^I6rO9lqT2y1YY@g6Pyb$3Y-Y1H{L3J z`2BxmEmbnkw5dE(rC@<{V!si^xFerF#OT4xti-CBVUp|NO%C$z)w z;A@1jfSuHM9dpt6GICj58Oc|owB(PCm%@s*#p6mzT$!>`TCXju*Og^`(ze4(+TkAD z%dL6-&waQj{DrSJFCNyGELuVerd(;Fw@S8@d1v zPJUybj*LWq!xj_cAyqk~`JOh>2ROJVco=JVnY4bA=`QFq1VQqaiJ)#tfGtUy_SQ)J zMChx}dVWFlpzwoC37mAkE8`bFDAg?q%0y40f5C#SjW>IsSDHN#dL47sPE{?4lml;q zg+xh$gN=72p%Bbl-Ajxl2`5<4X|Nx1xSGuev|OWeL{fx1AP{{VUD)Ot`>+eR|BQ;Tq-{oebN+g$fCr zBro#z6%sc|CMA&974&ZB+e5EqJz!_GwTOPNYmr0oNc6$?7cA)a%sFlF85=5Zr@_|C zSg;GQ<}KJ)c}*ZTwsv%9#u&1FV&ByJ6>V8mN;N4Z`R>v=iq-qZ6_ch7n#$HqTjlt- z$K~yHZ_95}bUndi|BWK7KZ2`wrth?*9S%Oi*KPI*_@ry2QkzQBXL=t?ayfYHprp)A zkqVtEc=LK)9w}5TpA^kgNQ&my=et-jeXg|@)#tlVVXcLvNI|XqEv*0N`{KpD3N8Of zF7TV9ca`t0J?I0p7pm5_Paosg@cV?*yYIPofr3&be}TxA-&~)9*9B_fLIn+LF4J1^ z#$U^G-N#9f<;Nsp&OZ5D5e%a<`qU^1GYUa9+X>#aFM*2)qeh8#WJx^*87*lCQy9F#l!VSd@3y-+rIG z)cZa2-wx9;k2oOGYs2?achpSz^#jjJQEdxaus>2;|FkM^L*ovvKO48dR@}I?7Ny!6 z*16SMppec};i6KgsMkhOZG%2}YhAD7FW0(pyLpK8EI3+MeVU>LwC2&8U+;NkLAmT6 zU~XUWToTWCu%_#MpUP)_WS$kzU|`kO4omIrQ=d`@Bk!T(@I z_+f9ZfNfwR_s6$HlE>bxb28f5a*@ks`vnV-%_=vMpWsBe{SY4`Z?iI9K&w0e``{Te zKG+vzIJR5!e_aGtgYAruFC`+EWG!SI1XA9&NU?VVhkI*-tR0l^q03ielf`!Q>RKD+jrd#%{f8Ed$2IashI zbHE^b6nH~oXV7m9Po2FSo9LDUTg?AwV*Db=c!6nR4bUqR^$=8^mFyI*EZnjvSt zJ|oNaEt6`mRr8X4;2(IZbI+cKXNqS88#KuvBv08sMd!F+-GWm4_1dz2?|O^n`gr3f za>u|syx)JTYpj%Fa^8Yk^_ec>%O2#AlNsk>siO(VrwhrC3(>pE|AX>6VvTT}yViZr zy}%gcW3W2h38xQK^e|*LdKvmz+sWHZUqf$0f6K439Lz+o%XO``n@_*zx3U$h$Rn+K zOXmfLrQ6bMc`9L;RJit+_B*vO{0LlI$l>;7irc^ZjXcqDuw6T3x0jsXf2&CI_gBlE z&oz*^67lCSJb!*4zkYa3_MPK9f0<9d|7U#SI-8HcwXS`}5X2xyAL;%VsPMt@q`n~7WGo?7j6?^4mm4Q*%+e@qRH#qmHZ-(P?SMRjaNZLJrU z!b($6_Y4KJ7A&a$_s1Qi$^yu{U5)P(Pw@Xaa}P7=9tgp;ulIi-9i=pPMVleSDZ4 zVry6pzo1uMb4WrYtY+MHU^_At98ph$<=yXSPF*^i; zHo-e^&X?hgndC+1-zC`*7xbNxIL*E&v0yrT1elm1_^^qOn0k)i#E zN>)ae>^-qpx=!yZ%je~GcB&&(M-ME7Q0njR0&^uB*e{gWLy#hO^Vf34Jf zx26O3M#mmKLy|^00>f4{GD^1^BVR1TO=FmMNT^DD{(}^Rb z^3A_D9Txm3R8Zx6gtnsf=UrJR)cE*#savuv_wm^ z{F?=18-c03I^G@?r^8{y48A=HZIod8iy@rgY8<^d2AE&w!3+i%O6Bm$T0AtOkgiWn(b zSpOC-Atg)1Ny#{^CG>NQepg(7i&SH@LIdrE==ndtl`17AOPBWkmQ-cgT|?#nx)Cl} z+NUX_mB0MIlztzH-c|n3J&G2Sl0}MY|BGnVzx<#3)2AT)1!|nq6)l)wisa8LS5&Gh z4>j!}oo0E7lrQ&rPp-f9E-6;HpcKxp3SLa{+}FmPyS9AAD)M0CZlOfV>U}?u8*clv z6faUp3Kz&Hv2n2yr(-HwRR3Qnzm%_BMgCg5jdYl>L56J?8GGoQviNMm2)XX&+oX8D zyiyp&vY3u5aNqN4kU#L#@jMqlwep+sP5I;aR!qm_cm>aGF2d;pd=Aq8iWZcZnvn;@d})6bx`UArx7fDaBjokwpXdS(NhtV;d-kjDL*u&`q?PH7uyXgz-h=di^j5CfyPZBQ1 zWY!z|34(UGpEJZm&vhg~+Woi>d|+(ckM*WwWFBdkcGx#SPdm&ZfnQ)M`w!MG-;?}9 zf+Ybs(6djf*uJ9uK6k5k(yJ@1Hv-l|C+z!%T-F=wF6dCDI6Muf=NYO0R{hW$y>Ye@ zT*TTSNDjj*Z+7D}WJ`i#oQzM~;98PVdEQ*2%L`-uy&d;xkVoPg$4LCsDsyhus?T-F zLi)FuKJ#(DtcgX|==Tmc!)q+pDV>u|k?8r(WRWj-kU@^#RsJ86?+zDy*%eOD=U_!1 zavx+L7)y2Xlm11zCPIx-S&mGPAz0_`hosi9$zCGmp0^jO>{;L&`;hBzy<7Js@7w-_eG2=P@|CLC{)K(a4~{)pFUW_mu@pal z>~Z>=t9D32Y>brCxhDR7 zu{I`_AhK8~kf)gZ@z+J=osq@l?9muWKUGvZPtGG(waO>gb@;9HoiS2n#UXp<2h15D zzfJm`7X--uS}XE}z#6a#U4lbRaFCh41&!hi`*jlD2)+pFe=ZrD1a|_0I7kK>WQTK5 z1q(6`XB=2Va1aTNK_?^y9h5gy5`b!Qhy8%cC*&#DhGQtnk?0Iy0eTGj39|A+eIPiA zU?$UVRlb9#1fT}{z#B2pwa{VU4}qfSKH;b?K(>39( zjt$)u`OaP^sB5B|^7cvePz&bq>G@1TCVFVNZi>FjK87}pUirqo2mqr$wCM_c<3w=u zF{5V>q4em@j{ZX9g+J(QcAf6k*kchq6>OhtagrL1>S{P`!J=TnsfkmXz#;TJu!kg8 z@G_b_87 zS!21s@%>W1UU|IhXf?aad!cnHHQ3Wo!!{iA1WDF#VV2=&)K=mnfOnj9bRQA!DkL;i|0yGJ9gqNXURp|9P z0wu8@!dKeG*32P89NuRK0%8Y!P%8(8R`&uv(P>;kUxgl>5*^su#x6^6sp_L77VsuA z3J1@?FPm@ddyJ94ym5kiX#4QdC8S~>wl^^1C&Pa1Dt*z)p>T;46GJ*Yf;GhRhe`I_F05|`jxvfcf zhh2biT$6Z>y!6IP(&D`q@<68tB<|ig$^ZNOa(BbKrPs&3WZbfG((r?ZULY!bpnp_d zPq(GqW_%d6Xq1dyI#&A4?I$hXX(6|@yiE%IwUER-7$Z-1ds2qY8YV*)4wc8pJt4(g z#7Myx^T}_XtRl4^dq~TF3oD!m1fVrD9xUDPMSUbtTcP-d1>}y zb!k>#6MoHw9>y^Q<^nwB+Xj2l4fm`wq=Wm z@+4GS=ktlW?LM53q7CQYT;t97)$FG#aTpZ4lw&uG83y8qMv8m~&TMvcAe zsNrok^|7X5eQDJtMLK`DRC<1PN>Y{`k%ZT$N{e=#Lcbe*lg8(;DS4oDow&}hDQV#W z={Vv8#irI5#wM<9tnKJ{+x8zV-KK1`M9Mzf#A@o=uXSCmleT?Ec>Q`w=i({lD`Tqm zvNWrw*V2E+ABi`v@|*EBjGv90c>H)$`NAACS3%ymYiU1tEx$3RFV*no8NSx6WjJlL z#@Dbu1S{iKp76I#&q31V(^Y1ZwsCLQaj*YQx=r0I?fQ+e`y2n1zY*K|p&A-@U_J4f zwL*VcpY-1!cXPd_Wu3axs@hA^@TnK%>8ER&t@=+dH@OCt^tQR zd>kJQxB-@c!(at?gWb&VrG^(2j~oQoz*6uEIf^{Rrb(;_wpCZ~A0hj|QsP~Zhu|d` z5MGe0U=@dS(iU=)wn^j!OSu={TT&-JNQX5#KH^BgDiZ4O8Bvkx;5&Xg;~BI*50Jm` z3?6VuDSk10PQ=)l@6=&6_Xxvkuy?}x2`2Z=Z;7uETm*}r$zw4m{N{>FDghhVm)L#? zECU<$KFkOAcZI|bl4}hAT_3g_{RFcM+qjA;KW$jk6@#P z7QaBgdif;2WxPBz>>+9KVGDVo{|i$2>B^GlH@b$O`h~O_*~RT@LK+YE!+>RdH6}M@);TSPjCmULuR9wp`W3rfr;pC z=x@k!Fw^iN*R{HjZdlKLYuTZ*B>a7rq^vk9Jy)HO&hIXeR*60Q-<1bVRfdOOt617p z^^sORdP(AY^Yz*s>Ah7kWv585%_2Rf?~o2XMoNq4s!P+q{!N?RzYh^zK--0~k`?}hfTzq=Un=j_No?<@y37-qDG^w?A6u<&K^|zOpI{p60v zpIa#IwR%#=rel2RxmV=AY7OO%7n;c5o~tXbJo%C|SDIGJf9SwL+l#QTFrMjs-~)VO zZs0K(3N6n9*!rsSk2M61;&!v=wN2g2w0+`bY5P=lsrBq*a@)%{NldMx9*%Or4}X!_ z?4Oav$R%`B{Xeld;1oIl$$rQ&@DVv@xWhHb2=pwK7hna6=g2+cNRj(s9GGc1QpbDS zKe)xg1H5t3^a_=~_zIE5=q6kTo}#azM}S+%V(`%Ut2n@#7fK-4i6Mao90pq=B~T(|_i{W?tYMEq zpJce^TY4ptzL(Z85;upBi7Xw;)KB|i?K!5 z{i{}RTF1-5Kdc#IWg^)PTx<@Q$zF^x@}_O(iZ)pX%o99x5U zfQz)}3VmZ=!}@S~DeaOZ$$>lU$(SGhPi!rTyNm-rC^5^>Vq_)nw$HkurF}V0mivQ&OUte}EM{S01yTm@D`Wjc zskCq2-fT`0jIhvKf{Rb@3}xZTD4arsVueAKfLAkSYDK}rA&Mf( z8?s!%iHNZfB`8F%$^*t!9Aqd#Bony8DK;o;?(HOwo}gV6FBB(|X(SHJ{_Jz~C~X)Bxwj>qC@o_qp##S%j=P}ok~gKnPfiF%xk7oz!3S?J5TH zwd5C+Mdh!(k?p-EX2c~~B@}>+q6_~?U~PY`)bIC{Bn@aFDG919*QqUuHR?)YqZX3b zp_3#gBuHY5R+3n&z9hc*UpYs^@7=pe zVmGawJ4<3>qW2wtsa^EDu3hzaDs=wmE?v&i1S|CX#=V<1m&BTNB=PwglKAY)lK5P8 zug?vdNMgJ8-u*7rj;q?2g!XMEpkyge7hA*Em5oK$9cwZ)mIlh(z}VrB&S}>cEu~wX`qHU-ZK+eEkvv?ht=vvu!zNXolyW#F{lGIah>8M<(|%FY4y>|RdeHilH*l8n<6S%}O* zUIh(k90)LD^9<_)C#su)_$kj3$Xf`*3s`{s=R`bYEVx7v2nJ3kV=>es2dym(k;pb= z9|0T~4qx_8xpgPl5nM!DW^i=|RAixV#Kg&k!O)Enr>eCN-CbJm)v9fRw=U6+!ijx- zXZAH-Vw|(KF?P}ioeTB=;5H}XG8a^wYb1GEa-^fj>FFDEp~pCh!IR2o5;VYX8za|H z^)uhGk8t#~&u7}OKp32pZoED{Z9&7jz^ILL8cc)dtSd0e_9aRWM#DE~aW-Q#haQZD z9!vsjLylqiPe2s?qVEK5g$%hhJ;yHVE&POE_6>Y*#&;YS0eY}97`VmT3E(5aZ=B$cgPOep4p;Ek zuGRH~;h#3)5&XyD(cqm1QmNzBwq^>|$}9JE{+)Cf^osOMZ6rOK*HgKp7+R~5B(_wU zgRDS)G;He0Bd`#)|z z>!5shGB1)056XAiuBZKeN$>fb;w)HOO=Z=qI;Q3=y?a~?7N{&orX$}KV zos`9gr289_wJ+Vh-xV8v=-Ms=$LJc_WO$LX_^{yzIFiu5jU;qZ9;vMF)Fn}Iww-iT ztm!vmw0yK+wJc0KEweL4-qLMwAAOv=Pu(G%lgB7O>q$bj7bT&A@)5jaEKZ(ZsDi_h z`01+STU~9Fxnf?MH1pawT#7`m(JizBT19i+Wv%n3i}oIE%v+a zV?t}?$JF!qpq0M1)v>T_aQ&J625)L{`x10obTAxr z;W{T{MIKuKD>{r~K2B!tgH9W+bDB=8SO!gyp7xQ`!8fCDE#JY1AU*fuJEIT06_UR3 z9c>vdhtU%N#U3J@hd3+284HrpxgpV(eG1r3ps*|O&+tjt4*_36{oWPk2)tzc9G1ae zjq6C}4-Yyr%SAN7GFqY~TB7B@R(yBcB~kn#UsC1l*|UA7dnGL` zEm|*ux_@7qr0?G=2lwrjbzdElcefmuUhBS*VH?lLoE=%RJ8hr+en@|hbbYk`v~{2I zJL_sh&eeC_QJz*Eaj*5;%@*Mko^gDb#9Bg9;r*U7cyzL2_Df$En9_U=y z{ci7hyXk`@1BUyONa)~DIIiQs7yOI_ykgIaRP1dJS-UMM1O0&jfBH%=CB7j`qJ$sV zPtjed$_s)Pu~}lLCHSAWRuZgh2eZLPk~y%oV%w{c$LwOXP2vUgyp@8)7SlZmR)r3F zuG6s-s7oJc7y4g!|FzxEf=}rS_CoAx%45dq>~S2XgRK!eo!Q=Kn>O8?=va8;B1st( z<_$ZiCHv5(?_;&k(Chc`-364c*tNn-Kw*xcabtv5|Ia zeICnW0iXnga!6~ikQ9p@fi-Yr=Nrw=jt!FoiO>sg>T;8RjCw|mQ_ivV=vxdsAI{wOdU*OdOQnh_m>l=sM*&F0&2VOXS=>Pei`R+Tf zuWUcEUAFJuF5P=}mx?#4-W;#b(VfNQ`c}Fwdt5I?TgO;GDmE@F?UP@WwQs&D$7ZgO zqZ_}J{rY^Tef5>3Enh8Z<7Y_PyAvht?eUWK!DLCBK3~$-Zj?0eH~p}rWoX^MU(&X0 zm9+WGB<+)FN#XKa;dCcly_94QmsuIqYM} z=erNe$6p+izU#l1K^xA>v~Ah)<=*`vtl8(&d)SmFYri@y?`%F{*ff0OX_*_qCa^1b zAL;?FJ)rIA7+3Dfkhk<&5-B^c`Q9q+ytDPBe6}}B=VY&>uUjt%rq1#BI%}b%eg1{V zd&bY-u=aCR$3OT9J$0AP@iOHHbIiOgS|MpWzV!GKiL<=^Gj3fI`}XXW!)w<|`jlC+?Stv^@kdLg`=qVXdEQ=mXTw4H zeD6Uyy!$IjU%pEA!!zpARg$)Im)9=O1NVT3OZ0pA!n%7W@a(Mr+%CF95Rm8UxYMGDqaIgO0u*+#Zvw?ig@rC=&@S-%HIcq$IzzXoj@Io<*L*4K{VROVrhMx_2 z2?k+T1d~bZ3zoCroHaWv2^J)~A#3RqcGI9Nb%pOZ^qPaui4y_q@MmEM<{&&`VC*0` z#_zC{aVZ~&zd_d1K9#p-Vk5M-iNP~Rub*iHjD}}m zeEqq@wxI=^l-KkZ-6-`N%3?n!(Ld?UVw z1Q-q~V6T8}o<1{1_B`+vUh%g|2gPo%iZSb7{JQv;gEn&ZV8mi^SOj)y5<6p?#@alx z7hp}(H!vL=JPAhZL2Q4b<8^x-`eug@>KbN_Ml2j*KIBPjC&?27o{-C`UuHZk-@;of z*Cbry?H75z9G3E&X+KGz!LQrsgGRD+?@~FCeL&v%;2pW+zB?pOobvd_d{VhqWx1*Q zO;VE2)D3G#H3$|tZ3dN6H@%KlYrJvj?q1pErgyGZqiu$1cLJHKt(B589LdsxH$ zseI_b08PXo))+tA;T<@^qIM4e?=R8imcRY0T>kdQ8EDWyXs zhm`IH0Re~ZMnI$+BnG6U8zhFITT&Q$Xc!rKBxYcEx$ozBujjhX$Nlk~v-e*Awbt)x z9hO$F#otS5T)XquVxf2LZJ@1_>l*R%L52(n<^Qzs z1LSrp%!=^HNXpE7B#pd8%(R=8drG0{?xFbVbsk{}t-n1LN{vgXUG?`Pc zhtfd5mzcO#jn-IV8>cuSK1By^@Ad?C7~a}-l<=7ypM|}}$z+fzF{%kNY3dF1$>yp5 zbF}NHwAkOH=2$k7amT2hl9#lvI(dE5cwQq~YX3J*rwEYaIVCzJ;X!??K&+FpiJ~`( zk%{V-TYcv7l$V|I`K{2>qfC?sr8(uzCfR=Bz9IqL*Sn1kTzTB0R6Uy6quapswX4r+ z>dLk5^zM9CxJJGIdc_Rz{rZaP*;L4|9$PSjzNWpS!sEX#662(R8J*;Sk9xcgdIjs# z1*4IYF@n||+rZQiTfZ3IeT{@N-+J7~xpd$}k{pd(O(lri_uH7!!l+8>uH#u_-vY#<spYJ z6pc>)_?4LeC%vH8*A}O}bmATg-5As=^Q!FdR_Dq?D5B{9LJ?HjEbKZqR)g_16BA7+5eTddCJb%})R5 zQgBfeN(RDm;uv-#bnPgL7jcM>Hn)Z zr*B2<8L#3}AG1oRBd9Ix*ZVLoK)%>`Vi5O2ZPC^ z6|xibPaT(|3(iu-6lBervvY19Y?&K_XNlz5Y{Wwmn~!i(bx+;ui@WAtJ;jbE;5_D> zqr8plt5X%3l#Y%TCa zjlgn3dR${8GC$s$3JD3bv$AgAeh19MBHohG>x=$gV7OYZR}}gP4~Ea-2EdZa07HNL zQyV^k?GOOnW!|LAnB}>EH^SzL_N5QbYV{oO#V^7oKLb?ty^_qjk522cEXxw+#8}qt zNCxaPS?dW6DS?JO?^2HfeVi3(iVh-gl zIlqh=Ii@mg#|;1rhMny4aQ=_H2CQduXGa^%qec*8p^v=CJ+D#j(Z$_msQ4Bz8IwE< zd^XKbJZote%Az;ih6T@Q+^Dh@3Ys$(c7wG2OLtk$;!}->MG(cr)z5#=8G=hHmXPiVoCiM68+3>d8@)ifQrz?EB-|ts?pD*1jlu z*v-0#cYBr6Ee*De#T1|Z-p6uIye}^goWeOw3*_^sA0x0MPn@*+A>MmG!bFCR7tdTDIS{{5QCn-JqBv>&bN7wbjz}Y3 zwL9>$*B}o25+0B{uICMzcpt$PhgB4tMk+$U#RlPSD@Z+93wbOqkLn= zku=juJ|?(oz3$zQ*}T5OI-(BNgH48!{vfpeB*0DorVZe3Y#4Q$|t%#3MeNe zwE)0OFCe1&SqI)&&}o#yb4@eh0L{cm3-nO7iV2Yf@_M48TMSu!Pi<9!dU#DWr%7fn zUfCZz0TF*>u;GATyLR17L*eCl6u9e=`O$M`!(b%8(a|1-6wXN|!pJ7^Q+@ph`89{@ z!^t5m%bR#Pn#f2MiIe347-&8NPm(_F>1E1UN6zAFfLy-a6IEZ+W+F+Z-!PE2eg)_Tt z<2e_v0@-@>FmdB&dckmi#@xayAlRhk2H9XVoJ&_#_1PKN86l7Bqs^ zj39Bb#aMOf7xJZBCPX=jA){`pAf*X*C-0L_abpMCLJXbQ|BN{9ayr}%8@sV>q8yn? z_~yB>rWntz*MCDZpncuCBMj8+)pDb{Ee(#zZ$G&5L#CxqAsQAA8OCQUT|)aRw`tL& zm#hf2MNqw#HKeb5_r27;_WaO~jD~6GS|IpsztJK=?b^=Kk`c%XG;4RX#4~JIlVYp8 zKeB*#u?u#}Em>K;OO7Bakm}jwjNSsOd%$mcw|M!ErKO9#ga|<>B%8Jl-bZ}j=sdxywAIA zzp&~lfdpQ;TkiI(FWC={2st+02U7`nx>TjhPF)PDl1>67f55Tli)-D9IDwd`kVP~9Dt(9Oo5!M_`gF4jPt`>!klc7@tj@1`yLbMxeAi-R@E$! zatbZ}H~Z5;6O?)~RghXYm6vL+tT{exS&*?_sg-bj>ulV8A5SLdtY0fLLi+86(G#q00WXo%nXT_b{s2;JsP){8v{YcI7nzI1;%xo= z+R~vWP&U!LIuV+AbmdK=RVahfnNCvdjUHKFLntqPuV*%xvh{gSMmH1nOz+Fndq#j4 zU3RSW@q3)RFC}6qm3oeD8LvK-s2w#g7BBv$@fqBqpTS`y*ehFETholU%Gl1|EpQ<9 z=Dot0N@BdE`rsnQ_gX?KIh6KNjI3SnsG>6=s8Fw$5wD9_NwW^0nKXwfxkShX>`HHk zCzVm%JWe{rTkkBiPNhJ!?SnCMmUFc2p*2z-_hIOx8Z&I0ANPG6xjbOTBipMc%-{Q& z-*~D@vOAdy#K#oaJu@gHJ2msYyFt;89+a^8F;2tN`-t@?SCaP?(D1 z$!KyYW(`Isc0U8E49F>$oVF4p_V=KXvZq7robCQhVFqZ*l{X7da{ZeC^~qgvYf$1x zB}99sP|iDMZ97csMcU^Xbb=O=(Nyg4i@0_Ebq6ny$`h~Lvuz?HFaWmw5BDyk`{oW` znpRIn7anuo{HeS$Rf1oCRxj57qJzbs&U4xDdt*rh;6 zY!C1LnUwbU1b|@ZF2okB@yqZ%D6$#UjY|tt6v$HMDb0 zyFQsz+uPE3$0Oe2mH`bN$`97l1c@=jf?JfkhhY-IITPR86;ZF%vn-r7$9BM$bXlHS217 zwOJ>7)v5j`iOHV;S8B{;Tx%D#Q{s&{W<5T!py|`d{q<4YQpIkow*C;@kd)JpvCEp8 zr>om(Y$9^9;eSS$&fsR!p{vB$)=SXi`Tf4t{H_#0$& zv5(Wt7`Sp$-y>qJN$*PQHe?%h?n#KlESs@pBC33{A8kiI6$g}_py(mJ z!c?8T76bM1t@*20_8|(@2E0vo&(3G9x%7AW&_ve+2{)BUNt6-`j)+Up4>nVyCqy0= z`(&#v_nx7p!;Hg4!~?nY%FNmW^-KE?SdK;zf8CNT={}Z7?NZawwJO<{rJl|3?Z_$N zy>tbQ`tL1rF7fPf*UhKVk)%Bw4p>9Azb#^diLzCx0sYrzED($HCt;P`6sT;$c$R zl^(sPD)wRhtUI_K3idM0#2Q0912#)&N5eSxZepjhy$pFXu*VcgwjO8L|~%3-*?0d4@w0tWDeE?i?=PepDbt+ za@!W2wLJ4(Hgwo|cL}(;MB)vurzz2PEx^BSoQDh^(R6~HJF9OrCE$8&uGcYLt*Gp3 zlP>whNsHGoEf7CUQ@eX|TDtGtd;Rw3ZJXd-lk~T3a?lk$vOYUFdV3C0>hbC5qqz5n zD}~LVCz02(S16A*(egx$TbVCV;4jPmzve{Rklr?V@p5~MWvGzLMcb@vO`>T-b0>x# zylZOQ0bA+(1=bL|5AheZ;Poc?PALK_?m)_d=LI-^ z?P^Yxb(z9*RB;m)tU|zi7tm=18{toO!?!&9(&dDG4a+`RB`NHVxj9x)t9%{njUd-GSubna&4`R0kB9Ni^IFMssw-hs5LB6_}3H>aAY9D0&XemdgzZa*TVp2V$#HAbG4 z<00{Ss1E&u$zHEJYF{+H{_CZ@<@O-U7TS|+9c#hF9K*oR9@bgLzjo>1xgelFj28cc zA1aoIYhq*5p>_PZ+u8wYC39N-)YdUuS!P=nTP+qB<@b9y`e9!}c*SgzlPkJ39y!n@ zo@bqP_=f=l_^+p|$$R_vxUT(UN3AWb7r%Cw|9W<^x~m%yg?Vn+&n&$lWBc#s zP=;LX%SoRS)r`_A1+4MvqoQSnA? z`;YxuFI8uPB%m8`4U_h|6$dy>_UfjotMk@eJY-h3aN9JNH}1zj%UZc=i{R7vF3cb_ zwfT}3ULwJ{DDF1rD-?VMP0b9pUQJw3t12*<7^aHU+S@lfZF#V9sQjOe0}~Y?m&9b0 zs;=%N@2|g0p@B+n8OKZ$p!r;=RN$Rf>Og1J`tN_O?0L=)qid;Lot-(`cZxG| z;RH|X$S6L=;=R6x%~bt}-HN^tQAv6d^_)D?KAFK*>>D(995zuZCZFViBRy6-Q#JcU z8edX#%4&lLBq&2(=&Z_w-!yxX^KRj^$M8U~Ip&F_6C;l43ud}BYRP^rs**yLO$9Hx z8cuMYo5B-o0k^CMa<8#m$qC1!Wy4Ymte)g{d5;#Y2*UEcGb+WjM^5p#oPVhg#c$q9 zwMRxg&un=tjn9e9+l!oG%|7ijOCz-ds$OJBQG8Cfj=!aj)s||fEXEqbB^(qp16T}!bbb5o5OO-{1xoC*{flW zsWgPK8e8C;!RX{jI)YW1EpSD1v;bn($niS`5o=P;Nt7}5$2g94?qY>Qm@V*@ceJ45 z&7n*d0xO0My(l;;6Jpl*aJNAq5TRg?J9kJ;JmKhimwDs<&DGr^M zZIkcF5ZCx__T+d@hV#y%1$f~s0m9s!e_9Y%WBC__JZaH8X;~?U`tMsPHQ8p_@msJj zNyU(VJQNl+OymYZa=`EHdQHUN*p?v~s?SRbe3!4ti$N(h@Qx47Ov>*$K6#GOjQXQ! za5L11cbY%%6tkyVC%?a}P~VVnd)4JeM&m(`^}Qc!M~hTG0!OMZOnGI5Hr}jPRA1XI zUPc5dC~V~+!GK3S^04|g`3XK=2=>TEb1^87g+Lk>8Ap9A@Li(3MA#={IL|}19#4pl zbu$?2_#)o%8;4mWp(s`*hB1JyyEYhw_t|>nZ4AZjtTSJ4WSiv;u_XSYuv0%<+BWsu z-)=D%>hL98w^U0~!@^-sBF(vdQt*0=3>hI-$gjSA5?S>0wN>!rwx6h+%YJw})i!(V z+z@S{1E`l)86L?qeL+7;C!$Gaay<^t)3!K^lE&ufj;KJ`RtLK&UlAg-s0n_>g_Ez_ zkhZ>KttXOLp7H4o8G4AWkoXN=i76}`+|*=d9w#%1@albdv@Mzssh*sIvlRp5eZu|n#WfMPqX zhgkBZhJpG5>9~|06fKL&3qgS9IJ0@^O4ppV@=t_iHFa{4QO}9hE9&Pi zxO5w$ZjXbm-?>LP_Y$3A(QW#=v3v2|PD41nNKV&8Ef3cZ8PD}OQ_dx6THmNqPA;;+ z)2K$^{%SirnXVobT?7fcW-w3v2Qd);K@?l8`USShkI8NFPQp2kfZP&mPG@clBb}Zf zEZIH;TFOYm#|j3|(!moP9sJgzeKJvZ_-M*Y{-L(hc638VLlZ2*7fqaJDvY3d(DIhx z9S)Kei_b7{KM$`vkVU7Q=A;>?J=7zjwzPHu4RP)H(=F#nuR1x?p=Ze{tP z>6bgSLDqFwOVOTJ%cLQ7+aAabX7P@YCc1f8lT1Dvf^ubcEAX+aZ~0;= zkkk>*eofdY`~U)EuYx>kl$JLcT6Vu#KkJ^N@Ktk=f$f**I|r7+A#tReOf=&}WZo|F z^fWSJ`hFTKWn7N_5B&s|0S1THVvs;mrT-W}*tdizwshd?aKzeQ(6k3=Dh$D!>$)lKoUGBp+?YMhN%@ zC9VH%Nr~ukGmt0Yb0#Ew0s?HsztU3rpO_|utGn|kl@pW9U*U1OA(tZxEQqHS)r=;l z=Vn!kPj0XsR(i;}4991>v&M`r&am1K1d^BBck#1(A3H}koCbQ z6F>s2<=7;Kki3viup^ByiUjufMq%kc+fs(ThinKm$q1v3rYoBSJ$mp*3EAK&?;J$L zj(>-{VGD3GPQMTnh~7{_G|TUkyk#U0h+iTEpcL8$m8v^v!+)@q6*|0=b)00{W)5%N z8&{wzbDghwhEW9B-k#zFczr~DdZ47PZ!iYy1QX^iZ}&5_-Mq8>@)S&iX71L%wK8*- z%jWm@9AWmQd-U~aGL=N1YL8EMaocYW57j_lUk2Njtr98w{*Nm62mBZ%-643?hxLG) z+v9t28hvBkjmI=(F+%N6P6LRF$CJ*E)SOAXSzj>HsM8>B+5GLuHt0dke1Qe~3D8aB z-NafW4-VX|%@A>*NPSJi)3HO-&r7MbQV}w~GhHlr?WH=j+-D#X;NwvXGLQmoKM>hQ* z*FN|!oC5wzHDNYg_W}9#(_3;vUhofal%}EobxusFUXhMP{ofZR{xU{X-5SMaU_bw| zGs}|D@sH=9ZGirr_s?iVmFy2jQiMcWlHy%q#zUT9{qb_g3Z3 z;%3=k^!Ot75#yc9y!J_5C>#q^LEka5SRhfh`HlRz->&x&N&a1aq!bUMtk>{iE4+PPfvVj(f_7k(KXh*Q-dSq%=`vyB}*y+m+de zz`qW)!R9Nw##V?^0i2h+YS&G3uu#fhPhak$4{OMF!6MQ-39aTO!Q3s_0*a=0-2*3z!8m?w$SN5>Yi{x1Bb8_;?yEv;*y9{>Huq3NFu*pARv4dOP z#kWLh$y_7Ph`IEa`ht_sHt>NiPm+@Jk7QkeusNz@z{H@lnq^a@UO&M(IfOntGQRTO zAh@3ib+9g?$Sn7mk@ngFSI1Vq1QKGY!W3+?NbbXzO}rkyX_d$x&!UyGeQlq?cuiqR zckyGe-0c@1v>y5>_x-J#htUn;J)J=$e8m4h(gy4lUS@i8IY8|)uQaR;+|=8kncXl2?^KQn&gj=nhk(vVsx{Aq@>U-zIL z*S6;)F=k8I{_~=6yZqLb!-*(}IcU4aju|3mG-l?=xF~umdC2+;sw!LX8S?>Ado7#* z>45a&5@{XCmtdO{_k-~|2(0$hL)B>S{pY36J{8Hi*fUzThb#!Uvv70ltV6F zX{L%C@QC44p6C24oT3PPa!dm9>%6Gn?Jv1%Ue_tsCu-m0-W4=5(L2mH*=$QHjXI=E z?|g|-CCi0y16xm+Ps)f>pvslioBk)V(}9>?=AFkL%v21_vg@Z97Fr$s0u~URbf~2F z#SWzh+GYolRHG?#PU8a4+_FyCY&Vd(pohp|9r^p!+Ugq<)fW9X*iuwi)K&z^@0Z@y zI5pWN|1}0Ih6NcuoigG88-mjROlhjXMyu>jzX}RfTUN%?ty&I+F}K$e^&in3mO>qd z+5Zuw$aKCi?AB2?PT^y<0KKx6fESbi2xwNCGdQv&ucoCFCP}Di-PNt%j+BQ-O7ngM z^-9rzD0DjxEgb7@=-w=RTx?mbN;)>AaDI=JPv_`u;^l*w|l_EGL zdl@V<)bf|@r1|*ON%Iji*iNjG>`d3?Pa(n+RK3CyCl6_P=4^9)Z4s`!4Wf0Yk03k z#zGxI0^PD$?0j%rcSv-VLg+T|i6mHp-8)!u&j)PeKLl)roaMCrli z!S_|%mB(m)1Wh$<(14XV8qb2QZ*@MPIP>n97-$a~=*8a9967<)@(+BYFWY(dHLVhT< znhjZKqvdP}Y#Q~{X}U8!-hd{i1}}Hc--FZSKH)oURZJp?KbVR!`A@=qvPEU!r7peK zv_XnBf$x~38!i0=QY548`AXaZ$a&BwdKE=uV$Zd)@ra3Li`kwh|6PAi zR>BdMu`y@W_$*q9FpYC0lXq(_ZKTilHu`M#MXKR-Vk@ulK>5BfKG#+B(2LsABxHt6 z_W2Ln*GpX`G!@#3tTg@ZFUbkxbjD0go^hAS0(4XDf|mmxfH)r}nYRVIM52uS0cdJv z0HWxw2G`s7m}-xplst+eQV^f<+bigta=JlkQeWD)4|I5(O4>t(TA|eZ-|Rm04j9En z7Rcl_WMU4o1to0%D2*y|?aELmX!pQLwg(=`=~ztE*+3cVYq5NUG@QG_w6uf2dEyOa1e~ z$)biIQ1>UT#Ds{gqSXKmSqMmAuc(>jz=h}fH_x}2b3@+%`2hKyDmve0-{A)9%t8#! zP1ib{K`Q{AHKhQEL@M$};;y|f%;5gE`_fbkpgRBkk49>MS4Ig2i+#5kC$)pcR0o=u zS)jY1cAhL4!0%cWm)H=qF5H!0n-7KsT&1O7?W_JhXAL-_fs1=bT1Z}YId^))dCkem zOAJBX=L^?xA>g5$aVoQr_F;WK%jkF#;L5#;y`uE8yrUK#Fm|Gu-wctdTQfS44KBAJ z1k&?&po6OBrgQ6tezS8i&p6Azov&N|$bWw_IBTiS7%_jw*V0zfB`hECS|jUEi$m#t zJYTBRtp>O*5d`ZzTvh164C{$rGDx#kXav&7)#iMc$W-e1CeH%v2lNwX!l$<6VOqAayMzuvO8|X|cTHL9kFJAKXWr7P%jYug`P+tt`6ZY1-obyH zbaX)tN@Jo6Y+iRL6&*`ZG+OlYX3fgW zqOD6ze%}Dn z&zYgUL5?ar#pg5=f_ZM5pBxV6@4|$Gp2BH-UkA9ohxf|t%@Cfc#?4Vj3I#XMJr?w^ zSsd~^p`~AQsK>JvIEpmg6F?^XphG;mr=c1G4m~BprC6-^R+~@NB~5l)p8xdZjo7}+ zf{z=q?VG)Ty~h|U?4d=1sa}P8QaU?^_j2-YFS5z0yI$cfuoEoH_R_CW6f+k&F`u zMYaGy>W(&0Z7Rp~cg2$Ds5QVpuw(WoLSfHW*X0=ymrg+Hpz``O8kyI<)K4(@A2p-_ z*88ILqzJj;a`oBVe&naSkoB2~amPvg#5kG1siG!mN-;nktrJkvT`L83|MQ{Rwbs^7 z(}j20@R$|>Nnq}yj+~$I434G}d}@t(||xeY zM>gn`0$q{C{~uwI>%KF6`^KjxcDcY*xY}t!JWvEhBEzlu>TprVv?ZmWBj)f!RYR9q zTmG$v`(;YBd5LEJ!mQ$%Xp0;O|{ODp7EA#O6KMGNXPKbZmhzJRpHYwoD(q z)c8E7nR+Oi`FZ|OZP?+jS}w;+6?7IYKy{dMHt>V6)8YxBVpU-`cgyL<=fzIKE)b>F zp6wzuOoRR$5vte9V)&o72H!rwuxYY66OZQm9rE(grv$x>*nKRA$-f_Hv~kr0TF=Up zRbuIcIW~3u)kEbMet1S?)o%1)r{%3jAcUmW8+N*|B~Np}j~iPjbG_pW!Zhq|9%|i! zxPe+>A&-@C{wWQSWI~#@^36B`iy=(VUM9N15i6vW=CHT@N|9@H%K@O>ODzMe2_=Fm z&Uhzu*UT34yszu{Ip@8Z!V~24@MHV&(9rdZ@{U6Ktr?YfM=gEH#z6}($N?GNLwm`0 zFy8!P)*K6YU>QI2Vn{QTnid5)T1sayv(p;r#&*HpH^Qo>aigO5SjaSPf8uB^w~x(F zbFa2-(b#SU>+e8Pud2)R>HFh_12ij%Q_! zW$=s>iLR2?WW56)FFTix=^Ku;=+|b-N~7}LP`}j(sFh*O|Aj^9vn30nN%2_D4@d>NabwAT;`pZ;gIsiAh9Ku#&9a>@}H8%; zz{f>e3AY8h(|3q^j$RaIxVPLkm(s~>-_TI1n=)Ok`X*iNRedvQ2tN&a`^Q9!=W0K| zT$YVa80LIcoguA!Ir8&G@lN7nUzLXM5^bV8DP8{O0lk&bPrD(_GwRM*!59l(Ci@d^ zE~rId#_jo-^G=qbCBLXy-PH9z)YW(A-Dp+AaiSM5oa=O!#$Z)dBScV4ANp>H7W_^9 zdeGZLKBIE~pdzCXdJlRW+n%+@$W>$t7=;8MGM0Y3NB;|$I;;f`^_Nu|j;|#_ zm=cC2QwyA@RMDfRyG0VNyDtZ;@y`Z#|MHB3wsS)3EcI%BKr^8}wP}H%x?<>LtHPKy zu7Z-iUu^nsm<3B{a6`9Qxl|YGTilYhniDX_Ux49?)a{5V=0> zNe^u@aO5X*HM$#otDnJ`HO^mK!+j;CtNXo6L>X13LZ8MbB%*A0`dYiaLx~}%rF|CW z*bFt8xrv)zNUHr@GY#ALb)$Kh&=LLl&q-aCUyEL)p8lAAx8|6ByBxPg)!oAWmXhNJ z4HT!Y)LgXfH2K5{&-6QW9 zT{fpkj$7Fs&-cWfM2$4O3X|J0azhs%Qo2UYeyC37FKAFxL2YDU&XR5)W(QbT3 zMls+Ou9`Q!dnoY`L0c5L)&N7exDcos0^EA{cmek?MMjBxKrf})1}(qv#&1mcQQ5ii z%~j6^t{r^6R4LSI<+0OhVFLwkjpy?^NZO-OnHO}`b^2GYhYS~TCIw{-3f?Y^#k&fZ zESt`ks9y-BUJ(bs>b0$JjyEV^FPJGap*=LR{LSGW8kbT9?065_<@V4mJ=__xJZPG{ znDjiUA05To!4m2jHS5>46cM{gkF%(|f9`Q~leNS?E{u7o1%5SB%HODwQ0NhcRI3_{Q3|(g*YOWh~p|t!lyNRSnEZbZ)8Ys91ZMx)ac> zNIKzi`-!bYUBNI1NSUgi1H<^;7Ti{htIIlb|IQxL7gF+RkY{(H^S*MMfrG2VlBU8Y zcvUdvIj&*{pA_4aFC7yE)1NmELh zznI4N_W3-62E};i69qWKSfQWc4sMoIK|ZTZ8;%>Ln9FgVN+a-IM^y>Za;v0g{01y7 zd~RVc7(j6TQas{zXy2Cq*CT=++EUicC++cc$|4cEBgTQY_Xqn@(m3%Yl@siggY*j# z#BmG`9b_n;?2_|@Yer&?a{3(+)T0i34_a_6m1LpwkIurwaBvFQCY8}d9qlA7F(-S$tn*xGaYF?iyE%C+3t6%DcbPr|^*gZlO1cJF+R zq@n_g(xcVi@8%E%itqZ+^d-tB|fG6)k8o-}4dY{(Hyx=wm%jiz;MK8X(( zk8`d>Rkv!urmKpSec5V<$R6jA=Ubj8-VytKd5!RkcukbFnRA2HtD5iZ_NBd-; zq-8esp@Xz6DW1S&5N`c?9rFUQhztw@dWz7bMxX}#X%Ic2IfTp)=*92M%%7LS%4EXu-w*`=Ijb26SjYY`6 zDoem{BE5Zo^u+~sh~{9P>&!Vs`&K+Q)A7F#0fh*16CY=c1rLkb@HZ2jc1*yAthys; z-$R$%y!R~jd?=Pz%#l9WWzI-qb239Dd$bzipxp3cY!iq2jAD-9 z;7qylrU&sflQcdZ9zCbi-XkPjdm67$k&EOdJ3#1NMek!8O|6Z+AN6hVZY=zo3hPg7 zfSC%9AE5M{`eG*$K#5J02wm-3zm>A$eG6>FkDwS_#?C%d36=Vuo^RF}7%K$f(~CaS zbdkK3Oux-G5}@GL)*GVknYeaVzpMc~-Bf+|p~7BxoR|hc;yr-KH$ulTX2Z}?+r_<_ z?DnOefWIeU^fnrI{RGFZ_@}jt@>$@F?ug*KX<|)xLY~vKv@Ew}s!v8E!qJ7)LR6K= zZMtE%us*sszxquh%JBj|BC6YdOS!}@)(9;v^SW>GKI1Qn(g_iyb-!lhV6&_u64=#xf9S_`W!9ChL}^VOFdDc{+r7e>E)b@!xcUULt1f;XG{N7 zDzCgYtU~O!z_RsX2_rVCqUuZcC{feY>aeu59uC4xu?)J`OihAiHeJnWQvyDy@VC>Z zAJQ&ymsBI@s2}@SeZ{Q2gN1$Ttxco~i+G+Z_&ze_1+PeP-I(JJrz_c_&5#~FYp<+l z>x5togHiu_&q0gLPi)Yy=)#u=y~b{jV>pTJuMCvj!mRiY!~q#&4g`dK4N8og{PPbS zmODu#rQe_T@Xg0!*nCFV(nDIO6`5Cif`mWJoNtkW1j=uRES?1Ao#py(ela4P`FQJd z7Rkx-=k5kve=KR2-+f6PBVr5!?PfVK(AGv)8 zVOdZKvbD59zgi|~hVNyZypG1$pf?OJ=})7iCp1F43_o3>r!P4Wx{G!7z}Ol0rX=f7 zvWf&oeR*4GYlN-!@93eeB-3HN%oDG?QVK&g&TdNGYQEaHn(l4Q7PZ1TQAh2C3~+HRHT6^X_2o9S%vI6^Q#u71{t!Sw!-M!ZDc zC@Xm!;6>fN0jyHmZEAY!-}Hwt()0f$uP5PnH@v=Tx;E$F_5%m@6oC(QA9;lK_4OkL zdNNhK<^*wW&|TYgFo;#52=6vGo*=OW#$W6R^zved7bZ<>)k{hJ{2Ec9z*wl9q*%ss z^-K?cOk5@sgq(XQP#$h3ImkWWeBDmR%d|NB#KGN8X4{%0fEvXhr(R21|HUGz+iv@O z<;yx4M)3!Z4D?NWw43r4^JbR~)&Gy&8xj%N#UUKGR= zLb*v7RS%IATJlRKgx6xQ*eT8mL#u!zAlVj@c-$uG*@hE#{*hzO+DkTx@0mIA+PIg} zvhU+4lq4{~3qXsQo9R3iZ{H#D3R4MrHTFkCcegctrCR!l2!OLNfy6L0d%WPQOy8Y` zR^=v+`8j1myXpS-^$q;+)B`zeTcOv7<*ko_r?faEPf818&ZDco9q|PCh$ z>!<K-coW^DVt8V~Qb}%(bTH+Bheq*ynq2l6`X8aW_l8hX&z`Ox z4lgf5GtzpTYn$?23kj;#822>JEqvEv$&Yy>0`v^DuL7!FqiUZ~=u5{_&K=rpqzGH!P(g(1&&8Ks1nandnUCSCvvh$FXD`9t4gc_n|af8ZF zh4JTz;awa**+hB$RL`+vOmBGGG;3ASev{2x^b41U=y}{W>VjNGVl?~pPij>J{^(b$ z)jh1-qa(BHL&D;iB&W*7+%( z?RJY1Em#!IxIh|6h1$oLvD?c9C$d*viH0={Pg)�uGOq9w?7dE=VhEJO-{C4eHw}f%7Yp*T_u^YP4ML}c6%kZ; zVhB@*&-q+hiGe!bL^!$qF(qDE&g?noFKbR!1;v2`gR>vMl}br=NiVaTDpkQBuAo+1 zOXYp=HkJetvmJDZ zsaY_*(GGE+N_$>+BmSrB|8ezJQEjzRyLO8^#i3|%cXtmCMT$EVC=#>;t?JEWrKk)c&BENkEafG%U^#R1V6Svu$P`Br zGV0{xb0qK(b{dKrWlrDFWbC6(dsajg1UM2Wj1R>41MZ{uF?xtiSRP#50>Z;b#?o#E z!o4Pp#`*=+1ja?aMDGElXQ;g9>Gtr}WmqwVdFvyNi1@$%#m+~^U9fF$iHi;K8s3KR z=_V|6Y6J~fH~{+|Al~k@=leVPjAn0z)&9-e+x{wSFzAWvh^gj_dMMDB z>YFnNzuP~=BUHViUmsQA=**J?bnsc+=%0rb#9=sn9EGeJ$$0aemT#GTRFdkw%t}BF zAsMd`L8Tz+rLigvPG%(Km;>VZ;J|TWKmjUtM5r#Yoj)TLzxy>dlBv!+A@cB$M1{cF zNujU^Yg2X}8P>>X;$p5*~Rzo$5* z{E36_MwarJBdRdfPi(-ib;<6aDz{JBXsdgoIY^Y|q~Y)Is7K3R zwjs+XrR|`{=?-As8$a?SAQHFDuHW7nE6B$(?!mu?-$N}^eVP8{g$wdl+#hZcibhO1 zZsJn%(xirb%;{Lxl~Z+B$K-nR7h6fCp85{t;NmDDO)61g0$K6E2)rdLvlpY6KNO@_ zi?U@aZ9;H&f_Bu0FZaLv0b8Ul{f!5?#t~Ar(>OOS0d0Q4CZ_39^CEgKa}OnZSXrX5btsi2O)MV_o4qV>YQNj zJUYk0yu3Va349+cK#PNf9`+f|N6-H|+kM~GgbmryM)LQR3u1m_P3BfgSbz0l>}TNH z7M-U9u%T`609neL`?gYjqr({wr{ z_f4V6Q)3$8D6#32{=o!SvPXCJGt;-aYAPhbDv#@hIcgj7u1d(lo(WfEmrA|53;)6I ziM=FR^lt~yUS20_o5Vtxgo{;p7xKzv{oG z9y)a=ylwMt^F4@&y1MP%(KU{Va_9B&Z@W@_A5mPnDx?2VM9%W|@dyBM399osIuO?uT%c?jJ8c?xzAxI59A`mQc zK1!^cdjGCeor{@h!Dnu!T1Pd*6eeIoFmZK;<0jM}Bh{Zp@2_7V;LI?ybkk$PMrj}7B3VSA z%N%C?Xn8#iQG*Z<8Sb%K?rCc!t)YGm8itGS<0%OQl6lG`*l7Haosj{{y#A~?Zi!@9 zhNI0OF9zt!m=rO^gzI%RvWz?UTu@>^3#=AC+UQ5qZRRpX#3Luc! z5Ov6o5v2Wxg?j&c(NEqvuqfBR-HNPD@eIIyg0MuWnz=k36hSM31!XWPDpsIh_*;~H2J%99-3=G=ihli+CM;4y22n

    8X*zd%`I3P80!tjjz3a*zY`sM7i|8HU9dfYwFQ-?~|zD760Y5|5EGi`lZfE&+tKs1lv#LJWH5G$6Mv% zQ!Lnl)&JWS1Cxt7>e!7)S6oYo`HfQo;F@oS;ZdR3idCmEOk!b<*$ZuG9Ln~r*kTPR zfli8DuYa4OUU+PhY^&T)9Q>TrcpU=K^HceTk;B~MU(lA)rEfiA{gf@&I{Zg-`OU=S zqhKE{(-olmS02!}JT;~3IR5F``f%>e=bK;o!@9&oUPVjFa~AEGud3$W-ABigc}hzD zS3viHjS;$SmnzGQP^8JIbM;E*9%nU)N zNPUqgUmx4eLoR#;x&iMO+=>gQcQ5*d%Q2eiL<+ve4$tAvFtg z;&d?Rk)JaZCX8y_SGRArnv7ZUi*n}4mps?ukmP6wiT`D>blx{_)h$Kzdzq);y{+gf@@x+#>bKxXIV$CfS8bHU!8xRPn_Vw7*4CPqz$HD2$*X1^R9y z`g0>c>Pwu@qlNtwB;oOsyG+x|QLsMgtNw1f1MF2>A_mSNDVLkEidxL{3GbPC9Yp)a zPqB#lE@c_snV-gAGPFzMGKs!Z_8Q$VxcPI{CicNTwCyWqV9EY^YC6%Tw^Wi1 zt#WiX+unT()P!9o?qK;DD$n8;4}P!mr%Bp$i*yFj*LqX`u$)JW&iZQ`hhp&l5-_{41O8b3Ep5=>R;1rtZCieO@<2iOf$A*L=N{fZp_3ke|bl9qO9NQ;C zje{2J!;1$PFL}J6LaHr@4BmcLEP}~ZTsmpfmNEw6r1u?X7BPj7a-a0ehk9Yc=;G^` zL7_ESLK?g_3GPVG@!<>pG?=det*aQ`IAqZK4lxe-NNChxIC2s|QD|8-vU7uA*hgex z*$2S-ykDI*%(M4v0l6|~A$*&qRhgUo%4*#(hx_9YlB?vT^Cu$K;`3;a9 zo_CV`A$uPPJP*)&iKlfk0GSbmAKP}Ay@y&(!~BcTuN1wG7DAZzeey#J5YJPzngm|- z(tZIEv!L!e`h4B0ubU+5o}c3IyH_7(&CAW|OyW66v*5kGFWFXWwj}89LdAm$u++)Z zxW77(O$zz+b4+f+9w*(ZhAra^oQVkXOVr5Lt1$1@H#1Xikc=BOWErAQu+GGWcM(w zbli0XTKJKt-PyfA){YChox(YW;a{q;C0^=SjXYnKrToOem zXadU^P5N3s=Wi79;u?_@k7R^f;ubZ#QEBEMcFZz)%~ncB^krQ5wn~vr z5yEFq`HYdb5iee7)vEm$OuW$OTt>vCzeL2|gc)t^vj?5j&}<)Cj9wMx>r1}46%wFPe{z}ZfX1=u6}F;zHewz!Sf z{U0sr-*zSGW%i_mj3a2?&xFg1Wi!LZzaF;1kJp>#_%0#UQ$^aqo&K-xwp(D}U?r7`Ap z{{!J(rpZDge=0-G)Y@&+6hDPyLJyIQe}Q=Gznf~ythA(+rf}LG5#^_9F*-3E6aagmdmf9|G}qt9k;7ZjVE&Q{Po+r^<;#<)Uq|%^QVP> zYf9}|Jf~9cT2uPDXiF8@{@T&4r-f^Jx$IXbZu)mgJLolcHosm#ccUnFTAghlXJ)10 zNyL3t<~~VWUDTALU%8qOMoUS%r7O{;v6J`}wz2-$qWSB)iQAIV+_{pM;yy8whBKXIXd1hhxS5aHoF%iT=3Gv!wNrHDl8GC4B%fv>BKr_T)<8uPhP>f(^ zn4>=at1|@N9EB=~z0)~~ad_1%3&Chh(ygp1DPg2ZkdLizN?;tvR;CVl_uwq8Cc)ie z475SIOMQ)N$uKD z5N!YCqf%(rWd1mGsy^b1kaega9ETiG0oH}<-6B6T+ZY^0d*uQX-)GX;$c{M2TZ-ZH z>afJq0e*iCVt5H0$^IIhnBIl6YZ1$pzRf_ciDE(hi6q#W(Jt(^e;uROz}QU?WXID< ze0QN|;WOZMn&hZ2p^oW4A2S9$xJ%#j>Y_FOrh5!A0Hy9TqeI%%Jip}KANuGAZup>9 z{kf1?`KNnNviioA19#D55T-PY!!`6kCd(!z~E254Ap8tR0=L=+8mwDw?U+4^mFUbK5w z0|3{Qlmj(tC`Xu4jpcxr_Tk>Tws+-70tO!9WH6)fG~c}$p)iRhdJ@0|_pe*Ukus%` zs>yD-(0aoxYn1*eG@Qz`V-YiT!FtSr>#|S42ZJODBdSO-%}(%ovv~aBn`TqJ z2t`;da&EH@#WK+9MEo;Xoqf~4d3}kNU!T=;K~13>h8v<&G+ffG7e0DIatvmU zP`Vl{effZ{mPwPwpGraIBJ8G7HY9}tl$KHu7xNs}>pO$49=aPq)SnR8Gvd(LkNJGU zz(*ZYIcfH)BVWi_6ynuRU|rm;DCNz=mVC7dJy<0r2!^-^*c@zr^4`a>N424|w9M_p zxwAQPtDqcWn=1kD^e_%1)TxWVcgFqBBFnQ)c>l96_)5|Ju-dLpROFAO8o- z=1H?JgDr;dx(7HZ(O1^)G|5$b?I`vcCS?+sV2kgpATU98bMnL9K(rx|tuP$O~>3E-+i;Z<2r#ZZ9$G5%LimLW)HX9WmGZCllIn^I*5W zFtU&^)3Ky~FOoi++<|}5z$D(NzPzO09Mx-g9dTCRuOiJG8IZ&=;x0e?x!LR<3JzFqwNdz5su)idDrtxpOSix{=%i)+ki5^(90`nG&pHJcViEp;! zuvX!?yAG?@@w8L&n>+kN;JFbI7WL*+thbww;z7``h}yW^qcM&j_h$y&aFZznUE$m~{rh zcNi%kHl%6Z+z0t&c^Z;4@208V*1rE|vrAuKqb#?kf!>P_Sky_;Q@il-RRvIqAH%_c z-9qXB9Q?;=U%TLQ}p#Y)=q%Zw0O%+ahc5?xUz^R*;c& z^G{YC{n71rTj{rb>D!&q#AjTaK(^RHArV>0XN9**nto&l-;WZ4GS5r85Ab4w<#`4B8cuibA;=w7w>XdE z-63A@E)r^=GR5mS^6T>YY8+o>0RyHrE_#1|McjtAlm$wJxiu=AChpI&usxIA5u9%c zILwo|+5;hlY%dYCu8bTjMa~h%p6@}J!M(Q5NdCV}l)159_7eV}6ATY-Mm-M5Pn$$~ z1e<2wM*0(04THmt)0^I_%h;>k!^dD|v7vHFiLl&7u!7JR<(#eMKzN3tYYE%((gMm zxzq!TJF5~l?xcC_#Ox|DR@lEN#`stdVzfPO|4U*3hq|=Zbt!uP+U5i{zSu9|N0vLQ z%C6;EvT2KciArUqk=vP24Ae{Y2-W@(A^Agb(e#^6W+qWrii{t`OYSSROv375Y{J&` z4dy{8%om0)RLPB&gYg$tNW}ejHH_esaBJef^9pIn`78 zJIYT6efW}`fIMSQ)SVRqd`VWDk+ZS%Im$3&5{wjWxe8V_!+i+xS?mtzGNWk}@Ms(3 zOsFU34=$R0Ag0UG|i(4j`q zNz)D<@As(*Ef$8Ria9u ztmqx~qohgRyQb)tq4w=wK~)42Fo66GBa>AZT=Q%@w5`wx+srMO<@p(;u?oFuq~&SN zxyVnvDg7_-3XV>bnr<9Ns*yG{A>r$IAhUeEs-d?D`BYzhESiw39k zJ`8N8L4$@GwUg6zJ}DP(5!gop?=hPZ{#)q-%NX6){F`GJhr$h4O~V8V8#0EW)@1)l z!Ey*=0i#vt8I5hk@RmX^Q(48&-v)D8fWapYFb-@^8*a2(W4rhXZR3~ zaYH`((4e0csjMD1FlG_6HtxtW;J{nTcn17t=|se}CPJj3;fXVh$&>Pqc_NnQ)XwNX zy+uNg@fS>Se~Gj;_%!?HWQ!)!*nB@vtrAkEgTiRruUGDhloDF=43keTsrKS?_HBM^ zK8kM+UBwrw$q*WSgLnmR`LN=$vbRI#v+!jF_eIvHVvo1?ir_l^c^kIh-8TM$`mW-+ z)F6hbbbYt(gikE4#WTYfdqp|I49Ib#i7^97$zFQgG>w^OZ)x8dWxigB(#!DYri!2R zBx1MZrm#K#o;J?fo}(0ZS2&Rxo19GHF$Mh&Cg{;DbKU!rXktGzj%-SnK4p}ZnR~JZ zsZyzZL&j2ig7L$BpWqbp1P$k5e_Iga4m#uYMzDVba*eRbs;HgVFUJ~ed$&VfzbxVS zm(a~CPK6m^y+&9$)gAKIi4EY!8v9(0jAb*v<7;EJp_V9R8s*bzxOW3TLdycJgXWg| z(-^-2bp*bXM#rfh(n4>llf-rNLe*^)?f_c*D`g9Ny@NvBHf!IG1z=K-><-i6sSSGj zGS=iORG$5nhvOuI*Up&b@dn5oZ+tk*lF}1}FJbH9T~3m?e_M0y@@2;+!*Ua>4wIW* zFWa5dqB&oxo*GDFFp4?F;*CZ0t*etB9<`t95-wZ%+=aP`WKSE3MkIf`ks~N92oah3 zH@f#8#&q9h3%aIf2OAcx+74|wrcp0S_)Fg`DQlzReAXD-8O^Xjw%s;jCd#>xez-&J zT6qOY>jvs6v3?(eh&g!?tEI&T2S`nSIMjTK+)OecR*1Er?{m0R(#)t@ObRnH7wazV zkf2gODewbtFbT12Xm{A5id<0pT_|&6hFybG|#>t-^VE*di7v@?Updt`5bhVLKvKG1`2+^ozt3#qVe@{Et0^u(h;{ipR(-zN+QF}kiLUz!1doYcn-S1W(mQA8@o7OTHo966pq z@H1o}1WN78@53M?ke$>f67RkI^|;Bq>o3Qm|2`8G89njPj64JR?&^8yI{Pk2uwAQO zc!gdMt8}4sV46y7rF(Ghgu?!BsT;&huNd@1@PYtX4R;Xp)TTdu49EB^NL*d`-EU1_ zQR`*@-l00N=B=eN7SZp?-u>Q0(X>r%zHBmiGQRA%+rGjoKJSwuJx9`yuMTN7Zug2a zLLjSa$n9^?yy(~*IPTjNia((z62yBQ^gABD$BS@fy;|p0CFf9#<;}_zcB$y2_Z^xL z-;YSvSKF9H6+aEMMNJ2@nb;fk`+2iypzaDh{Dys-sD2D%_lR zf8hamSR8O{{qWw5N7RB@f|Bq4Vy^~mMv7;$ne5MS;krV-RLr2@0uR+>o~%rEXymPV zR6#vpoGyg1JnBtR|MeePBS;hgGF5Jm=kO8-5J-?819Bs}BQ}YDqUTW<(fpz}YLPRg zo#Rx9cbe!%661$`1j}9U8ULAM@t-Xtv21mU7N6=Ka#zpG1r^j5g(G{Y4+nKmn~cLH z`%)A#NY89W_>$g8C$MIWzJ^+@2M5w>>t;~ip{`))O&X%C;HOPve3c_yC_)3Zpa3nl?eKaCE^5R_FG4sj^%1@s$ z^l!2@GU)@kiofZ?leTYM`hL=WmsRo^D2)O~258~Rd$J%R`y!!x6TOdEQg_g)unalP zc=CsEK>%2t1zSbD#&hcUoTM;p_6TTl7dbG0dRQT!O}KFNBnWa|dqRgi9yP7e22q zBCOjOZ_JruRoMB7F|$6QZh*`%C>~7crm9i;xCilm!UWGrpt28jKf$OtGN?>PHVEB? zltnT~zCK4bD)JX22A$K662Nrz-(~I6Y{=AI1;tWqaday$-DyjRjm35T> z;v`)9Q`B6D@6r{lc1WkqG`VPjy;U!rT}F%gUX3Z?yGrE)Zb+Jy1_apip$W`+GqX73qL ziIQepf2!uAE3~?Esj^1+4OG+iLS0c*1dZ~0ev@gk7^*Dx$@FY1G_rfV%{x#2=?hM! z#WKk0FwJ%Pqg>N-PS0f8`O650lqPlDn4Lg5J@I-dYCQFdZvWo@DQofQF%6=ZOCz%& zD{m8p@#XXU_ZNDv{4Xr!u2W5}UOtf@)Qr94yauY3Qkln1EB+)CUig2FOi=}!F#iyJ zO@e-@uzi0LeR~1JMBUyZ+tXD~;`w~{DdYB^Byl4;Zl|o+KG{-o%)6*r0vmyuCOyiELtq3 z#rBxzTWj#?drF-eGxS}yFN4%u;RDkV4nypA;{3TTbph{wq&7WF_G7!sylySL2dJoR z1Lu222{ymU%_I3yDKBcYNnay>7dpRp%;>LI}#Lxr=hN!%FNoCQ%_Nd8WJP;gF z#D)hI3HR(3q{xMQs&xfo?4cUL)6leFT!;Y{*c~`ZTYv@nic)Duuer7nT3Az@Pf~Szlcm-cuPwi>((FJpDdzbz!T; zk}?FE(QF%h*Qd$6l8Rp0XLp7d?sX&5ZT6%B#sop@14C&K0$fh*JfDdhhmma?dsYOm zKtVHGpKjppENpE22mFEr$%aST&5X_ChYrxhUZrcSjh!h^uOIT-ur2#q4qwJ<%-pPV z`XmhFAFMNFhD&|k6V>xsX3?jO5}c0}RQ?&(zFFe6H?8fPo@hO$0ipS%P4`R~*xk60 z&Jdo?H2vvlN}H>waGRTm9^a2QfgyQy(vr@UykF*g;Umk)SSNYp`cdtpy!K|lcuGz# zJp9?+&s_v{3Yj}{y&28$RLp$a)V)!fghpX5AFnYN&A5xsxMzq;VhLsjlC{pK52=}~ z-yd2Hc=5pkhU0Z_fl;SO9kUY@b{j(wUR`OS^1iy;e>-N4t| z$4aJpMKh)HGreQolDI0Wf_El74N3DltkZv4H$!hl1Fx>vi(mQ&1GYnH-$Wv#gYr&S zFITz6$rlV!j_{IwrMUGzrKw_j8uPYsTtGf7v#Opou{G%xfoxY8+#HMI zvoV%jFtVlo)K0q2X*9m890%S-uUPh4-X)&GN4`t%_v2&K0Rlgv5PI{7Bf&|b$`92MV;qH3lpRG|nn1Fvh!3?3BqQ^E@eOhHjid?+ zeN*_+O>Wl#I5eDmqARJrYAGT?##{=*-uRB$QQO1P_&Q{u0?98=y9>#u(p2`GcKF`e zQG!>}kcyB?l3gApjal0%o0tGWMAcR7*`m6F#o1DpjcCaQ4JsDsy?R#PSj++!amKhF z3iTAhL&X`-_atu=AIy0?#^}PRPdS4mJleMF2urxVDna&~D;WzvH8>xmujSw{l}M0G zQ}&&bJN8}cxPQzhNZKp4fGH2w5Ea7nYQhV{Ww>kXvgZm}3y+GN*FILAckLq}OI<=3 zQygOM8K;PQ&P<5u-9^7X`2KmG5EM~UO7KAD9~UT78@q=IFRu_;=s-JUW4ZYr*P>&& z+@>u4!KoMhm2afWDj8>gGNWlhe-D#Li&-UDh2|GluK{k5dMT9QHE$>6G9F!if#9^-kGwRqz;tsV|$zeEdXYwu4Zro2+Xb z(%QVY_Q{y#Q`VA5Pv%_A(6D2<+&SYumdOmGLe?mCRr-Ed0#s`gEo1%BW)D(LhaCLLAUb0gjnA9 zYfXUP=|11jz3T0u_Wl1p?;oz}PwYK=Rnqz%uzJ1aXnU33gNr-GpFa)Qt6pG+k7{F> zo1p@!xn*dEzwDJ%iL+Mo(UKo1kZa3!ukMQ98yniM2p zCAJY##S3QY6ETvJM*J=Gf2q;g)AIgY+2&P4`Qes#u;h^*xEGl$r_ru897l6xUu~XV z((ee~&=P-xR>Z^o@sLkioy zf3op4&njFo z;a|$Xf1x`J%%VvYG~I3t8qQP|D1_clkq&OL%*3Og!U-H_3DxPtBKvE>M18&#_PJez zJ(&aV%EBaizNG4&Zc~sw)pa&K87oR8etHx1mY#Of(2rEvTFt}vxyyM$Nv3J5fZaE- z_qBj8j^L8tClL$-2~f2fp|2kpXtSL`RmAT3QtP4z z;$_i_E4fIIfr6|CYT&QW4~4wXmbqVUYH!z)3+&a~?fb|EKKHztkrE^G2PK-(^#oIz zLosyjyDDo`4^XR+8md)?oa@L`!fm!#mPIJ!3&DE}3%Nx-y}t3sKemoght@gntjEE+ zC5mMvB6MEoy4+_s@UB$55!p*%_2Ub`o0ff$Ut9f!uBcHZXfQLH4v-hR5Y@!sE_RyH zZ6u!2VeHK+%GyOlmT=r4kq)m#L^7QVbGU^`&|m@j)*mOtUJmpXW)ev-+kXF@Q5sAT{c;Ktz(hgYY}1MIk7#uPe|kd&h{+NFJ== zO$H>}lzhh-Cln-v`jd-nc)OI>M_yf~2mN2lxf#XLRQb_;ANih4p+()yQS=tmQ;~U# zdc5DU8UgmQl*?#61KPYB2Sg9D>P(Jr^oeW1{fjZWeC^98AwpjvqAvdg><4(HHP2Lz z4fIp1c)~@gZH5eFSO5w7nH8BQQwy$s>(l!`f;jr&v~fFBh$1voeBTi_nWx$%e^^1y z1m1BJjV1p-1k&pN_8b2bciSzLj^#@Q7u(F_&X=iEl5m zx){1_98>=z$Swa?IewlKCE@h^bq@k>CjLi1>cpbtHIm1sVDo*tPbBK-W=ze}!CJLY ziQnOAX1ZIh^+fhc6pg@4h6=ogK4{k^u8LC{hrusU+?~1)-&KellY=lemN{@v(fE>~-bNvo@wuNbO zo}-T88w#GJy{z1HmQT}v2mj0lSOt<8yu#$CL*d1E&7?uq3;f|1TI zj_k6!4L7jZyqC7ku}E#LC~W7US)s#pW0zDnw(a`BS5MPRGc0Jf`HrN`vzS&@AqgNX zMqNU|NayAB=k9!2iIWxBMq{RPSgP_@(5tvFuNbuZ#9iTnOfpxOgW<5KiPZRw1Kyjq z^7PMPSBr(?$TWApA`8jv?;MPy8ZZs=L$?;u#dFw{wPXMfoY-PiuLDe&{F9ynVgJR0b1wzDFTO)q*6_lKoz6kvY%B z8|F`iw;E{4{j)Ni#~;PxCYfR|MPguwERyecStLJR020Q>DpBRc_u^`pr^~t*`&aqk z_2lCFLfRIRK>?o# zB)`W@xu04a4M51@IhdY%)yR55!fbRR=XE@5O8#YE4U~WykMOxi0Fo;#?en&(BMBX? zCDYGMOpQp_4yYX6GG6m~a+01En!bqbZF)yu>lGo`&9i^jbl-q#HC@E1E8VM)KrgiO zu;D#eW!l}73)t2aYUQhoT^6RVttvOKJuAgEd-Qb`?G_EfVAM*P)URd|biOpdVkY%WTi^MFG0(6y za}J677>$bU?Hf*jxu1z#@j&TgMwd7waP&tWe5RF|EOoiWs8+=MJocp7f!#lC7zStH zmZ)UB3|%|o*E1&vmy`-WUK6cb&5A4aA)%)Ub#CRmToP8f-ZPlM3t5Y6U5;#%`}>Ll z!rBKk`^<0&rIAa7PD^^Wa>kXDR5sSz>&jh$q(tsQ4LrRy0uZFj(U;8CaW4BPp zh`)x1rnM-#RF7MqHf-^MaGm?^@V79bWsc0gS}()&v7*T6y?mQiv0_AqG+dHf?=lcJ zE#Zg0Pnf)Cb$$MHB%@co3*T`}>K1mE`bZ({|7*3Xn#0@lAsC1mv;TuxPtjk|B7nZx zuIg{}bAqTWXp(>+1`*hyA{yTIq6TUl*bI2P5wj2OA_JNX112`#`wSsR$BK2%BJDN` zsQfJ<*!(AYiDX^(xX_e$5VhMEHzkhj&;DvPAZNUAD$z~IUoE)6ltqeGCQQBKP1Z_8`hfsFe+@|3~ z1Bjt4@Ft1&xgEox6WX!N#+d?yt@2kk?XrNEg4e0}iaBNODi=eNKfi)8oPN31Wr|-! z8tYI%_tD$IlPU&}jHUaojyR?RSPH51*C{2J{8Nl6Yk9@)?L45PcIPMn zMnTHzP7YjH8tqNowR(4-kEOAR=mMHFoVOW1oL6?~C^N~so>plfDgJ)O=&O}7GHZfS zdMVPm&jCv1C+~c1zPp#7CBAr56cO*v&a%uAxl9z(m@(PBJr|eqK4@;RVAWgKKJMG( z-1v$i12Z$W{g5dC`$>+%c14cjR~}Vuvq}QHu{$`U@B~5J__WpEp+xLyz~*7FHAOv` zlsCDcU1Gz>W`fJrSaV@zfc>5NdyrR z0X?+Rpsp(a+~D3D?q4n}B&)^2f7oOHjlyF(r6+knt;BSVJHy3$j&cVDsA?ngWLqpG zVvT+y$ldL4z2jEi|DYFu9UEkdN@C?l3*j}TY>aZyAkWYvb;q<~{7vU#QFt6>#`NSc zpF+C;OT?gan0-X9hh(91`w_~7=#CL}H5863nuEgisUK4E=)K+3J`nTA zX!h>?PpDtPb*&r@7~{wSzzx#Zpu*UK;sY>}u?Z$qds8fUXUFO?ngif(EIeo72b z&=q7$8x&8e{m{j1pRFC86zFz)bnG^UmJNw~|6#Y7Zv64gvUPAv-uah^PD+1yH9~RW z>Gq086!JJNxWEe6=p;%cFbvH4I8Zk7L2ZlP5iwa#!DwqLX=6QRU+QVu^h)jLgxXE{ zP|c8JjKxLrq2|R}>ff@+m~8h&Bs(!;_3(?mo9G z_DW`kGSoQZQlb&WeLD#6LHH=(Sr$lwukmHOjfGh2m`2_Z-w9v*sFg6cpw4xzilx&o zdQd-xP)GR76~5bbdEpNi! zPXl`a$Tv3Vi;{2kE$yQYbmE7{$DB&3pFfqfy5e*nyX8m~&(~CwJd(4rEBNS!DqnhZ zE0#=%em!LssjIynSDwJ%AI-@mtv9Fd!D!HJVRz4u>2z&t6G`*=sSvWK@?v&ThdwT` zGGJ0l!7goE2lJ*e9-WY4?F1iTHPe%^tAOIMgo3vpuc>A_#N-C=`=6@xBA5o7%l%W4La%I`3)@(Xl!F`M{Z_>-jETixkt|Yw2!c^0`;Q_VhWj!(#rv#Sz}upOqYkJf9Nwr0 zGqK*&eljMO8-s$QHreVBOxE9f>M`b{X5hYv_XHc;;%20ti2kiTLaU9wa6h)jE*}S` zB9Q$Hr2I&S7q2h|{>ba{3`7Z{6UGo0Q6jG*@&qVylUz>o|*cm+MN|Xf|2RY~F-6xKhJ1JgMzaPC@3kHH%Gj9~+F{Mo4!FvGiuM>Q z4puW@>zv`pWgW1tF|5)if4Ppz{INd3V+_Cao9BUk@iw&Z!WzVQ&pKyqQo&6y9pfs7 zX2uFmbAOE7?!-q57!My9udNe!i4&duSS@dVh(Q>KM$eh4(sQoLzv(LP|K3GXy0uok z(Pz5`xT%%r-N^zcLy#RN3zW`k7!C3UoCR|mHkIV|DzlJX$S`CXat3+H|B=y72D=)R z$A})CHduAlG3S<^!)HM!^+g|4R6bK1>K+#S=2_^jd|{62H#zrvIM&)TrF;Z$8|m*I^||U(S9*v1H=LHR^tR(ORSx4{)G7D#&3&eE#8>e$OdAEtl(qOVY!HNV;LQg;j zvI?vUf15D{y$QX??)#LdBO%kkpkM$JvIzM{015QSF=Q)2Md1l^=u_x67I33&q8B0e z(Xr57T|wtT4%5ew!xBAKaRpgPAHwxm7i1FBla&tyzamS~OW_6k44h-8pMX88pMeYX zn?3~h2JB0mE^WFix|~+9792y54A)(qE^WH2PtUx7g+?EyyMp(jxr1KE$!F80Rj&=# zU72(A;2=Ho0^YDM2CLjykZ~4*uXk) zu>Gg)Er^V{=Kq|OA57$Qg}_?&81w;$CUXZp37EmeQi@qXq6wDK5-rgZEk8-|-EEgd z@rQg#m2bcOR!*HdB{>{+lI*fBO2(>2E~z-{H)|GHTu^xi$G#Z{JM&V<$tc7$CAqkYUHg1*p%?^ zpi^MmVV`b6jwF8QKA$}~_BnSr4vCH=eOQ1zIvV%DUxVMpKP-#@K7#JCFJlNRvcO zrM;s;fZ zUT)B;P+$DA;?kr>6WO?Cqhy}RlyRSplUtH*@$3q^UO4cGx1}&vf?yBi9FW&mz9#n$ zxmQZnD<%1F%P;v#=aW1omHszcnS0)##eC@;RBdskbQ@Stc6|D&$gI!g`)SMN*yQ<= zvv8&4?D$G@6l-%1rAyA5O_DQxq2$b8uHWyH9PszhVaZwdx#Y}PBsml3NY3PWk~4L| zg)6^9$LHm1CFiTXo;*2uLUN8DJ5R>^zo>S2oMH)woPCvfTqf@RTKaDKP6n?$CUfU+ zmqXK+$eAf~AUufblxD6xK55)~P+Zr)8~0_)Yil#4%fiDlWJS6x z-I*yzblhi-c?Z9q*O&i9b$rOol+#&SBD2@X z=^0C9=37JMx$b|Jg7pe`vcO>}{xm)#ll1?{XJTEjYa%y^UE!ci4mh)C+&^dlnMlH& z!zM5T*@myp+QUBuJ(#L82zd;i;S=J3O?*9T_N=k^4L*~=fNc`LStOq&mujGWazb7+9W>RfN6 zr0p=QW*>qtiGx&K0v?62kmO)*>w=cOh_(;@VgK8-f0Mm&3g2bu4PekAvt9f8*B!de z{sUQ$%^EypF5xY|o1K^b>37(#9j1Ug_-;!zSFDB~_~cZ^W0wR6gZ6E3l0)a&%h;jt z^g-tndntBS_|IXj(Aa^l>@BoS)(!okAK)Q#$=-%!M(nP%%fS_3C}VYp^wKBxY3$8N zJmH?S!Crwl8vM|a-c*Y16Pvk>nLWyVS|9WH%HAb>Ps5?L_(MscVeQ%+YGwbzI$)mJ zN3bqf)4>C8dD|&=TH3aGVa(J&YAvi)@$`Y)ACM^@O_8G~kIMYr^W~{APkH+To&%i| z{Ou$zv0vd}j5*uqNX>U@%2jQyl0x?vl6+Swmc}S82OSXeL*fTj*I}^+MWkl(BeL+F z(em|-D%v0HcTrk<7I2^=J z{_lPZ%9wy`{a>iwf!#+n>od(9d7aM3>5K5Mm{RO|#ZkK6eCF-_E}m~wjK zW;vaHNDdx7A@ld0wS!l?t@&0GR(~(u*L^R;H-9Vh_MDdeS;q{gPwTzFY%2Ezw|8Y6 zm+@bow!_tjY&lwvT z4rbkxepJS9&XlCZ>C$t_VVS%+L(&ds*@3n2<)X*^W2@^wpWzdGJLS{KiL>PFq}g&* z$GUvRS{XiPw{%^SC9iGDkq!Gj{6Bm8xSTkp*Rs#tuKP-O8``hT_OKGnJfQmu_z0GQ zsfN9}{&@}p&kKjN{zLb%)Xg7%C>{FMlq;Jl*05iuf}>P$6#UcA$QksDhX+4wiHSV- z$R&JVCZ|dABIZD43GoRW7C^y=cHSE)k;BLm?xoivC&4bT8r)^S4>sV}1Lu%y&y9Z0 z4q^!}#0`-MhkgN$kU$5X;^%XR_JH}wN|STGzG!{mv&ukZH~8XoUHo3=BMZ=21xxiW ziF5c5DPRG5MMSLTv)=QT?zdR`!B|+X17A%q1SjDgJX9IUVc}CZPBm--qrflYvG0Sk z_WjTB4qqDjG%_DMfbDOXH(z&jhuT6D^t0j9bo!so2QfR^Cj2I@39O*c91vhJR_wL3 zAB+`zU-r&QvLu~1zt|2fGOr%||MK?zG#^1;xP4o$DpZ@uDvk}01 zuoT}d_hH@99{Mte^x)eCd*NXuAK|o3)6Tt-61|ipRps&D2L8uPuMA3`!m(2lgM39Y=Ra zhbbMTbj#AA^$!oRrx5?c{%Z4~&6aR^bB~*)`18f(vKuawys?VC<&~a;37A)~l>2BO z@9K4z{C)A?g)4mPqhA9H5XRzJ!v-8-l~Isxb2i|J$O`(A65KN9Ta?x zbbYj5I@Nm_jc1F%7xUeB=iAPHP6c0EwET}0e@>z$TB0RdF8LBEk&@GRFk+$*;t(~3 z^l9$|H4MclNx`>hU_3*)Az95FevZCLtQl`Hf&~={jB_Lra0*FToAOoFAjYf@?ViCup#Qw*=#&G@8*eKu;1S!K1;qfMBpjsYU6<7zDk!82vsbR?5ceXTB?`zquNuDXuiG z#_Iq1y^JdUWy|KSmzR^W<;zRiD=tv^?efc|Y&oS1zxJo9<*3>|{fjSUV=GfEM&k13 zl~~;#Rl4$Od9+b?Ntn4ul2@IS8vQ?%oBpWt9$Q>uixia7@j7nW@yAl8{N)lC7bCH; zMWy0(H_9U|Ql!)Dy>@NQ{vXNBcigAf>hHm8{aQx*P@-62i79lMTv@rQJk+?Wbe#E> zquXf4NI zUCZ&>pP2YEQe0~Z?PDpP2OV!Yx8@@~Bkpr4ZO=Umj49B5E_%C0YmC;ST1%Cb;x`nO zf)D1AE1Q*(Chygk&vvgg=NV2wu*RPCmpo?_&Mkr`mh4z!MmYlS?W8wfHe(1v-jQ$? zbQXbQ1c-1V84fuNX~-yybism*A|S}#7D$^o)n4^Z<>bE3aY%s{2Oo6W-6y)SywB+ex<= ztKkDxKa-Hjy@T}J3)~3?)*!PPBgrcCA34v7eOzyCYQK@Y7(YpB#UV`pF>ub>GD~)4 z>@w^y<1%tt#|TD2kJAv@jPsKI<79-MgjJhg41jtK7y=D*Oy#qkjEcb*8e~0{&-xv| z1?lNeE_(Xm8)^v#!}tn4Mr%iJZ)L>LskDFW^+(U3e*ZFuszE!hOxD zinD-zvVX&nuWN?2<`R*Y?OrC0K5irx+EtK3PZW@tn~U0WZ8*zw?&O4%pU81A^*p%{ zpb5$xXpt|-7G$oIW60W|{Ebw92n&9y>e@%HG6o%AsX~PfXG;|-B)_@yZ&GK-BO zjI^7&UGA^jK`KQa)Ak1B2OA_R{#Nm?)j4w4p4WfnIrD%F z2P?yQ4o{7@TER#6Td+ppiM7c*yYaeqsBS-WG4wxhUx&4&^_tlD(uT9e6wBficgyHl z-0zXD{mCo+X5IR0+i+HEY5ji*?OXBqvJz9WjFi+qmeKKovxc>io)Q0A+lRm-YhSC5 zE7$KOeR@~f=N8jiT=D(N7%6;PUMcoMez_y%cQSU#NYw-Oo5K*iG^Y=8Q}Gt(6oDY< zO9Yyr+o3<0JkUKmG7CM9y+5)p_@-a*2#g|71eu4dMg9Z>Xec`l@35c_(+$B{#anbw z99tyl1u=`y1o;p^f*eOSq1%L?#EnjayfuB$Z<9dc`v%-+coWo5xfYp6a-=!w7?;X+ zumTwieelhcIOymDx|W@w?&}@sGSJiK{mM@lm}5E}ZE7Eo-^ffbGS~<7HFPq9kgU%> zJvf6NNwQ;5=S6m-N5TiArw=|o&Svz_aC-Dq^i|VgeR@8#9{~e$>Ab2hqX(l88`e2` z)tAwmSr0)SmTTFIftAdQtskWa+ivc5vmFKyTpRQaJ)V7l1!&X0t|hRa{Rs95_CM?o zNRR|8**}nI8R>uu<_G6C36=B#$2nLXi9VW0875`F=VV|S*=U@$4RSy}kiF*%fR?)OO7 zN9#{tPaQiY-<|qS_8;0W!)Fhd-zWXvvngWh!j@Mjj|HgP+xf8D`92ncBMB%Z3C<3` zT=lL6Tw-%F8=3Cgu}N`&2}vK=PDvuPJv#e&ZI=K<>{sZC);@M2bS&M&W8dNsISRH` z4)`(~Dt$nwdDcrbv@Hf*tIoV@4Mx zFmd{(=@ukQuqw$T#s}3u7!SID`N~wMWi045=nCi<=q48MscpeCbX9^g%@?XX!Ip?F z$zikDW-0u20k+uxj6d+9x|f_moA}nSwP7zdKbN+Jt_J_mtDQZS09|xUY<-{Y{>*eV z(?hYdQL(wfdu(nxo@YlrYjZ=P{ZO*1&)*QeR^}W18y_zE5q3?p_cGTcA`oP&eRyKn z6J~D=>bVr=z!DVk!SeQvhdiATyP(q-vCre%C8(E!m(bbJze(8TJL?%pzk zduDHMee&$o%_F7TmzGLxbgkU4Yo(0p(`AZEgN6-c-MV#>ekxsF`|LIOP4aKNxh6RI zanJ9HADg*A?@yhZD&;!r{1Rk)rB>ZC1HKRa*NSUisetEz-3YQM0rj zQ!L3*EZK1=TgLA?V~Lc5RveRAbGORA$qVH8`00`}-W$h}3A60~*%M~U7t>eB_{Dp@ zM9TFd32Xl$$!ounH@2LSm3xm#dS;d!&sLl|qW981X6s-6pQWEyr{!1zr6p2sK4br< zFW_4CkHNLN+_@pQ?YHeWJ7+aQ^H_no7KXYP8(%V3+( zK%1u5unwl^d{0{-nQJ#m=7EEfnR8TS$1$Z*x;<%DSStl6B~i9NV~Aj?Y>s zdnU}2NmJL!|Hs~2faz77{r|z@?!hVj)%AT#l^V3Pw3H&niMzY3#Iw8cKm=<`ixVtP zTpVwwbJHTh(iN zu3nn(w$_cBp+ggG{H@Gh`n1zB{iB~R+kAk=uiEG2Iok8K{m%762aPtiZsi$Uj5fS4 zOVnYJ(1^t-gkzpbFJn+ajg^TfsXZXg~dFx##Nic=$X01H>Ij|Rd zQovU*8_Z{uIk4I{F$h>~&&kJXyC1CPbVqE8*sMZ=r$0OYS?BQ{WC|T@9e~ajK8`;K z>lFeW&?izC&q&2;XhWb2ZO68iA4mzkp(~$caMJ2$BX_tY>pw?1A79>nGL(UXD2hK2vub9K@+y;B#uiuEqv^-fjYO z(UF32@fweL!)B<-P4hxxPB162J8~Kq_{^ArrHlzSN@xQHh9DpGv*@*1Phey8Ic|8x z*x_&U%HmhNTtNx?;nTy|a&k1|SE_9(U6po~D&J>w z?DacMd-@R_9XCfGjGJpXVSF&~${jnkC(n5KigzQPG2Zw98zlJec@e*!JUikc@CR@> ziJ$x*_(tF{+#C3FLA)(BJAXeHevXQz4?K|a#aUamYQlUS zFsuYK!A|cN{jq-SHEcSxc$p66Zq=4O2Q+QVF%P8dNg(CI4?UoAn8|!oHXPCBUHc5D z!D_>7YcDzA_Io?_>6Q16<_A(v-EdgBd4|35^@7@5U}jC?_-NnXTdzGc=SA(EHdlLA z+uDdST;G>M`&9aIopOxv3dY#R4t#uLq4sRp9A7sK<$2KG@yIe`yL6@Yyl(S{HP(E? zUND$z-mbmT8o{s|WNHt8864 z-Dt}?5iEVl)+=BoYYx^G&;=|7Q^D4^?LC5)@%&+I_KlscQ?Jj{DO<}ffAM*B>|axt zx2ziRYcd!UW2pyF!{_0(#^d1AgQpC3833Oi_!B-lZr?J#0Ph5wkV%j);8plGIA67T z;M?#-f)`yLL+*gr$D3}$o0e>j{C4o)35Rt2d_ZLXA}}1gR6({O@X&F@FdJJZyq`7_ z#OMb>(2p38C>QV&p6mWX_VTV@ZU6xP^hrcPR9x^Eehpr64L(U*@yT?1s{O`h;mA;6 z5xkpC1X8g&DLZniFtTPc$RArGwmxjC$lA`!p`XzMn`&6E;K#|P29DKMS3y_}zG0_> z7v~33CS^w}M;*`u`7I>Y0&H@NKER(1-Wd-kh>^oH@RveX;&e!`(aSIo7%zA|vXx;1 zv;p%&VzZ^|`(B?f%R3f=kF>=;c^>j$YGVCx-xK#mZI3s(@Mm$qjVW^n`!DwG)P!FR zG(}fH-RK$^L*!uEfj<`VDm2G7ObUKxoG|ShRmF3hc@L&zvrfvf$%H+cwIt)`>oRNe zh0QP2W$BmcvZgjyzGri#jLns=enA5V4%D{o+w|W4_mn;^J=zc*`o;7>kAe<{XD!WL zs(LTfi-ILNg|fV5u(XuDi$Ah=k@Xx{3SDikT%U8jo}BrlcIEAIUDMHnM+@dZh5293 zr?GmE>r&CFqFY7Bimo+WM+aNb{e}7b$=F=5z3erd#}>opmjBHOTU%lJ4@vQu6sE#d zm>= z$^}&nyZH{TR-V2fFs3@V(svBNI5X!vxW?sCmJ(2!ba3Ta2xSeQZs-bX1aTD2I5`)T zfo@H}I7flu^UMB6(Lf+2g71z)JM`$hM|EBQ z>vVC=i*>;dil}t;k}6-Uw8~#%xuoSXWmLX=d6lnJG0J=|Q�m*|m^MTbXj@RKB8J zuUzSLrhLT;(LKpbS?(!WO67}}h_gM{bNqh^`(E1Wrfll{pJgr=e^#(|T6@b`drOok zs^Y~iQjIVCKmD#%?JlvwBJty~yjWe#O=LZPRW_n-~RB)*3ic zU;V)^qkAt*xi_W#5zXUqO6EXw=t^eX%Gx-lmh-alXMUYFmek4r$$A*CQr4DG4`cm_ zaGw-F00h9m&cow(<-$^RAP^M!1#E9t1 zDHLvTofvvh9Hu6Wh@9dTur2k}Wa?xGJ8;z%uP6&q>OB}4WHFp2c{d4T>CF${94Wcz zd!jt2TPA~2*mWC4BiFnf(7Y?EljY+; zMOU)g?(GZjq?E_;X~vovzAG`GC~SEyMqvVp7#Hfn7(I6&jV;_ zvyFS$1=kJD(9zn?d`H3VM%yh5)a2zRs?y%xL7PWKzjCqhi0J+H_nh}1p5Z(<=AVIg z=JSwH#`p((CZFfT<+(S>!vY`TUgy6_tWA6ehn+X2-1}MPWZf}Nlqpw6#fn~N{Jyll z`ODv{-iUF^UVK6w$FA0mbvvj^^-CS^N*24s@iEz#G8bK>VurJ&FT7CS_`waTJLFYm zc@XAW{i=RvRjqMZbZ^m%qk9u=DO0MXUn^arxW0bB35(`q?65nhR5kW)93G8sld996E%y6&`$Xc_)ZdoZ{q6F7~75j+!qge<|1vPn6K z=OU}H34uFy&>yQ496*-fWX$A=C>X#n&=SxOpM%Fz9zz*;fTK&&VF?^X)+`5Ej<4W=Xjl3jZp z+o<0#0z)Z|T+|;7jU@Wcx{LLf*H3w)A>VO6!q^H8F|K1EMSi87JQqw2PR+?gdvMeZ zJI}Iy;}lEEBU2Wp!c>?FQ(^jSQ*3TKFH+p)^D1rJxKSfVj#Rg9-PEpKJ9X;RNtv0M zh53BQ>1oR7)Lt2Boz-pdqv}0wxpJ1ARMs22)bnp+mD#;#biGUAocO=WJ-hZ)R<|s5 z$>^d#w*R9pZ+dy8JG1q+e>RAMI9x{Ke{^W9-|H69|MdPJ*U#Z#$NC$YfWSE4;Enrh z7x7KED8o9OzyR0xSe@vDa00~H6MLWQ)Nq0)Xw25`1c{)>3~9rj4Q|Ilf9UqYKSuT$ z98*&h@(@m{=(&)8aC}9UV$F|(DbGUhhn|=AB7?Zj(0)h8Kt@7dqAo?@_<3? zmC%VgsEgnTPX3}j*Y~~Ne@9nIpOBMVztGy9glP+HMNXj}^q~1CZlP~R-^V99Lq2VM zC`+IS30>>mkKG-~TLcy$vojth*P+`Cb~QFgqJC(JKGbDV%5sm%UC8Iq6L~eMvu0yH z-x%jNRu1ROUs|>{Ab$s06g?@rXf`M8Hl~}?j*KJifXM?~-uB=aYd^H4TxvoWjc$^5 zVyk5CF=lL{Lm&v_&75QIL3ij+hL+IO(u#~&gwV0pKAWCrmpO4a}HYs@+xw_ zJrfz6J~QUGJbsIcw>Ei}z?!Qp+mhjoK+0y8n_F&JL>23o)eUv7Q=3}%tIvZ?)cb)( z>iVF~;|5KX*}kLk)^5to$WUhUR?4hZUzznA$G>+szMQVirY)8EKpkb?T~nF&)Kcbs zwa=aJwd?m-IlkAo`rCDg_=#b9X4kG~@t89**J(=+d+w*n)LBqHpOiDw4NE#0mUL9N z{tv77=tas|d|cVH^VIX3uWAXmoo0WC+f#N58D5KQpR2Pt6SUd>iyIVKxHDNhiJ+L_e?7 za%a1zadR7QtAjbx%*MV;S~M4W_f%%j9?}1lx!>kQO`9(*T1Rc6Ok8(Y%RRc=JK8l< zEjniCj%Mk)p+TB%X_Br+?XA!D%pTn`)y=Nwx8d{5w2ihKJ-WAPs~+_lsndh?RkK!0 z-BiD`epSDV?yucS9Ug3;?sXfgd)u}VJ)j>nrk~LEPY*=%yLJO*wze`{@7+t8S-oxS zjXr5co2Ev4=*PR_G^(fWH5)3cW;6e+UHcY&v)&hVQG<&jY)fRvQodt90!xkOUeWOi z-%t&m6M9knQwXkLBUS7=l!1R@tAuA_$8lYkVI2Mx1Qnn&gZKJo2Gk4Rz<$!CsPmM- z!_cjQrSMZQ039FKu@j{RQ&JWl>Uu=O5;l14@Nx&=5FqG8lX!%F4$KEHXa{(W4+T7u zKtlQsZqP4yF>N3S5qf|f_z!{A^uq&5?RT&mOr|_ELSM_8gunyzpXg^<2S6`)I{(Ad zsfW!SXdmrC*9`W8Ctx`3Lr2T+saOqdv1fuEy~p+TdYlH}VZc&sZ}4s#NAHuh9ZU*X zoo_p(yp0EbD$ofm0w2+d(q{C|Y{u$XO-K4I>erSz z(aPpQ(sr6m*r=hsu6ud%T&xTI;LgWXh}l zbd~BqRNtT5=H)iNDS-!6#kz5Dn6(^1B$R3JTmxOv^@`~Fw=5U444x(fDO*IJMH^hA z@7DdY>ev3Gdem&BJ`Xfew+D0Mp##^bA`&0Q^G6!BC`1>biu$FrYs_PRnav1U$a#_!(#wly=ZsjaGq2ABU zRkwCM3@h(*%mh25KE>+-!={V|4VBThwYp~YQ_trnn~ayIzAFt&!P9r7>^JwQ=kwFl zweKKhnCwCJwp#zeY@Xe%|4{WFWw^b_aC`1v^?YWMy7tP7Wkg%MWZHVBfOemi1I_r( zm>Dj3dkq6J+t^s~JJ$o9_zY{5B>h4?tfLt3S~hm@e%4qWtUX*Wi2mRO7z@^d8DIxk z3Rd-q@QV7o8%~ltq-E&-R_Xe6!*t!$C|xyMXQ)$}VQGBb+wys2`sQu2cC)T%V)$>E z*vDAtk<<}r?CTZ7(z|VbgnmN{ zFcd7MJbiCv7|VM=dB(-))U{><^{&~}bE{hS=x24mt8(>Y--|>BPyD@y*T#Mu*u~hi z72XY>C9s|#I0lGQ%{L{m`mj-gRrr&F3C=f>dGR*^PmL!b=Y^9evERYd zz(_C)d;%Mh3sO(KC($0aYuy*&Ied~ffal;8c$&l`o>jJGS>Fr+`HSZu+h9whpI`+1 zk~-M52$==!f`1}ccYdX_%am-gQxH}o!y`KetcKSkmkygT%=tO%5qLVk(>DU@oK9V? zcI<_>BLBkQ`5nw=JrS@P3}Ae|(d`@lJmfg8yDXR@J901U0cg(}2wH)?(7^EkECC9pAt5KCUkE{>K6j8!IceGIXWZEwDmAgG zt;@-@{TG&zW37JX6XO6~@zF{3zan85XCAUK1si2BHsGk^sr8dgUy!e%E5V`Ai}Cgi z%ITZ64ZQ$uV2r{>NU4e7OV&Vamc-i9@3nX2NBw@J&Z9aj?Zq_xqTMf4{1?Sl=GSF( zOXe-g8l9yc<9q10L!&j2&wH@c`pO)nF4iJlMs?Bm2Yx@2cYkWRqGhl&8Ayp-3Z^nw znq93sJKU-C%yic^p>ryb{}kqbIiG>me;eyMRdlQ9SkbkjbM@=##(xXAzc8Oa8Ji2X zmmaqEc=gp+wRP*(m}~rZCTwkm=|3dJV^Ww3Q(-Dh=VikAHPwlbfHnddP-G%Vx-f$v zV}c2SQNyPix)CiIT*7Xz2sH>=`Q>e~9)vTLhRO0clOj}|QNIba2!SY&aa_f56af%} zB!USFQv^4J78I2z@i6B07~8`Yl1U+#pgM#Ol$L&qO57fHBSq-L$uz0_+q!S7|Hifa zU1IKzgf#*sy?kPKx2^lPx{(=WFlEjt|70C+dvYfr?nTLpknQDBid*JcC{dvWZ3v0B zA$USNS6))yo`J9m&7gI17d({X(3r7FmPZ-Pm^?B2%<`Pbh+v2ChvJ<+BIF}5CLMS~ zVizQYVHC@5_@sWLDFy))1}I(;^g{9-jcrU2qFs58kZR=#JmPuGEez=)+svc-d`J@q-{-caXs6(NE0L#4b6WVMZ(RY-tCTHm z%)M6Tek)Vk%Cu-@f=#+IdURJt&mPL?ZlB${**zu@VRR$gg4h4=(nT4~t$eNe%DAVd zGVXpbs*8Mg{Qm=WmC?AlGCGEw*gN>A&g%&flZ`P@`x z&)esU&)%aKtLuPaO7Gm!a(gRh_jXCMzPFD0V(m`<s9PdvA)&9Cm3yVxA6 z+a_H%H|nAr>UYtd&C=AeW4bGPx$Xws&npL7F^^lfjoNDSu&d4S?hn*fmj~;qe$8h3 zUG4VzWrH-`(JE6dx^#8La`#?6lxf-f)Xw?`eVN0|Y5Gn7o3=2)--LhY+s@u2%Ala< zU81bje@5m#yxVf^dP>V^uC%{)RK|qv8Zdi^o|yY*#|47q-T4Eh845fUqqU1TKVuiU zkO+LlSm-;%QTEOv9+W_ETWSit4qgNvptM98hEXyYE(s1BvwV#67T;N$z&opx6ALjO zrKUBz*0^&Lbw2Qy$j}MTA|NdoItc>sz(v}JA&q=T6o^6q9+(({LrHIKcqm8I-A3KgK|I+zW{v|8RN%H(Z%YJA(1ho&{zT{2CGlK@^cFi@iMc z(-zuf<>?c<@KOfcC8MkaSM%GC(wuwjSr{zo8|B-+*w%M}bHzPMMl$75Hqr+xkCGFG zBW(tgQK&;JuoJ_t-xKH)%0C*FXADqW_nFW~*%PuO2O@heifqP&-jfi3*TI)QQ+Ob1RKs6JD&l`%R)=}#D+ zPitnFVej}|#vAT79>M$HsA+_E;3j;tS4CFYs1y7Q79-7XB4@S-?wp zE%#6dxC-7gD8g49#4%o zn)HnB#qlUSH_qT^ddId(@6t(K2R~-m{C4d8nx`IrA9wb>1-1WNH0OWX32zU4AN&J1 zle9foogt^z10FCRsE6k!%jTCie8?|vb0w4y?Xu?t90hM%cS_e?&C_&4gD(1w;ce~K zY3gKipqpJI=lARL$e~TlciM^3(Z;o#VQtq3>!?l5hPt~}E8SSPlYY}AL$%s>Rj15u z>ejQnx`DIId)^V&ovc4uhx$8Wc**({{AND0*32&h&RT{xNu1@qy2pMuyiIS~NNN4s zDD9C)Z(3MPJ zDZ%9M4e$!t1sM-n2;){rUDYCV~SA^2hN7yo0yG-@tzmd z8sscwL3lKnj)SZlcfn7q7pEdsnbtD23iE_{fq@r;Gx`A5CHzl-WI>LyY=VF;fjYrH#*Xr= z*;329W~6`}hznC;Doll`Fnzido7>Kd6nFW&N^iXJhU(U>t4ftBx${lYqD9Z%Fr+a5 zS7tV~V`IEh#f#}{Ke|zMd_$k(>NM`XXfvQ{HS#wDDqsHGo7xoS&oGxRuL>nAs9eQz zD*3IFy7=~sqmu>*(&7^tE}03C#8D0%p8by_CptZx{#fs0yJBtbo9M6xw{<@2c(>be z|AUbngguEhJ12r5oB3v*$ZF`(?l0ncQr7dygiMHzk|0I&S2zeF6Qw3LI7OG_0W0=A z98R&Fxjcy6VeLRSg+3Lzgy22brP8-Lkxq{C+((cE!F9-HY$y}tD%WGin}D&=3Nn@l zT3C6URdJ?8J|R#Mot!(*#?OWp$YD6IqL1SwN`e{Ku#*!npoi1X>Y`5C;`D>&RtGW- zIzHq~9D0%e!pR!Yk-E9xH}bLmyS|x>t}?cj(Qf3f5I}(rl}+hfuZXiMWhl@6)=zYF z==A6(_4_7h(2Mful*xRzHWReMX~bIa0Gw5Cv2kfy!sWT7t(Syt5L+$J zA@G&?#5iGxM2AUx=r8hMsvO3qImqzn!Fe9?8v1lL^TAe4@FRKzf-D&m`T=c`VLdR3 zei*I3-Q(NtsG5{{QxiIP^woYJ^kV+n7%=w;zH~eBoF{c%kLx1Z*tIIHt9ZX?ANEBb zQ{E@C%?;)#<9&Ij%T=L61zp(U!stEvx@At$x+MP2IO43@#fa{`cwR(3w(pOYrp+{-Ph-D>NWq6GN*3S@0<11r8TbbO}jwd|X}8SS~AdQZIh-Z|;V zJ!fnp7uVzSAs(CLobWRCJ+%y<{BL!ZwQD8g4P8r?F|7Z;-eivK{f^tk|JHssbS=#$ zbMXdvY&gen-Z#oPjVv>V1C5KtH0FOciRC(*(N(D&eI{wcJ3u*TSd#b7>M3E*yt<@{ z{>tzG9toCmLJ#s(2Rl!IRK6rrLq<)j@yecmxl#cB9jD-CP2X zz%R6>jmtj*sXlyJF5%6j!rNYtH&-MdnQ)%>=>)j z_rb&A%Vc)bVATl=^Nn{7c@jV>DdDD?653GD$B1D?isN4@ZJ zcsg{1KB0au2c~eJ_1(wM`iI{NJ}Hbh_wg*pTFS!PDNpc&^%MG`gJk1#HX8uHeDev) zFvj4u(dq8T@Al2eQxgFrzFuKYU^m6?ZEXSX(SH}@!$Sfy(aU0^W37U2m^IL$d8$9lZm;*x(8Z&zra$Xg>T6EM&$1_{oU!h zXT&|axUQ{hjP_-kmGQBM-oF0fo#I_$o*CU(UobByQ?+AN6>DQ-!aVq~<@gjWKj|5I z5cC0-wzRcQoeNa-mzSu_74SZLr!TR0oed-d4|M!G&13R^&*vrf{S1B`^NBNZg2zw? z_fy{|Ww88HW~WncJ`e%q|OI@`TN)=H1;kcO<2x=h@t-?i?eE57m#l_-9RXYjMEJ-4`F zDbN0Y-~Evu$azM+-#Vi7$y;=D^B%r&Ivc1LwCU@o%K3cW+UvA0VC|B`xO35uG2?zP z;8Sgop1@n48L)~nDRr|!>;EsCwkG?{clyNK4x6spcQ!{aX&B1p=q1m!IXeC29_9mB zO7?zRUDTVzQtnTViPO{WEoJXru_%|VT1v%#SX39@Z}J?cu!ob_dEY|@4-#04><2%> zZ-k9i*qoZv9N}SJ#@5u7$;T%6g7FPD4`(BDu$K)xZykPX#K-Wpzz@dmrA~Z=k_r9= zuVnL@5aHa6$QV1wZa&!!D73xG#0%e!rg6NvpZ{>cL(zmXUV!C`EKju%!Q zUQ6&EHcD)t*iPN%XxG5hRIL75*RP$4)lZ*^)zk+MX3YThAB5xyKBTHw#lBpW4tZt*z#SJcYTC+AO*Q#7J#?X@c?Gs%> z7{5z9U8>UUY|en0VCNN%}Vdb$h=JyU;bEb1IPk6y|?1 zr(kv64z5#mtRC{J>sryd7IY{{VLt!zC6}07YVT|H>ebb>X;Up)v?%5r|DDPDTA2P* zQamPwsW26$!gOAyf&wWK9M}mDWv>f^EcW9?I{}OcD#_C9^nE57|x5y z(=1RN5*Wvc9j=_D{2h_;k{yQ-2oXRqEK&x63LyX?i(Nw5y^l6vtV7X^AuVZq!#GQj zjqfOmu!aK9>PNW5aT3L0vOGJ@U`S=>P(K|6BbT)wMJviqgl|sx2q~Nng5czaS;|}e ze8=Gwqi=He8lHtP#g0R+yp7A#ADmWate$a3`IGOTbf;Am0Lk*u3IP#gU-I-YXp4Zz z?l~@?#^n)0ak|AxG+CZ!5#)g~6+w$WqWmWKF?p&b08#yPRg_6RI)sZ1%fyb_LJr5FLQ%HNrZ0%W(@Es z47`1RiiAXz|0tnRM&LZ_0h$QpCcIPk*V*-PY^D}&TcV?f59q|YE!sQvP365ZQF$+p zSKiC7D{sOK1Aidz8m<>$~&( z$aT+q?Hbo}t*&KO=cL(IkKIoluT6?F-^t^rD{sM4T~ns4($M(_)c@@dHFEt46ZH3K-|k&HxM!F4BFK z&+WJDeT`gwRI9dq{Jox?-f<4U1=`V0#_{!;${RgJd2<#hZ~dldoIZ}Wp+3s&vvJ*F z^)Pn%^}J>EY>etjj{6zq-%;Mgxcuxy`NVUf`5~iu?#?_-UbRyL-q^0L)3@u%g*&ut zqwzWGGuQW?tM8vh4z$|2Q+e;$JfCjwg3ZbOFOS!uS0`xu=&71Ic7X=IzE+ttcIYol zcWcS!-P*fnw+2)W*Orpe3c-u zWI{1Xura z6>Wg`qSWIacrVU2B#df)A|;AN0`uUrv4Rm^i!l`g7kruo2EsGFOtO6aNI8qs34x;+ zMN!DQgDDI`j-CYZmVg*{HIKkariWG{wI}|7yoZ?n2 zSSTJOSRlB&ZQgzN?0#qeLUMBQ%-nO$STYZ>g0$zysGKEBBr=iJwR@f-_%hB}K903; zP^IB)$vn8q@zSare4hO`Z($yXgxD^~%uou6GGD$Gj_0VRNVYwh?sEFej=G zHz#{6RQ*_u;{tBCJylEUPi|NN-0q{p3~n*zGSbX4t!U*8i_J?n*;KCby&0NMZX z?l<7PJ%|c`y>r~8P}H86Wy$S&o}1}>iN%lwvRqa$=b|2Af3;Dfv4Ff@2t%e@QOkdg zx7uqk|J7_*p}aZGS^~4hVl96x5oBwBNIjk%jYtH1K*H(fQ_>~|1tY7k6pim-KUwDO zJXxvhS>^pm&Y(AeMDS;1L`FokvLrZD1XVqEpX$SCS87ubbI+&dTScegNkdeVf zyw||ES>ri)Za>#506z!=x+QgM`Wqo?FQ*_a!bAE}(6ttmsT!%WZWZXlPZDMU_W@Z) zX!A1gMA|eEmAkj9Ehd-A->|9cBZ2HNAecJ$@+xJ6enB7Q{lTX- z4fRbp`oRem+FT0xjeDB4T8qV?{#5A+VnC*$@UKC}U^^|?k7)4)O$r^Fu)pOhwLtyF3^lt=Qa zVZ0Iy#HO!)N#%a7;AiF6+P!TZ?$9KljmwQ<)YLl0#G;6Z(@&&I%-}KXW5=?Q&K4=n zx?cAP#U04*d$0%u3_G}Fu8+*7urAr4V;Jr_7jl7Jd#j_bY@Cl*(pZl?o+iH0(!c*uAG0%dzv&e*sT5Q&4ID?cB}iC{_GSlJq! zhzG9B$S;mqhp}u-(RoV)hy&~{zEdS9rGX9F*O1QL6kCT2C{MGl{cfT>Y>PW`tol`0 zjF%dPDSkj?u3?S-CkYDQA`MYsQP8}xIvoUXfiIfWtmC4yb4gN{;HQ^j8lWzg_2X|D z$vWQw4rHA2+z^I?!unrQnQao1Dli*S$ZxrBQx@X&3SeGW=rQZT1N!$8mH#izv{*z z8lsyOzotDv-P*U+Q@&yr{?!+RXM>~8BrTqYb%r3T7O30o$b8_UW05}tmQ?BwE_w7f zkqDiB_P|)K=%KuLR0lOfbfm-a^G0HsFdFp9k*H&YxW(kvizRa0(Uua_4lt2bLGR%; zi=Ss;0+)#v9!>$pFAY+r2XEo=;rXOpIjw0z5$CDRCnNm1uZcYDM?UsYpN@@4y&01* zmU^B;Q4N-&ciZ_u7Wt?mgYPGM7!a#@d))8_K!eb?W&+fe8<7bZHWp-U*QVX_jcN*ztD8_34 zHk5b6Fi%5V2;w&?$BlCZ!^BRIPO`fq$yU5W5EPIRH%lUs^;dTH=`OO0O9GY3PjT3l z#_h?K85O_mNZ2aB`HsOrRo}AQDs|@F?y$>)xv5?zoxfIAW}CS+k8x`^kBwYE&1|dK z|NeHhk9MBU?{;nHB^RJ-eax3p7a&5(n@4nm?{M1`%JYl?`c?m0D?V(Yb*Osj2am;)Jwn z=pABo)9R=iF=zj|J{qLgF%keo4rE*OC)$y|`O*?3gRv7lA{fhbnc!CHcsMeyazAxJ zjsj2CxQMPaju!f&HOQU*^(-fPFVxTV6eANG8s~dLp$Bq4{p#R$eLSEIHYxU(>xrPl ze#UfC!s_$loiEG@a~{CxE7w#}YKsGfv2L!TfJ}!&gqekxGi7}s)jJ;(hy%M*_uX=K_(kCmfU0d?;6}r0DS!8-8b1e? z7cys9ZVUnh4=~vTTFE>42t-Xd(^PG=7CG6dJ^MROj|&Fo`yrS%7_uDit|F)Jt&r7yeyew@Aav@s*XiGb8=aLb7?iSiC8-Mr4niSPB@0JrBMl z|0UpJ)r-6NqD=|9^p~d%i5|;HJV>wm`TH|!v5bL@*%NZ4=w7UEma4@8m?c2qQ{M<1kVqt-qHdz8YN==(_OBlK^yd_dVjA zYCCR?1DYIu!Fmj5zL0Q6d1P@K(--YV2Np|?ib+{2;>**@bID7|tIHc#*}E;bss^Em zkEL@RFJ>KTe?8Ff?Pv|yBf9U`?JhF-cCEFGbs&%_^C?G)z&VwV$$6U2z;X{_-&1)` z_U6p6`HSsWUR+MyOY^TR+Mi5bHn_jI_g?JF)5B6Z?*qs3+c&fAzn0w&8hg)$x*Vmr z5vkm9*c>GrmWVu62Ul2j=Kq;0$`7Ef6J59Gq+%Xozsi~&4#2vl20B*`Z)p$KHHDhl zQVeLip$+`2yk6doC^a*FSHHUK4Bfgjl6PG(en)=vY7eI>XZ2?T$ant^^V zz03*ndvew1E(kEGmNF17@z-7SQ<{Yy$qebKyiCdbZKqWrfflW#PT%0qRHynt>GZ0)ujqH|SxnWL z>rz6e=O%dZKCb7zINN$c^FzCgbP32ogV2qP5dzu#LE&w2$sTtmTC~IFhhE%6w|5So z9WwEN*J9dZR2qsq_aCauIHcsRm#>~`z3ILZ-S+O3#CxCm92XhQPIW0V9%dj_B{iN- zoq1xm{3Rz_pQ>nJbjyGE)>mG{@2L$ua}Ll?qU!rx_eK>hW#xrGmca*b^EUWxur?jQ zq}rC3d*J>@y~Qe_1^;2jZMCV=-=0C3VclQQjF8Y3x#18s4&ooaz(si5l9z{t1 zh5;}mwIh0fVgV3BaTBkN!*Lu`d;}%yKIR7pSh!nOPNcO6>H44_Fz2Hf^BG@r)t9*} zrN(Uy_@m|Bp>lJYoMNP?0hzbMpbS5Cr*Qw+0DV2Jm$w%CgB#6vX|I5 z3CjOH5RfpbV491>xZOvx1Bv1@Fmn#&vOWF`lPmZluNkuDvYa5{u==^~)!X#o?DcA?gn#1zn^Rnn%`PeC`$SMWcl(cd~}F~Ne% zn5v`OY6VR~M3WfVW%FEpQCAVn#7TVhD2>nSZLHpn56J<;3x6b#=)uua5q}%yUSmZ2 zqI!f+{(4PDr2cChBU~gmo$!OvohdSXVBhbkM2+vCKZ?)-E)~y?px*(7@q6BAv0MocX_HtC!OUeGrI~` zpNALs#CV({B$vBWn5U*gN>B9i#J9u}a*eEIv-n{H=Uc_F$}jfocCXa}*+I7GI`eQet*N z;71Q*r-Cj(RwF%U57vP47{pV}UerwihjnxIqEq?e?iZSPt-C}Y@6P>)uhwei#roX| z%2ytgMZr$MX5ws(Ky3`B@!60&6;IOxFB%aKmi8c)GBi)J4+R#IRayt5ar>g5B(FyU zWBFhBt#v2@%O>VR&Z5pEH8KbUq+M?dy-vgnDG;f$Qv?55z$C3?R{(Hrbd~PvX+)Oh z+4}x@%If}O&h^PcNHdj(>7-mi#;~H=d{n)5ll3FVME0LA1Zu0*w9bcfP+i*D=%ab2 zlGUiKNY7inKi(zy<1IHoMJL41Ar>?~f$8`h#uQzQ681AXG(PT06Ta*N0p*82IWMEs zJyaM+YXmyA1N|jNN?!K2R0(@jl077>QHM|5%MI1+Fhg4%_Dve{YY|^=if~0aUXaso zmW{>txDo@ssUC;QOkVn)rB#v`FnaXr*CXUa9Z_0jh+2K=NB?1-RFmCG_wfeaZWQ*8 z?v0;vE;Jy~APl7njQ13eS@f@ktBbx1tVPJCpOX*4ab)Sc4Qx7RC_G$H$Uij{zWyOx ztjfO@XHMK5C7bv-m8vvNk!ytj1yW--XFzhn5LMqH)D;CYC6kRo@Vj@XlxR${tWIDB z&Ebn0+SIVqgYCUXwrdPob$cjUE;JV#yg;UNGm6}~R*Y(`lrk`S*h`K{Qd^8Gcs#!&VZ9w1VaYP^gZ z+fUa`Zs4|U+{C8e@HCH%Ska{}w@`haX-x-W>M`INBdEyt>Z&9`$}JnfqTq@vssCac zetne~_%A<{=ucEb=1tghI||iQbZ*6xX6Cubv)p=2!hTwkxiV_$fF4V7~NbW^nBFdkg49P^%7-T#mU{l zp~zNppi!N~=3GhH2MM&?AJ9|jg44>ISm+UsCncR~4Zk2W@8--l^8WXXFzbNsj*B{D zJ@oL;&PGe@n*(gDd6SV`BcWYR~ON0@vjd+LcGjr{Fqr#{Tv85{AxwM3E^#Nullx0nPl5JJdwzP6^=s>XdI&P3Y;A?6d`u&1 z?830t|4zoeq~hwRi{{~~Ke}t*QbgQzjaDtlxxniExy8{CK1xqMV?zMb4r9e~ z8g|J(nYD*)xUOSIG`aK(UJ!Cc{4Kwk3Vqy$yYr?~zQ1AYr&d39{6yaIi-xlvA z@#SnwFI3-_0dI1IQl~E{1I?r=<$F?O)VF$R z2QQ8=vxc4L<@xI~ibQDlOppxGlyFT}iBwqi!2rp8)g ziKQ0Ivtm7=y%D$}&7QuRI*x3J z$hNsK?8`^>U;VPgZArXxOp1}CBCk&&dui&li=UQ;t)zGSq=jdIvH#&`i|@ z@e7HRnWy{}O|b^=E-S8(j+PR9ca7aDH(aSaL)@1RD_}6_<7X?oZ(RV-H?b&z!A^H% z>5kNiThk};nY?dJl$7O$-oFlS;Q`haG$awL500dc ziw;=MKa(7bCAf%avpV?_5|Y|!Vxhi@6_RSwdO)`LB2pbR$mh8GOS~biYNfCzAI0i8-Jw|Je1?Z-q;$f~#!BHU)#L1IX&_$*U`Wo1gc1 zyiyW2>&)A-)66%QCnogHqf-%A#l>Kg67`IeA+O()Un(T1!E9OrwRc;AJ%%pJI zXK~&Pu+fa|slna?^0ExCYX8%xFx@fv zfJ?WV^3tc}W7oUXYY^w$|3LRR7B)#$?`WnQq8qB|)QT$JtG$H;ho8EKkcm1AJgY4^ z0ovOLVjf@!W~go57ljG$R2x;^`~pRY&*n+ae%J9-(}$eOAsI}!`RC-B_%!#s3DQ8d z({RaGLfRq%dGFow05l2gMHQjvp{P$A?<|Dm2405h#Y~-$^k|T^&g&^5KZH(|U(tnN zC>q>{P9$#YNwN&tof3D@Tv{EO3q*2Va=&vhr2T`u_K)-cMM&r}3Z62}8S3pI)BCbs z4&ZK4ySMk`Q$!_@Q#u3zxqRmqcuY|NF#F$NC3}LtT=*Ll9c@Gr5k6$k$rAz1U`e^f zdGXAzCmv|UsV^d786rjY8Y0vAGX42vkfo9PXVe$>K3fP8V%GALZKM*$i36Zb1Jvr+V zbQ%;1v-d~vTAwf8H z=AE|!6r#AkxNYOxjot1yEY@erR}`&?;H*S(egrVk?-y0U6rwCP@i}a(TTHsezY&)` z0#_HBG@~{&rVaJ}6_SIe)HhSgEC_ejp6#hQGpf6IhRj=S)vLv(AJt5l!T`aocWwVH z{t|DL2qC?H?;K>ObXA^N_L)%)7&*B>)O{hC08s??*}E@6$T`I>Hrn z&I9Yk-~LcVWsKe3TaZYXD7cw`lWv1_)1llQ4)IHE3sx&{E#_fKG_jqB${f_!Lp{@S#Css_1AaMc%*8 z69y3UD8&8qK}JGidcXICF}J$y1s=3Jeb=I#kJP14cG?q%62vB3yR$7HkQX2kWS`|% z1LYF$_9;93N&9_*Hc`1t3ZiZh8@VNpoz9RES{lo6&ep9zI-sg&U{=8RkD>?^c#y-T zJ%V>CQM1psE>%?41nv&jeMfvi0N-*yFHi3iF{oNEP?hB7@Y%qHvdk+~qu7YMv39pF z7V8h2DQVOqfq5OIsi6-cmm`e{@NB3_$y;chwM+6l`?qThYrGaS8pI!cV@As|mOSg4 ze!=Lzp?fcFM^4CB=i3_?Rbg~M{N}e&J=Ea2lbiBC?x15>)ruL>>LjadVmcl*weB!6 zvBl71?(toviNr;=bk*0B_OMj3S9X-&CbnYR6s?Gc4Hhxzra2 z!m_VrG5Y8+2T>W_+{i=NWeepq`{^Ti25Wg3HhzvuVoE5>V!RhXCdD407cs+B$3`se zX^Jp@)(*Cjj&CJ*C&UhWrMxK~D5nW8ryGhkKo*A+2dbn`trk@EOg(hWgo<5^dH)%= zML!DV&HAuh$lIUAZa~O%M4S8Fl_NsR;5wfx0UU8oh6IgkLO2pfT>-^Gx%!%@jJHMG zmaQT-5n2L!k1BHX%q%EHMBn}~!Ic$%%Z~s!Lf!KJay78L`VB>U@j3kb&V}gxC)_v0 z41|e)7d5RLB+vnG=*S6@0W=`S&kZ;!;(Yv8EX#yiCow}@D`P8|QT49xW3UnqZ~u~S zj_2?<7c`V_vph6Qfr40!mK+V(JJhu;7aXb&+tpH6f0Z_fdun=}tbtkv?YzE4H00=K z-RqRar&U;6QW|98=cPH~EFDr;jiKfoIuP$`^Y`2wQO(Uw?>nr>Ej3fIcIXWJu5C}Z zU-o`>29HDjX)a01<$0ONdRkd3WSyk)_X&0MTtzwH95%W=3$l|zvZ}+Tj91g<8gm-d zL?&rP<(Dq5D^3t-fV5c~y41NY9_YB@S${PR5Neoy^}b3wyP*)tv8T1H;kc^eu zaowIQ?B5?fb8{^bc!G#y3AEOS?cn7o0p=TO8ah0sb6P?oz-(7H7Lsw*v^yAA9)jOo zCBeBf(`X$0qi9>0I|a0)V6#_mYxRbF{9{k&%z_QheZo+*hDDx#2uSc-S zaAB<;(rGdw$iu8n&?E6tlG$?4I{{ir#HrGb{F4T>u5rprEfI}Ax6+X<~J5BHoTRZ(gE2t;EG5*T$e{Ac3yD(h0rJY)E_LQVVkGZSqWnJ<~|qn zUa2**OB6HM`2=d~krr^T-4bubkOWrPp75WDBGT%&+O)>;@_0wCmb+a^7nmaW&SjG8 z3Owkcma%w&0Bf&Y-}NbSiaq9NS={LLd1-Aa)XP(9o^-xglxXGd8C{bMJ(Enbb%`UT zJ$$E7C2+wyzAi_o^yTn92{MJeZ)|R=bpVZ0^<=;gA($iH_Y`87E&nG&VJpg^!n(o4 zk=x=r*iGRtg{T-ph<9bP7*?HG-hkk|xy60IUVTsq>E&3MiRPyTw&IhkyL|S0EV$aH zNM9~X2=6bxp4(qNsD`1_P5g%fnX0HMugCiz(dx+(!zNbq;Zv>SiR6hyCt){=bf{7b|07NS>6#3M z57FZfzEjHG0<0iKA*rmXj*W>~9Fq{Tkk;9>Z8bFm){dBs_Omtj`7DJ9-JuulV_XSN z`dt&1*{to>2tfRgE=P5V`zAN0uBzPk<*65n`3(g*7B_f5T|~xeXP8g1^Yy20Hxc}g z=M+kddWH2RygWAvC$$kC?uN_XXp%{^sdaIBF@%9o2BRlJqqkr~(sZg5 z?_!gvoY)OJa|1n3Jo-<-++mv)m&Q9wav{wk{A~h|HnWd5SiKMxgJ=Xl0c|+ZH&HOr zAUS|QA_Caolk_!|8uPjDz(&4u1;?aJYM5+WjD0GKeH}$FiS5RmT%KtxBSlTeEJJHs z%L25%9o_ib`4*W}ziWiQTC=xm`x~<8YYd(3kHedKEvVu}I0rG?im2qfq1DG!WPf2r z?um0rx(E(o0~T~H4KSL4%$#AH1lO&7jK>jgZ10=BSD;D+y8I~$J`HvWJy|C_z#57@ zA#Y_JCfAH;>-USdhcn8B=EKo=_S-q)s_pNnR=YPAv86USVr0{HH3=G|(LZl5Z|^8F z)Mp{#kIjziH8_<>AZ1oeD%A3_;@15i4HwMH!;EC4VWIHd< z0hgx?dFJjJjFC-VH-ueHLXgzmq_pzOop}Jn`?StP+H3)*y@x0G`febF4x++(wAN~% zG43NLJ$`StojI9Jkw+6kSG@~R^7Kv~z)FSQ{o?3Z_7q~@u)vsq7@-@%9Qp3VEw39v zZ3YW#6!%jS@{tvim6ExMR^5)zP8Dv8C`7&d4c||uYAE!U%lJT#5}ypumUCh7qTeoj zoUn)Ei2c!1FYa0#sdG)j;X?8t7lNbENr_>bcnL{6eUE-(=2!+mhkE)w zn0Lre%E7OKIdNkoMk;mvH4qAVYh7GEXe->5^>;|NX;tI07*B+1nZJ;|T?(E!%kcK* z?ebleF*xEH(rG$Cx!wF)f|$sS^<0oD%kO()8Z4!y1gCeWozJ^;tZk$Qu9bvVPxDrn z5vQFqMby?k_3kga3|u>DNgLLXNbc-0q^^A!0YxYhD&w+6*P>?5eMTOP!hRf6w32_y)$~HzrZ~$~{t?-)4zPShWXE zf;WUo_fFf@!~#wWPyhhqk~21n(yQ3V)jjXMEz)|X!tqpFdS8O>gTy2LNGNN z9u=vXoiNrL2yCGG79TU`+Yd6(^^%Rcrmo+SN`ut?s1zrxBb&!wz{3;$^MV z_uIFk|F#FkL~ZlSB=`+)uG^IdDJjxJ($=vGP8EDuPlOS@dvyZq)t0yX?d+k*({cSV z_2Pc?v?o%Xi^qLSdE-o04m{|jF406SB!_`c3BM#3O|RUL-w3_0jSN3di94v{3{|-O zS7`EAJ&Y^%mG44HUu3Tc59@kH^XeIkl&i~2{nIh?X3HG8bl1s>ithnq2&cokVrW8% z@YB0$<7UZo8c~!gK4nfC66pq9Bgfc-pAL~+ zr0fIM8DmD#f2kXFjG2v#n}GC-n5N+L6)+TeD=O{lDJ=tXr~%p0bFo-@aa~hkhg&T( zq#kv%3OUpI4^}nfR8=_3+?I7cXEaZ2i$A)!X9=vF7aRMA$t5MJni?WPN#RX_@)A`9 ztK4Fz`u4HR%a80Vylab`fhoF&Yr+ao#as@s+;@4ZaI2zL=bi5~b2~ilG?d?$Q_D?I zkLuRM&ZcdR4$ps#eSPSIwEwE^(#{Q1IK=>9DH1Ib@(5&Y-HZZ>S7d#U*#TMW36$yb z^zWHhDbmyJwB$VRSBgcaq>BicdfuxUR1&AeVxS)4iljZ#Ny+64=|<|-M%^My{N+7> zZr_9`(KSyUiN`4cXE&51j@FqUS(=zFg0?83yPR_yYRhkpN%mRb6lfOPJlnk64Z^K7 z3yde7z-|?dy&qpAuO`zaXm}hXTcA8!iDsyds+SkPR+ZM;Uh1rzq{;}{)hl=ZCCqx3 zraJ1~hj&dj?(W)^Pv879+Z)wP$t9!95;ZBGubzu~dT83~#fpPmhM;r2$PjQ#7qQyk zuzEk>(=0X0D-n>FwH>d|-0T9}^-4Z7+ovuKZBZ<@sd)IP9Pjb6*l=9%j=*18A$l?3 zZwLO-bQB+~GcO_!@kp0J0?ctUx)C+@e?hrozN*X#G}H5vkpg~KNH?YX!odYho!&Cy z*Gqcj>G`n>>;?K(Qedy{nW@&d4hi#CLJ5ZtXIT7K&*6Jwm-h}`f>(}`eB!#2Ym4Q~ z){fKBqbeS$2Y_<;VrGSAL1w|YwheZALy(ARldp5hw5P0qbe|Rvi9_J7B+Z(&hgsmC zc@TL79gVPd_Mcwz+qYd0`(vNzzUb;UHCzb?P_G$&f82xKNs?G|4iIdVI@qCb1Ne0v z9Js`LBR7CL7;dbOEF&L?p;>SV9BFze?(n{%IyqIrerEQ1jVU`Hj~iY$?IIltsmuAx za9*Nesam*_(&mJ0eTrK{A%GedruxzMAzt;3g;IVTG-m9>tV$O7F0#&mwKRziW3U0$ z8FO}*S4)O8N);Q1-OJxtx~>VSQK zYW35I2P%e}m>ZuN^WgJNcj2st?*X$<@Jn14g4OghYkaOd=S>tUZNA<@3?pH_OXMV5E8*rxZXbzpE=In)Ot zT&}oq*%w7Hx$_^R0%UD^H4muOR%nfmCoy6d)2%HDq{1X;I z(NaF!H#_>6?wFpmsKgoNfh@*LSn*==p*kYbZxflv+$nL@doc}_wpQH{HHLR-GH7If zCx?r|f6P-u|2~rfHSJ>%dIozch&d zLlD_?kF=DLVFePl9!($bCQ|W7!4%e{g}W~`@9LXMi&|Hh`!QYV}x4IsKRa! zyYkyVH_RQav6vQnqnaH;#kz_)|6A)~^=vhsta6qjzJYFo6I#?tw9bwjy( z*0#4j#+6R|!FKm-yO#TvUFEluh)UyoRz6szu5{HJ+kDG;smgDMQSP$);UjiHJ%TyZt9BDRJ|M78Jyl)g5_^*;aoDYK{e{n<+2Vehd$C~+yq@1YXWDO%9uT1Yv(%o zH+A8#Pb6QD+Sw|Nt0F2rYHM)}Og7Pf|7=}3L4vN6g;=37Z?oeakesc8u`E>3EmCw& z438psQv+DUHZ)Of-w{hhen%a~yk#dh3y7rI;%RyB9a4IjZDcPof-=nL61TdzD0yXw zCG|-LJ@Y4ksH47K+(0Dcb?4w2YVLV2iO<>-VZu9dYAJL?bW-`$J+)39!-rA*{i)J` zVyrtiXV6~kIT-K#TSuvqfTy>$JcHmEH=%bR;@OR800baG#WBqDlQ%W*tk(cH!U^|- zzV$6g3>l3T+blI{9UJuJR-*3;Rr-D}$<@~<05RxCO-{APjVLZ~6D!YX^(x%{g)7T) z_^kL8&t9LLEr=!#GxM=f<*|z7JKk21l=ZbQsAeU{x$i#?BzL3mo_`C5lHAYIWRwSi zv|pO$W(<|1FGzd_Pgv6S*kzMh-0o;#!aXxwbWn`qJM7vM$p&2dE-gTNdUEvdG*O%Xr-^n>9Us zJfIyc5vdK9VSf@_)OTw|%Ms8WfqtKf>?py-6*c#{Lzq>2^^7H(-|~C5i;3iGCfNP@e2-Dq?@u27;GSRy9L`t_v^2W> zbt}b&F-owTsK2;x)!6ZvcQ%j}d@gsj_s|~TYCi;3J^9@=lotCF1y+2i{4y@pBV>qX(x-n)x`Gxg?VYREQs6aR?vpP*`K{LBC4;}3 z)2I((xgD5t?wbBA$8X|4=$;egF5PoR4F|dFN%NqOU(`7jalB}E=NbXJ#{7Le z-+%3CYp-nX{9-AdrTW8caDK@|wPQZdXORWHgi<`d!yR43-Umk`6xzsct%cC!>;58> zy~7(*vni>TA5XbM-#&#acZnj_Pj(}M*`h=&KWb}jo}cQeH>iWgM$AVv za)0NZd~uMVZc95Ki56j%$3R9hDl1Vp@TPC1-wnYToe@adOJpnxOHe45xW!#f=HrH8 z)haz-rqB?>!p?YE2efE+Swe|c>oEf?Xe{)4??bP{!yWeA3XDpE5KzGv*HdJrURR+X zuQGb^gs4rqzT4)R938r28xALeAQ4ckPSjv|^G?hli`A{E=v`WJ{O8T;PA=y>@i%4; zXb(PIlhS=y>{&coVGGrCSg1Q$MR^tfgO@1K_`ke_$N9GM(Q@mQx9pAw$Z@%aRam%{ zrvSru_}cJjsa~z4#d+pj&DSqzj_}IpzB{5PP;O@aXd&Hk`N=Qeh#T?${J6CH)NymL z_zZy5`G!Tr(iNY0z0@8cK5364=j;A+m6{z}U2t1reqDCqgpI2(5zNPIFp#m z4yK<{>$EHKXxy~qW5PHM5fzRmb2~(e`2M!S0#&b}J|4sI9~s1oA}jV)(roxK5fuX; z-Bx^D@^^+EbT>i#(UA*yUH#X$J%Aa7Aw``_DT=&YDbLeCR*k2ZMIA3P0BB3n2pac(LEj`E`E#-)Y!=wV!!!O526uOZzHy5^IpP+ISF=m*k`zT zAG0LtK8|JG|0b(8Y0+v+v08E;xH#f(;@u`Y@~(}`{UIi$hGh3R+Lz7~h zTy9aYk4EsD9{XV*P1J6|?{enDbOL_$sU+C;X9q&+9Lui{$G@({8#=Z=r~|ZXbsUyz zbfMy9A!AV84B>>|O9uvXn`tEbH=7b_6rySdHE|EdwB?-{B`qfbwz=1xBHxyeUfQR5emE-SwuUu6Tt;O*6yo`AF~KGc-b^1iM}YzX zA#TDB5`lRQ8?@*6R5=nuE44L7z!m+A)Q8H61YYgb4$}&^Ry_mnT~_at{NLl*&7izz ztJxE*nI`YMWr*!%ever>ZB~z(ZHllx_>eREc~Z!+arbbwdDS*RCr3I4x2C^y(c#Fj z)36OV1@_9B=`bO$k>rk>gXosS)9Pd9>^J>9bDA`#5)3N80@Y@mhQ>h-*0phYlvkcJ zJHLSs)j+UC*R*5pM9*|e+QTC`OiKLz^5Ohid^#Ya#huabB*?ZnzDvl!-#DjIGnlqe z+Ui*~L!fgJdQnMJDgJ`2y=pzM=3MvcaMPQo@9N7nmv{jZ9UgQ2(|7U3ATWdZ0q7#fRgGFNToUKueL%8x zk$t#s15*0|(~+=)Ivl?K15SPshIT~RNxe!*ejMUHFMX(cy9tiq9UIEUKWw$%?YDFA zp^Kc0&+rQFwQ~r*(^I3zex_UW+cHHl2_rZ8akJGJUYq#+Yh8A?Xt2Vrtw-2`Jj$sk z+;*CqXr&L`p<5HRT^(+J6LN$~W-g46M666xwS}+S#4|(ld7Gm6L zi1$At`Qx?LbCx@q%g8a~)X<3c*+Ed}^>XxHrn%-Rl)LOc!h^8Bm~}2K#tR~Qn0M+= zt%#f|-VlbNBltn=+J-wcd;Wsf%TY+I$(w73d1AEp>c3VH^Ow)po6yT;s-UWvm_>2V z`c)jJf6nU394xnC_DCuNJ7@vBslX}@-MaBI)TXe5^_mI9^g_(RbAHdrC@cSj0aIHsfl?$4W{zQ;Y;-o4N`y1uH7p2i)j1fjL((6e{>R1gwA ziD-M%$5~O3(Vf#50*N>=tpkmn?>Olj%ck2qD>T{dUcd-0@yh2h>DkO9t<#cxJPi}U1DCyLj9%6G3mxp2B z!vaj4?B5I!mcvq)K!MhtUv$Y)K<^6rPJAP%3|iT|ryDReGRgSyRGTE1&L zb4Klq+DA;UU)(u%j0c z<%VI_GdSqJ-Y(9~n!IJk%O&X!0QFvKw*uC9w=CW4mF(Jq5A0KgY#V8_>ONg4PE1eg zGT8uYg1sQ*_noHXSr@^U4J4(DU(_%CjG3-TG8`i+`kM9mz|% z4%=7*Ou4wBhwl7}adU>&ncAb|p&@yF;x^>e@Dwvx=kW77(=(#!TQuG4G~m%9i9Jzw zsdpv}EpF;N^sa%CYJ*&3n>dH0FD5rSA$F@T)CP4&!x_<~Sdm(q-j7onmdKo+bA<`x+fmG32~B?WK>7Uvs&uWAJ?VtdYE!F&|;VfzpnMxG@4iBK2v z4t^;K!vSc7AG!NHQ*|U*u zO|MU&K<#q%hx|w3{~z%tw7iZ!9hTVWnSRa3H_Ai0hjd>MnUTRF~@k zf*B+8y1HC-|ApByBTm}zVFWB#B_>7@1?K1d*?{#up_~#h66%;GNpK68j zix%E2K?C5p&{r@|gnH;A$M+${rW_(4HciD8$3Fs>T+q&WJ^^P21-a+Zq*;pp&8bud z)c<1(j)Enpt7K^2HCTS_HuO#7c5ZVJP2&diq_xkS6EU;YIy8XarGpQ(secB!I zswqxmg|CJ3O_dqFSE&d%BXVu&&Q060e}5?MsA20a`q1CM+ObFzvdL6g}DPJgz* zb1Q;h)uQVPfiR4o&xrMg)qO5)2*h@(($ulm)RD`eI-KjnZL4ANKFPiQfU2ioU`Ye! zFKPkAHp2$@(VBVriCWX0_U^lhni?4UFGB(W4znwHx}gkLwRDC8UawieOMz@~$HIMz z#x9?Zk}4xN87Q@3>T~c)x?B2KDkqT05>)jErgh!rkm+CGt==MiS$#+rD;(U6*WNPW+VEDCyLd>sB!yOeQnDn{*|*_ z^CZY2J`NYxSzR@}Ibl&V6*3T!323xTEqECddepfrG~Yl3R?w~pr5_-Kn%u@O42Qg%y)mNuns;*N_vj(TZm89LeV776hq>Thjofu9N>;fO?1QLDy-G`{R8yf_4E0ksw>_I5rbX# zp(bYVNjg0aJh%Y1J^jw1pp?L}3s5?;M8{t3Rs??S&geO1#_xF^>h-nbbocWFCYy#V&BtSyE(0@5 z!^`VrAfs{c*Guwo#x-1(2gppai7joE(rx5@)aqW8PF#d8>&7N>FlIM>E5^=bHnL+nck)I3a=YBsBvg^X@G{hXJWWk)xhJ@s^}f z7P;lTx?hj_LJ8zqd*VjPg9hsG*0M0DO%JsKI+c8OC-C!!qM#De_>xENv%vfEyDl%LebN0O*0hqojl0T{6c;1Hw8o|ONM zI=ZN3bp-6G{^$rWEk&V;4=T#@Ki^%#=YDH33puYFqmlzI6lB3^rajG2!~rPGW%OHn zo&-tN{6S1ry>j9xPbK*NMKf%X9kdSYM)fLf3M880B~4y-_Df}@K~vk5?~KZU-1Y&< zHHb?G409X zw$3QiIPGW7vFIChu94e*MZUpTo_|)NfdpPu!eC{!{fWuwO}bb*QuU^RC2}KTaS=L= zrK8kmGIZ2ZF3+UQ1~?@D5aTF##14||7va|QS)}jH`8KF;N7(Ho3)qq;RPJ9TnI+#% z4xk(!vP&k3>pMi97s;`x?NU=Z;mIl=r4+ko@l1d zf%ZJPrJTje&m(Oz!;4(-gOM!`KgW6aQ={307fr+`+F&t6hBOX}oyOYkw;a|P6UZ+F z7j2QB;Ph7N5VGOXUBbE;gh3Fdip3-EfN zyp+lEj&~Dn_*wnw#?RX0A$&t?%l}w2L&Blz94<6>eCa=%97;ftDl4=G_ z{qvy5nq%A()(t-2Rh#>zgYP+VP`+0-I@f8!cu-9~UFBc;`b1@|e6RAHytcyRn|HI* zoH2m26|k}^D7@5V;>Msm|7Yj0^&)jyW4UqzdEEi>^=A%z(nEPLL^dks=OOCp68&(% zdGyNMtq8agv|5?~zhm3FxncNtGplYl?`n5yVR!$}lScI4#AWWh{d`lsVY6Lz3q63_ z`_Q()>hAGm5)}BUAia9FMg8HsS7;EI5oCefQ#;)zYP5M*aSw%mh0_b$JX)O>J7F|8 zU*00Q^M18_ma%p;ZSJ@W@^oDM2#-5P8n(=w9JbcqtQ(5VIaOz?#g|>?Ccu{~yLJSY zZwW|7&6tI{I?Bvo=36`G zaaTn_#lJz^d2_;DvCCd9k1Z`X&k23>e!8E9F59n^*a;RC;aDFBb?5tnr;pZPh;kgy zy*2Rciz{7M#uNwtdzt2-w)nem-QKxR%Xjf$%uf6~IzKKCm2uc+BZ6kD@!HHB7Uo?X z+Xu!zii{SU2%qSch_CIIM`+7Zz992bLJYYQbMmdDC%FF7=65B&rv;Xd>a_>`^?lo} z5%6|Ww%5q@EP8JXg^}v1YQr(!TH2?df=#`=SDH*j=FCpqT>htlxuQl5Vwe3#O#q2W z#SydA)6fIRs>IN4X1)sbFiqm*K1=M>sCSx`*{rSy5Ev^C3`1}d<{pAxR%;b<+{$st zgL|GK(rrRZ13pVLR#oJn0h;XdoHQ`hiQ}Au<6Pj5G24w^2CZ(E_vYs< zB`l{I^!x7Qp<4X0qHOy3cj;v-Epf=khQ*0YCtpnIJ@I%i<2BI}*Y~~0D(Xk-x}vO2 zov}=%@B&EzNYOok8UEsP8Ar#?AAZt=AeS!r2tvRz4NHqtjhD&vtX=>4p}222HZWE3 zIDMCKd0WLH7@E{RCRO4e ztucLN?={^T9N6jvIv^6d*;+gF>Db(5+yYUVIXytb?Pr=|mamSgnrv)-FRWttS%qKR zzP3x=JQyX!xwuxfoMRWz9y1iwj-6M81#rgJr%K-o=pTxHy+Y8_S*T%hom5eu`UMd$ z$lnm1o_9ZY35TtYTzY=LijRsl}T4ip!mtwh>c8Pl&LfZ^Fh}w%?jUIsC z93FblObCstd|@qz=I0@~8&MNXtaod(%Z61>vq3K0`Frq_b`AQIc0It6|Cs;bVcA`d z#Q4+kV_BuQ27U8Z`&1>pqO)J!hwYiLge3abNp&(I47N(IE#GL!^<(1-_gtN_qQPu&aQsVH4lmVA<62*F zFgb)VI=+hKVWPsQIdeSHhtgiM29JVZR8%R@oLzM0U`PEpG0mA4s{^Qp2oACt!&oONJPD0z zN_3l5Hh?UG!ey@KBQTxBq_u>bUXSASc|iym^Je=MZ-7Gg(shE67e@neT!8#mi*;(y z`0LFH%y|92IxTG2Gsn1u(#xTMvXe;;b9Qmed7HR`nT=a@nI1jcaTW!v($@3!dFWC& zvb!7SqM8<5`dL9$q2WM}voYu+UWt{{du_8Vs44{{`zcwCu?Yr7t)=*+eVNQSN7@Bf zg)I&b)e$2CjpaWz6_!R@_!R-ad7q4^^VrWg#J_k{?0=c6{$;7K@MC;##|Y6D@_!*C za)cbpAn~+Ss1(8P@n)~t_k1@~0;O{J|3D)i^jImZD|+Z~pJB2T_ABu1sTjW;V=2VO zV(a+3nMp;4&XSN{v9pv$9NL4SL^dpt+QF7{p4Ok*8Y$7y{ff$BR^k0$#PE~T`w#mK zab#(0&X2-Z&NjJ0-@{p++Y%&j4;Ili$JIr2N7waom%iRC91_qBV46hGQ8dVETH}aE zO#at*OBVH|!5UVfD8hVo&F$GF<3uY*7Fhh4B&vgH{kExFS@0s#YyQyzP)^@*i$X*c zQa7sk<1tJg$f&8I>o4t9E3DbU^$_nkGAT8q4`55h{YWo1vGRTIRQWvwrDyF zhPJY3*1!AM5F8UtPECeTs-3{;*CKDw4M`%j&}X7}U&aZ6i&nd3Mc*t(HSz;a&s^`= z6DJeNn0@`|u=Uj2t>TQkKO`G+@Q2B7nbRrYMz$!wiTb8q9L6lfqeGro}v9q(ovZ>K3b10i`_ms-(U;(c*k7^hY@7S6NIM|H& zsdU`QmaZW~kj1-8H#OHZaOc@icJ$);HO!J#mJ*%^le*MWepM6hDRaS((nw>M(cKf; zTjj0w+k%xtnD>>)mvdqGoDj3gTD$eT@XMbw;uWeHL@FnF)eIBry7Q}D|JkRy6nV6E zLpD`lv$7_@$EFLxQt#tmHHs@v&4(4?&9Gym<2mBstB}X5P_OptCyqnGz>HfSsft|J zky!xr`~Lc+;uH7$!WYAU&+5~3;x@}R`_9sYfPkXSaL?lU>J5E6`0|P;2jzZ>p#~Ml zu41h2lyS%-74*?6>t?FN*CWrZdPULtH`tW9v>8zAQ6$x!e8Yd2CC(>Fs1f!j2DrP7?76 zJ~ID)R!VuFF1{&6Rp2s8jP^4(>_Z;g#4^ZM4$(Na`Wlx&u0qA^r-}dtm|<|BF_?Z& zf+;Qpr&ptgE3Or@sCY19&z+2%)z9}m1fv||WM6>FP4{MD+L#^QbK~k8hFa5FaV7^) zq)2A9OmwHOXKhiL%yp~6D{L&4Ftg_7R~gQ&^%D6+;2uDraL0jj^xGRMuwt* zOh&dJCQG?&iIy20mDQ4eRFeph#pYNkg{(i!K*jgRQZ=oXJmByO(c{98*5g_@KlF~R zB{KzVs7)-Dgec%~Y#cV*h8DZFXhh9n? zsa3MF0QW?5di4xdH6XJc&U1%(<(}(!Z8UYG3x8;n?4iJ0)q~=hxgFQ7CzI^u4(EWE zxm_##C;k{)tpGSp0G{+SM!e3U<<{R108rr|dp)x<0wBOZ=W*!a&T4qed8XAf6HEqF^_ln|JuQ zO;zd*XD~UjoltEFy`_<@x(TU zIXSCA;Gpvkf8?4G?4Z2*wrX7ff6jjj4_VM^)Kx%Jd*S5eeAf2lh}v4C?#s*0MGtY* zf>xY?#(=n`xJx|r z;M(-8%nQ*T9*b9d%6F-^4*hScI={e?g(JCv)Z*yiicBR(4qB{x|yRLf6i$EK8;xkZS?(e9@%F6;9G>? zws=MlvEf{f?~;w3MsG$!!}FBS1{^MJ6cP<&8I$EjhQw7JT3^7jZ% zbRLusAOwgW)f^`M?GASv#$6=eA zC-L5L$Mu`ty@%z%&KKXz8BtrFDD~PW(0;^#Q*now`s*5A+ z8TIDHzYVwS*^JsK63aTtl}2}R4Mqu1%7a@QoW2Nt!lbQ8@r{TZ&sEKTB$S%Mt0Qs+ z%0>y&Y(^*qUJwp2?hlB^T{2Ca#ceNhXDw!aM^bt6r^nGhX-l^$lT{!*hW~eI^45c0 zxHXTG{rJo`ZFe-Q*s$6Dri>#A8ivoUS@F5_`MGaWEG5SwE%Zg#zFgozdqLGaG$XBf z|Bv(jdF(R$3+VF;aL`bs!t+j44}+*ppFIs!(xj5kRl)Q$F%JQA|N6(jfBDccua76wx7xnPl|5G>eO1sX~yMyXhO5KN- zI>{Ul{q!fyahpdNSDrEE0sDbWd>|~BMA`ABfWsJPhPN^3Lq_c5%yLhZspDhRxcxr~ z^1yRG=i)h4bLNC!Amz9rLDBku2o)EWP;boY!U4BvV;HS$V$V|AnP?yr2j@~}Kk7Uf z518F~ULL*{E>Lxqv1j1xVLZs;;8pFqL`vP~SuA?9kcj@qCCXz@^YDF!l47eO^{w@e zoF+a%*7<;T*)6YOpPk)#LP-Q4UWj+AWHs238$i}NFhcgHFHn)=HriOl;CQnGr}3Yl za$YK{d+nSo?Y=gfhQDzz9c(3K$Bvok@8W51l;Wa!7VEm+a%j!P<#(dNfC^R%i(ti? zU1@Xy*KTX=wflHvoV3pJ7uPI)&l(pVYbt>-0~bxT;cCg)_>H7yJ6f-2w)hXZpuxF$ zR&>+k4*X?(;S#(P^+`Z*o0@eFRkfH=QL=5wtsfRtD?RCv;T{!{a@9_Y+fEVoByTP z!{4YI9^dJts}ir`^(h{h=jR=cnt26EimAVj=r%|k$9G<*ktm(Cv*uc~*?Iioa)O`U z2Dr79o7;rXuvWheP)ZKx{04S)9*X6eY7O#=U6WYGU}xNTE!C!qqG1IJQA?|A-0X0@ zvv?><`1+SytD?c0JZo%{GlSd2d_&qZO4q_sCLzfBNK8`TsqM6DG!12pat{;sHE>`> zjaa9~?5Qb_{8dT+JVkbC*A@{dv*r6lnRUs!*BY4KWZd~d*_krym!VY!k~aH1g((MoKNe4n!mT9$FE;q z8`sStYWE4_GjK128iF*RK<4{Kun$WRE<#+`6(eV{uG^J}v)LayhuQr0!QkVyNg?BT zd~3XS;Rr_XPrQJrz4io72=TSh(oH-PBOjk=%Ti8aGRrq942iYI5wH}#ziE`-6MO{R zXI%P|uy-3ppvypj!J{I?@xmfQiaBO(-z-IcED$xmut=ApPgqdixx$LUfW;Zv8YXu6 z$w$JY7;aTt;E)=-^0!fr8i5boI_Csp!>*e^{{Doq-DHU5vjS?}mE4eVr~nL~QEwRQ zsBnBy4?Ey553A$5oKJD_u(&BSOPppQy3B;Jlv8oNuWH}(em3I^$~qsGdqQ%a*QIX- zUsTO%Y)nqg$jCgzNmJ$Xm)E;CXragil_piQULHm!Q-62g3ahPiY!w7$zv-dvWHz_^ z_hI<{y$XchkQK60yO3B<;diP`pSqXIRRu%8d-!K&3?$1qU0;zf>0iHz)%JOIA`$Qk z@iWA3(@$3Ns&P=6;lYmIdQ$^yPDe8e+=mmtVdgfZkl?UW^<^&c#18m!qN_Btszipi z?7_1`5LzARSGZ?+`>z%3%)f$V+7dBUWHm(Az6dh2YP;1jo2`c5RjmL`4j&=XYtz<$ z-Wi`a+Y)e5g7?1;Oox=eFBS!sjPiUbb_7LwU4isZlZYA-l~b!8Dmw}?M1pA zIzZP7%Y(mBOE=dPXW;Ns<@{jo+nt%A{Io>sOK_sbKhsAXBgqi=-BauuN4MRP^e%TByqCV| z3c^GFSO`4VxPMi*=}R3zH3-2#06rouplypxV*O|a(NM8EiL4~4Iy=*e-B~^j?7eR0 z4Uf4;9E~LF#WydPNIMGe2ztta^e9eaCwb8G+LDdMwtGRv>l`E==dE`=jm%P59nA*G zvU-l3e{OWjjXG^1{lY&2VWDe(jE&J30pDi4H(YWq4H$dSc!>hu%zy>z#LX+_2p_SR zNF50piY8E#eTNeNNO#?d|+8R>os6sOGhw@>Q>BZfTt`o?XikV|)q0fB{D4?4+O?#(vHpWTGZA|6<{b}4Pkn7VE`~O=gxUE&k!utGcwO{!s z78swGZR68NYYPn*oUjkmF(k4f-RPK!R=yD;afz6lZ$}zCIHL?ACQu)gBWTf&Tt2)N z#fYrH4`oZPCXizvmWgO7At6;qE9&H+C5;10%Fz*}2;pfPv)?z7bzB71f__%}yra9)0i)J;NwfA-NPY;0N;ve{0HV8}*6o@4|dEA2bKG zHPBil?Pc>4jPWLw$B)g10b)J3CxhtCS`GZmKt(1z;2IO2xS5&^7TbmEgXQLH0DL*U z%0$A;c44Ke$zl5F_h@VkKYqIXLK)X`vrVfPe685T*LS(uVPU{#IKYt`nm^k)kpK7G zc4InizQsUm#de{JycyQ4Y_ps3-+*CWnaO#E?E+-Xrb%q#a5bY<8^`NpHl4mh$bH5_ zs{Sz5k6W@rSlhem=6ACS?Ri3eK1#MGvTeV?Q2eyMw%oOH+petyWvn;x7~LXNj|Ejt8?*YRj{T*0+WkGSH&j!~ zb}Aa51%>>FiQEITDa(gQv$FSM(9`lIgvwv6T~m8P|9Ajyo1N;46WM49mbx)H0m+`XX^j-$W$x)stmEKiy14U{Ywa7SnT z;X^T0ZRQ9MM3Y!^eK*U9qsaeQ%jx@f0X>zq?!~Qn_ZW}H!{o-W0FGwnXK=xLK&ILT z-ufFh($(C$uVGHXZ)hCqzF(4j$CxLz8a!b;<`dQ?MvDRZYjW;!Nc6dDZ5x^ybU;Vb z+gCWA`|gSaUZ57t`Y<_XcsQjXH|~Hkm9@cn(c-25(9j-Do<8gJ_-sGbnelEu$00R2 z|CQ05f%k(&fA#HMuT3-jeU19jdX;=^+(a*jDIMdf^7>WQ<@)(!A5M2^8-$WgfM4s| zZO$?>;dC-jf_WYP>3Qdou^8eoaRh5EV>HTnob?8id|jWanUDdCNA>SgxBN{+d9TrC zeT;D5NRNPYhVxq0-nvxf|6_x&?33L&9zBOaU4tZ`PxI?*eB;OU6RoZAne(c|Jl7N9 z<7U_$flW__6ij4)ausV?;#)&{eC{t+B~XQWEvR~TOQaGGd^l{rPJKK(X78#$(DZOV zbbnehCIQ^2KlRLb(l$FJY;uU=u5;Jln8QN9OQ&nYHuD1~H>5=zfACrYEjZjUfYt=v z>)!K=t95tXM#FIlFdIS}WFv~{ADmdLt?Rg4QBDcaYdvTi&PuB~RM|omGF_L+t2*|k80}! zXB5-*1#am_*EIS5?dZNulx}#rL*sb{3fYt0^h>)L-<|9JI|I^*S%$spR~V6%8+O(BJXe z!QaZD?{qy7K4>!S(SF9MmJ77(wTQ2a)!CPi|FIQz)kR%>>C{}_pf9BCBu{l4q3?7_ z2GF!;8O!^IN%REms}}wmiJG-@pawBNx%R65bQ+8SDs{igXeLKVB6^S|bZWHUxp?99xPk6cIw4~aS_=D*Je5S3ui6k=KPA%CD^wP$54X4A);JR ze|C;1Kkcx&vuyBRLpt99`o*b@dQbwuEVnl_h~kd^jJ7-g3f)ieCRXHUYJYQNQgO!U z$F_|hwor%0z4)gaAW|Xg21Nb;xhknyk*n)7nU7g%3B#V>8hE7N(AG^UUc~NWY@H11 z4f@Gu3@iFwtkB~oC;!|z`H|~wr&m`TZ^maTGx#XG4OFJyHuw0tYO^ur{VNt9&!0j3 zGY{oR=wzZ_vPh8#t*@InZo5LR8jq7BKMTC5U=|SgVc-WStwFLN-YZGjxTTMj!hq2K z-A?t%ASl-_j4J`9p8neB{$TMdSTcNX%4t|L-=1hYI4fRQQv`*U z5TcU_96jLJ@l6;y8l|-jSxb#~y-6T=Zjvm9=k>wV+Nsdy?W^xMinK}eQtx{PkV2>dDK+Ikjqcj`xoFYn-l%D>@_ZncQj93?M!QnR(mzr zI6CD--hPnHu<*h1R%hhxkNq%wo=L2Q#kmsp`MV$i)+Xqs+ z^Pf;P?FHqUR)bCJFEkWbtT-q3L57!HV?rDd3u(O9cgC=QX` z-Yz`pwYJj!PDp8~I59jZGPZ-#RGjbY+{*U}2Hs@zRQR2Kt<(YmYXonNgKn%Vbt^h8 zw1pm^BCS=M%v(}P{D6D^_G-I!&}AAAY`AiVurDrXzJ_CK^lGH0&c8}`Hf_GP;ISeh z``)(!1>9{~%5T#;Y=M>>5-`>>uKR&&{@lCi@EECN=>0CNdZ#m`^MVkDQapUKv|7MF zTw&dP6G%1k2XJ$ty35Px^Uc~Q`1Ij*Zp}QHTFXK(pXxhudy7Vp$HlNZG(7WRFo~#Cu zetuIk2^^@Zf_#t06!kCg2b+jsTw&I0eqoXYrT8&T zLA0JFxs`kKHulmRbi#W4pvD1_KzH$cT9*WrTvbh|V&aC8s=ILr!M7!WC?WCahAd;+ zUt>EL5fmW2Kt}#OmM(eyQFV@nFc3HNHL}mB?}`E#HSnvzUt4IWI3`A_2Jj%TdbpA0H^T7b`EBaf5IMz+k>U!}ZzKs`Gc(XEW^Nw;xyF2KyH5 z#N(S)y?$5^MJp|~Jb?egEsUrq4t@Ae^}{Ib^0B4*L#lve_v1jP?7%HNf8+A58+V`L z0_I3JE?PYEW->Y6wVvxdpuAD405xdtY$7KF`l76-*Y;)M zi@>8>bJr8%gO3`a{{Tk%*}?sc4@N))KjKGs%Am3|vUXQW`Q=F`s`PRg+4@#<8Q9g{akX)gSFmQq zZ}dV(Vu?kebB+r*^nXH8weoKV!t z{CG&Io^fDl`(uE z>uKFRiBM+T#}EAFjD-}-DpHk>Cl}2uF$*%_z?s;imaGbsO4$o51y->!UJZb?^Tqm* zA#JN8ooGgFPB({tMqi*x!|$j*KRnm@bMa26W7W#WVPh|$bkt(`Sx}i(UFrnQ9FNXh z2TD=1w%eU{g4MhYC&h&;4oF{k@Y0H(ZLi#fU0Aw-Wtdbn&~xs752N9F~&DaCe0gkvN; z#s<4UDNH=so!$mLC=@H8^-eU6`e{0;uC46^`(DP}8KtrNgxUiyfL<#m-NwiG@R)jW z#Me5SC$<5l;m=WLit^i_5G5-FF6t5`bj=2M58-VQLlX<(J(v*GB7i2SPkEWof^ z@@S@rN0QQUpk+^5>XOzc+BEEJFda&FPO`xu{3Y_(RAkF`r=I7lhV>XkUtlA%;5v^_ zZz&>1404NRY$Ncy4lRH`bVGW5bi*gbgOS`HyFmyoXE7{AbhL5Nig6U;C2mn#Ox(+q(+3SAYW`Rpc>hCw;76quQ8x}*o|qTK!Gq=dF1akm<+ZE$)i;csZg=??V<9#^XlLi!4y|1;|%Gv)kmG?_t;eT+A`^IevL@nLos5gV;+NQS8 zZEn`BT>%HGW}iE};7uL}OF6)Mk zi=VO@+W-ZgG3K9LlDyxSGclIwB|&4(g=7+-N9tl)zv{2=;|yaS|1BC@#*!i3&eg8zPCOVP5`WO=wY#+7d z5V#?vHwLNTc<&xSlbOYRX3vV`#eERay&PhLT?4D-t7Aj@Ts|3lS~!h}JkG$xC#yRd z;~YsPoD5KLxP+HM4zUrvQrB2CaD6M9_%LFp^C4Q$W<*O0bQa;qb)&hKt{kYVoCr)| z*;C26q@U5^@qZs(%@utV1il)Sg*W)yI7*jUaHv;2HC!Qwm&sY~8Rz+G7-fKc0}1<+ z>w)4e_RZ>_g5^5~CgzPA=MO7hoK$TXR&+>}cSt!;o@vu`9B0m-NFPmz`@Zp;x1*uT zlM!Ye&gZzxGFKpemDk2$WT)&_QG4uHtI703ZL4*c% zdQuyURpl8cGnH_he;~%`WR01EV3ugmOwh9Mz^=@BlyC1|M3%U8P`i3UL0K31H;2pA z7k)nTUdYTwC5^Gg-)Si?55A98rC74S25n(H4~7l=eyG3#WvU2pub;CPv{;v=-DN5$ zhEq>QpN;lu266Aemy8ov5Iw0k)1z;fMXcLR16pd22iug>R&-)g9XdBIo%;OyVw%`g zHmi@1Lfzg88~^))#$C#iYKXu{RifH~mb1`>5G+7UN2e@v^hL5HsrbxR98_ zHJn=357ov=9K(V-G>PR7qd<#)BFOOH(xIiND!q;kP2Y1PzNf~@!+F;`qUqDasO3c? z)$Kti`;kp*v&bo;?^UFnSn5DU|D%!^je56nPU}1d9&W=0DyFce5qwi@F2JBtN8q`8 zB2Kwgyr4lXZ5cG;nXAlpq5{~uLj*(6_cB&7+GrXBK5Zl9q&CIa9yr61YE*nv2X@R*wac9 zn)+go8xq|oX!yMAe8GTU8@Xqghj2s0O?Z3LPvJYD-b=TcIRMqI$V`i#*BwqUt*r-} zuZ9sA#uD}gRo?XTu_t*T%F#>zM26}5txHaayJSF{c)fW{LZ`GgEZ#=LheM-JSG6l1 z>CvnQzWPagk>RawyFSmnY`Lh`;lm9>JCXU5G9A_E#^zr`0H;%mPoE^Y$3Q*s>$E@` zknev=qjD#VhqjhL8$l74B^s8|_bk zrz7ZJKzuGpy=(7C_i0H&$nNkR+~Fr0{dW9pp%C5c&5=|r45-?W_Ag~9cNFh7CV`scyKbUJ#1hJP zfm`;*oae}K_yC!zF)TlXxlZz)3Vm*=A8Uz~9ZdFJIpi%wGQ-<)i}{R^pDc$qS8IJa zN>aJbw?R@}@q!YRZ_En)_?(chsU3wCuy_|Lt41F zbO3?}DAvsxy)Pb7Lknd#} z+I@l}ftOq`U8zf0FG>DAeK8~0(zyR7(p8RV+gqLMuW!0}aF9~I6=Cm*XlOl4pZ=$3 ztZ>GvfBvOlM$&`WQiLxKwtz@kO}3%= zE9d`PGgX%OKjengoSZ7<6c)Sw@1!)m<{?o8)W0nHqF(Htza|dU?~5jwMMOvM7l9LJ zLn<6n8@Eet$5yI(YDZ`5ND~zo7-@_VDAT3Dghrf?oQCvS2{ti3(7$7?>QJr9KV-hy zq!u}5q~Ety_=7MuUd(mZsw=KDiXPjDp}_GX&DlY&)wThXpS)dpyF4i6*7wz0H)7h< zKgVQiT4PM0w&xCjL&YduyPNqP?irF!Mf&aNa;c++_a8AAUUSsBW=m+E-RE!(pb7&b z;o}r-=v{du`TL!V7aXTCbP>`5i(NjT0)ANucJkpF8Gj;K3P%!hD~XHvL5~`3`7(KR zImRDA*IH!b`P@wdFJ4!Hgc1{nc6r)XQ;bpc+F{Q8zqpa1+u&-rSyMSN(P>zDsQ^K<53r!Ym6$I1IT7GWxy-<>yr>qjeS=83IdTiIWD z?Wf~)%Q}4kANrZ)>R^~0erR`q#CFq%1x?QJ2T#hk1Bk=~${6_{;xU{(P^4xiKeb)* zTMyyZ1B4q6BXRx&w#ca1E<=_LNy$iV_klMewMSYe%Pds^yZOeDrD zU3tHyMeQI5ATl~$v1PXo<)*jp8_|LR{mc;eXnXxyPC|zjgTCz6nYwQPA)qx5AuPAT zLhK=KFJZE7fN`cy3FwG+zbP=$7roMWl$E%AF3mo}vOFM#HTQzwdN3T@&d0DlO2nZw zIu85cQBtex+lfwM+Gie1w57`>XT=(9;$Q{&m2b8_vGX+R%{ft07fEX}qb@@(IF5dY z*2sd}e2W?O|7NWEO!JBc82!H_64U=yiZU`-PIF|vB5L-GL&A#o2JBG)50w0JC4a#( zaz~*&Rm!s(TSkPXx7$=ouroYfNq{yHQq9@g!e>`&aWJ3$h@mmFpK=>mL%?NgDg8hO zmdFDZP)q&toop|T@;oWEke359s9lVKwDW~M4=OFVfbnqPTAsNWPFB+FgJ~!kP#u zhg&$SHi&Mj?<}DLWEbD}2fImy*_h2!2+cRkuho*ed3|hx%w;D25~)PlP!luRqUU`1 zC|^z(kAB!AGOU??H#l$VsI$+nygv*bahk#CU}JQNx%*s~eHq4=Fo#g&CF6`o4x0;k zJP)EDY2~^SdM~Xz;L|UTaT}&&P7hboi!IQ2w40or@cWCvHR7_w1Wbjua|uGlJhA;2 zpDrSiXspcPCX0k-2KPS_6P-WeZ+zpD6Xxu$!IOT!7yPnn04%kBO4Erp5s+J`)Jaqb z#{@uOy>#fbQz>#X9#xq22-==_=qr%QzLh~oC>WZ5XKIi)DsVf#Obo;_Tk3ws8R=yH zdZWjBck>4zSxPjkuGp<$Yb8D%?;T6#^y5G|ejL6#&l`_wN5yEhl-r(JGpP#>9o4Fr zOH>ubKXy{zs|-w+UFNlgnIzXYc!xIQyA%19B&siXH-N~Ox~Yl7_`wb+g-w<=WRYGVL7ffmiTZW3nO`#i8jk>&|)Z|=a6#sg*A2hWxzsy$7;TeZzDDVeMJ#q`j@b< zeUKkurvF;F;NmsHHeZ$z|IlBS+Aq@U?LkHfIo0CpUnyHyRO`ctCWi@J@=P2JNrt(e z+pwD+8lc^(;xaxcL_*j($|$JG##stw+`AQl74C9%z%Gd}JZ&JF&BF|3p~QqU#Zjf( zn-%Z}Z?}DpjXT2c^RIw--M2o0i0?>z&K-Zhj$^;Vt!D!_;_$mlr7Dm3I$@dl>S)DD zja`)eoA;-9`=WRwcy1)uI!}2wE06$1TFm!~U0{@cimMU!>NE11H8U)O@l*@UHCi*T zO(KGI8l3oEI2iQKFUV+)P#XF@L*)u5BAGw9us?;@zAk>H+D$C=p7fCvF@&fN7&$xM z3I*U9;0Gn%$2^R=qEU}r;|0*=zGmYajHH7;dpZ_tDIZ}(yt`%(df5Ysdcflp+iF92 z)%9)TTfO#iU`srSMmaBL*hi$$90(+A$zhR6-2!3vbX6FaQD<1@LV+GP+z^dmqHD}r z!TcBvPGLDR{dg{J#wxqSw7<33)T}W|Km$YYq;snhT>`-eVNcURQq`_baD+)ctLJ3zT7Jw zsg|0b`4ADM&Ul!^{mP-ZG2}Rod7+0OKaxJV`K9}F!%1PsW?(I@_+KZ2^stHx8F!De zTG~PL@|opbk~47_+3nv>Oc*C}3T9lk-GW?d?LkTgc%6arbi?&$=CEFi%zg>c-76#ts^DJif zSgu#~%)~)j^0}r~t&AbZek0}wiJSs@2w6F9tUIpYim{9@V2wa2$s-G?oBb5OfQZw- zU!=ceK2;N#ia#d!%>K_jh#!kIrLmHQw9FZ_Cw%Sl>~}S)sF7EhCowS}`SAaXQ!dOh zTqr(CMUw5jlc+T>v79>Tn0W28^eYj<5mn*C>nWbpa@;y@=)lfWTlAg<#dgWxB(}uKx$6VS zasN?MgFnd|K2A9eTL!WY(CdJ&9~Fj1L>oIeh>9v?WkzwrWcNh^OQeU3fp6+q4}PmM z;bJI=KPOHly+FH7($aq=d3Ll-Bsod_*F#hDl?%&=z{}T&=m;iy8cpy7Vd^^WDsJw) zbKC{ny}VpcY$%NaH#HUpwh_T&&6j~^iM-eEw{gdu^dvh5P|U=vb+aV_?B6GgphJny zD!1ikE%mjsVc)uBH+uu8S!1!h>C}{z;@qHz)RZMPF|Orz<)E|*z`_t=^`{Q?;e(}- z;fwfTt;Z*(b56GcX)TGIrZ4Jw5Uq|wVJ-Ep54%s|?0{nnz;TYPyH^WJfMw$6sW;yJ zdN|1GXM>Hio*8XE+R-bU8OOm6)a=8_?~N@Dc8T)DhDJZdhht|?D}%sQ=d0bg+@f6H zyVS<(2saj>=JO8NmM3WPTi3VYtRzTH}II?;TAY{I;O2hA6aB?edTQ%z(Z)}hw zWgqGm_4Kwq!^_&h%#H)Tn}u;pnR{ncW+us@+&D`I;pE`>Wl{W6k%x9e7oIwk*HZSs z0Q*1$zl>?}xq}8OXRu}JNVoaZtaU{Hnhk9JG*nK5W~b){w9T+G&25hHj5Zze>+e6X zzXlBIuRi_yt9iG+x~*-Ne%rE-9_W~*EVKWV|+{fD7qG>1H-lB)M?f&Ln)u~B4_2qiK#_Gqs;y(J@$7l)t=^yV)-FQwy zoBTOxWg8nE+INoVLwmZ~__k{A&&{^?FuShN&&mw$+(sh?cF-e#>7rpHyKBIh-s<>D zNByYZPa;D~&B$n%+*ul<7CfBYwp@91XLRZy!S$&L34 zL&*g%hL3?0BNvW5D`13#QqrA^?u(Rf-~-MiIO@Qk;IZ)JkT8<|xbKg>Jjy_nl#~Zs z;E@Ctz(-L;qHM&F#;I4{W|VL@SvFpI?-Tc);k-nk3eTiZ9<-k zS&X@kXDCyHlGMtBLEs7sM6kk@zZkrNA{XTypY}hFSMYE!8d}jd$2==fPz88E+rR^Y zHM|}a$*dXdeiXeti@sy5#K?zo@{H#u%7gW6_JARg^#bGd=*&lbtb9WR+6ETTANyWl z`B{&8d)V17wLFeS^a1)){<#Iud3o9cwgiPRL7ub|JVLpSavu!F2*AAy+gcDD9oulYm)Jo znFEwFwZHm4Z~VKDz4J}%-LGl<;6dY)U=zFtegWTr7kBIu@rS@WC>t-N7UZ>u}ou7iJ>U6tL$ z_-XA1>X+76gI->!hwPOfvdwUPqv7n^QufR(%6@u$bT94dZMY7dxu15Ywjr6p!%rHE zP!Hu8XZSoA02YF~U`BFGlg}M!a|fK|v$xTsy^sIiW)g?zax9X!?+w@VB^epwtig30+&rWUszneqfz`731e_N|D zhva~9bV6P zkZBK?&F_pcneY4tHgNI?^#81Wrww2T>m_yyPM#=^>>V7Wd|hGlvuNX@E~f|C6*{wi zU=709Fcug&lL#%4t!VSI-E%q7+yp*)81)bfR=Qx>MeRG13Wxl?RzJ1ulD`Y|@1TVbb2@ zvIP3JdQs2=_B?b#$Zc%chAzoBcSHYU<#Apl5PM0JurV459V-EK zzLuxW*nW_U(DBg*dp3GcHp(GkH^RBo+r@RtaSxx?ZZ@ew9$cRn>4njK`G#uLO?mW` zl!rE!amGc?2*DgUa=H$eHc*!Pa4JMEXc_qx8HzrkC&n=ry{4B%XK0_$8+jsWqaz{5 zVB>Q6i|3=av*&Qy5^`GVCZ;&@BEJ;Hp%fZZ-r9ps8(B1L97AG5Q8w=L6Fuo4dO38H z4K8q<9P)C~7KkG;_EHZT4Z$Dw4CHy7QA6-dNNiq&F3@$b=zZy{^??9I9FSLTKW)z= zZP>HHWjam|aR*v^2K~U96@51LTE-F^Bsx!mN}vJy1I7+nl+BrZ9IQXIiw$&;pObon z)HG-99JlwnzJZ_*vfa;SwFDtSr(|MYvY}jAPP(#jMvuWJzZW&XC^{tz+TdJT*760G zud$5dFoBfK6M>YmPMVFBcrUP_??1L*Bi?g^)G*|>hO z_2ud_xRExG8Z9k+4}|1N5|(a)$vW+bo}U1 z9sl5c9sj?^ zvVSOTxzux+y>kl>YVM3R+COQY{xN5PK3um^Ck`L6v3+0v`0$k8H|*J9*z}TN6PwLF zX4v%Bj*~iKv{b;Rls0nDKi)sC6ZU=eo)a3m_LK)wrZ0#x-(TABfz}-SKqrqM(?7Ov z*ZT{X>-eNMqVX7K<1%%wjxS%W<9T~@{N%}KOio(;1@-yUWN%~g|I>6jzVCpJuUMnw z)8<9G=9BK5tH;Gx_M0PSNkYV|x0X zBkDc>kRDrpSc|qF)iHan-aqmA;yLq{cH0x$lyV>*7| zppLJ6&&J7U1`RfD)$wEY`+oa<)mo?JhZBwOO<%5$rY+F)=N{2Ly?(8tjV_7!knt4k z9@v98eG=W!?6tGqP6Ho=&(2yCZC*xDz^?}VD&oZi0`Li+088wB$5(`4IP{h1Akq7M zqx&}^9D!HZv+xhWUxomNWWv7!JsG$I)}Ws|dg`e2ckn1|5bHP&uO@?i_Q|H7_?D1Z z3t&@n-DQlQ-cIhNytRP<1^Pn5X9iyHI$z3yVU(xM1zNZ5$KzVBq>kIsOI0czC4VaQ2u$ZI=->sit z=<)@(#j%lHGI7cjZFB4e>%dcc4p@!uJ9X3De-^6=+VmhD=)ri~904cUh#vbbbBj$6 zd=AC-Zg2^ICTIdqu@)f^k|0RdQQ&HR5Uur*ae^M;DD%^8c8rD9$GzCf7j0T}#s-1O z#CU_lj*Zwv34pP_u|@>jlm1#H^yifKH6;DAXAvBHNz+R_P|^c4sN*urCOZ4clx%iD z*%pSUP2xaG#uFOae8JYpynr54-kYMEAH6x6ADnJi$#QYa1e4$|MEwL(+VxURN~m7% z-)ru(kK5oZl`Sgt!6AFsdEd9>>iAsa3$Gi0fOo?$W*Xmkcb)M9Yl$WdRD-2@DyC#voFFWTjL-9@B_ma!`5}1 zb^MLRr{@jr1aH2i$N8sbZ_~Szm*~_~gR$fK;4SuOC!nXyRp>IG6mcrk_(HKwh!+=k) z;R`1*g3VyE^9gvX;S;oO7Tpd2)yZ85fj)nEn*-p%^Lu{wcA zl%dWf9$`1jKYbHy2I~y-kR6lsz&FY_IslIfpa-}_`GT+-J0e)?_ra^}GhcR0_=1w~ z>B64JJn(1GcB2vN9Rg(uhD8Ph=baw3!R7*Tq;FEh{IZO`g0bcK9JGO6!0BP-=^Ju5 z`il@;dHW-`yPV7%VqmV@>l?KHtKo>E}ww+rGwQtieH( zBbn3K9l`u$0`JhHu(7Ytd+Q%-i=s`7>cSQ#PdByuq2<>sUt$>?WWyikQfeS2Zod&%?=o2@Ra#r^9=d2x6&)+O)+9a`bbnz!|MCgLd~7k;Wd55|WNj-<{}Cx3lfqP( z3R7YFuS*35QX(i4XvTuvm3%C!O&De8SA+8w8X1>I;2=;BAt_m& zMLEVTjHAi&2&L@mhLIKl8HFZ7oChBu_*of*9s(YMqAVm%&Ojkd`TUb7tULk>yR#tR zB8-NFP>9fh($WJ*5LB!@LK6;`7|S?uBqUC`pgcxL6q6`0c@9ch0zYXFib(`Egk*O2 z35mAR7lbqyHW2bG^IV=q&<;xNkmwfHPRs17n|CPhETbXm!0`jFJooY)F7rTDlnV%(1(jz$;FS86 zu2K0=KZZ~;O7NsYmANr^>R=CMA^;X4k@_i%Loel%0k9aO38KWb49)oRpGwaYG5wQAK=t&;s;-pX*TOkAcyMOCX(HM(Bi zGN1ofndeotXIHAEYUScKeNv{)70+&SRja2$nUX43s<^KD;+OTCMrmsQ+6oO?Ej_YB z8oF8P`Q|>|+xsv2`cH09#Y*K>u52k)sa920FRh^pnq^eb_s6z2#DtBQKU3ux1x~Wz>b$E4!2ES*|+9^G}N$T;&UftX4 z&-%uXeyNJ(?cS2bRHc%&+uK}S)$Ex*F6YXO8|5hfaXFQSWsOEYrd0|kn|SW!mqqzf z`wlIc7tptK*(m4N6VsXcD%vynjd>J)w{}*y`l?#4SiOeIR<5Sf6|1UT<*KS&HF|FK z@chp!(>D4~+fs7%__Jcg$|`H^^0rm1qRRHaUk~$+{!&K`qY?C>UhWMvDMPmJl!sQ# zLEbND?`??3!0xGP?_t%FR;F@ARsL34RlTFEzR~%MYB#cl@%)*7`sCoLLn6nO21Wej zKX#x_rd2yuxpLD3(u|M%a=E)WnWr@cE$J1XsSC@nsKyU>ESz(}CIjl$||8c@$ri2NN+~qFfFMeonvy z3TEeT1exQ|VRXQ-8;qAB!Oy{E$Ei>r1!?^#kTP{AQPv6I6d3Bb78~~{k3tbA9Tc4G z>gUEzl-MXaQ8c2^Md4=uhoHh&m%Qrb*{s0(7#r|d7lhNRd@aE5@cUR$BC8QI2`xldiJo#t+yr)ICU)a4mhsra8t zsO+~(`+HrjguUM-j32-g;1low_y+tHUI4Ew2iC@A_zvHs-2Wdq%O`x2wtAalepX-( zysqFjSFTvW@vL%%^7@}&-Kqv7#w&aA33VF(o_^CLU6)_`MZ?yTs!-AD0AHX>oGVwU z>^NGFkBz7N@_E5v2Lv0_Vm4FN=1AbybJ4}b7jWs)8Z_jNg9F$@ce)Q`DK&O zjd7Mweuti{VFJ#k(wVxz6`sKy3K(PeR*$i}N{vfZzG`)qu2fBBDpgg*Dz^Tydp~!a z4Q&hSfJ6>BTctuJl{b6^XUkZwXy2<^`Raz5)x*00eo_~613FbUnnKGYO#*$Xm*<7` zjJLtQ`}<{BYdBlAw0&M#L6v@1T9?2Qky3t0hU96XMIe1a*H z@=i#|Ndy#99ytnriHwVrOH%$KfkSMHz{e!rD33hKngG0TdBu1sd=>1&Q5jhUtRa}x4S#W+ z$b;}$^!IvyFW(r8egKT{I_(;=94EHm07Kv)7=mLK zPFjo`ay#Xbx_-^EnSjXfJH}qh`|l=qvB3`Yhk9@hV|_*+DbMeW zGr!}6gHaWo0UJrMF%D}q0xXjo6VYE}bmVPlLdGBqhK2;4DTCuOfvp6t(*A-rz+ik> zZxB$)`T-gsbJG5#tV${jq%2H@sW26$bEnwcc3z~o%jZ?Xc%PS-r;QsoYTdeZTEBk1 zHf-2Xn9qZ}Y2yZM+qh0!Hm=vAwYhp`!(7vt~J)9q9-CSfgpxtLU+r?U+A`w6)H9wM!^LQBK<0Bb#zu?vo>^izkm4m z9yG@ZS8T-Mfsyr!xc-px*!f)cGP)oO5$u6Z4qF^@jq8_jR7JmqE)-oS?K4^+U!gNZ zwm>h3zSw21pkuU8>}32-eZh8!oXbWoZ0_RDp6JXdZ_h#hh}^{3V1q-(K>r49&~bYp zBDz?zwFlcQx?O@l*f5QIu&p7FqdP`sav85yq>n{zMb1U`!QO_vgX~2hitC5z8*Q=r zaSmkzz@)w!SsQr`Im|cfLB1rg!uo(6IoM>e1(WDA8z9jZm$^APgOefc6IvxtWkFu2 zy^ImEJ~Tk~WsVZG;yPJmc9Vgj6Pq7}gbYl(kYRE5B~TT48=EG2a&*k-5g2b|UFeA& z5`6;mlljM7MfUXZh-GYSiB&sQRq-~lp7V#6Qv)dp*dPd!`C)U5exfHqk4m5|?V&Gy zruNabJ&b1bfqMxcArn+-&nwc*G7hU&&($q!sB@nOwBX4nwRggs+P!48Hre~V;k~um zFlW9tygW`Do_a+a{`QhKJoBnHjG3$r^A~A@Vep16TeM;G<^p-de|)xe#D*=_cFP;z zU9D|1W^3!n(OU7s>l!`r9X+(*uzI{LWv-NZE&qo`%-^Sp0ydo1T}Hq5fm zxodamsg;L4c(Uu_kJQaF^*poch~{m`voTq(+{KHvdHfV@c=okuJpTT&HoP!K8>YL^{_N9jh`z`Fhcc=6K_|v})w|j-ZH_j)XwQ7f=EdxJ+OX!m)7QOz zt81&Zf!|iXqYaa-ZIplQb#0ip(B{p0ZMN&%w{13>ZPe@)>os)dT6G%tp88H*tBFh3 zYrQ>pyU}#(X6lREl-jO;Cx<@KPUbQ5dGsW0G`enk`eo%l_o`ODI9@NjI#1okzN>W0 zPrWo(%bp#pZLf?|?%es>#C_zs3$)>t@zFc-%>2E~i^s3R| zt@!uVYqVkJn@*c;&y3c-7pExq#R+=(vA(*!>o21~%D~_4ods{$TpPU>o29u;&Uhkv zEOc}57;KK<1lQ2*xo!|lCa3{BobeR`^(ae%U!W&-UIagezgih^J9Tq7csPOj?(1Th zL;&aHRg>NRg$@m#2S34|0^W-AzWb6G9&inP9gh1!59y~sTX}*6zyo|eyl(rAd*REp zfj|{7-2I4*=fTSflt)+Q7-cw#9}oc|j@3q+{6IkHhpiC%9X!==58h7OIgJXeW)r*o zO$^|dhDXqi4Q9cku+c!^`cKDsf?`WUC+uZ{osD%C_(L#?l}AU+^GO6$;-kg-Eu2j0^Nsd!AO9Qe={xq~QCxw5z$G1J$^NqD{c;L+QOP<#+hgtoM1sf3%_(46@ zEmLp6WTQ*T#>I7S=C3qnM1Spgb&B@QU7@XOW1h2UX@s4Dcf&8>p)=%=rygBCe$l?o^Xv4TE&O^8S?M3B2HBxI|7^~?M7VGi3yVYmeN6K6-bzk}q4P16o zFTb;2OV@34nGM+v84uZQtN*`V@2uafQLFZ8u$3LK?37+vwO?Kl75dKJ&6RJ~t{F6UKv2 z>x1`&KKc5_`bb{`2Kn=1yv)xZgP&yTpf1Bc$Az(pc>spL`2Vr@7VutGS^qyE-GV4~ zV)umOj5)@rV>jImf=GAYOW1*;f}+v_N=ZmdcPeEd-61J{{_DNY*_XrhI?p^a^Zz}g z+fAiwa=#!u7a1WgZ1BQ&ARWjW~Jc^m}%Iw=7Yq#8Dw}fb*Asp z)>@hGznE!zk`aba!}Wf@DQYovsalO)t-f>CYRM|wV|@Fy(PpjdPJY(6e>F2^#zdX- z7irCB6Aa^qMSDbOYTv)@J4EY0vi|lRrqKf@sLQZLj`J^nJXe!G8m(`v%=&Q?^$q(9 z>fspyJFWbh(N-UO8fXVSzBhV+w~U+dv!|F~_zAu;SKzAM`?b+&Yv0k@I&iF}^?pb7 zJD1d%1X2e6Zafd40uGqwAQtQ|_@)FN1@FN>0e%DqvA2d_<2%VS?wA5EG`@)K55Ghb zd>q*Z+n4iW+OjgpmH4luPvBYzaD%@SzzKeFkhl9|SX;g6SxI!kcaU51gl+kL@tHL z!Y`pi%-4~Z@V!i8waZWNVrXD^giQ_qRqzPjkNgN8Q64)g`vq^`>O*$KPK(S1J&@a= zGi_2Yb~R)x4`#IS;TMv`YOs{KU=Fz#T?07ca=rBhy#ae5=n(=CDbIMH?f+~0O!CsKEYC>54eSGmPGy7|J>&Y91WNVU64=hJJ=P1KzHxo z?Q0Ht`917iD9?S|&z{4svA$Rr)SWzx0b3+{kj39G_Wq(PAc&TLU)Bg%ip~OA9=kd1 zLNmsXoX&h>-*jIa#$(S6>*%b;XQ@b|BFbLJ@ST2KW;uNzC0L3~X=?}_PQkhby-jS| z_!wbJow{bKs`Rg-{Pk=-A)9k30a%*XGV(5a4zLugwfVlW!;N}<vDCJZ2mVq8N}hhi@z0t`cW7eGV#nt5Cy zia?b-y^LT+gjY^tOB2{ksBtIK7w&Z99nP@`QV2$FRHIH401yyx3PstPROVr{L&1x2 zGtDv8%IB(?%aw{K>ymFPBtc}C!+-2Q09m7C_NA`In6esME@y|1F#$R5e!W@MY+OTaC}YK z|MV5ZJ5IJZ_%cQppqXogYy?~rG+8fPPu>e%5L8!fS*?vGp!S&Zx_;l`8lA*F*W28k znoEUp6;Po(g;c2U$tpy!L&1Xfe_j>JohQn9^QlmND^tkIoJ^S_(Pv@%pKJeDnP3U} zOMi-5=JOY`l{?wWr>mo&jWu`nY|53*=zR8hx}#DfH5j}oEs&Dn#@3TI>+xo<>Y^)t zr@Xd}%4NC0N!e8}S8nCz|E$ry_tb8y=3~C`K+3Y+`s09M-~Gkz&8eK$FYYZ=(E6J{|FL<= z70*rh9{$f~bH)6n8|N?PBn^E|e@-Kx`?Pj*N15;Rhw{)qqlQde}9_&+oJu<|xsJ!uA}VoTGpW7RVPl9dI&MwJfUGzp~csSnE84AO}}& z8t>&aZTNe7{)K}N0ct2IQCy)s4aO!Cn1`{7H(I)p4CNCTU_9jBm+wtez9kdEdYsCI zQVrY!+k)dh_2GzwvJgX}E1%#?7`v=af=vhxO!97&Sp-IM5}qrq66Fb^N8yz$5B3lM zh@#ow`fRx43e_rE9LsSqO7dCC<5+_s*54{kpKP4qIsqhJe%G<`1Z^@V4+KRy3vabd zc>?&usdOj@akla@G2e9@6 z50t{q;2kk9{lChzA9!8h@fqc` z-!krV{=9jV)37jKp4__fmU~p`wNE{eveB^R`fHi`I_2b3l+*AukL5IsiE%A|j_m$h zzTCNV#Z7-x#aD)@)0_it47;OpV-+iYhS!}Z$4Smp!OWc5t$hBxy7b1s>gi6s)$WTO zelP-9OCV*vezSE;={k1rnU1r0a-O7u9DD%%!O_rudaUJ>xq@$}8{;o#_FO+yJFoKQ&2Ly%P#7iE?f5M8bdyUxokTC_+GY5(|?FMBj{-(gx0r7{y#J zK+do}Fg6@dz?-C83oph|mHma62e+&|axKoG7(tWe;q_oYGMziHP`}+n{m>2moeb6l zYdJ;U-%1IF^41L7e;~VHYzuwI8JInX%fWG-@L#Y1$JL}aA(+|$s@x2F3;)Fwa=9l`JYv@2d;0f!8w~??n!r99W=7#qK)?!RgCdNyjk?(@D z75ld20ZY&agL*g!07D`MO0X@XU`XUwWb#lRy#{qAgMBkoX39*NDKq_Yip^~&M2d%e zLZz?1`bs@}_Ee1;HB`EEX;r9DLDi~N%giT0u3DwCs+2FIs+B6JZmZ5}Ie3=Ium{zC z;(9fF^&?fURYz6Il~$FCb$RJ+ct|Mb`fEne{2jY~EPLH4l>{q@hVCR=khe`yFMUDBYHJ2LjWvOMPG67{Ifw{7V%lN6lxGY^7lLWflhPLsb!E)x zWz*gk#vy(LOxzs>UMANNdU@>7$cK!dIUoQDIheO+aLsLfCLc4eNk?e(sFY=0GvDa* zSyS`_XJH(ok;|b6avcZzLMP-*GO{^qBFqQvFb?`s`J>9ZsNF^WjAZ$}W!@y2)#mp6 ztjhXO)+lI$HG%wY>w@`iF{Fhb&`Z!Sb=2-#TerM)iwZX?9L?XwQ5~LffQ9b7^|FrT zYDSw1`E%A-by%2iagS`}5PP}Z=iqMm7;s#YJ*QOZ2SrU~Dx;maQw zHr00Qs#2wrs?{>O)~;orQLb9Osw!7D%(CnCQeL&SzrX`2yV0)YlzE5La>yLj>-dsk zU=>w<{4rI1;2~AJ$L8U#zekz5dF&}wD{uQD=Hi#;gmH%U6MZ=Lzy0R-rn@iP>vXa) zJZ^2>XMOnl!>aaFNvDDT7TV-9)LF&W$Wx_M?E$0J!;eSn$<|GED_^r_byc_WB`a3d z9Zy%$A0MrvTc50=$8G&pwd?#|gFey6upUEy{u7xx>(q|MT+Zg;(I+HSd2| z6(4v^57_hY=SM5+Pmfg6efO7C`FkGn-)fe&_0N4|#&rK9s&=={#hv%rr#&Y;BW0~l z+Mul`jZVzd16HnVxro+Whc-sb8h1aay7xS8bw8$u9=lZ+mpMl#Rmm3FuVR}E2MS;Z zg2!>ls2?O?d=B1*JqaEIA0|K$n;JSy59Z@}N7skrzSYP5$%DGkE5e^0Q@}~f@U`^1 zFH-8L)X1mA_#(P0umYZsjuZSMh>~mYP<&e4&IP|Reg?m0AArvcmQ6aR{OpH9A)hAQm_ zU;tPRM$-n^z;|#8`~Y9Wo1xI@LJ#U@&jgw|%Gq^k$#eDSL$|8dgHNh%$x5nG&3FXQdxeU| zr=N`YrempPzQY?T8D9-NHp!#@+jGDh_5x*$4?b*r1=}N3x$RC>x#c!hf8cRF*WyLn z8!cDr62r7LQr9n~PBV9_*$3lQr&&9f*<7|W8IO9vQvR>`Y$G*&cZ51l->FWs_Nv*3 zpQ~2W)~Zs~u)2!TvLu!xi=CC#&iH3N=)*MorbMZJEBM z@7KSReN6FK*?Thb%Z(5(+waGby6!LoY#-bYp4FtO$n zPpNuU8wbw_^@RQABR1x+r-2rM9_&4-ADV%mVP3#h!`_}=vQd~Dz%c!x}cJq!E==fN*91wSS1cgTv|3pP;?W2HR~YE6?J!8?3E z7#A7e9^<#j(eQn+6s$fDj~JU_2>oU+03LA=0ltyoH*^iMBQliF1G1yZ$Y2$+H1uHa z!#Frh0(p`#G8SYrg7zG%-zn{w2!?_U-~>U5$VJeQeF6P|uFwNnnzHO^z#wRuCRc-b zv0P2NU^`d?{v$JU0J@J6{14-#yj`O#J}e~k5xl|D{Y*ohlxGdGCo`;O-jhBw_){To zvadR|fytnh|66=dEGHu`;`hrzQ6b@X1730;c1d(8*bKKZTPh<19Y=R+P$>HR5TIlJZDhNssWo|cMbQ)psxI%|vPiuTa$ zygTh(mygT#E~~3ku2#<4wtq6YJYce8>W!AcSmaWIDQz8`QRNKXS@lkn2dcWR37yl= z_)up4x3c4JxzesfMVE?B72PU2R&=fCT+{G5gZne{iIdBhFYmUO+O=z|ckkZfV20mv z!q%3V{!3CkCz&ZTWv0yZn@BhW@|R3Fd7>C&qmIz#3OfW16BZDz*!<&QpL~OZ3!YQo zcIB!oKPhh;c#L)kN*Gy_fft;hVlq?C;{nTvOEr;jDzvh2k(#d z8(|sY4q=Z#y^wGW#mI-^6(J14kswNxt_XVw+XzP}U#T~oE=a3?OL zEB!4`C_52u5UR+~3k9~nQLDuzkpN}=W4>sI;06S=kO)*k!GO?=!j*YIc*VGwblN4c z9uN>22X!H&Q63>0N6};;D+y<5oPQB|8EYtyK#bBMqc>L)V1w}!ft&uYjwsJbzv+$Y zB!X)hH+3Vd`&?LN?9_pwm%t1Z3Mj$}qNTr_(1aq}l?N!4@3M>%wR9wG(%*)I8m7I` z6GizAsW+;@;D+ixx~sYjY^j!SR8fh|u_0+6&d$oCYm^w~er_{w#mz7fLms001cBA|d0c=0-5kc%#QMfMuUJ)0OO=nt!)K`qYGI!(OO;b&>vP4jHFZyg2D+_c zV?A8%8PzIPS(6@10e z>B08K_iz9KXE4$QETKI30}n@;iBZdOy~;7?DauohOkf0cIPPLFhW3v{%1cfhCm4dn z>1sIfco`ImC?!$qf>(xd80)~-fQ9gRS7s;6^F1!lz2G~T!5lGGXhff&vnzuskK&U) zS$VKKlxM8aiLs!pgw|f3c4))K%PD{07fMVFa|Dq>C+5Tr!<5JP7neuj4t}B7We*X` zvk&t5vhp|}p&+FUhQ8pWgOZXpLH`NjKrtMY$$Y1u%sp5Z%7f#SXHP&~jEj2dFXj0p zQwNH2cl1JuS}A%XCx&GLYm$lJqhK&jJ20Y5L<{DJy;(Rxo|9}*wxZZ(uL2!u7e_G< zH0IuN(Loce1^Ui<=M(28clI*6ozvtTm4C0IUd4%N?5pbXQ4=+L!T3n?r`4po@#oUU zUnoE+Bu&|+8zVMdXk6Nmf`G?eL?6+!@YWrl{hctQc5j8Q4O~bZ8monwmq^j}HhR>Gg@4wQTKmJ6_UOlg4V&D8kMb&%dE|LuRS-?0t^0jkGY+E3pf_&wML#z7k}CH;7lIY~ouD9?4i^9d$^ z4Yd21wFB0Mw(1z&CnsuUfpb1#Zv%-ATs2%NJ!yJLrJZrVA zyj^Q<^|mWnO>Ih5Rk^3{(-{w+?6T7tt?=YT=BQCNId?P965VQzy z!nlR(f(!!BOv+J<)-7_31RvRx!^goD3`gmM)Q}Z8L`RY; zFk&X38}akz%^Nx2Sk9FzS7tr|a`uz5`Fv-yeBt#ssciRw>NID+8h!euZYfhw z`3n{H-?OqNa^}pij?DZY&L>&Ul1n-B=2Z5}vMbv|Hb*$q@wPi0)T>1D7J(5sZu;Rc zb}h{b(>~F8ArD~ViqK_1-iZ6?cCj1Fa^P8?M!Pw=PfcWIx#g#K7R=p%IzEJGQ_ z$i2unymd4jD(CdzerpH1F*npt=3b{g^a_0;(>H4aIh{V!7s{v61_#Sz->p1j!eKM5 zyp5UveXgl5=vQqmv8K9w*5zmGgZW0zMmgwc(858w<>gzVaq^}Q985zX z<%6-aD)V7$6NhK)4ColJ(PF2*vGa}5TIJ0kJcrm9uCkoVa!$)vT4r4nNLk;qmC5>K zR%O5LB;_oa!=7j3o!N3LSMEH@mB&7L&U4tc?DpG9*)5+Gede(Lb0&DfuRQ0-ZfnM{ zgIxUVi*#Szj%q&kJH5PC>akIJ;VY@Z$BT7qnffYRs=Atjlh` zH?5B+QBO9Thme^Q=I57iFU(VJ+CIMh<1_Of&-pK9+VHiITn8Dn>up(`p+3s}LfM?w zzwG23d>U4SGDeeQ{Y~`i-(7dd(IXx^ZP9M%r)B0ghpqjnZ#j+rb}fvXvfek#eCJwn zPO{tov=L~)GZgO4=z12iwVTb_JkzlBuePS(c|4~)^Tto%AMir>r$6H{Hh4MX3GhMm zmh--u=h(!X{oH2(I~iDNypV&z!6V+RluYQZ@NsaxmhmPq5dCQ~!57h!`CFLbUB+L* zBzULy!FU!}ja`XDX5p3S-{AjV-mnDxaD0jJk2h!G!-8Io!_4q=@t_0xY-590reU?U z!NK7KrNAq}f3U}~(AtLYb9iVt2+gsJcHp%EOXC~@NvPisZlDb1;k)Gj36J2xiTWL% zV=VXn$9M(qpvOZeOy;}qZ)n5H(1(JC*Yuq}5x~-WT5k_hW&=;`U z_1cUn*>}EMpBNXoLs`dmn+N7AjW)^h@mMKCpXiI%%Q*NQ`hy!bkIW&uXmp&(gx(na zvg?eQZ`PcBqF*Kel<_1JbBL{y!!4ZtV3pAmoj-fIq%I%dBCwiz&@n@A`pEcvKCoq5 zrY?N5u(QwpZni4*tEAkuZGJf%xtL|+oxBAr>#gYmDQ$cN)UtoUHozhI1llq;UIr|s zk2hI9*)sKopU`ksTC*6`wIf}y%?Af;cajsl3pW%5AJO)1C`~Y5I{|BD; zYtD?z_+C!qe_$On%AdD@Zg{w&>U=QW4=x8wU;RdEGi9qDZSlI!x%~RHvfwB@*J*3{ zlrzuM-|MHU)x?czJ8g#^Y4MthUvzoe?>TZA%>w_noFnJ4d(XV=8a>qHCA)W%ACykJ z9Mb+wzd8EL6V+9)KqN1c>F>{YH)F|Yj7iMMDD!(L`%5?#=0DkH`gM?OCy7mI>&8Bl z<>TMeFe}Eb99B=xjQaMU&a@x;n9ROci29t&oFPzgRfZ*JQw-YpuR098Q`(@kU9O|6;4gK98 zv`t^=KleFJ;*8xkEb zPkGkcBhebcXPCWR@=#q8>j{4=-_Ni{tWWeA8zh09N&i{q9NRcPZP1Npf${tN(VmT^ zpkW{D37_I`cYLeV&r@}7t8=5iTwwW^0x4~bC0dklS(-O&;xEnGa-S-&lpsmU;(K!+c9Ks<^qr819 zt^A|WNqs2Z5C#df#8Hxyd|bhc;9_<19p^+G7L$&N;jJE&cL5~s0|EsB6&SdZi4eIbVcg>lD3m9Fk8vTe(Jula zaZ05>2xlk?F`gygVoBd{EOlWUfg}z}2?7y{Nraj=C%x$cJkGx;bukorpaw#r^^NwR z6~^CWf>tO>QFL-24zmPM;s~6a*N}GZ-|2!n2Fg&L07`_~jLIW)QU9f_F7*Ia=rd;7 zm|rZ<*a1S8dbz@q5}kW|H=gn53;A z0O3F$zgs^?Owgvei?!+d_1d&?qc$yGu1%j!(xyQpwW;5y+BDEUM|`eLvleL6H{WT~ zwyl=8Y18J-+O%oY|6Sfpwm$rCa=JP;Ti(89qjp;UcEe^(ShGuSE;*p~^Ny&+e5uVs z>4mvJ=#xonwPM6HZ5upNI|qECEdz#Y^QYsqedZkP{Ccgv-Mm#}zuBi(79UdA1&1|Y z#Xc=vw@uqNM|E$pzD8|r($1}$^xeiSnzVM8-mFaNNjqO;rLc7LI(mGpzpMCs=x{mltEhntkyYn|`{@0td&FHkt=(LsoCHwpD z=B+VZHdx=5tk9;Zoy)$A=4FWSh>6p+Y31suon1S%Y3GjUnSzF&SsAN;)09~e-MIJ5 zRoXP+3!lfmLni3Z@Gtc3$HSG{y{68ud8)Eh%c@fEmD8H-Upw!>u;OohL>UI(sGP-> zas*{0jgkb16ZA)L0X)A%^AgTm;0?)y5sE+!f7@TlNFj?eO8SIC4V=VTg1|jaX@fW6 z$bn)CUV{?Qm5(Urz!&%viZtVKl*3sG{D4pXPvr^Tp!|i+FLZoB5es&MQz+EHCQgO( z@^(MCN?G~>52ifhh0pUADV$3QJe_NuLf%h6t`FG~S?m@u_{}0AD4s=K>4-WE);*(G2&pz+y9=(+jEM;#J4ikXS zyE6{u*+0bhGY;PFNO^D`gS0!;fF+bA$BIO*p%g|zN_hf*2nYfT)0EScM*&NDqYuG~ zC}1%{gD1RA8!U9?F8wz8-IRKh8w!)B!SbC`hzS@1n{fc5U2qV?AdWoDD~3Xh&|GIe zdE+N@9m>;B=8cmML-`@|hj@961)S8#yz}-j0%g;`@fn3R`wZ&z{ReYo^Mg{ApcxFQ z$v4Zfmm!E2j0IQO)6uT)?U+|vo7_tP4fnGD1M@Ky;E=`%hwe~dIGeR*4qagDuvGU4 z)%U{`eLrfX_K%*d-NTGG!>cD4fB4Gy1AG!*2oD`*JfXkQi3|_=-1q@JWux&2_$vH0 z$;19HauOr{nVdl#@N%$_&xPxD=%bYfmAdeVUjJgdCX88Z{C1pn4j!)UhOtho!N!-t zHT&E;Zi;p;U8=1c)@#v*UF!SQ0i_zwzP|W?WA?g@o4xMshPSi@-tOFLZ5fV$!yg-_ zc3*Hvtqo_vS}^zRCHpmH?at_4!b23lfTy$%zS19X!uwhJNNa3*IjMWU@XSy;N<+eW%W2zSbw7%+c2$kJZjG6SZUUQf&r9zgwqG zGpruQ$bNLrLff-`uT49)M_3Cj!ZQU8N7}xY{RebgXXWND^82<89;1VwOx3f&SCJ!; z%?|x|OjbY!fv3XD;4#QH@F--B^oh2St#|`4MpLj1S(f0tq?|4$L{e*-&1`Q#xq$b=`%m8U? z4(A{GmOOBY1m+xW8aPHaq%YBcldXEw6H0OYtjasdTo@uAcue{oRKUL?SbC#7a@w59g z^M5{Ha^WSq^!!Uz;?fc-ep7Mfc`{EFz=e}sl}Lw&?1pX-Jt+D?x0#_ELY6SO2|XJ! z1Nt}i`p9@e$LTu5GLamE10`=WO76$mUvtPCx>MfhNFWFfgVckLhZE~h@OJWIdvLXKk=f-1!+AGd}AddUDnnXbXjk}{cApkP3WgJbUbkbEf+^BQUIaBAIdzMPr_|vfIymNHZ15c^OTcbSyvgwGgbbrkjPOGzu z6w-M`iyBSO(8Z@&=F{>8MT+X&e0f#kw9|F_{iRg1$0!e^e081lnzh?y(NC)N_E_Ei zcm-W_;e|TK+PUze3!*u=;_@hm`MBhgcueW$gzH>8?|fZ+hRrAKC;E`~KXc7I8!e*y z5_NDtwBkE$(PnZTB=d!K|JmnSeXJqswa>H8iR!-K!l*8*`;xf+^Dnwsr=M3sr<_|t zr`hMZ_CMDzxxmKB+M|v$?el#5pZdc%|J9tH9-)u4arQa7B+eIEpU*t=92Gs|TopO< zJQY9h0-aalB3*RRg(`85jfZ=$(~sPKjK3P@JRu~;GI0@_;olopqqnV+9$k}00Zz3-6*~Z z;DqBY_+jPYUmVbh-YuDM&c_yneGgv^Y(5;EOoYza&=g%Fv~fJ@`1|OP^k4%F_+@=2m;#!D zkKE@N%AN*V&{xVq54#T?BsSBK_|7%26B>lEaX7bOD!R`Bv!k~kqeJE}HvE9RPRdc9 zzL2TI#)CZ$oh<#P|BQn+oZgfVd!3jz=wqRckG0#aJ`TqX=mJfs*YJ(|**6dfL>WH> z-r7Uo3HGp8V-2CVB(qk~zq5uIhaVv!r1Z;U+e`u+lJm_zFGvOj}*?yFYmU&cEPXl?YhIT=41hk-(UM zgJ2gpMte#8B>Vq%o!==J=n?85A4jLS%_N4?F8Dw>Fd|@6z>1%(8@q?PE;8IW|9r!& zb9Lca=jyDpFHkYV(qiXaq_YgWF0%VCIm0q_Qg;&9|5KTMQ9t9SuVnjviDBa<^wGw5 zPKisbPZ#K9>r=6_&)3<8eHYq%mpDI+)y8>_eWyOBiDkwb=)v&QQ@?3o3i*la2*&*|QAK$F2pRf>&Y_bK4v;4m`!4dH5anGGrR?jPJ-< zZa)J*tSoW^@+EI|PTJ4liv)dxrN|!mWpcm)b|^C6@r^F;)Bjh#k2s*n@4S0nA4!qmSLz{4519CfZ zzSkcxE8v%nll=w<3xpSYuwQT<4c+20K2GX4ISc$^Zo<3_UpU-{ng`GCwBX|eh)8^ai2e&z}A75U^20f5Xv1xiBEOcZ3tZp!o!yD4uF-gdsekca? z0>?Ns9{jgCN@8ke!)h^ap2K21^TA{*z^XBj6c4wS8~i$MfopKcArz7njgw=i5H{ z!gM?}Gyj{pM2x?u>hi0uc3mnuRdlQ9Skbkjb9H<+IXB}vGV_U&&pPWYx4m3-)m3WJ zq=^qMKR_l$RMbgaDsy+iZPVCNhf*& z1}V>}U#{?r%VT)NFo_~9dEyF&CW7|D8;pW5i1325C~xXOnTG(0u;ohLSXe@c;Z1?O z;VJ2`isLMXG&cP%)Wm(DJVF!>tI4+};*5%6jJJPq8j$zF>ZdJ)8x)I4Ctk`UMDSKB z?@wGFAs!(U!&lPbmhTu^Q98Qdg)oGI(S%uqFM>{!4!x8oxB%MVV2m)2BGDDK2r70T zN@;{a0tg9s#Q2M%8)dN9kMM-RjF4vK5!euX2~b3^4GHBZPM$dHqJY3Dl;Bl_zVr!4 z&%Fos>WfuhxZsb06h$ot>MeVZ1%MvIEA1c%41-_ftH|; zj1mGTf-1r$24q(NnBdG@pd@B4LSQh;1%Hz%f^)pipbzT~B?^X9=!b!qdoiwtwavYL z>Jjveh0+p@&QsG@Dr&*dkM!f`Go&#ywQu-D?HV^tyOw;VU0b(l*N&aq_0<~fnm9wd zJ{hlFACJ+lq2sh`>{RVquuQu)Zqly3d$nty<=wlrYuBz{b>3}x|DIhsuxF<>?bxlU z-|kb-r3XEbviZE9)M~*`dSUK<4WIh0){L2@ABKzqPkA0@KwRe{`TU*n<`$2ClJ*0NlRQ*wQHEo$?yrjx{#C-u-S z|8L)+UCUQ$*Z42AYox8S*^9JmgRP&PJGFn!S{@nJ}3M2@8-SG#rn3x`nGbdc1@Wb(Q%m3kWcI94^AeZLYU{WW+RkCg*DNr(^Zv%k_0>-LqVY^S9UT z)5xWx+>t#f#ER7~7V!mmr|}OIft*U7KEVetvQZYrCkC|iyl=$f5pEbn`Nc_MU>*vu zBt|6@eL#`xe1%iNQ0l>FjK`peMe&Qlk_fsPWePj>F zusYcjxWm%IPdxAdWils+hkk~8JP6auW3+>Y(1`NvEx=LArv*jQ2m9oIjE`Uqm_I=_JHfWISLGLrLv93%cBScFYLaDUwhcsM%Wv<(id8> zcAzokp}%2|`o=TmdoSo=bHjd)IVFIIds%xKJzoUO5D1#KuZoqx*EG05Z@kr5TRt0W z4b0V%QHHVb>Mvscz_Sl8gkO)Eq+LUdr@~v|K~v{w*DB*FU@^Q3o|WWbztRjZKe%_N ze=gs=PyN;$_CU%vrti?y@yoT<`0YXCePFE9YIuxuhC6$w%+kSCtF?E>cCFa9U;S4f z@<7TrmK@R)!|bhwr{L@lhMC9O+T|F!a?4%~U41~^79Lhh!`SAAwH@Xi);r4%YWh06 zcc)}&t8*EvzD%u0E9s;fh+@)>$JZoXJmcU#3X6t9q<}Et7aEX2xGg)7KI!*mXEm!-A zo78>IPK{l=+x9$0CmZ|zy}NyXa@-jHogBu++GU+DSgKuPY!3-7ot_i5$7l-9?l-($ zJ$i-)e!fZ_CT~&KNgFhJ^kQuoK3NAQexdzetoz_5?T;VVLuN#s)d?0Ouu~eU2VIChvwrfN)?*jtlvWwx~ZT@4}-o zY$A)fftUI%Q$NO6ehgd?Ms`-_d(-zp38u^weAZKh=v9 zRx4%RA$6UxU9S!QLhruUNALCQ;lF2ie`fxV=a1g|NCWx|&|B}kr7B&j=%QyYiu7#g ztja`oG<04Z(!!n`IVT)Y>HB7MfyfiBE_Vk?>_Y^2V2eR!!3G!VLykc|hAe>YCnRiC z{bu!ZIfXqv_8|0r=!OUeVb9Oo;)l*3>Ol$qmJr$|Z~;A^?fKE|aZnF-L-y(fr=j=a z5EJAPFGqP~Hj@XjAE66Fj^vFRI7PZH54~4Bb|0I`66mt1C&(t)xUfaKo)DcCb=$q@ zff@Tpvp({EyY80us0X=(`jOYH@5nsRf&+fgi=vyu;gO(H>hZ&O(21eHwekd4B6nb? zLa#`9^nf@9V;giD2V0=YKFm?Wfekfa&HxW`!G7yHI{HF?DT}Tb8Pmp#4lmdWk=c-! z)9S>28S3WK<{rmWWO;P8^o0Nm^q2I}WvbxV$-PEzbe`BF(U(&mc?cN{y|U|Hk=bH> zIBSl;9c-o01X+uAkb7A>=&qqJYq5U+`Ytzeo%)eWSp%#e4!wh($cC&(`inCtj?peV z+Z-divu;>d*pbo0rcWHW=Q>fw!dtO!w`_BROpMbr0l7&V>clk@b=T{6Me8UTNO`7Z zqe-5+d34(=x2fZ(jxK8^?Z?<0-1f`5VXah;0x7dykxf~%#=#%FNd$dz&{tb7UcIQE ztaq1QYScjen|4ya#vRnVVN3OTu7!FvXs%w3?0cI|>h;pA>Sfs5>w`Y(_5S-A@`PV+ ze%G+$E%ko4r`{PbRBujRsaIA=FMKU^v8A1|Sn4``t6m*3L+^alPrZBf^s;_0*yPyr zw%!^rLN88QtJL|2lsav*UKli1uf6iJ-t5>`Z?`ZyHf*8Z(DXUWe1Eoy-mBZd|L^g9 zZ$1C%Vh^NDwKltdBfY#@dSliWy*+fix6|9&p|4K=K9>1>|2_43&oXn9eq3DV_qX3s zukJ6ZSBrMhoIcwu>H~AkcXHD<>Xq7Ez21E5*nR2iOV;V_CfW@DzwxGeb?TyCjaym0 zO{2QQ8hY^+^?K)Bz1QbGePH>$-o4cIjdxWe^&LIl_H9*6c~|Y<=&g6Hz7Jk~Rqway z=ydAUs)MaRyVu*XF=sT^e$2@PfL$ z^pbkM^t^htxBfJ;`7@gJ?qdJjn0kHmfqM1(NWDI^&$n!CKm*3ztgU*z@Nz_(x8GK; z&RwJHw9S~TPkr9$tpQ!%P@iTU)VzK<-B|NVWv_Bl^qhhx#!s;$f^qP1d?U~$daxSy zCU~Hg!xsXZB)YMe#=qpe3%w(F(!R|F!nPE&2tIg`Z+q!iL9v_`En@i-QKig3w?3g1!xGaQ+HD z1}sJQ2v4)}l;u!W622jI`_+xGDPWA{A8+u*pXCGj75~x5q zZ~}e}FUOWea6J9PNRqKSE;fI9D<|ZsjWTM^J8y3=KH+lTP!#1 z*`Q~JJQD>{5;$4ZG8o9)uWqnxYxl~|SE}y7x=sUh%^~3{#6hiL&*f{z%4hpaHf777 zEvkq5ZnexBjj=R$)ttJm&hONwVO4$Dq`d|->7@4?wvKpM%=3FSx97g=3lT2>OZ!;< zSNYk0Q)Ud#U*9(w-FUoVatRL-Z1qQo2DMqzBa7=RK4Fe z-0k^>!JxA8&i)*FV79Z4GW9RAJ5BnN^TRXjM4jjt@ zmRe>EVLtfecf+Y(ufDEc?K($ofooufw`-sL&v$;O9QXQt8O&>fPRB4j@jX2@)wjA? zJN(~`bz{G?Za(_Z%l9^{`Jiie^=Z*ouQhI~=bAsSr`o)wlC9rV<0f78#&d>e&04FE zmFd+p9xv^u@7Mn}2iowRF?UFH{Pe!kZ~Oj!=Pvs2t+({9^|S4(Z>w~xmvrB=T~xMt zSGBRRyz$BldN(!2Fw(Hs_ME+`qlfJu!ua@PygV=LPnheJZicsZjrN~^$?mo5U^jc0 zcWwRjeoy^g?x}vQyQ+JGx_YSg%_>^WeT4h4AdiA8@J9F^|AUcMCpJ39hW`ov9gar}hPn*wxW|2W zS>{j~>kGanfoDTI=;L*Qp-H?mOyX_YU=X%55;k1-kpT}VA2L{HG+`fsk7}^1vbSM> z;r$ME2duV!K~MHH1id0x^U@VQSs!VBEpgqfBWT5*2!9-CgX~S4%meF&{R0^1G&TId zHjC{RyDk`r?HE5N)*W&<<-tEP<3uiHPI%D^`za2chsMaA_&Tx2V;>0a@;d>b-bbSq zdp&H2tnc)177vMjIR0`d0S67lbAl}tnHC#!GWD3=5g-r_Fk&SG z+%UEwARx%5l{di=10DhaksE zuH?TZop5pJMB#^m7~#)_OX~v$F0LU2B;Oo?qbGGxS6X?iA0sTnA3}Cg`L}MzI#-%9 z9?B%kGY-Z>nUJ{mz3J~cz37AY-^PhECBhx23lYQ;5`9AeN70Hfg@Y-|NlvgqkVY`a zh>S9uH+RrK`j3Ly11Jz?I2Gs)%P4W}e@@{;$%-IJ|Cm$W?#W4QIJ}}TzK6^692oxpi&lH)be6g>{D57hqO{kzotrgtBz8;Raa`W>Po3uQz_N!DW!1>rKF@N zrArs3v}~=EI?pPlvi(-RT9m8SR!W1$N@>^L%A`i+DF18EojWU~Lp!CkZ=;m%FDj+) z7^O_vpw1@qcU&R0TPn4kx>N0YjZ>$FHukcWl=5_0`>d>#Dz%jIjM1(|bEUlSvQh?3 zQp$`SN|}2=DI*sv<@H`l>1g**cc+d~d!6im%bj0*MIHN%Rl6yh)ONAd-rDMHZKcfK ztCUaYD5XblrKEPU{y}fMucLi$V(rv?Mky6+-k6h$HI!1xa`>PAJljYqZEZZM>E?+4 zyW0QVx@MfaTBdwzqCEe1vUZ!=+6e8It*VrAmT9YgL#4E78Z->gm?4i&Cj0 zjNw0#!?>9D=Jq_)wRO(gX07vCt+u*Wt)*_3J5{TrIyD>XkveU4Pu-3xRkNj%dl{sg&I#=c1ui#EP@IiP8$}2zB3}u}0XB2E0qtd6|(|Sh%2q^4~ z7hsg(eohVxLDyg$hDH=&1e~K}gO9`4Gde*G2NGB4VT42Zg+i}P7B_BzS@1-Zt>7Vw zFdR+%1Uchf-Vc<9rK9rjaLU84xQ7$bP$uWElRqjKl$};T3b~|#il7gad^q#?sqNHH ze^87)mc{WZIMxuv0FQR%D2hw6^#KJQ?J-`I)MT(6Cm27Oyh^km01p@ohFJJCb3vv& zSpVRw4@SyB${W3Lc3~_iHc=FUT_~dc#6N47(_K02fjXcQ7)M>)=Qz#028FDZXa7JS zD9>rD(2Kh13s{Qd7LGole#d!m!}^2M4Tf*ZgEL+~;|EVrC{sRUqYnn%wDSGxM*9HD zLtB)W);Gq;o&t>KUt;- zwox9;=U(Uo9Ruz8j-wmbS<^Ti1v>kweDt|oG=82JoP~n&JDI?J44?!Sp@6=z^Nrpw z6v<#Uv_K)B{>_&p_CjE}8{L^JXlkFi?YaGXs~gnerK)hZm>MX=+@b2+Yub> ztWIzBQTq`K)ppJSwObb9ddi}olrm|Z-8;(KeksCP@D{!ePX^n-INAsIyg!!1|L}Wo z5qyNdhdBa2`JeesVq!8gr%7C+JpY5+;5Y4pv1O|qYYXgWy(H`H-YvpeKHD2j=`%QH zxaH+-{iGVsbZgW^U5$Rtt30D})tc(w+U@n=b1ACXri(I~ZU;S3r=6--Z>)B!3ExIGffptB`WzO(z5sl5BWNtg;*f&F z2Ym>qr;-Q>wCwm#gWiq&#(RIED+@TII4*C)Vi_^=TbdDqBf5RjRfGE-*COea!`&21+{ ziidncC2;P?AAi*0!-q5T36_r@IV>Hu{NrJLyZf*{UUOJo793WOrH3_Z<6-STWWW6s z{hrbNnfX7TL)yE4uj@O}-?%)1BmNVS!ygW$?8lKmkS)-0xvYlHFm{&ndn!fyZT9`h zZP>%u!@DjJTNrg(dG`G1sFHFLi8p-Emg~%@*W?wP6bato%~~94${Vt(_o*Ho+=}dl z>`D7L*jgWuBXI0QpNm}+Jts1O_mA>8|5b?s3^;I<@!>S-fs1zC>k65&Pe;zU$Sy%1 z7`0?n6ugLxfu799hSMYR39>8!m*^$k@esL!jAJ2nq9?RIqqjpwLJmnL4kAJ>avLf4 z#X3x!aS3cl%AzEK1(1l3s=?pyfX!nkCVklD~b zx~+{i7^~ff{D!TOH(?|b`fIMc%p0!{f;>JYmYU{8+eQ+S+C5htl6_FOE&xe63aYGtXI~(^`*qK zXDj8k+FCbaq%>uzG^iK$ zYmWF~b3K+FvhoMDXYXEV^G5x&Xt@qgn5iR1(<9Jy{0#jx{tIc`R2{MJUrk=70W-I_ zZnmW@_tw_-^UI{6-$`qB9Zl;a?Hso6$K?#h`S9Q za_@%CQCqb0ukHisANL$f7m=nu8KA)p;pMR;Y6E~{UtX&$mcAwVo z-lwBG?Rj6ZQb#7u(vit?bmS|e*}fm5dTmrryIp&9c-Kz2Ul7s5`ehHWO|p%5@&Zl%@C}t}cb9Ti%MtNSc(XmLIO?MV!iNHEN;|Y1ehH@X zOoJ8n?87(V?eKUGapQ0R4rOz`!t-zZ51fFv2HqVK*o#evL!uok;jhLg&^y9&vBwbn zO`v9)uL_yA=>xpS_&@$R=*eiGAT{`}9}p01WHu)Bx*RqDmV!gzg9nF#ALLLU<*g4K z21qUV zf#mR9FoFPA=eb}5pR^HUB|201GW!oQ-?(93cU^s~7^N7-+7Kkox?Z$wY&I&j8M zrZ3DP<&7?mU$*xO2Q`F*FAVlspOawwHF{!)2HTT|0^&1+9ns&EO?l{O`yKS8=s(eO zQ-%OdKgieSfj&cbY=^!^tnP3C9{WA^8t9`PZ|S%7nYm^Dp<%(g1wAmv$6|CqPmQh? zUFk0+e00#;`{B8a2j3jK7tDjMNxd~`{`d1$v2R7?uATe%H&Pa~{CmqbRt_x~v~bYR z{F1hNyk5rIGd5}*AwX2S*pMU%&lxLh`W^I`Q~amWu*1xwo+w!QkLG+Fvw>^i8+aP9b;tfA`h4S0dTIGj>OO0qMowI<4Wp;)=*aQXXxpR1uWg?~hQ2?J zpKe$>&GHx8G;x8(Okby$=I&Q(YY#l_yhM86wuSSz{iJ<|tbep)ZPTXXS;)z;fHPr! zwrtnogi!VTut@x%V-`oa}axqyfN2&ax~*UJAAR)e3Tt$y$stl14d$5>tVgQaF5zf-LBq?cWUXDy*jvexAe`oIy!y6 z)9(m%Y};WtP2G&oGFZKKU4*0HDSHbW^WlSrr{8?9!&B}0MB8h`IDGV=-L=8$owG`7 zhYnGz?iF;-GbR(kYrz2HIRrmqTZ7LdZ^CEYCI{BRlX%w2_Dpj~CcF_INqJ-(>}QTs z;EvS^Zv&r)%pY$zA4F)!d4!faE>Y+~h2%cFV2vo%W6%Hv43Ew3@c!9FuQS29mtOyNA>_hww z#ncJDQGbln@OfyAjS{;ki9>Sk=zfQ{8}QT1(|;?E-4c5tI7;GWE`E>_G^RYC39L?& z9pm~#LjFUy0B-qwV_&tlzgRC14&JStpzOCv!zO&A4b#5fXo^P2z${dzUShhXGu)Q*5(2Fof{|Q`0h@u@7q0f(b-s|_kmmsJhuw&dap_ci=u@#|_pi$^U z{RHA53{pR*7Eh zeM9oMq^M!-5`koPk@FA z&d?aAR)kZG_$XB9V^U$jykKY`(3W|xYHN=-vVCpq^NmTb=+B*grvfE&DDMp?sn}VC zRJ_nBDt_{5Dt^WpDt_jfDqg&}il0)D)^%*B#ZHsm_q4>SS%bWNmR$UAw88IP=+Wekn^ZQGg`KMg+K5y67LbBbA+6rrjdd@y8 z%KXpog>4Nk9Yu?rqJl+ERe@8AtMF;3tJoP)J*U&(^!@oyX8K}% z@NuNer(5>E6)mQMMNd-!%Y}=cs$$kx|Gk*e1lmwf8hzq17K_Ii=nWkx8|XlrjEmnW zce1r<*U!jTL}%tRnw(NZxvtC^DV0$E)HI%5H?Q+OP6z`>;Ez1x?yOQOQuM%YlL-fX z__G_>;N=+MDn+M_VuVXNg}|eiZ&>aD2pH{9=E7$<%`SZ!xp<_%ec2UYt01Xa9U>%|`_4>Jo z`LnT8p1BU?!Mbp2EY3iT7kcs>FdsN{Q4hEZ%^7b{dY5QX!X4pQ+eyPhGEomF>!Iw& zAqvGSdliC+QQ9-VzqAiP=??b$o|AnHnQ@n6-;_{FP$jSQMT@R^hkCEy;_n?}5vO@P%XsEMK zJ41!DWKoeE*^Lf{G0-B$xnlYAt8lIyI;BWqU4O@es`C19b((uXO-8QNy)|0s?DH@5 zx{DOb@4U8{(fZ_qd3DOEMRnEf_vy(lAF28DJ?h5k>V~!5j4eDnaGvh0Z0(k~ScMIf zi=13A>T8%2FwWbL`;zF7&lhtPFd$_3I`hi>{!;!WTua|>XxrP0_W<0NhT}2L^2v2@ zg?rhv@%=Qb)9!JsvU*Rod~%Uuj%5Xl6<5*I&(LXxO{ZI3r=O8QfBsXMe#d1X}~v7O{R(jy=NZ&?VHvz0f~xj4@3DZ5ao&f)3D)d%<65Mj!0@ z>G=(3bDya*@}8%Yt$db0<%swiP71Xnz6PJ^X|l(P4J(}A;@FBI3ONlUS9${-c#PcW z&NLYRVgnkdv2u`2@=bp5aCjO#9R7+-fP-mL79$}OfFEwuqE720yp1}+tfX-YIRGOU zr*48h&i{=MCCi5ZKN9i_eSpuVmA5{_`x!e}g<&-$>gNC&_^qEV3kFzu@Cun5EF&SC zV+eKmj=cd`Y`8(7AA5@=-T00}7IbiVHs+o1a&S4Ehz{Q-sNTyMKH#(jo@31AIy|1B z(U!wnI(FmO3(w~uBYxvL{2zM4+mWgKy3HdFGw^q=dwIhT0%bAaQ4h}2II7}!jngoJ zu$)9sAV0=h*9Cwz)^D7x2|P^hXP_JS!o9!m@cT&4kIS=nBbYPk0E@Ab^@jZK25&Ie z=!_i49tRx+b%un@PW?D$JC>Tf&N`uAlxHuMbZ%naaqc3>H@pQLc{_PnQ%K05tX1%u z6Ynv2bASRtrpZ&&NtuC^nJF`6rp%NXNcme&Km726)~#Eo<;$0A@#4i=vSf*tEnAkE zPk_8)*;1`qzF1${=d`73^!~yf{?@No7W|+Qi`Q%MvK9XOSIaWj#Q&?jcI8^FU$b5d z7B5iB;1pfk`r1gB#NOHV+1S&9eGEB(LvpZDxicVg40DL?4G7f!-N80bMA1Ib=FyG}pr+SEIwUcF?UM zbIti?j?2T8NA4gng1$M;kOAqBjgJ5tbjS2%)Z$StgNI;II~PAcL+K`XN}zu zTP^Y;^&;0%-W_z~Yd9Pu7ZY5HjD#G<{NS*Q?wd8@v^E+tN65+}7mswEBz;HD<3K{* z4C8vz#QY&6bBGbNL062EFf_vEM?J3N4F|~DJ@hXGt{gpj)Pp~eIkENfeet@*E*GM= z=Q?ZD=iTOmGSnLq?XYH%3vra?JM=)#=I}*yw#d4yC+w)a4G!Bia|bPu_u7nVqpMS{ zj^>*;bmX&4AmtgB?=%^`UICTvQ(7aIj&NBJT2Kc%1%jfS9zn0nSfLFLs&;?w^KQ@!?2Y4Y1WweG`_`u4-&TK@hZE$cl%%iig$W$*UW zvH_oH*~F<@X1KfTt1q?e%M}^&gkNi3zI>Thf3;kzzFe;9^A_pN5!3Zd?+L2--VD_q z@r~Nd+OIbjAJoWYo3&usms+`eNgCE9v1!WU)p~c{7NyKPsJGWh^A6d*#n#5bJ%_Ym z`h2JBk{<7CY0nRhzWw#(yM49l?LJy=-zN>3qW7n6@IcDvCT&;c{)<%gor&r^Xo^Nn zo2M1l$2DKA&{r##|E&MZY)|Bw-;(*Oue5BXW%f#wZ2ks*s%5?UNAt>O`2O}sTK3_` zS~g~amd#&a^UEAtrp|vVXwkQH9(7(aE36%3d%9tNH zHh~ebqd$)L;ecrPJqML>ShnjO;rE8A@OE%1eS#Om8(seimfjy7OhBMHdMxyP^acA8 z{F-1t$0@K8+_4M}VK*gE0{#xJ5=?=;3S4vih;h=#5cn4308`;#U@6}zW1sL*_%ixH z+DFIg7|wXeb`LhvKqubP2=B%|hrP;my3|j5Mpy6%I)VZ4V06Lg>Nr3EJMUF(uZsFe z-Buo~<`8ZU3&+L@o_jFA^$k5B_Be3Db+vX4eJofFHk0}8I$HaUGL+>W>g5`GL9iMu zAOMF0^Bi+*jNm@=$Ns_fpH`mz3jQE_J!CFv9b63 zqNncdaj$aLvbJxt_QKn*2&DXjWuxECFW;;;r@iTG1uW%W))qANAX>&szgc5^=dj@O zZLFtf)9E*#uHV)Bo&M7GFFLpF1HCjH>8n1*SBh+r2C8Uhs9^(yugN@g{X!uwO44CXQSDgSIZ&qQi4mY5VADTKVBn zEqUi7&+zc&z4~e8J0Cdzp4D%Z27kU%FV5SqW;1?J^D?)6^5I1F9Y4!tmZjb% zc%RnqjPMO!zG|g?w|Sa5TgyKEEaK^5Uu3xLpWr9>F>JJ!O`jFj#k^8Ss5_(jlbQ15 zMYhM9V0h7gSkw;q*%N$b-FzOc8_KOQtT7y2zG#tFjhmoVeLvQW-h=h_ho7tQp!sS# zXrA8tV7%t^9;8+MhiKL36TNI&JB%sxE70J7lewS0MWD?mF>Rm)*>L;IVI#G2`WN2D zxRqP<_E$gYm9^5aU6Or>*ByR0yzjG~d*A!IR9&7^@t!}c+EKtu zyc(ynVM|`|;8$C0K`0lbG#HwBI_B-;g8l3L_RUs@p24C%IbGCZO5pD2GRMY{_r(hw zRMOoRrIvVC^i8byQuh#Q8gZKyk0`wE9n#i+{M+?WXhWhHcNtTVQ=iTB1&2B;v2?(r z`-hG}lnlrNHZaMHuPIpB_0Kx66PKF)iZlH3WGmYob2X3fmiFXpbzl1-ZWD+9tCr0x z5~-I@ziBVxo^^ni;s|^$44oxTgCg2{_AC7G_{C4~$#=GC*_);JFoD;6 zyy_uEv}gRkwq(D?;`91S32(PO09gaX3qZ`U#$n8!l`jht|J=FF4wihKTZ zWKpq|fJ=a5(Xsem+JH2xNXo~H*UJ@db<&|o6}!=jNI%VlQ*Gyi?>+f9Zn7hfaRzO3 z^02%{e7xytO2W-BP7pRztYy>H-@l7;(?Kuajcmmw(~MgOvrScf)t1$qo5oi)eU7?) zrm2;UcIe5&ByZ@F3;pmASydL4^8LBrKD2FYZui04VM!I5HXb^al3n%rtgWfLR=lGN z3JnYyyf&)yjye7{UtyBO&pSMd@YJvpZu{(AcAz&^oA0Dpwd=RD8heJMFlY?yMV#7x z+q#B9j~hb~wPG-mmUILY(KxzB}zDHOA%r>azSSSNg2B(bgp*dbU(T zC%7?v4(^s;;#@=$!OE}5C(7T6UzbjPyv^VQz1(fef^_XSIz8Z)Xyv`*_`G8?qWJm1 z=8?-ptnHS12m|-^=QEn6WKQGPWU`M^@J1^IX-WS)vwQ78zxvsr&YP!E7mk%@b<-afG^H91Fa1S`2-j>%HW@$ZB6Ajif}*Zdp)47N+IaGxQ1H{Ep;@s2aphigR_ z+eW+LCaeA*WDC-TM__t?E z9;8S`5I~4POw;hEjdEU7?}_hRgmyh~*aSZC&wn`5lLD`gXPq?W4K=fi1dqXG z&RJPxoY*5!j_Q=mf@ZEi^?Y)ksI0^48kDM6419R~j8ST$zw&k>l&LqEqlwj2!7@2_ zwbwy4_zDcee>S1vC@JuNTocB#M^*7Q?t#sG7dtrEqWxGlT%ss-yqD->iqM z*n+xM#an=*yAZ+=8yE7EbV{*0>d8_;*d4lzfH~k@ zC`>k_-(M76a$H_VKt=mit5R`2b@nM1Ki@`jaW|XUC%$d2uYGtp9e*wGzT9`Q=#jM7 zkunPoyF7w@dl-^i(bcqNkNXIXb4~3v4C~@p4Rh2Grc>&M!*X7pCbBQ}hONaEiSaS{ z&FrZTHX91fD}esvfM@3uwgL7Dy11)R#^bEOrQ;eI)Pe2$-6$-+pMeJ3v2#anYQDYD zO? z`z@z_AI2WkRn2c^IpLF+bkaIOca1LaghKZMjQi9Z;54cbq97i+E;a0MpT?`{Y8o&i zU3PQCicC1dsPGZmp_Qu!#aRKVmQH%RLrH@F3MLr`em!Aau@`S~-I;mJtd-qgY5Dx} zl8Xa|7D}G3TlG)4XN@HZ2F<3Xj94yah#KpedYpoFOHYjhJ(l&L&w7E7CeyXFpi{GMd;d4uvp{1NaDOUl*>+FyLb{lPCru+#tE4`dSY6D1v@ zJrW53DZ(vB$;Sswwk@bosrW@mOf-p@irWR0XVIcCGVr%KJWX51O&Bt_UJbhqS`3b6 zEe=D&9uHonlS8;*&Nna4bE!Q&w43%M1u7-~z8#J<-jwhZy$b#?iS+>P<2QK?9FIz} zz*CaH5HzHF07(-CM%e`15}a`Mtl0$YsAdgCGEv%!y~T;NQ|e7P*~gAAloDWe^F+x^ z60rXt@+K0gEjPRo_$KVCaluUI;c6Fp(MNbZ#0vhLAz&+Tjgz37#!>ncB%432DO>6o zw_IX~I1HiCYDd~3*00Y?P5OtQgG!5Y1}~mRpTHAj!=JTw1(fatn4xJ`p`U71`roX* z3OcMY3W;Rwn&65J`RX|5SOQ5g5Q%|KcWAJz9AU;u+wDSYBf z{q6&;%4(9=Xg$JhXJ-7HXkMWp5*=Fw*oIlVnA~%;&K*(h?>_^;BnT@MI z+!BpTrHL|MEkLNLIKQP}qz&|ID~_GQL_=49 zDfWZesyKM{rj*SAzh&xSB|DFWpG)K|ZRSsEp}Fak~$+Kp1+% z$u#FXKvxK;RgYVz`2BLuv22GFR5qIgdhCSM9J1>MRHaaLh?>!UIn$ju|DE{wo4vh| zQBbc`A=8z^%{%zto#?@#?8Zq0ckql0#{)!`GVc6yw%u|GJmDMN2Scw5lC{tE1>@a^ zD5gF$Yi)OVkPZ;pNSbbI9pz+>c&V0|Er*_%jDA^(KniDR>}kfZ)MfJU6TH{n4B>b^ zyt?k{6?B~aX%7}jSgtnobLuHdyr}bP7F=~^vnRTYB$DLlWjxYrXGlI52{apYHm?#_ z=5;So1qvA{*j73}sE~c0KMQX04p@8oKxuk+H{EvGJKcsTEm^zLlrHqVGY&m()3-ef zlCz})-37%mSFW{;$pI1Ug0JoSB|=8`K!N8CFiOeORUeSbI-p!--MgMcO3w(Yv!M?h zGM?KGa##$>96_5-*A2Q+0dju&z1o-Sdiawxlr?u_Y4onI*2ynF6o zb&+56sfncAU}dAFHT!UIa8bL3|L1JPN(`l21<_p^H;<$@}`htc7p zo%n~6KN0o)UW?M>g;gI(4I%i|Jr!0p@hWze#~{UN#O}J^Evg0kZ2=!aSETjg)F7bw zw)S%M`k!mR^p`J7pLlX1Klu zpA@BJ#kqd2EL&sfyxfLwP}&8|4d1okgJi&(9;lg=OS?oLBCJ|yW=BC z@1#n87OE)w(;u8sr1hjEpoLs@CSRTbt=7j(BtixrnJ$vfFK$@zic!bE!q7y1SIcJf zYPrwD^!B!t3w%qcpMF0};wewh$E6gwrH%*?^wnM6J+IXq$gEv9D?{5KRgUgb&tWS} zfy-5w#t+JLx`qP@e?4V7!H~T(@c1e@OrsNJ!C=G2_`2|{RiBx@Wn!->%h4y$v{EU! zkX&B{?)wo;pUmQdO!7n&;~a&wN;BOSNSoJ-v_Z>@dDJ)g)tf9g*pIJoU!QzB=qrfY zx?8-4BALIYnO>U9Q_T zd6C^#EY(v|aS_T7G4NRO_Y6r4yy1iwx4*fFNd&k$X7~x7Q9>KfEpP#eeU-f^M-T`q z&7Z2hAirhc1eLiwLC}38kQUN|;!iI_dLfzSlAw4fX+Y+l;&Cz5LLvExao=oPvpp~_ z+7^ojVijBSNcDi!F^MT&&|ST5iG<^iDvS7T&@ZE-wLp&k@{$_zZ4!$`#ztlnE(7W| zNjGraTiE^I!z~E?hl4js7ZNKsp@SbSsIY1SsXnA{vUW#%WZLc3 zbblp4twRbChQ~@G^Lsz~A=#PY8M& z-@*wn_W6Vmbv&=yotl+52`7kUxS%;YIGqjvm6ugxcuSCN7tAM(p4n3LFC#d}=;S~4$&hA!nl8mZm!8-J-^Y>WT(N}$12qn2EyNL7CpDNW(jfb2u z@=E0h)&Oc-o)LY6Ca89U$EP*;@>svK&iTmxrlWy3+6r0cN*Nz`9X%z3jF} zFBcDpG0uZ8XJ-ZPK_gVlzpa*=2Xq0nxV%kn262Lz*#A&QB;sy+AbqIrKWgOZ-{ree z=!?g&sZWZu<-SmC`AZZt`HJMvtM5+eM*3|9PYproOv^j(Sv1TP#CGCRVu8=3qX$YV zU)@Q6X|d&B+$5cgymzBwB9{9j%{E7T#&2S^8fi9(Rlv%6SExxbEKUg|^(C6ux)(GX zX5IMvdhf^a5bQAM!EdC!fM>kskW^z_jOm(1<*UT2nn`*zuHtp(Y-0s0E;but9^w}M z9wq}XZ9@3=Tb8U8g_oH6G}fOu68IPMO&Bc56folFZtfmDcuPjUo;f}k8VjFoypPjS z7ppciRL@~6X91<^B|*4liT8_#OFk}rchoMA|0U#F!NP4^@)37LJ+tg%5=(csv$fM| zZWop8V=U5(Qobh*EE~H&zvq)T#lm zNYX+uX|4p;sb%Xr-nYQJ=D7=ksD$;dmwjgQ0nEZ&hGx4e`U@MwvgIorLbH8^PUZ7S zyK|%S=08+)XB$G`*2peMMW+_IQ(OF0<2y28c0-;cPH^FHc|fVBqeE*Vv~pA@#ru&| z*QalL&U<4!MK`W1g{L}Ix4hgiH)ZC{t+I0}G|?4q43d~HuOe@kDJQ6&n_c6M!y3SBVBH8YDsQfe0f&gw%ZpRo zlSI*=UPmy=KA{wn56~uiRcQ&BeSh;~v-<|8>m?|>c^iOI)SI@&N%6}b_gk(tD}a*O zs3PH8^Y(qDCz&FJ{1>(_TfOnd$;yW0)c;jd#V<1DUifnjut)4rV%>kAo`{qye~(12 zgSQYCZ|`!$cBsBVx8P!ikBRkdzWU}xC^Z|G*L(kEmw&Jqd5vVv`SvxR7_F2u z)Wf&;#qEdRlZs2nyW2XxAF~7|N*xbJ6jL9wo>#kAEkCIUhZt*w)1lq{vxf-IV*g zoEORl`V<)HRH588V>gctW;1S`h@||4s{b9;45#QLXav30ojyG-F%9M}bOp6`irhz) zQU_zZ_8PLoJ9}~P+G=ml>Y*x#l{m+0J?rJtq2d9;^``xH2lZ{x04`ml-E(dF-A`}> z-bT1=kLD(AWvnJulg(gv$XM$q1;`Q$K3M0+nY1$<`9sWMc9HYv<8^R}1NBOpP#|(< z@&4&TtZVsIR+XK<C zt4{FMI`&v*^GCsX6|}C@HtxjbScB3%+I4{t`h8r16iBL z^_wM3M-)BEFLUgMA3-Ul+hRfbt#-P5hh>3hIDLkXl>u=^5Lgn;LievsBLZuu3#9$X z8m|9Y=h#nm#KQUXC)v%WjxH3)>Ui)S;$&2nw?R4n487#wfWOBO_HWBNCpM~Sejypa zg3A4;V=^jk$^&`Qx;d?Mr5@iz`qHj&mVCs|>6=%|EOT7F@)UN>QRH6v@@ZiokxOn? zUDUQVd=Oz2rNfe$HDA+W23}E`0Y-vc@q}|e$T78K z$sELXhKkXWWe|3JtM<>vq4}r&>Y}@$k0?PlPS%>{T@kjMFhLAyrTE{dtMM7Dhu08V z8ydWXp}yB*-(Jh$7Co$d-M+Hmj^aGpPe@JZL5YpJa2jSn>%|?4P2!hh#j~bBF%9Ws zGm`Oq-OmsONJ_3v9NN0JpzS^9sWw6e@6TayY=Ylnw0-BLV7w|)DIEN*eiO8F{+@8j zNg%%v-R$Be7R{~%{I`_PC%QlnX|W`M=0WG#krji*AVy%gq&${<4U27$RoWK6#tMbU zh1CZ7JgcoU%}|11uk8k|rB>6`Cc|#x`OL`TU_(WmP{!&3YDj@-u9T<4hh)3cuew-ouCa2gNUExnwf-(yeKr2qZVQ(b*;<$t)StQP-w zO{EZdT9n!h{T$Ag^xGkF5)ZmP`45R|xc$Ha_B)N^m~jb{7+b1V0*91lsw_a!w*G67 zY-cf#w<3Kf%uC78-iqNrTV9Ra`ajlxfn2dO@G`MAq7LXy$)g4BxWFyzobv%&7m!S% zpE!nulrJB!u-@53-vI4AEpVG&r*%&HP)q3MA75B3DU= zXLva^%@bM0x$uL?fDx^NT<}6EPb`g6#CrlEhQ~Z%zJTkXPPTuy($$~s>va0JkJ6(G**cGpVE>cTp*f*2*< zwi`$OlX^}?Y0Q+l=(gl5CL_aW5VXrn!690lLJf%4_~)Mr2V&@0tm>#b9r)#-4fb<* zqtk&?hU`x8N$Q|Sh&}DquPG`jq<)gJy_)%`;JnrZf|!k4{UGj~1`wcIs1?u~h%~VS zAM7P6CIMgmq@cf^2uA;sf4#>*vo3T9oHCR|$KmH8X_B8$!eXnH;hG_&@vTLBdt6NC zo&B^2L!$l+m|Bnaw42(LbYKAmw(B{H47&lT^fh*6QPMgSJ6bss0r6v79x_JH_#}G=tD<+s6He-)u<14GDNDS=uShsUwEe%w5Vx5{P1hNi%;x3!0>jFL!36>Rymh zd$Q)9_gzurL$%F7M%8mt1t@&|+mGzL6;2VoK25!YLS2!x%tFzwRHHb<@*tB- z*sQ07qPRoq2?7JkkeE`O7JwBN_5*ccxUxlD8ISfqXlnQqlZ4#YholcjbbyVjl+Lji z&VW=gxs+z%05Ay!0d4R2+tesnUg84f9dBzfV^DjP-+?Wz%)@ zmCCUh<7GRJmAzrFc_9*Y^JzUA_K)DBPv1R9xxyl@R%kH*~vC`QQTud9mC$@u} zbW`fBh*8j6GheTre+xko9E=?s$Z6V(Rl5KCdn$bcsZOm?<9ivn{hKcPE10xP$pQ8` zBC z)ro^c?OIDJ%fku{xA&!o2!D25h^C{NzvoeqQP5B@<6QCy8}EP(G;9nFJ;rZ$7iX8Q zaEd~Mwz{Q7sSu{zjWn&=q2=@M6o0G)ejkYdFa0~;5mYs)EMD#|CqVK*5ADp4=ZD9V z%M*q$FV8o9>r5xY$q`&wPYr6VH(miWA^Z|s>LC=7w*9JJHEVmT5Z(4D$U~ zw*zie2o7KWNcEOK3vsCGJHnGmmAW@k=De^%_mWPRb6mHeQylPfpH61DYt5E8=b>k1 zv(q9Y_#bJs+D`yGR!k%}jv8>Efpv2tL+0`gf&2Ue3q04XYbNTlF~SG8joWdn?VH5a zHZkGn8wN#p;;aaA;MG86&77s`_T;A;s`i|f#}e}A_XtYmMe>9`;iDMAZ67L%?nmaO zDX&!-l$1qghoojJt0W(_0#JjKZLi(Vu#j49U@mtT-C{*TVXw8b`Qhc@qb-sAMo?cMEQF9l6^z z|CjIaZ(`;wc@vtVP}dw}2Y=mW_n6M(;ym&O`vxQmW5U@qvy+>BFC$U=l5o~Anszd^ zPXqG0Ee;E&C~BFD@7lxHC;JHF@-=HiiLqLzLtvfsx0W{V2Tr`)tWglxvrX_1Yc#_~ zD+9_1o@N?U_Uc+^pD*Rs6SIOMcRtgVtOH+zSB5WcRda}M`R~=Fp?f+KrNbZFkOTh^ zF;s&#`@T22C;Fen9hYI2^nj*IwA&~7jpQBNjE9Z!@ckl)Y%*J|54Z+QxlQf$A-*_LUTNsPV93!(^BVx`MpwUq)_bpanYh{5=6ZC2B%h1{!F3VwZEI&~{ z>VU&AlMugtR@V;!vOMw5TFJMtk3Co@a%`%HgiyDYc5WU2cjt1K}4IB zyebE=Bwp&97{R?LuZwk2!T#lB-XFVj;A3wDWxc;egJ}E1aY`#h_aeGZ?=6*0Bs>ii z^Lp3=#P^j(P_i#pus zOWsUJ(9Z@>fv8%i{MFQs>0Qd2&R0wEsYUJkqM%cqNg9 zFe?_z3pZTm=GAW>o79&(#txd#f?U#@KTs7zxHYXp+mDdy57Qe{Tn*c&|5Hr*^w;Pfx301FC=IX-`kL59;Ifb1LFeP5T=uCWm} z^OpCL5Vcu9Bt6uw@5`cBoe?)Aq}Wf1YpSD{6mTM0JUW@0QojAn=j$YRvu{3T{I6}^ z7);K)FyPVjY3 z4SezO5S^A%RAB=|Rp?qhKabI<>4UMM;3iWhzA+xBZ=1|VM4YRnMH}@o0!3?&nG`@) z$O=HodD%U|c26CG6}lTzLs(3Uy1vCNHr->c6(VjPF4~6}DrDMa-o*}+Ls4R>$u)pm zC2E7NYV!#c82n>qWIK`rw|dd9!`CRNabAWuM+UE(^?$gGs!K$}<+Dd-@rNziES`0Y z_TWvD0iacSNWqSp;Qb%{L#*$@$G9r*{e@V)fC}VVCtDW(FoiS(m=-}ICuTL#{3HNk z)OY^4HIhwQZf#ea#Jl2TgoRxGU$=30#j{X$c~qR%8$MpJKa#?R9I7Mt@o#IxRyLEc zeocnnzERRSvY1Fv+awQv&6X7$D^@~uV1I+w+*|*aMVpR>kzy((o{bvEzwzpXDIM+B zh|y)GdF=7j# zo8h5Il*G-Or6$VfXUD=_;wW%U^^q;q&1!-%>F^9a9p-xft-Hwt8jaR>-Lw^ zMN~TDhqvPf8td(B9_LQJ=lkV;NA38T`9aOpYn%O1)YN#gB4JE@1BVA+#iv(wjk@iV zqPW-HD1zTtt8G+y6ug$eJf)B}TiN6NUPA)FzP}^%k`h5KmH76y@$S7}Oq()^1cBAR z*YvK`J^u89*NbrJR9DBmhl35^7D$f>wSx~;Rd}sMWy*&0u3reh>WU0yfg*iq)g6#m zyS#RTW4B(IO2#?0i_ZCm65iT0VP2x%B>P|(`aGxB-N|!&ZPeFEdEIb1bGa<#{?(U& z%9TP=MKuagMF<@Ie9ibnH+axfm#*%ePZXC1ZQr$J%C0Hp(Y1KWu579}Yc553rHivfL6y@9v7;$e z=w>cG_bkW4|uojo+(9} z`FEW*083f-7AmHoW#`Wns_lvaN~CuG;8{TbG=EP6z|M)=Vufe2ZzZAYAQ?lkMN(2p zk)eFf-`;N=peZTtk9Y)R$@a=!B!8{wUlCr@vb&EP9qo!>kVj?jwWY~)*Xw0xzEI10 z_wEJTMjpNZBlO$X3iQ2g_njv(Iwk{@FSX>o{?S{Y7XkK)L~jWAE{tzqiZ#2}aSxc_ zB~z#jodmHR?*f}{`redaiIc9$i^-FX?(gWLa`lzg#%s-y_lBT9Li%?)Wr9=|_+{H=A?(nR`N-&$eLEYH*U_)^d2)2r=C$vQTk%^Kf z^JKXmc^1qt(Mh8G@V~hZ5-2*(u9A$}Qahv^V;O?Vaa6+7J`1MBUK7&#-kSAz;c_7Q5)ZEEx^X(nykZCw-vQ4d?_K@FNoIbMg;o^Mfq+ zSMR&zL;G{mJ7s{D`PYn0pvzSD9h{RevD`Pt9&0Y94GQhOF@(}-L-Hq?wUXS=A+;kk z2W1H(leYQ#o*DSkZzSF)5xT<^p5;ToX^>`MNs|S+r&Ufm{97F|c*q$Xbf}uy4!!xz z0-hv?K~}^PbZT$|LQByyzWP@3418BpYLCTzB&#FwzLN0B{SB^--MO=pZMb}=Z$q$S zDC-_~o8%QXE|wa4kH17riJi^7yXLD1&!f3wSUTF{w))RdYc^^rFevHZ$s?XD`T#s$ zv{abvdve=X4lfz~Xfj}{OVJWnvm2it zMzKX(@fv(dcB~bPzW((wDde{@17<@VZ|<&|GImfZ2JO&8a{F#y7{UftBqm8`%weW| z^P1l(hHulHhm8jJB+KEUU2sIg)GxaqcCimYo{CcgkZPs|i2Q6mxO#Qp`#}dHdzegB zEVlMtpS?veEZjeA^Wv#>ZVBvFY=iw%^@gVC zA08c7&&+kVo9UbTRaSMeSespB4(ppbSj(C(nENK4wIM9$0JaGdh38;1-ypH>kWOFpH~#=PBYIduWo#Jf}iJ)KAF+)iB$>CPEc8JXW8B9 z_|=6VTr&cBYh}!AZA(QfGHmvKovxK!Q#m1)aa9j~c}*-7?wgf(@w9v{PHR!IGYyR6 z=@M{6EOS;(3O6|I<~Q13zw74dep)nm=oo$0)C8Fi4++fb{5dKl5I8k)&*PDVdz%_P z3BfO6vWJJ5rH=fbDQ%(b(um4ght^s9Q3>g#J$(3K)uZ>4E%|YsD$lfTtYolctZ=L} zT{9@WwQX=Y;tM}*wK&4cG&E*vw{7Dve}!jm2t=l7QQxC*0mmh=pCs6t> zolwZPeuzN|AaCzUR*ZfHv+OP0>*j8wVqM(H-=*x?y%RCRE3yK1Al)6VNq=|_1E<~& zyu%uBGw5-eqN2o43Q4NNv+bCFrWFy$P?b;`5Zn%u8StRVZ9Ss7F zrP8F#M_C)f;wv)K=@#Xt!XpdsIslqN^Oz!fE7ZIG96-9`+jON->aEAgg`%z^C!Ol+ zHjTEm+h6+GRZbJ_1`FB2F;(IdIncKg_#GFa|8%?m#nNE1yh&pO3)6QhW$)8X3c7*j zJ3(pl=T&@N#(&(mhtvIl&VZXbl7UEG33JiaC;b%X=ZF#i@z8F;=XPyJv5?Lj`^PBP zmg}5ogb`*l@~utPH&4>`^j+0wBvP~N$fqlNUa5O&wHtK^r@a4Mxk+7CoIIJjjw>THSn;y-^Q#1xTsju?C=ALT`z zd}*@Zo!lHGzVpptD_iiF+_)&N-z#=|%)jw_B9&k!3-;@`SW zyxY^-6UvPYJzip^MlcWNXwQf$-$dALU=xo3UtP7knMnD=3E;C(i*K=h+A zy$1ZcbifO#5h0Z*246-Y0hhZs2Keg{bN`82^SyTO@E$zB$mDs(kT9>_UIAsFT-B0;~RTnPpS-UobLLEx}kp^fz_gFnLo(%XP-7Wcuh z%xqR`si8_kYDUbyHrWud1^0a-g?u7+C)wr4yGpG=eqs%|BvEX}ov3Aqsri;0yKO%` zmHf44IP<-Uy$lk&7XrrJh z(fh6Vchm(|0$PdCFw}^iw|;=akmSF5vQg@>XdfX)G&#fq4?7YsZ3ZGpQa1@`%97ly zKC&D{<)QS;yen!Z!$q)Zx@%~31w_5SAaEiKpLG~nBo_Ll!teo85hEgWm#eKr5l0yQ z``c@0ll%fK7g|8Gp{!a?!5TQEY7J~a$N#Avx%h~HINdEeEO*+s`noMwtUca3-Vr`F z1WnMLu6I6)Cfymx)cBi%ew=OHcrQ3ZM zrA408F{e7)L2Hj=gn>Snj6SCB29f%7lV#jNP_%CP#q!R_INz1iXWj+-yB$NN?aO6J z_m+4DxnSvB~@(*I~Rka59TCjuRQ_qINz;OUvZAqb*z z`uyG34#}0mnOcpnnb+> zB?ZGa_JZ>!+8cuA7M7*w=4Bh)f7Lv*Iv}DiCGHOmTpf@v6$;-Ywe!zzx8t5YLtb75oVw!XdrG_)x($oh z%tx<7XlUWzggm;M;wY>21BE6FC$e@YuEuy*tGenRX(71S-y_L;`}}VMj6KgCI;Ag| zGWeb?vfh1)$%_f&KU1d{dQGD7t}08B9};6daBRwM5AWGRf-jr`b0jhIUU}0|Cg-mz zG+l|U5xw{r5UUrD5zhOGUd8mD+$DM9U%IM0dd$opcgL$h2idBb^DRl2Jex+?xPxar zR&#;Lw{x$fcIqZJG}&Fc?Z^g@!0Vio7G-HYEY=jkFMO!Gd~UVxKgFO5B>T}sL5%2o z{9|2`?cBE9)`cW;wfal&HtssnzH4xTtZ|@qe)6B9Q3cV<-LrczVJG1QB6NJ246lbH z+r>u3#;45fErl!rn?fz5B)t^q>qN=_zU##{)S~{B*=9)n+mAGU1Tf@d#aqor>e+Bk zpkSQ%{B&bRi_A+(v!lz9tXspypGjk7_!_3QeA|jE)}OTupTsuG6JxIFd+hd4K4(W( z0I2)9(ubchZAHc<#FD1ms_G{;b1~*Af-QJc{(8=fKl0xi#>Y)g%+o)%I?p*HK6G2b zCKq-D!v!e5)mInh=Ej{vA8dnlV+ZIW;@>TM*;o6z8WfujK>R>CG?$eAZ>1RH&KFq$ z_KlZJ_askfG9>=q@*no%0EO;8uK1$gE=xUVw;waR-$tgy? z7uW)q9rBlbd~u6L*$ADOCmaZIMg|>8UyQPm^i}qt!J7G_wRXz_f-&<7xHXH@ZZz*~ z=&FiOdY$eXtIK4|#A~PeIQZn>Ly4MyoTp1&(np#~i-_*AF4^1(y#=KeSss(U$DvMe z>`bpE*a2~I3H!2Y5|`ch(T$?~yu-+`n?=C!)(G(4T+OU)oT?2v1{&TNwoH|m(6uoNm8?rDm9j!>-(4iS7T?X>RmJp<;2iW%_Uod$%i#YfMRT;H9!;@r4|?Wf zy$Nr2jhq-PLf6I&RKOx|d|{o?%ZEvl{IJ@yZ=$hBhsqx5j1yw%ehtS7=Ig+i$fFiZ zN^VUoPAu41ZB;-Yh3U2{Zb0jlQfzEnO>iMYTRfx8d@*d_$4|lPpNsdf` za!;O!p$yFvT0HZJg61vZ0sT(_X}G+`mu!KBlg`PYx4X+W5k(ZEYlrRYL(jK|H$Yb8 zKE{71b<8g-uN9oce~e%)hwHtuUoydMm;^K>GQqHe0+7sf7z!FvB9`!YHalREim@1JWCQ?hT;h#7#9|8iR+rNZKa#U$P~`pS!~U(tXuo z7kCr6o`-~$^Q1+ZmOy-b52_RNCn3yN9^uK!d4x*T&N(!H-{sN?5XUD~vF`Z6jQD>@ z>XLXzKYf>>q-72ivqASeQB;mz=PfGUaH4E|kxA1gZj%UE_vdny6$5Ig22p_HNX4tR za-*sq->2cIcZS%@QQLS&)n4q=hZy8mAFYdEV6vL6d1Zs0J2GENbA-hE_ z);mk5Acmhw$h0Dx83#+t9xNgQQ{R)xl022Dqx9sFFxq)4wOF)a#w~9??T$WAu}H zK)2m0?sH59ElJ=ff(J`8DLLjzRI0yjuP^t1w?Rcs8El)b>uGU++pN{)=i-; zz0ROEJ?l8%cQ{%u4GjJF7+AKXBk`cgdi>i-W4e*7O1GbmOt(uD!!$dvQll(IL9y)B zs;5racff9+Oyzxjsffd5p8k&Vga8S(% zmz}Lap)WTMz0f(wJRZT3Co z(n4Wy(rXFtXiR{!ms2o>MNqjlbOo_P=$uvEk%w}sU3qrOtBt861EKQ?uDp&~$vCW+zouV@^X6*arbE7 zqgw4G7gS*DRbW2TqyYixm`@L`qupF?GCFQZDmFK?n~IlFviw2(GE< z5*MX77Ax<^n_M(xFAK-udnNF8dVLXnezEgl3EKh)`F9r~BaB|^zZMmK;L`h|h8X;s zKmsZdOBRbha{oKHoI02dSo7z{k}8qZ!S>5^H}5|g^g(3SOztlviJ>#`*A~MO3bFWY zn9El^XjMG_x!rjIg`I!J8#%O;8xqietmVyHT%<(SVn^SIJ%rm_*NfL zhB`r}cRS2_$lF+@S6n14+~6V_XMY`m*{o8#zvj;)b)&FNMo&G#jL_^j($7ll>v_K; zuv72KQjDC&{9Y&ysqE^5IFwwfySwCC+Vz-rFWj@X40i^rclkS=pr&0)ENi>Azx^{a z9@SSJN`RovlSlhsT%Bc9RDJla>7hpirCS6<>8=qFP-#%QyN4Qj1_1?;7Ni>~X^_s5 z9$GpFgrQ?-80zqz|5<0PbJp5l_qVLX3V*_^)*lnHpv&jW zjLFs_xB7S864te3DtHss2lve(JL^RPyrFWT{_Qp=QkreD=@rR^V9iZ@<;j?~_+f`@ z3BcLEpLIJjfguFsbmrF#3-n}Fvf3ao65sbNr?1}Op3{L_pX>+NSC)*A+e=iLP?H@V zwTA&23dJyKfpg_QzsXqnCMJ>$1Oi*L4#Qr}j>j3rwN%woXl{2bw5>4C>hIN#-%@zlpe5EG$Kdrovy}xeBLoQ#Wl-+S`_y)x z*=~*-Qt6q!_DY{Iw#04e&oa=Oab0ewN4d+P({}~hzjNBPW6k`jgRA}B<$B1f1Ay@v zf5wr(^BXpz*Pj9Gn{HK&9d3A8BzzoQp;i(E-YcmqM| z1T_BdV9Z0}^1p+R8FV=$o5Ef#)%q&vX=xKcy6SLNI@jv0InAAtEVcF4fH+O4#S2*# zb0+Nk{s27jJml;9PA0;rH9Bvtjo>Ccg=#TNy&eKYR=)XCNPAEh@PSrBoy!cEXWOjV z{6j#di@#^X)Tkt}5#eWz>@y@#nd4*~_23ImVs)p%3V3@SaxjBv4Lw_NP!%&X6LE%R z)wGTM2+NLmjc_j552~V~^T;lTgg83@B|o=rU0Vg~(YG(;7`}4iz7T2paS|&5IjI#Z z?Y$N`-CBs>+~3oaXA&w79244_F5~fG9zAwpHP4OnvXJK0 zK=P9cGOw;PJ+@NLjgem*G%`4lvsd`^pnH^fwn381nE&ceIf;BV|5ug+@UuDZGU+fa zsyxDG*ki_!XMf6wpm-$i7&ZR-?{0q-xnmS~U2-~=h_Kso?0iGty~Q(S>CeAxuWBOt zdfK|or|nXpTUIdn3zBF!?14%<4~pIj=z9L%1u0iz(({daAl>B#jQm>M z6Ae5z2iOHs#$}UY{ycRFOA`YKuj=DWsZy2Nq#fV5VcO|l^%BLuCg()~F7q_E<-=xq9rx2}B})@1>a1A7j)oe^EWeSB*^TD! z!+f4Yc0D`q%gs}F$>@0M7@=x2)1Y7XJ=A$fqX#{Q3CK_kRfa^BDneuz-j=v5DB842 z-){eMEjQe~vdj8d&j z!za3T>|IWD`3m1XF}g4F@wj^Np4&vdWNNqMLC|*guWZTRq2TnUxN@>Cdf2na@qLNK zZ>PB(YI~deqS^VXCiU_w)i+M_!S#t2ygQ;*nBDmkyHUL&_2tS$-Tg%E69Y)~aiy3; z&V{LCxn6+iUmeCn&D>v2#;iX6YqcNh^(6G(9u-_*8`UMFHZ~$O;{O_YlzT)&Gb^XHAB)J$6cEI+v~(n zy@PIlgJTP0-a}x~Hw8qnDR9oiEgT6|{D(aD__fQFIqQy<$;`8W(|v zCbGN#Xuj4^z=**t3sz7r*gxo=qI+YKX-Zp1Zn+<IszIVgEm8~1%`Oc z4$koGj0hS7zsVuiF8_#Z4d#+Fi94d;vKYK1IWhZQ7)}8Pc;cUI6)#tdL_{(y>{wh~ zt|R>qeT~b@Q(AV9&G<|xlN({a^n2YMJfBV;x6UU6WaAWSZ~BNt^3&TzPH*H8YO)1N{Yn=g_7yt z3k*G`dHi@p%UtrC3GhI!$wVL$Kr^8nwYU1gkCZ48=rG-TwIjQ#ShusJx<5}hLZ_ip zZayk!vnGa4eQ{3I@fp}9WkdrC26uv1*x$9E8TDsIj|Tq;Y(LA@mNm*v^b1cEbg9+8 zj;VHEIO#oHLGdjwj33-~9+|g+%pfE2*vfyhsvzEE*QY1Y^j+byIzIxt)I-BFQhLK@^WQcx%u~P-S+I-OZ_Hdmu)%-(AekcNBZazl zoTUi?t*!efWuPT`v5yUDkf}UJnIDyN@)xutvLA}t9H;He$iq68b|>{Ne&3B1)+sXv zj(#P-SG9e4ny%WWmZl6Xvliykfn$t6nxqvK2i)_W{`;4G`aMFH4;*hQ7{8{t5CjRZ zDsG;vzbe-6Ie&D%=k?o9V}#@^{C;7MDH2LRLtgmzsf!?Wv@@^ofg|m*XsuI87;+R; zl;}G1fo7|Z+;K#G=3f+S*lw)S5p?jYAN^4xvSQmUb1)n&O+L&@@r>y3M5f_L zhBQmu%zQ|pkk|pUvmJviPF}4M9?qh}aU}jseJ~8jbcU0tJkDDWkljR70WDsoCs_tK&rgNZ#Z0}%=G7SU zM#S-0EY{G?7u)e!A!e#sZ*b`$Y#=D zs9Rq2uli}S+{+k#JpRv2=8AXo2Sj;7DZ&sT^q=i?S0 z(Ha<=i+mwAuH*i3_B&nRp8GO6^y}c|?X+D5`yi{42O)WQa}M>1BsX)2G>ls(`-g(8 z{BuRwb(SaVs=)p?Be_iT`RaEKQDtEnJ?Y(56Re|6fnRx(hJ5>#gx0xnw8rj_l88b$ z5yUeRqewh&A>N zyxo;hTn;!ac?0c3wgiSp^Z#6jOFY|)8ylO!gOUipwaw6S>OtN+H|3zpl13Yb^d0pp z9B2(c+YR@I-~PB#nKym6J6*1GJ-rWEme^E0Gybk9@&2Kh&@7Rcqnf{~IGR`iz^5(*QHZ@$W96`P!s|<;!c0b7)Tt^Hd*#;_U$E zz30-PKK+2KKe-RHL|#69{npl^jU=KqT157&396m~31kvu$GGfBGn!mQ#~j?Q>N9R% zeEdxfySC_vskl;C?$Cd<1R4=M8xOk&pJ5E=a`FK{#uh#UW`pUl`}2g~R>{9ld8|ll z>uhh>&eB^=xjo6ZGN(We<4ojE_1_m7R7jGPU4|P*_oedv>*qG5uY)WDp@T8S_wGv| zC$Uror2fRN==Rnghf-|{uV_|w*(zJbuWYtUh*fEsgy3o4ty2!fddILJZ-&mzfYA}GDX^NVDf4Lxhs`t`Wr%U_t zaQFOvk__aSe9MHuK#3TZts7--cG-7qUH(*-Y=(FB!7k3f2l{QgGMcn2DRYiSRAH$S zHqzEul^!{_^WFS)vkUm3Q5)*!cYV_IcL@LQ1^p>yz?WM< zUY+ufN~PgBEJ*=0=M?A^5R)32Cqty~nDT4;e9>jr50oc#TQw57O|47O2|NHOQUB}@-(@xD*S{4 zJpcAN=@;h>t$9B`sz6<)zKjauJ%1NDPH>B_F-1fi+WgBe6N(*EdYJ0 z%O3H83G6OfS+7H^{qS5Fg!~5a!6n2I)hqoGEc+q)##z-lRK2T$4vh+KU9^cSq1Jv| z5oi(El`pf^+lOTclgFgp5pROC2sgM)-N#hhG0eHC#~D@Y*ldI`x}a~G3{n&XsTXmN zw29~nq;VJ#UYHmwzW16t8trXl*oXNB%uOMSn_?Brx%8`#a|Oh86EwfBJ&I!a=AMP2 z<&hWo!=r?cqas9{XU+R4hN5xevo79#qndp%4$Yd#Et`OB2*}uv9#7AH$PgvF)#py10|M4G_PRZVAzw9 zWTVX=jURxMyY?3_r;7ufFVqCvY3VL9RJ>b|Y{NaOCk@9v8r&PFGUc!3GBaM463^G~ zW&E?-KAbWvp4$@H5xxd|+!K90bF(V?WcY{57tf5JmWs}@kN59hX2Y7lW&4GF%ZAtz zT)n&=mgQDn;G~Y&NN%!;A))%_F>joW=_p3-$fjfV#ij`%)KRB=_hxjp=+jUQV zRk4%yW)KsZ07wZrB1YxW>uY_5=dTzEbO6sn4QTk^iN`+T+Cr_ma7|y1$hcQAkG#cO z)dA_h&msAZ9c!XRN0sf)!m;0_z&*;nwRmV##_Q{rL&Q1s+&wslGK5XKQJ7aFD3!#G z)?bS$^hHWUaBN#RkIr^&-LQ19RK^e>W=%D8^-+dr0oS*Oy>L!X{VG>D*Qb09NRSFU zxm1Ss7AIA&K6Y;&|GKqN2vF<81oQIyfyK}tvV3LCJitON0vnlBj6-_0Z|2yyA)j5q zE>HgPvfj>5h7-$s1hp77>Mz3j!|Bf&_Llx}{s~~Qz46w>QO}75F!vA}Z1wr0UOBbq z3bo=VYMcu_ORU5r@Z%?2vu>O7R~%Q#;l1#vY0Z|jzsQ>eUbtc`Y7L_t$~_IUDj8x? z+QFqKw4ka@8D{BYn#y-;U1*|9#qU8ky&lzhiVQp&0@Bw@nZS}AdiF`b18fn2y9Y;v zt>kqp$;}1Z7veYiS0opvL4CNtj^~SZy4%?GcCzSRd7WQTGqp4{E|Hjh=~Y5nHk}m5 ztvWyWFChEb2bu-cAl)K~>nX4G7fX6gC2L@du77NPC*pd&(6mnZ zvgQJ}(HWvaJ9hYQB*DjMkU_=uFX4$Ry9Iy#3>gU@-ppPaLC0{04LV7M!`A?h&WpS# z;5;4KXce@N+u)Vmcq+BsxZ(wB!lrI?x~Z4XW9qAW;=@sjv~;Kfk@zR9c5);u;i!!U zy8H53&3YRja#v947>n7LE+B~XySPnfsKB%5HVS_cYCKD<-2xes(S0m{)i=SOg!^G{ zZqbks?o6{jK^zoO3a7Xr)UPV0i_o||r}d21IM~k8UZwrX_hWJVVCE6N)qP!%_FJ-&tr{7Rq#w#k zdD#Oxy?*(e(AVt{W!Cc-x=+i#AVV47r7~WnVf=WaW8-BPXs%+6)vwm9-4c-SpshVY z4`U`jW>Wb(4aCatp5-t~i#AYh>%iWg^6V&wjrBB*KjA5btA1f?GpSLN z6~B-W_j4Pw0amSdxYlp`C-ySk*3T3Exgdk15T5a*W2)JLxc`GD=s2TFUr_%_1-$zF z{((g>K40e~0$!E|k@=nP&812CxZ28M9|sObZjAg5Y4SY1YDMjcfJ-}8*HKs3!d}Z_ zX)-?T_w8;6&ciA`;QvY%ex92Sb9pv~bzVOTN7E`qnd`@GhIu`qTDksTZlZPjX}08- zD4qaXX4OLyI6dU626LR=_);FrOf2?~A2 zJ`twT-s3J>cgcd#I{$b9!t>X>#+OU-K=C6ni!mmbTu=6V+~2Ur_8|(~H80_h@I>6c z5_?cL3nUlV)BDfe_T%)Ei(BRCDQzeM0!>qmLzX~4K5Wn!8Z z8w*}0w-Zw)!7jtq?FA$3P>|D0A^cMm^kZM?heoYc`$aK*Uh`C}e$SWpGLbbih>BXn ztO8KM-M|^)tbZ;$m>)I9Ha&eDJy-|3_lGtdN~vW?X`Ss%Ps}Nf#DsvwgwEV z&OBY0CO%d|-$g@jMal#1s@el4ka?)f(-?CcNF<7o>mW*_*P z?3jn4gM#~KRm~up;->8ANKB2rRxf0v;f}ZFZXQfhs5-t~KBA9%W*j+=NqLNj&i z7G@YEX@*<)YLcPFXZz8phe;5<8sx#{o6N)`cL8 z);-4ULx7TyeV4ei^og@ENxNErBnA*TWDtFLqcr&8$f!n!*8H^h*MyZ zD?jBjWrfbjJdWAQ_DcN1#xghk_9;#!j*3I_J=x~?E`jRvV%+QE*e^(K<%fEut5d@9 zECrEh(OqvSos^P*EHmY8@Zt9+W+V2Q;&9mfy*bx4(H8dM8SZr1OW_rM;Sk2vGrVBT zYOXg(f4CiAb{~E%`g8+pN$7@d;pLqKKK!LqB&H-?!Yit#ey-A7hoA)=zsjODk0%8m zyN_+F{nYXcaVMMi>C>l(97@TZ6dEp;C01`ME6Y!EKJTTyxV~ETvhx>z4En?wVOr%KeB##6Y_(}cwE@f? zXKkCUA{rtReS3a;qiJ@jA1e?`lRvMd_YB8GpT1{*ja8t7h?v%S7dS0%E(Ni?h0C(s z_R0#myqFuFepjxpIdWaTWNxa(&X4BG_fVRy>=Tw!+JvZ=nXq6eg4oDetj!X-4n+6n zbD~?s9Os&CcIJwT-e>6uYN=D*thyBJpE|U4L0n2-_!odV%l$VXQ1mIiq3qcDy3lz5 zGm|y1hZOyl@25vKj~)ydKWTu2$%h&HdB!QAa2J z`El9nS!6%5$s5EQl>2E=D5-2ZQbm-zD=VTE)`c*L3t5OnD)G`Y1=E@jrsqFS!GVzr zm#OxQekuGFzFmZ@TTLX}YRu-|a6# z8h-n(H+E+PuGYPgm~`)vb(2z`7%Kig32-cw!$Z99=2 z0QL$3=WRZd$1run@yuyv!V%kAf zSkn3JE5gm<%`zUy1q)P_-8JGy&)DZ@`6X*ppxDjNmu~O{a4SBU9oz^V19|`vA*<)-5azWM7%U+o?I5ADrFeDAOT9|~m1h=~zhlvdl$r>aZ7)cOX z_1Hxuhvx8vI4#=^3GC6Mg~WV~YEWzY8E_2}nMokT680%Kae&6%T+-KZW;`4>NZKNZ z$cfYRA)mr2vtxw&@F!yFV(J4gjwK70xFv7myC_lF7H?Q=nDQ-^rt76+*R|p|6hGv7 z5*_l9XAwuVxt}j2L#tJJ8p=+^q(LI<+*1_>M&X-Pg7ArnXnP?~-n89<`DE=2v1X^$ zye}BHsc^%(z>nu1tZMg<_u&i3*eM6e%daxj=cdc`kj@7kd0D2^#pQd3uLJAalD7>% z?N$GBXFgnarIfuq8InX}p9m+r#^YI}Gvt>d5__6oWoS8SYHIra1KYCb17TEzdQogJ z1_}Y=f27P0mN+|emTs0kY#IR=l^|(2&6wL$o8SZbuUuq>);X*N{&t#Iq*VVq(1?} zKPJj2KC2As9F^e}3!_Swf)cSchuYIJ_#u5<3JNqq!t zj^e}wPgwiaK20Bx4y8QO%(x{Jq#<*S4Pgy$aI;C6C~^DXy%uuDgJ1%`?aKK?ffL$H zuh{Pd>HIY2Z%yxGyW9#*AQ)vTj${I9NND9mZff5B@%zB<1A8Jp#8s(v$Nr(pT<(eV z&fEO_59qhoFF$a(?_>SM!6)V#wFu|BV3qK?$=3MGaVAxa`U&w5gp)6&9sRn4X-S}l zp(#iZo7r;PF%#4A-FD@#GxL@=%MIQ;^-Kz0{t?6hn+1Gr;MmF4@J;Olx9w~m0mwPju~lJ^<9ZRra~w~kBzR&m@|oAWJ8&-vrYKC-h7yO>6h=# zpvB@Ya|*B2@`ftz1OlW>0@BLbz^1*D7NJJ63l*pAMWv)H|?m-9cY%(6r51rkusSQh<`aXQLk zlD();QsY)-e+cabNhP8d00$1O`qrWj$s?X5yP@+BX zx;TMM)Rz_6^taAj5}+_?(rg;j=oM5qIO9G(zuzY6`$`slXR**NUdMpKPDtRhukPc0 zk*%^cX19qF2xV8ROQF>FQv7Uv)!&YZoZ+)+Uyxb&Pydd(@397>XgV{q6Iy9?){4}A zQ^3$a&Vm8^6EhZTiRVfLG7M(+?Az=KC>n;P_(+d!%6XOS6Vhw)Am1**V7`o+Ea5)@ z80jzRhdPB!tY5dj`7Eg9>YZu36&++CEE|jM5>C$y;t$7!AFoCqUF(afqeIJw>==IQ zKkVk6J~{Ia^`ntO{2bBc9qsg$ayWYiq=WlKG|4m4R<5c%2D1S=h{WC5g&?^MJ6&L$ zwbC;_D;(E^+21|mBsVr{opktiT+=R-qm0=6%SMb3@E>{coG-27uy|@*X+I*_)T=wM zrCSdZ#*?^c2gr41mg7F3d54eN(^gbNEU70gg|*EyW^zqL_Jtb?5wCDXKAP`|nE7jh ztK`RGlQ8b|p}>`$(KAr1BgnTxAsY1kaBf|u#hGkr4*Xe?52LQu*IM+;M`XOZFFR*( zU1Vpv>&VsAE%d=y+qav=%Y*!DRHhJT3bA_0)k=u9e13?b_aI(*>+Tr;{9E zvxpOBqr9k4czu}`1*D0?ce2udRD{%)wdqPWNsXz?WmtHXAalSttKC<_5GeF}?O8%}gymjL;HqJSs?b;6j7iX#-r`p_nK>Qdyw7E9NDR2|tr-CEp6%f;kh0EG%>O0>ggOjU%!{6UYEhR>pQ{f&;}2 zfbCq$p+i~i}+0K;8jEPU?FTKi&hlFBxr?hffWX3q55I3Wu z`Iy+m{T-Q!-&H|#xtxxOA0%nFYQG5j>$*j@eAl(i>Y{WPG5FW>A4qY=4BF<}x}#*` zH~d5LdcO8YsX8yppC#E5SXREdA+rfEv*c5#JP`qjN?mu`ezSru_J-qfk|zu_fXypQ zZLD{FPdUi-yGFXP4QImwfy8aN@@Ai_{FbuZ&No?j$*rxjf%FLr1C67GH%V_&r6X?z zLypA*dm+ME(dv}WjE!Ae;J(POSG6k1ppIlxj&ORxBd5VuiSY@=j_}2W} zp_rn#Xv2jZ+}n1B=zFJVIAeV1A|cf}mhjHL`Y-ff-oaQGU}n^LO~V)RKe@uQDSm|u zRI5F`cDG^ep;>*b6|)zMye^~4m9L9>)#*-`G?~3nk{UDaO9XZ%^WiWREK=-edo5QB zC3}+IuP-#6VkpFM=t$l35!<)Y8bQj*wj2C&RF9zjD)QvK|L^7*W&IdXNTg6d$F z8BvYO_tzHT#w{Bku;Ar0X zRq8cdH}jl}UcxPBeCh%Jps%f?XX+o}+Fltf`|7d=dY#3vl8!&(Rw{FIylE;z5ZHMmIkm>G$RZ{BZ z6Y1(G;{OAneLR3P-jodtm^w4;@5O5^wLyI>wp`OypGg$7ym?>rXePJj2}G@qu6g4d z&pD_9HY!`$DkuPa@o`E7<*fc1z&gpwf6#__bCw` zSSWj>#v<|c5llaRM1)FTbL_$EnC9kji5RIn?GfacR^ky$!1O1Ai8)xyH|yA3XqM#N zXX`KF7-?VteL(U-SMVOeb?0kqvY>=m=<^ECSH@xz#7{%0&Dm)>+hZ2)|DjmGR}070 z8es{b6jgp~c-URiV6pTjAlo5QhEf{7(Z_1Xpc>wa!oq$iF`nF!?u|dXg{QGeCwuIH z&x5zYRgnsJ;`SnCuk>d=X_%AycKYLx;+YYZVKu;Q`9?8Gu%F9%GVUL7aQ7u$a45H( z&LK@eb&H~P790$I)amPwySTkEIiMYd*X+I{p4&m~I(j%H29>@@b3Fo;^7fv2YR>>6 zC*mDt7THFE;DVJG0h7xBU9)NIS;lIS$AQ=&tC5UT8PMgQ%bLSJ29C?Fop-No-|ijy zuW&#t$aR=ExMrQiRXa%g86LSGzMEBr!!VyEqV{Q|ggrCQ75?+uUcWk@XitTH+#hg6 z+?uO;EqWiYR1t zT=n_J$PshYuHoL@+{<<=l@`89qCMzS#J499#gEsneh02Ua-Vh~bsKCz*UiN<0PSSO zmdVQk)up?)Bqop^l&sz5;%=(@zpFANQ}o&z?8(um<_AAtDxK$~)2ZhmSr+6i5981LCdAU4W42~HEqMB0B;;Pw zu>s;~_i9&-cJ#56*pPAI{qp?%Sv^@##bYYEBj1US%?reNj4P;m=Y=>I)ilq=p;y5=AD7 zna(tCRK#v)FEe>KsuSEB2bFZAEW=WU>$pupeac>~u=)pfIwA^zDU@Z=v$!a!nMMF` zzxxoMaRH({AG~aDHQde!xX241e@d>}1NwGGM6)m3zryCXh1>h$PqY)jbT?coZq`h( zydmgqJkW>4Mo(p$tnRJmu_&r97d@t4tkD%C5tbt#iNMi|c%QYKut}F>N?n z3d~OEViA{S$^Zc8vZ1JQJ+Fnqh7z~hKM{5rj$SERuIwQ%%7N<6kh}xmEAoq(UNg}w z8a~W@Z_wg{45HbfK{lw=QMf!;hqtPIzyj)7{KGwoVi$X!e)weVP}-DwrfK#xxb)-Z zFG*KVgzod7+h%$9B)Uc0D{LFY0la%{lO;OJx7Qal0f#oXnauVPZS`IlzIWp(KXoJv zbR=(Qe(o*H4{SXDh84yqnmLQMPKfFeS5K>8QBo2K8Je|M5U{q2QWL&AkSS>3dD1RG zeYA!(xMBMO1WxG|SH5}&(_!OZ5ecYG<#|TqK1aIl*J{!IgM>XqNOOIbB~t2dp0FF4 zLJm(Z*UQ3U?Vt*asIEEwXAJ6diTP#Ef`LZ`CttJ4z*H|tAJ!QZ{<-iwV+0EE{GVN^Mf5~a-uYeyZqi*8E3DWF z(Q!Orq;EcFs4a+I(Fg$+bE32!#BHkiZ%+#U)}_xVEk=lqbjDUwuQk!XRE58p>qU2F z*jUMmPy|L;_J_%E@=~12F|SNUX~%>L(ib`>=5Z;|oC_4o8~fnTY}nH{W%UI1@{ewa zg!z*`5+BpuGcaK6h5Qu~A}GD@A90l0k`>wZN=TFTPe_|?QyX?|RT!>Vo7k$Cn%WD- zI8OgANvm@$uq}^D6Vt1;9VS<;@fLNtEKZX>uS#p!&++C{*W7F}nuLru?C@L08+z{+ z6-G0iTo0!SFZ(Qut}|ASc(#9-=~*`^OQOBK7+Ls(sj*Snok?<>FRM-ybtv9olq}g- zVLkNO;ooto+dKQY1kNjrX0F>59>6SWsz*N6tyr>Zb**!6Ij|LJIe#wFa+g%*rEu9g zb-DA)pbaopR*kX>T&R!fo!fciXHj-ViUKvp9(N&!(gSu%4A`CWljm$TQXlQRSe|g} zjwUIUyS9Fe23M3WfLoBr8~qYjD-(zju8iA+iKF`$qI3Wyvc$`Fhy3x_Kh3 z`J#A^eVQ*frb85@V5UXxVi6NAI$7O}bUx`A*^ls^^-N!eG^C08z8#*g(_5fcDmf`5 z+iA9Lkc=@a(7nCOEqs-B)hO(eCdjPKEjm2_%OO8=kzMqwOk1)ZRXtxVcl6YNLhKin zq76lK3Y49=e3oupQ!t*p9XsdLWieq2Odr0wZ|;1K|30B{)fQ?+ljFNfNzUdvCdfqU z*57}V14mRno-fP&Toha5BLiaf zM~@CrO1&RQ?VauaHOe(POJ0R-%MdBkTD!~~nV5u05WJa*KQdMpm7LaoeoSNBE@H8c z`l&xZY)jerIu0*AJ4QyD*^1^&6ozI)hYp~v%nL>iqCQLaZ1pKs)=`q;JlIo!p`!ag z@60!mY4oB$(Y3+hM^rF%X_5%aIe|n;P*MCpY0H~a9+b{=nwUM?Kw1qkge8}47p+wj zswaXQ?=YS#nQTzy+9Zvz!p4~^-A;dDbu)1~?oxe$Z#QU;3AUq7AH~doy|(4Kfn`Mk zayg!`UoGOL0NrZbt^&IF=>o98pMysK`xgt)IS_MgyzpG3>C#_vu_91ssf}O4 zR{n>#9Ky3Q*%kZjxIrM}i@t`yvbln|Jdm*ou--bvlC^fG=7q_dSYQur?i^6DdXhIpJYqxQ%skW~t1zKXn~eC#ogdrcP5sXX7Q^y-*e-uuC{!b^6vL0%!}Z2o}S z6r`0P^V$ zz%=)Lg%H}*uu{(qNC;$OLOCla|ANsC@6h>&y%5{puXba~2f|d_aVs37pPAbvpB?A< zbR9~1M`g?6?bdFs7g}|VVmL=e7eli}dpC(BMZIzNu-`E*Y!KhfQt2;r_hr_5lBI{7 zJbZKJ)oBx-Y;IODVG?S5WoHr6Bw#8HHN4W0mSARp*m0G?K=UUg8CX1;x&F=jj!eP- z!hiB^DwhUEst239+z;EKlvVo6CJ)qm@ftctkA7AMNkXnDvC|n(zUfyorY8!1bg_o{ zHDXhGS%Fs*4-tl{gy*`KoA`}sO}f`?FiWuJ;cQ$BSz zhFFw86M^thR$A+`4cq^Ug&!g!{q?ckIP}rQ>UH_eHCu+Dg2YyZYs+BRXoPnzXKMRf z<|<%|&E`|+?fy&!;M$aSzi3IkN&fff>5B}RanS6j;*14&@XQE<1v?d4D`I3|+ZoM& z*)kF=ES_cQv=&Xh#bc_`+wEntxoMDS1?g_0g5tGVo?q9>n2+r;O-0sYPWHfF{tv@} zD-OHcyjP2aI$RpLvkJAcT7xnms_i^GDeC3s6Qop;$W(CPuVGkWiVaXDo|3Y1bO$&i-ZCCMx-F>yJR$To=jhRmKBxZpj>yavhy@ z`GM}Y=}xuwuZuk|6V2=AC`2Y_lFw-E)~@F-({g}m3Mx1;@K|hS?Z$u$qWW*`-063v z;2qx^iEyPvlcrTeFOc2NEfs<`{yy`&*g&$s4D8U1bvJzsi68qa?;9k&_^97!auavE zBwD+pPWyz2xn%y<1u{wWT*dNcE(w78ti3}J6!o~H1uttud<4PcVBN7shwEhjHy~lr z$6}zFqg`)ZFcQktzs?cx>T<7}(wiA)5CIXI`zCD;I+*WxsQb2;M2zy zB*xxUcMv7T4jMb#;yt;9TIUX^;he^`t>AR~0Ov`>&*=vQ=J+Y*h?TU}y#s^e&N={H zZPB_9=KwU_Mmgg4Q8~c#tk(Vb8z1S{?Gx1N(U{QC!KxNZ`k%3IeI#cAUzEL=i(8-o z15Rk%AcOCqoE=_E3pBmG{`%ceUf>{ZmPIKZNfq$;B}g;zxZL#j#4eQu4D06a~8ndvxiKeMslZIN%s(@w!O0uTQ%+>&d z9Kex+*kY;MCm7nim`V6?%|R#AJLanAT}lVwrk`XKFv+8(3in3@`k9Uw-AV|GoNc?q#Zs z0Ax?jfG|sm*x)x=IU|efLBGv2b|ZD5IYybTg80yaJ5Vxkpi1 zv-#6vX#MFhbpG@hqeh_30DX(;!|?knP)|sN_O=3}blgxmfV5qc{V;WHxZBSbG@`AZ z@$K%`*aUfG05PmGdG#DthVT*7yJL@iw|rsu#eFu`cv(#G=E&m@(p~=C&PPUy7`;P;j;7MMDywg9U#bhmE zK9Zyg$S!w4E7X@onvSy>t-5`wO{T2?SZmi}J!VU?|3GnVFJ#_PFcKnXtSB)-xP~tT zCXyB`-Dslyl|5eou^ZCWtd4)y#5K`n|CBtC7?6W0>+0awNE8#`HKo%t+sA8t_-0_h zo8}5poR6|R26Z7tJIv&hjnG{zq|~xr#y7q1p&71fSZ_~dXq%p(ym8C10EoP=6%))(g&y=zNh6=hY&e_yd+sHa z=lntUT;8hM?*sgOG=yKok!PI>=G(i%&tr;8m2CiyD^9`U$@&6SJU?VA8(XrYr{$Xx z_uuaiCpb9$k`Edzh=!NmDy{ED)LlB+AIj{naevrK393vtA zH>br;tIdX0OefmkaD)turuRBn6)k`M_d5UFEa8KxHV&r?wUxlxxo%O*R5Ix?XPlu)@2OH_?AXBM}vo`AR|#f4pE+mua!PC%IK2P0Uc0wGHpKC* z@SI^4E}FFe@qHG)$V46)kKOX`y(KY7p>S+w8A&(W*X{`yLRx`Oz%^eZpfpAS*uGLm zV$?np8V#(s=nlpCDNGBlw`*&lEk}J$V1po|Z@C|=J}F0Y6G9Soh-sdS?YMKxGlSYQ4 zLwjBI&7GU0e#3hp+t@^?h{Hp=aVZHXrjaizF6r+>tU-Dn$r+_x_fjmmHE(-{2?A$ykX10ih&3;D@lcQ5k)r%JP@kdh6kp#yXF~uv`CW8})muF#L+8awkxR zzqf758fJ^jF{aPj%@ammG*M)5YtbfLR@b1Gl?Cr+&X4Z<0t;7JYBw)vzrW+k0OW95 zzI{L+7y3bMhB*kQvhIzr(W)w}K0C*O*O`Xz)&cAxWCPn>5d-T3as7o)Gn58!dB;e= z)bnvhY>d1OT_I}!2!rn+&FrCqQ5FO8@Z@jI0A>Gc-W7{;*6Vm*u?BPJ?r@uLxv{ds z!K{y+ob$6yiio3{GL=Hl@QPYdgG&R#Er845eq1V1=?`p5@3;QW0-J_aDh8yg;se!! zk?S5IJmW>})am78t#}kgbk|*`!IyAA|IUCc0{xvYL%P~`LUV>DpIre62Fsdg-#CuS z=1j4lh$L7%vkFzY~U09BNqx|>Fo#$u6(LJ1Zbk72F)5QZ1 zaoK!3`cdCBqtJ65Wjfx%ATpvKBqq*DIJl`^Wd*IoJH`%9!8ff~fzsAl|9ro9QVE;i zm^}5D7n=t(ui==d0Yxb$b4JJjv@usjvpLh}ln>0*g}KzgPT4(Ms=%cKL|}{M@nd<( zeXY5`W00@RusDu9|Ne96LGOgg@l*8d&TX{Ro7qwxuQ^*--`Dxy2Ddg2HgCt6K&B#M z-JpPy=$jHDLW2$dX)A>iVzI!-K7^E~O$Q0wz86_pZ}f7EPqO%B?hJFvq429lYMUdT z<5T@L_SeUC;O%IuW1DUQXUe9wyJ_xQ+W~uT36DdOTla3Zj*i}=kiC;#@dnX8>bXGB zI-NIxXCM`p8BP$FJWCX=K$nJd*hxXADBCyjzTq7E1<6+hcY&YGMMX8!)?HiGPEiky zK4XRR{qX(V{CevJZ*E2*9iE5Hi*RVI346tCYCLEUW)WK3KzaAI_m!hD2;4X}2i_8r zJgr~PvtB|j+7jM=b9lan?(gt}rEgM`zigNSiu#Ly3#z590D zx-v+XL0p$5zuf=Sb>YdaQLBHjz*KG(aecPqB*v;_2UIqU^(f=OFj42zdG!a@v+05RwM%G0w3(@Fa5D zW#GpV)@3>rXOTjWGEPTmGG|vAy3&W{R7NNcUY|TN&=!yT|0dVu*9LjI<%Y#-Y5d}_ zC;|61pWWh*#o7<^>z^J)Dnzi`*o-1oW|5)C(Z>*5HdOImIXk#4BmL?_+UavN=KF3= zh9l-7O8#TBf?ZPEpR)JH#%~o%pLqZ+vI)-M=>f+1A}Q^A;sjx4E>#5kAelc0M?JoD z5|jib1>)Ca;r&>`aPD@Sb^!~3m1bzY;65nxbcG>&l>kNV$9+Jbr?=?k5JdMnB?C44|@{Hi+-q{3y~yQ;hi9Nobg=KG6F zEBPdM-$h2W;vs^9fxyfv(n^6K!8kj4|F~d@WCPT_S;tV2(yTD5pZa9Ea zKQZiqlk`9AWX6Na7$;bLHIEL%`YdWm2-bh;{FPR3V=)Qc&5ML7G#}JjS17X`4i*xL zcQGc#9(7~2EikFn;Q^~-Eq-f?$$%Zv17}q}mTvuB&mLYtnNKs7qHg-a&*8VIyit2F z$&==zNQQT^+-}4YtT7W}$F-2}jD8LE8Mo%j{X5}fyJOjN^9x&x!}4aml*2|c#-Kjo z_fj`?)h{}eZUtbK(b~O3q!#76T7vlUD<1{YN}b&+nGId65||| zWMlS+2nCm?5SFCbaj?_{^%@|E5{BuTY%7zov&xmop?*% zV##E6Xo-}TdXcBt=(X$qgt*wJy3@(#^_7m&gEXo?BY2l6;VAA;6=mS$vCGB)CJKGG zwX^^carLCHW(BBQ(oaP<%d?O^rPaw3&QE^~b$TPS3W&xflndS`uY{=gj_ZvAk0#JK zp%MYm*x&5!alUO_Lcr>`^DyYiYgir+dAU*W2T^*+l}8 zSw)+nXRLeqZr+7SpjOlN?K92nevj;4q41hWGwM>m_kNp>c}`T%8cz>t>eydZ{!Y@n z``^n0yi=KBM5 z*+IDN^i`Bxlk2NbYXf~-o8(l>pvu4Rb9|FEBpSnAKh;Ca&=8&jNc`;>e4oJe^YXvK z?%d1)b^tanjk_u8%vjgDJtJ*fR_szwbnE%e0qDDMQ>di1X5=H!Aq5*}WZ>|VtvCAr zytXRIgmn%~%pZs8oZ+kX(|gAs4mdt0CUni{U^#Fj#xdd)cpBg)73$$H4#6vXMDW5` zbuA3a%l{)O@jG3p%uKI$4N`gA&x9ibEXW0H;d0ID#M^3MyfpXNEH^1=N%m~vnWuXI zwq_X3;q>Ec8?M={t=2!mf|rP&LD)0&QM{0<$crbI1lgOVI5D;DxuCXai;l5&%l=-y zCsEyfQI0j{qPW4Xb|4Pu5|LBT$;(R`pJW1*g7^LnQ??~I5S=U~bFltEPdP_nGm51? zT$bPuxdv#pQYtXPQ7x!HJrIZD571|oJ=9h~Kb_7NWtHu+pjbRsa3^a)I%*3gHxj=z zHuG9o(8k}#JLr|JJfKB~N2kgYEcCOkC+*fWFs+B&jM3RJGPQi8Pp#ig5Xkv>5j0By z`d#+r;z>{P#QdgC$ooxS*+X1Bzln!NdMB6`i_DBXYODksZWwDYk) zPUFO|lQ5cCs(d)__HwhCx``az_Q@0YF65$M%BF4b@SwHHzEVYG~u z-8kX0DRV-AdciEKYTxqs z?3Flup!I9ipvR3WsC?ILK9Jy+Mi3M_~-;nF#Hkt9~1Iwp1Y^7$+KjsO&z z($OWD0f7D+7}YqWcbVC&V4cmf`!dH~MtQg5x$pTm} zrKNcE6-?~`o>+H^lk^6bRbeU;qAv&dq~S($G8Tu}J1?MJ34QXw<1SJJE~E=|^MuwZ zIA@(B6|W+=5_1<=kn4}?xr&e)h`T<*81peyilD8=KLehkV}+|KpO|X#%;_>P4O9QJ zKYiVoddnAjE(Oh(wi)TvnR~X*f+}uZ6u;i-9A^)3%L)lGGrMj+bCdna=wPwUe?*r( zzVzK5GN915(rz|PO8|W+PiczPq#Q3^$j^iEG^Adea-#;!-<+j4X2lvxzrSkttc(zB z^myqj{P1&-J!MnD<}44;`0DqQHrnC$-m&^so5fwXfxzj(R)&g!o&szybtIQLbg&=2 zCz|xP;Mo|Tg}!To*F!*jp%ErbK;(V8?K z(iO}fN>0h?!@=%A=dwgUcHcEP{XFL2dY{UDJHFAh^S-MPU~I>v-+hC_GZc-E{`W{` zyLM6O7aJD9i7rY^2|pVd`hq+rawaEQ2Z{`VCcZt*bI9%Nqc_%~<9)gL8gcg;$pU$% zgH5+tl(_+qkei{u4srVrfNve~s26$pj2!AR)8mY|0NfR>{L=p|o__jTc>2Q@c>2v| zk(21xJnw#b^$lCMG<9t+!RQm%pTWH#7j~O2=ZbrM9+pJDtrp_pISXRn2YwMt+bxpmfSOFoddd7$+CC>=(fN1QUV zlZlT;@EEzByX(19k-*#)9qA`VlJK85YE1Y!4!pnAYByBY$$}C&eljE3Ueek6$d_=f zjHoX~VbhOl-A1UJ2s8b&aen9EaB75XB9VDtH+zh10nCC;DyRNg@E}t5hzj;p>+Clj z9DyPx%S!43=_QT{yI+=Tyy_fbY>vxK^2?rwGYyB!^^VI8ILYnHC(-R9OLZPCh2_fy z7%tCJ)D)xOUC42r=NT!u#WKV5_)bZp;aCP#xK00b8+?X7J`dVnt(S5M2=EaBtrqU( z985hlk;x88`7|C1fLtBgmr5LuD`p#LKz7TiUx@py*!l!D^7H*DZ0(kRCM{j|;yW%^ z=bVsigX&MAwWqdk)Dl%`EIHl!AVVwlMQTGga~RC6y`$efi(^I-$L?}`LcQO}Gq4yW zxlq%fSXyAdw7ZPw;xrL(F}85CB<51++0ph^A}uWOY@>fk7#!3P-MITkzr-;I9PdqO zeSDpCL$majUb@QFhNnjOTf>B&t_@tmez;LJ+5YO5=X|!|p;BSPkHi=q0(y1-&?j8y z3nM+OJ6Ujq{d+JS4ad}!;-DYuZ5yIf%N!|e5JlUJ@6EtP4|1v2F(=xC7RYh6g*3M# ze*ZEm7>9Xa2t~N5&$EKAN2%KS_ zV^56Cow$h>LHaS#pDucLdeb$=?TL1-i^9-0_LR3$NjXBeF}gD(D=*f&G@FZ{UlODe z9s!)iVueaDNgw3|A>vz=uibF2MJo;oA`^9*vF(2-& zZsvHRo@>a>1l7OgkDKaClYJtLO{eM;dZH6BXL>^7iTw`Fo_z=g3coGK4B8s3@(ySN z5Pbp$A)R6QwDz1szezLSjsJa+z*$qjiT!IH)eN+C(ki^*I>GO=!R>pJz!Zbus}IJ+fK6A8T=cy~0y zM-ym_y3P3JAgg4>EbZy zV}gTv@@wc0vG=~U;bN|uGfn2Ioln9xvN5R^lHb5>a=3j!Eu`3@tUlKWGDu z!V$b;Ysq^{7U$@N;#$uz3*7IFk>A<2gpz)mY-3ajfE0Uez(R+!>?k<}7NfyXMW&`}VSX`V` z+&pC;j$AejZ4NqKAkg-3uL2m4o&}yvo9*SGE-*ia00ek0)NhT8 zb|qljx8)Xmph6orPqQD*WK4)K@hT^5TW-d)Ala3VyUqV|LgMf#E;|w^Mx^_HD0pZe z`f{?_ODkX#6-ojuBx6zfat@z-nEAhqz_n;aTvPjEXmjV)0sgK>MTKEUx|QFd@b4qr zv|={Krx4GZ>GS^St&;>H@TNOSEd<2-D@eo1yJk5q#?CwH)kWDBO8j8ZJhD4GB%wnB z3QbzrwHzI?5Lt<3cW0hkSZJ8&nCN$YVZ|MF_!CVfGk^=E@)VzIeF}9vj6^40NbZB_ zj9fh3C8%zR_Ce=7*VR6F672T22WAT;fBV4K*gV!cO0NQ(!@u#=Scu8^djgE!G$-#H zZ3T%;VM)d&DWX=KB4K}$2E7{hw5WzG83%`Yni6Hj&WTA6T?IdqqJQvqwQs47GIk3zB!`E7-BUj#UAY^t8HTQX>#mZ(OdX%I9@e!keD?99 zxTU^SX}p=#y=8jpC}cuNA1?d;+>tyC^%94(7za%(lQT!U6doPdtWDrhfE)kWZ3}7I zNUtqSnohT11MZRo!JClz%Vf?!a7sG55_~5$GUe2HdYV#veq<%!B*VE7;T1m;1REd+ zzvw98;=FF5zDk}S+@0K|Gr#zdY7`%|eKy(&6ocR(eqOx|=3$RkynV#-*ErK4NAoM(b)@-E8GYEok9 zQ+F?;5&7!EHXh&| zyy&AzvwVq*8F+tm^ZD5OEI&Kl$v;IWQujZVVynbs8FNyVXAbLgY0+ELV-gke zp==(AifR*IJ0uK!{thMA+>RD#Zb6+PF3%%rPfv+xFIHp(o1qk@7;3+xR1GQe@yp%i zGw(Oh^4Irty!!d~H@+NzR#ow7i9+n{hSCR1iYjABpn$#xKLy| z`|S;SrhXCp3f*ZSex98vez$Qa-r?qQAI-wDAm7b4Yp>1I|7eTHmC%U8m3;S}$w=jT zrONAU!9JGtj$936QTviQ0nNIV#OVAelxaC`FGvlanaJhbmgm`PX86k=ROYgs5~smZ z<~X9bfEr4%(AR+L0rmi8l+HTc0$f1e}sWUy17As2--I~R5hPze8cUzPb zAMCCVMS0m?XYh#i#ClLKz&(l_5DYt5Il3}KpT~lW7esSL{HJyS+cylAqawEv-H1Jj zIqGXZ`ilWAX}T<=EG3jMPx8dP}r3s-;!mcob`!(uaj+Y0EF>>8Ps zo;@kvN3n&Fs1me?-I)}TQ53RmAAd>caG^hmCY%=fGS-i<89!+H(vqFgZphN75q`v8 zB|_9>9D{VqxhBNB6C5Hn+k94KlW5UBTuGZu^4?sH++LeEao?N!q8yH1@ zSu?a;a(DM&Kz35?lb@AC}vnI?amR} ze;%L>Gi?wD-p4I+ou3bZz)~|rl0pd3e!eYqEiYGR*Phs(*$8;jH1Bq$IOtL zsT?y^w`(?EmmPB9kg?O;Am>BxqY0ru?aUP~zTj+rupq4}^TD4Tj8`3bbr2@R(}{OR z(#Lrm41Vuf1E2y2H0Q424Dj56k5+x3G>x}K54=O@6dCY0L0g7r9Zif{ou(;G19sgn zQ}~cCpc@3^oz~9J?y$q+8j%D|R`U}ZqP+N7F>T6#N6JS!YzqVI+lB@++&{vU20MI6 z$G=k@Y>TlCZ{C@|fzlhn^wk;Kjc9NOC?px(m4lxwhP=h-?VE7t5}eTuHS!zyO{AO? zpz3i6f~n{*h#>Si&PBDPBoKw=XLq1iW2TxhxjJDtDc_c5p0kZZz==bLr`h#M<~q3n z|8;@??J5FL5rSquk)nA=2xxseURB*y@n|j2El9c5j>ZqanbB_vlNpzyxun*i2tM9_ z2{f8xkPQ2!M4E*y&}F-3N;39u_+gJgPcKTRv5k?_#YYeMhPHh|{9}0G2V0Tv|4y}H z6a9|&6$~f2#w$FQAEUs$0mLWOJv5jN;WcRC^=x(FKhB>FYAB)(Dwv>An(KQTO;oIK zMc!Vqfg~YSAvmk<_3oGklyOsQ_WdcFj91cCI&q6EwXdv5Z+0!oHbf>4U2yK69sE|- zoXXdfEQx2Ms1j1=R~yhJeE{zZ{};T!G+ywdvGs0o-_v$nZ5H}TOJKvln5c2j{r~m_ zm7Fb&A2zT1ZP@pKef3d=Jgc}#4T&-~-e)vzGzNdeJ4|+vR7^aJmf11ao zLMG$Gr|=J;`EINCOT<5Adh7?bRv%MGrpv z_bu!Jv8f%@nY*bYClhZJ0{s`uXcR1?L(wEPxL?<#PnrZA> zhRn4K97#r!SPRR@zxWV38lhn+exp=#kN;tc&(j$@Z?;}vUgxpjyw*KCaR0~H=*-!q za*~TCj`a-q1C5vTuPEQkHWfE(cVA-~sROU6=|6s6)>aG0`?3XNSyAGz@-_bx5FXk* zwp`*A*`X6l$Tee&Btm3N8nm^ze~fi9d7$oDhnAO5Nwb`MI<)nMmmTk(SQGnp9eL^Y z!RQmKp{?lGAe$GJoH46?l^^?bcwXi2Lrz1h_r6{kHfZ(93&(gZUa$Ie@EKfdu z8_wT9wbs!X&z`Gnqu8#uuZ&Wr^%IjSH*GuiduMVKpijc^wZfjEK@9bd>cNi)tZzN$ zyYODOxNw?#EA@rZx~>-D{Wq6C3c7#)_d@;DK-cpt8un~yYIJF;Fl&~1E*X{A@U7#p zX_e9b&yk}cXTBcDgMr_G-o{ZIrPwlSx7mWYJ(nrZe1jPK>t26pbJcplVM=gY8&v<@ zr5PrTI-EVTy>gsV(sVy89g`CH*kSnoM(cpnX`ioqhnc}@L84M%5F z<7fbjIBcb#td}HCgq_%cI=@pRSRsxPSKhqU!yqWLtMi-1Ox4qT+EhH<7ya?|<^p#R za=-PkIKBZ5;iV%L>Y3n;X%ZiEu25AZ`#+bc$N`xl8@Jf$npOSCAYN+M8$sft*TNKp zuIAIx!XYdJ&ReaPz*g${GE*drgqW6K5@Y6hv=SF%H?5MC2Ie=zifumi4dPloEmt#& z(fCo(dKeY9jI+Cor6BrlfX|d|91j4uU&|b|y)Q;5+_lHs-ch^Q;hZv*!Pc>^`EY$( z$^bW3Cf(`ZeS^=;7bwy+MGJ$tsr$N2u8Y}k40Nh(Q|;NiVm=g#%gzF$R%pKtB4V!g+{Q(%CHSFe!$`h*Ieq4SI-2xAKr5s) z@|2EgO8*H0rCHMhSGniUpQ zjm=|=zn$1@1$=2WoI4`RrL@n|N7Q%d?;@Jj{rjtH_A^J5y&?t?(x^du>P;UK0sM|u z_Tv?v*~9u`)Hspwi^eViUWx-Ou1;;uV{ehm(-4J~aW&S)XNDz19oCur!>Z1l}#F#i| z%dWL@v4=VLu-mam5!B~a{ewY#7y zH3?;5WZHUS?}Lpi{{jv$7!4)IeJHSVp%2tCi`R7ceUrho*vS|5IX_-xdZ)FkcJP+$Oo}=%XkS?LgCm^lAV#x$EbMOTM9BfO6eJ)2O1~sKt`!gI$)+SOXllJzs#Th8pfG`?b!4-4Ey07^P&LR zF~jY@D!Y|t#@Xp>Bc6w%Y%0v}G1Y*%QpUToS)+i-SefIE3)}Clm*~~XfH~D;H2gnQ zfLH6?j4#H>PIL5pxDmRS5-UB;bC$f9!g+Qv{4sxzs8)N&=!f4lB|Jru>tc8pc%kY~ zD!1$jPZ46=OEHKn7<4P(RGNlPEv1!Sj4$rbT5r5T!_YZ(2S8GA19W)asNmBv`w+mo zNIJz{XJ;>kCh~k30k{~Rb2P|ls`S)q92YS#(Nnb6znQ}~NE^yLuCv_H=1#pY&W50j zJoMkx8^5X#Kv9wS@7j*8A#2+g>HV}3ZV;lw!O^|UsU_~I%;)Lo@gjHCe%c{7vx;wJ zT@2PWVPK}rwZY-si3LuRIi;9ATu3#60@GVb=KU^HY(nEcre!soBi2foez(^^1p!@9 zrpOr8Zc)_7p_r@2)8k0?r&j?y0qgZ03D?vX0J;1H*=iiOUvxnsgCRIC(vGba^#5U) zh4l{nu0L2%xTDxbDK4td&KkG7bi<)UVPMc&_2OYOq>7=HY%O>>N(FxW@~DT?JZ_d~ zWu4cUTv+)amo9JpT1jdH@DQg=`0nM0;KeYKteeg8U!;jdG8gq1!0~?5A)~}Do?zoU zcP zT&c;CK7lJ1v1+J!{2HPxv(%xdM?AThH9no|#h7Prlsw(4N1%G9tGcfidbpCc-PoQ& zC;Eu9jxWP_hcoDM1eJjVk zcB^%mvH)cZ*mby5T-M$KowiICn#kBkZSej@_Y5%#&JW#O_DfyC#_t-nyqCu3`l5}_ zhnMYNWD2%!3OpY!R1y_LIigQ7;^-R7`E34QtHQC;29;)OhVkne<{g$?s%I%GIsQ(; z7(cM}s<=zSjAbtXA$4r8o>8p}wR-cjkakW(U~R_MrpvMW9}h7_z{>a%k11o7=PUu? zL4i6}4i4M07t#poZ@F)51U*PJt=+bsx0WfL&C8kfJsC$dZQ4d-wrs)8EUJTkGFS5h z_pGiNHJspTb;BCnoG992&$iK$*AK=ozv!SgHQ*TlU|QhnT>R9JcX{t zhPH>Y*QIon2b{!O9Fwk+p%azyJY{w(^n+(lq#+_5ifcM>%7eC)P7x$Ty{sIXI2_sfTvPJ}g zzUIC&$>{E&`2F)X6hDz6Q+`7;8)W$#ht6;-@>Y^p~fR)B4fz z=>@e4pJCt4P0S=I9(#qu+3QRvuB)<4Uby02Ye9%Cmd3M@fA`&uN4Qb(Q#UNu4BclMXj7o`+smE%xis-8&~Vn)yD&@JL$2jV_x{a`?va02zCUAM*;Y%n$!3L`oNz0f|ldxF5$vj1X_bct+;W3=<-(p_HX|m@) zk}JH+A`R6sA7eY}b-b=1CakY?=YRB5YHtMJeEf~9e)Tr{wGYwDQw&Y zFq^XZ6yuD)a0U^KI$rSZt zhWX`nSC`d|t}Smg-9Q!PbQNxdgYz{ zcHwCV>rDTRNZWCr6QlC&2*S8ZPL3x*`o#n`B$!!rj})SzM}YD-F6Ytb>N=L=h^iz5 z4ZL`S2U&YENO)r5@R+wijkp7M^yj=LGaJttL8hff?`{;BpC^?O>Gw!=JuHfmn1G^~ zU4Ohe)VEs`Ne--xqB`tj9*!PPP7xvvQXafD%2=plk`1q?2aYa^F<1!Nst>X~whpXa zW1q?Lc|N<3ylwipwPAT)B;LQ@Lv$z-OE(VUXXzL;x8mo2WbibFgn*ZIdxuYXKM$d| zw-yAOu|DzoD!d~#TQdJPKw2e^)=Y*ci|a4hVb?KQqM5kGu0b z<q8?|}ooqdnK0tzv|JR*l~n2JT&oGcLnVTZt_!@+7#ve@|06yNn`MLI+P1 zQ|oGM-Z4My3hzl~|8qPdZLk&^zVb+Z0_vaKN$ohwnyAWrFKF$OZMR%LEZ*t3`f3cKl=spnE!DjSG z?agthrD$JNCGg)VxFQ_CNrxIoKNzi@;I?qrnSRvEr9{PD`K!o|dNPQ+g1e&El*OUN zDW!2x&Zv#U;~9YR$9Wab>2uTekPCR}x!gN=ExewNI%w?KuC1eDM=#F(L<+r`T7Xh4 z>D~IH2f!@iMEKvhAs%?j5qoCwdUA0Rmd0KzE^YtZdDY{NT4w+I42ehkS%W&M2R4j; zcrt-xnA*#M!(a{D(Q(5uW5m`Bq_{mzIb;i_Cf`@xtrh}WCL*k|)14JuC5CTjF)GM6 z8rq^NA+@-J{BLrcRw-swT~#OKs%ZY(>qY>HXjmqVcqVl7>pj%}EP4%mWipy3pgdiq zIX{vnAmn%Ha4(@wAUv8SrAlNoKGC`;AOu;{Mq5rQ1+ZA>ur3R^cM+tE*_xhWGS4%w zV<$H8BI`eIJh2`d`Iteq3|~p!WjpvZZ`@6t79QJJMxv%iK36c*VmUPNiq781k9_qev0@delB{Ty71Tds%vi0kgn#m zn!weYCM?rAoC;K|kRmVQn(^|I<&>HSrAYTEl7tcxE|2s^QH8}clM;52T}nIX5Y?uQB{GK*8t#YuUw+1#c_>4 z{HKc_=oB3Qbdjx)N0#|K8IgF*gLI3PTfYGen; zeZDZmIRR)=d=#!ZmqPV$bDQ5)!}a(O_CW@l^@iRc$D*h|n{T&U%oj3wR&wPl_34YA zTz`_7hM4R2giAkN5dlKx7vUNEmys4rkL9@L%d%kc(~8#Satop8gEjTxY?_h+7Iyn1 z;)MLOUAr;Kd;urH>XH& zcaKWQw&%;J1u1uGr5F)(DznK=$ad=4s88?CZeYL{r&xADnf%I~OPb%+Jkj$40@8X= zz;9;gJFfEa>P&~lzv{baeNP`T>gjA1d_~cLB{_C^hswfm18?Foj1z zUTv^x#vj6Io3FXi+yC&+1XFhJoNAgUWOO_55(Mf$4~Vv1^bpa`6jy&0dR4G;#gH6# zHt;54<9f$g6xj870gfziZW=mfloYxd&VC6Iybd&vHEQuG?@)~Fp6PsG)$n>{`9hh3RXj=@y%Q(s_aGP7u+6Ii^7q+f39S9l>6K6t@U-Yt0?$94|tTdITR- zqc=)7Jf{d8%4KD~ft9!tE}n$dS!(u@?uL!vJLJ6%2kUKBTo4>$DW4cvjHihE`C*%W z(7PQQDw6TGeD0~@kai&EizKy9V4q28wQ!&|<6(zX0)A`5@gMCfQc zeS^Ttyon*laNzCF=4xs2qE#_Mzp~jMyXG?6jt>%~{()NzCW7?f%$BdQ9CFU>0r;`5 zx-_~`bs!XD!Z$Y`pV&=@^2_MhA5c<$Wh>RKP8!K=Z6*bheMenj@i`de7}9yvxMWjwRkC)8{c0)2X)VRdCA%w23CX+P3v49W|&$f zheYX`Y8<1E>#r?qrVVdvZN?^|_R`X&hIz+M$GjZs#rUqcNlfmFZ+Y%D9CweWz@Q4P zEDX#;6Dl&ZKU>WfVEb~bQp?+E0*igrByX3pLa%QbT6*;}i}rL*IbGVe9SZo{rq_1= z<&;n4#wk_C_FS|(U5{LJde}K?Tom%QjpU)UfIWLQGoZa#Os9~I7yAyEy%0!A3M>!{ zF?)x+@aET=`?V{0e<32s<5p7m#dH{&pdP;Rpc*iNK zU~`ya4<0AICwV~88{aA^+JjRT2TT&U;1LG~_zu{9#*{4f>msJv1f*J&`VszWh#0u& z06W6Ll`e}2`qZ87T<cc7W&)%5_K6OnSlwzt`^=zf)IEuxu{+wc8$p2p};E(OMhdPguPHc72TK|hAV5eg#GQC7D|3&)&7*Q= zaWu^`9Y&pZqRpjCdwXWk4NQgDIb}$loE=%NaMKBSg+i`~)8%(7%AShF@az?p@xg9S zCF29aaW$rjG%l%E%+0J0IY%h+$p2R#uU_w z^{mQ<{+7x@!2y#!_6drukaCjUww^`fDZduRt!8;4)V^^z_%^+P#pHX>+Sj`{n7bmg z41>%bY@Gx;C^>KiQXzxqqi5|_5$=FISHu&O~VM`Vhal`?WO9=i>CQuAV4U*5yOzg~LJo6Ebi zEF&fzwTzvX+GFw^JPT{pw#O_je%*I_bUvtlGvbO)> z7qnQ4b#z$BWJ7tMs`S(-QF)46*R1f*m{bl&K<3?PqNTs{&Po|;S1>t!5*jVmm*o6# zWWc(-HdSex{FkQ~cW8ZXA#p35Ds6l(Y$^oLK5oQe)gi2rq)SnV!At=J!0DNJZL-Z4 zynd@^y%AcU)k&;=I9kOrtf}kI_ zUC6J;JM-!C)vh20QO>#SgO=8uoSwnCw=;3BdVsBwRIMNEO4*-$cf_BRn2TnDj;35D zLg~Wu<9PLbje)>Bs?u+1h@{2p z@yFW@-zPsgmM9g++*VmR=`ps~gYURjVKp~brK=P9h`Jvg5%|DMLK;grWZ1c{q&GdP z2yyFqg`BxgukD>RXPsO82SD%090SxqdMk*ohItL;n0w!YQAqp0q@J^_QZ&V%?8Dq$ zhGN}kqgy`uZ0)jl`M%>urIrG$^CQ5v;N43C44Igk8 z*uesX4M8?pwbxL*PFFLWc-N)X6eV2`v4SN5u$%s@{%z7~EU14F<4rV}P*(gYk|Y?G zO6+pDT>$o=P;vrbNLBC!f2pKkWPT*~Lq4|Mx)Q&3@i%M3xbiUP* zOCBd$+yHWX8|G@7+={cB_2$jk_lBGY`V$)bYrlNyP%Ik5Sh$BJ7KO#1#x`C3K zh*wE|0}+qwH{ct6vS)nZN1$T=$P$y_1zm>RPFzJ^3r6_4aX(Y;Y~j~sS&{48oJh}* z9TB%o?+cxknxUD0=?GabZu3c=lcIeCkehuBNlvTq&8XdZoG+4$Usf}yuC?3_<2Y%s z6*xxIXenrl{NQ4WTq!$u1rjBg%k?`{@{uaRE(I}~~F5~~Y?qUy znH8tVzfn_p*;}d#QYU)Zy{o1vh!tR5YyZL$$iyz8{wS ziZnWynu|13Q+YT;ohS`ruH@1(`9BMBV=t%UDRXzuGG3;haeM5R>}ESJr(A*7JPp#E zd7$Sx1i5bt_BU!{u0jqr;=otN4lpXIuA|$OP$)Jg-`_h{I!K1ZXk+>Trag#_@Ad>3!%q!A?9%_&owvN&FV?I|vsEuEr8YX*Q!I*AZwNwanrIeP@g+rxM7*x$ma3;Z*UN z%V~M=l8u^kULNMy-<(+|7E+b1)pWsp{pB!b4)V6;UFNPVXw`cEnmLevV5c5*EO*Ut zs8`y9tqaehy5w+UxaO@wyB7iOdUSCx zzV{LyMsGuHBao9m!5OT#%EB}$fc0W4g^bhqDGgrnADYCJ%`95`=Vn%e`??&_U*_C2 z1#w*EMf56t`^>97&T=QXb!tCo$zB+Q^dmG#&~q!B91|~@X zEO+&42Nr_)Y@EDgt<04YUf}i4q5)ZAp8|m?f_O&YUDrV8Z9+qPEC#PWcbNscz1*jP z)ojP9>Gs@*oClK@^N#!YyNX7=>IY{k4;zn*69)M;ku6J1vQ3ZFC)UK*Uv8Jt{(iiz=M z#qzdO>SYUwg){GE=O3>LJ{Ifb2D53g6tIzgC>%ZVEV`%x+y3_Ew@WlA)}1ac(bOqXVqs*vmo^$-aOf%D(ujE9c5 z*Wc6*FB);tjOYhtA}LMerQ54BF$5T3eo-VDpD|1eQ28ojstRBXPUuO5{lSk{K^TEc zwMu?Iom|&PYiPbz&M}!U2ye!)T$Y@Ic7(6X2d1KbNQr%(3_50s^+DHvF{ZF$GS(ye zYq;;^rOv?t&M_l!eP{A%Tf~JDaOg5;Bz}r&c>ON7Q{pfWoiWg}-;zLGV&y`A5Jwxe zqYTBG5e55_=ZR&7$qW8?Sr;F1M3Jo2LVq2(%*-60DKcqT`LQ|UH z*0C3zQ>G7W%xa1b9bP#*KyAwD{ZL3}M+qa^|7^@&Z!#l}WXhlNtQ)K2i#cU7_6G6P zKsybGpST~2=j31vfm0FmD*NA0@S!4W92a42U-m~t0%0RMBs@ltb`_;odTY zgz2ZdYIe{UsiZvAJw|3bzilz7pI#(D;ox>XZ`ES-HM`@DYSDe3dW}CQeRguD81!nF zu;lqHk#wRbZ|q;*o4A>+Jhl=Kjo8e7y1vQR6u#exeaP>%9VUky!ShlexpLJpjfoFT zv&{Cfvi^^`KKRLni^mXUjSpI?Tj#;f`EZ7g5{+VGbwjNcr?CASs+>{R4%J0rql1+4 zioK~~!QERv&MBQ9`J!I~;KUBu#5q2@na{gFr%TkQ>fBFebH^0acYcUYL+>$`XODCY zJg(e%mPXrG{8@hR?D)Qifw|ctW1Sz_N0GgiA9=)$=l~Urq?eHkls!E7rGY1{IEWf1 zZH?;h#X2qJ#l98C{w_%(9CP^vGhbk{^09zu!_3Rbh1%mHyJEwf!JXG?@vdWwLEe6W z0qe=e#B&sgEmout#3#2e5BWCHN5`*Sa&JxQ;us2t^zElB4FO9#WFb%{* zhsIS=hg7_xb(CG>%NE`Krh=PbAz7-Aul%P?W{x- zMvVlGl-i!+ij7nan(&A6V37a?D+B)o+w0OIUc}(;t!BMu-Kt$cDo){Ce2@C%CA&Rn zF75X@Kgb^oF{GUi(yWy%ZR_CO$|X8BwoTme!=ZAs#NAKNAlYX<+3+8C?4QD z4%DZy1UphI^j)3=0z5y^@?O733(;CBU1!dd>21al(O>g!!c<`jRwjFZ6kK1$+98gN zSc>YMtX#tc&hk6G{!uG7pywK2x--xJ%#5|5#I;!qZt{}A1$%T7kwAeIf~Tq7(*D`Q ze}nA3+JLEEEZMX_F+1u|%sxaWDUJCp4B=w;>4gspmHQ~ae9XVC)cKM+rwJ|fyn{`DYL>UYPb@LLsoWzBhoNX$H9v7uBRZolezid&w} z+*14$$48^%bt`z|Nu2bvYo-WyKEsRe(%)WUsBe>EC~0+4;Ake+OH_CiTOCfUe-?mk$!7bDPk?(w6=?<}P6$3o*I{$bkE>q8yQ z#OD#r<+A)`B#Ap%uw?J(+FQ+lzwL&B-(g7_q*tdtnZPkstHa0du%He~o#O`(s8?U} zdmozcd)Ee})Y()-aw#d_+!+}G_Gm)v;TajuTH-?}L-{ z*$d{u)01$GubcIaJfC? z_TgS{>T)4<>Jp>H{{~`vuMLx)C*W6})N_CuSh?c8?bxz0nL5v3oI;+Rn(u-|HO`uS z)%O>CH6HF;G%6Gg_!qyZ8ozk)eECIMQoX^KBcg#~%Mg6WA}Dqfe9HdNdO^z%xg9TT zukx^%O;FA}TyQbFycuaIS$FWt_=bG+*z4QpKc(Qeol}nb z=+zIr@tJvIX*si9{t8+opI-$XS5)*0zFU}WK11x^+JA6QpVOdWa#u|qcc8JuO2Wss z!tUdUs|~H#bH?BiM0E z`(htlNJK&H^ogB#;f{XyOs|94!!6=M#tD+YGaSvJGrgUSDX=#e2FO&; zZN0;&G&T=|RI`Ru5jNqsubrM0)SA45C6Q62qjg2O;z~01J7?@sHJ;YQkiO*1SlM?w zA>rn!B}yg$_S%7Q+_}4VLlrPw=ht!x)(Zf}QJL0?zFnB%)d?XD7D2wJY5;H4j-i9s zP*i>HcWOIG$W|lDocAu!iyL=hMLs+{a|9?5{ACSbhx{%~(rsoR8>95f+WGd-k|NC4 zqUU~EM)dU7R>-VXIH!7om@zK!0(l^f3W+NdaRE;CDC~hb6H%#Ftm+=vF z!TvJ=;XHqRqDLToE`z;mivA0ax&Ha385>to%rj=CbaVQ~L2uY^&aw<@`}Tc)mm$h2 z-6Ajx>iui-bUTb)YAO&Jiz#W%tNrG>lZ(e1llM#&w}_xRzg`O%iUNp|UmY%oJBCDq zMFrI3>z0?xx6i`)1Pe#_U?AFh#U(*~g`+5@2&t96sp^N=eIcLZog=ZZR>o=j4sWWS zbAXADOn-}tLk5k7_hKQBP%6lIV~^G)31bq!D1hZbU|@9{c6?bcXsJ;T=7<`m|Q$HlLRZ<;V2DeL)b#Maoo?mHtqch3(_CVFO9a?gVs z1SyXJmbZ%&x4Pf**4Xb@VCA9$!B#2-J_N-!jCutBFsHoz9Z;TaaNKbns(7guU1{68 zXBM?qvqmFyZTkE7QaaA87O4$JDzT9OoyXw#piWnXp+`_0X< zQ-%?1}GLmzdz%H#JO3(tgQWU)qs?Q(LYk`ZE3Aj z&_@{Z&Fc$=6W&S?zUPewRcC#W>KFAA`iAw%F<_iVFuK{QJ%Tq9O81$sc zs0*y4P%wAsPLsg2)bG;W#(@lo!OODFB*u;8g*-_J6^wW+OUqcS_ESnxvj1EzvQ8o$ zwtN0aGQh){A45F9NJwE1$!K9|m^k+fag5a8dtcF&vP63cVi?wqf2GeJ zd=nqR@};H^DWhS{AXZ zJvTcyYf6V_fG29vm{~iCAp5#^gSd8n^}cUM@bpRZUVJR%dL!zJq?!7j*dxec)zKBx zvVq5iE>IAOw+$KZ`j>~aSyhQA@io)kv&=2 zjQ-bGneAhc1QrN!+U@limaN$|o)Z~>Ujz$xS?#-KPc@%WTw(fHCl(phdf!+&tX3PK zHRJy)@(1vr=^;{M_GUw-8lZl|?Lh1AqmXigAv<$1?CN&F=2~{6nCP~WzgpRS`lIpe z6P>)0L)?8Uv$%l$kHO{h%5yp!*Y~}21+k-Cxq7~B*`vtP8;q#L?F{ec{8+@GHU?^W}E;O#hz~_po5_e2b&vP8U;f`P{QNbO!=Uhq1B%*H=So9E$8tW zT=SR@f9x}^^jHmL6L_U)yq{L$9CV)9R1Ceg&t&7nJJBjHp^Og^j1QgESUO2E_|h&6 z{rjB_Z~WO9P83GhDmpV5pJ=Ehf@#K9gTLbuJ@P8Z$eP+kJV-`YR+(_Q(~+2yJu-zv zcg>$YRrZf34TNOa$hYCdbfUe*}#|VqrQUL}(Em~ztRzaVq0g)a5lTe)2oc$ z9c8ko!pu|HhQzCD+Nz+MhPcb6Nrj; z`1?1)UDUr4X;}1g2};{9nZdtvkIpV(1VHQlAu07Lb(seQ)ycRle|Sg6)q3tV!h~^K zML5^&$mh}*kW}@t!DLlNYb86xFry^nggn79I^$N_7I+|UFrCx!>#0qf>`91}%`eba zd`ZWFSFjLqSmEYf(=Kv9R$#t9KkFKnrl~ON>8F`aX0LS-N>_5eJQ82=Z(PS~!~6N@ z?*)_#(d)9|AaegVRvpoWZ)@Uk+#pcpvG>qEWqr%0(Rjo|<6OHZ$MeK)VR6&C`l)Ek zT&_0}?bo^$*{{SK|Mwrb?v@x!(|aPK&r01HP}z<==@L_QHYF60u$GOuvNWW5HZAlL zWd>o4*DiI)-_#oACf5$H3E)x@%NE_Z`C^ZFOF(<{+RM( z4iL8P-I$4}w?4NbiXa$0_hLBDC!N)h1cd0G;IyFZ{CNnp`mS?b9$n5<$$0tuSS(y+ zcw6F>5v6LF^f6Go&nwzdJvfnKo$twH$*K(vctAHJIbgL=F#G<}pcJgeI<@?4Ic%R+ zCH!rt$WH9qAX%y1YQPW6m8GS+iEPFuxarm^;LgMd_@`mJBTp3Eu6B2x1a(U~Gc=bL zrR70Ys$y6taj%}{x4Jcu>p1&}V0hgZxEeM+AD@_ymbhUyHso;J2*)4E1Oo5*>5>K( zQvSbEvX^OBI_2kq?j$vPZE}*e;8>=}__GE~BKANyJ-EBGGB`W_n~b9J`nyIU|He@! zvaZ0^s-W|x$lr2zEc)asccVJD7p2Fe*FwC+3STQhzgGu$LnA?Q4>tq>?EvO4oCEzaKK=VR!C3 zS^G4kRCg8RS?9cs>p;$@NVP&;b|uwki`XsF1^S21_}3~P2!eUrM|3+(f@Ug={5vNx za=8Sd*%je{C(~SB;6i>^iDwC{`{Zgj4&>u>+hQwV`ok~{QJ&y`TGYN&E2$?4o?=3F zO}v4tgmfRl zgpG_!y_Kj+3EJ9GLtpL`#X37Cm^T_ES= z!%nI<_@E`iXg>@*bFgA1BiSF>dQztyqxR^?HPyRlzik_e=&?CYfC5cMO z_&rtBKvhtpu^JpRjI2x^{iND*1Ko&@1eJ;+mwN`iaA9>gq|$ONA`TrQ4-on zAcJ%F`WZX8z}Bd!6VsW=!BS9o0fF=8FU&6P8>h!J;~6I(I){Sg=yTF=g} zkp5AHN;Z5vI6JtmKZUiX4!R|ZE&O8it(Dpq4CQMoZeJ%KAhEngxWT8OxeXDNfrO*y zLvHH;2-Bd|=LsjUzjq?!JkJoq9CH)8)d4&Knt2yc9z%(Ta5xLL$38jFBlK8XTu_}? zork#hgX(mFo-s2~DQTT=oj4%Bz&)uzVrQg#rZoaJ70fs=1Q`N9riRy3 zMnY|bn%(9;BFyIWY{l%G7V!0o*J0bcj4-2Pe~VfMW0M3c6@pys$vWu3tFq@QCxT(q z6OHJ zU>k%@7B=BC`2Pb%!}KxfI?dcv+;pi0oO=Hb#M8^A8DWnG0jXboS0i2>=Zl$K7r9TG zUEJ>uz(H5-4O;5NateC!6`$l(O_mXQ`U__Duji8~B0!H z^sbVdsGFESANH*!1a&1)e)s!&MB=;KA_A`v&h7h16AT14P=vewC3g&etQm&|W$-D@ zawIH#10^7wud!3M3d}3}Sc>+~bKDmWK?T}zJN3=J5!jwecE=FFvL|ykAa6@m)1Z(e zmBgf;-0N3HF!og#yLW}r&(=XF;+wjF> z+{Hrr*dM*JD=x&je~T!~G46kd14PIVyk`!@g02K4(~ld!F1}6wl{I3AX>cH!u&aEU z#n@;NCNM5=k4u$;h*10y*$JRfY^sH=P7p178t%u^I7hYD@m5(7SAOZ3d@i4i22v)r z-OsVyrSq<3E7{-(OzuJ_bXo;u87*zmtENtVo>e%Yk%T)0mAX+O2H&A-Do>!#m zfo41*!kFIl4K+9Qut1&))@7x>4W=H_)%N_^~_{0ZlyFm(Y-Y?SFIrXl} zy<$) zW*h^lB+9H7?}VuK>J}|;WU4>^m~XB>HY;}Pl40{*C@OZE5iWLXzcOPpAcSOCA+!(u zG(;Z6G(--T(9_NQjm+Wd?Vob+^UCPfe}wpMVtZ$AyrEFi(I{2biMLZ%tE1G=DABbr zP%ZmyE2my!YoWncY%gZ$h^^xG1{ zaOmsqZR#(kmCqEFajU@d7p1%#f>D0W9&2@+Qq*q(!zDB^*ngP1kY%t}i~jCA^sg%D zS)oT+P49L4YD{ty6ROEH_sbv#?Zw+m@bw9;MW(a8({@fv&7*p8;fv~&?| z-3V!OBIsPxY44LYSZ}Cj_)rzUJ`~7>84`bd5)s$x9tx`$&We+&o9Daed~rOfl|6O{ zE@$i$-c2)pZ4ZT#mk&7m39;Q29#&`AIbz}=$kx;0LE@>tQ?UqjRFmH!?SXu?Jzl@( zWB|FFt8rbo=!dzW1i^TJqd0253_0LGfqSNK!J|=(%o^hlH|y{_o+l@oaQKnQ<#TJ@ z#Zu%R=06_UIaZjE^q3_r@f=EI^>LS07(^dz<%acPEEqG~tPEqt{c26Kj5R)Lr<#`^9r?Uv@5kE}yW}OYi+#ot$5klRZOeDGS)M)9hQLM5&OR_b}k?&G~Fi2D{E%IH&RevbTEa`iw z_qbEoH%Bacb@w&bdDCfBD1)}1o;>4$h;Z?_#Fs!kWI@AJyBEQ0K{Cc=W#*QB1?l8< zifUy5X1;ewH-nQesPX9HoC?&WXne5fQf;5*W-t5ntex@eP2cHVgDIkSR`ep%o6|bU4ESV-f@d3{&Kq-!&WXcK z`(pdL^Jtk{`EUG(p4pyFVv&REqV0uig!k`#n0!Mc+ss6p#4bcsfxb-lxb85k*9cPf ztu^(^-%_dwdh$&^z`Ne4V=Uy=&Ju|#x8O$8!HPUxF0X>F8QWhfToasCx%uPo%LBXQ zX>UOu6Gl-{zDrk|f^RzZ&SL>)K$3KH_Lpk0m{ZF5WBHk=(0R`pti!wCnQdIFTz{e% z%-we3-z?6a@aH;jmANVfBi$bucEScPJ$O8(xZ?VD0H?xtf_MESw?#^=nWYMkmUA$v z2D9bwPnk;>5!$_R|g}$ zcmci`!82!I5Zq?ESA+6)K|-TUL!*gcs4PuxYpO^qv-p?6hy8BDH~Vu50^mbWAL(>P zH`yaTgzV3dj=mGq0wVnq~^VJ)1^x+)3M1sg9aKi^uf-bV;t!Wk51< zi^JZfQA`fcm;ascx_{0P>It4jm<|7p{+>$a_+PTLk0UfDs*0@dzYDoi>kky4=PgEA=KiKxT*qCyIW8KIm)*$aIp~$#e{&$0N zCKe>4f>^odMO0UEO_8E0#GOAs;dgw15dj_gtbf+nE!`#1OApGOU4xp)fmZ?kJICUZ zSwb`2V|u#eg48}dS-nAc&bqSWu;>goGJYAP&lp2qQDxzJx&EhUjHZ9pLXbOzk2>#P z9B3OI{uOu@nw{EU%@c)0kn^N%XzNu5u=;HBzpVg%xcHKJ!ElyN67HPQv!3vcRNzyT z&t~D@w2wI%_QAY~?JIRV9744?Fifh3W2_e)tT9u`?N0Bfx1Gj&Wu`6deH|7^h5tb> zVxiiN3ajCn#b8;*sd^Q)I>?~DgHgNeqM*afwSj0IV$oos-7KUYyb4wRcO%+h(=fhW z(O`F7(DKo1`ewgzQ?5>jO}zap5l~f zliH#jX!5#hvJUbXF8*=U+RY6-Veepc9a#^4QeAA&p@Uo&)sGq4dpCkzBU*c(m*;F2 z#4UfdrwGYiY(q<}G!dnMe0Bm~-_(^c{c;01Z=LVq>m%yKbM~J;;gK12=6Uu0CLP)7 znyV-6AV14lvSY|aM7@6_XK_@#JViD~wgZxS{;*M~@POynhM;noZygUp0@7Q*topU_ zt8628ucy$+{p*aYi_4dqnaTIwdk?%_f4DpyRVs#|_jX~ik2eu2gmBUT^8pe#cbdsz z;9*Oq;uEr#$?$nZDWTViG&}}n5!KQpyc^4c7tK5N<4jD5?tKSL>ZL+?Ltjp+2@`lsCwBOj zv2Z|kyKK%X%POD<_}C!k8g}7&&!uQ)%xAXuc=^)%w$=|lv$Ea&FBQU#-dAX}EY)L6m@PU+w(8<>fmEDq&Uz zyX~Yy9XsBitD@)pqTcS`COBa{r{QCsf=5$!Cy*VKh#<}58EV*aMf;Z}%r{6(cmTtR zZ^F$L%ZbDgj^*=qYjDXX(w0-(TEl*lfY?MdkO&cPcil%UJYDajmj}qKk<3x{0k#Qm zIzMoGA2ACgmaZ5%j$f0W*gk9u>zpGs6Ne4K1#}?2VwJFl;>`8Kwdsk{yG+}QKi{mC}0z6v_`Bv&R?Ho9nW85U!5SigA47uCM3@fTW{ zel^5(08-M(gIbTyaMT7L+{S1 z4M?DYZS#lScjyRIn#g7bsquh+dO{zAv-kyuoyeTiBty9E-}YxVXB(kYeEzhpikbEf z{fM#!PMYnzt_dO#x3<@MnmgzO~N$(k@y2Z?10SNs{Ikd_JE;fS*T z*K-#$E)-GC5RUhvjR-P1`|`5pjHg{lEJ*n51*j5ToD4cUp3B=sAmH9r+=w3mKsMEd zE4%uLpa)d;XqVUy;h1)+R+oN2Y#QhXD(Jau3a3N(!9Tw~%XRR#&@(lFVq+^uTvIm{ zGq%%@sDp4}*FdS@#$H)o4@_?1a@X8kIPPD{afcW7mMM`6Mk;iN%yR~n{Ki_@)GNFr zy7U_0NM_*25AW%JLpwQ<9_UhI@#{>XgaS2@JT(ynSAliQQP%(Do81pI%k-O6larHE zgq(Ajlrl*baE}(r`BE`fC!e$R>B(gGF@k^&ujNmD3<>R z!<8cHT23}X#swTCDj z+Q`b5oUAYSDYL0?Qs%fe^aA*h)Se(K_iO)~;-`O<*YEMe*8i!by)3yd-IsJK z?>J>g!W1rhCk(#&5@H^B9GcIy&so9Bx7@$2{uHU=v_gypTaeDT7fOB zEXdFqbIE|ayFNj!UeKcAh*^&=toz!GBi{LYNGH#ol^X1_qZj@= zxda~g`@>(loB8DcB6pOYK*HHT8$tiRlar9OFAKG-K~^S4=B-6~_Tgy$w$NvMC342W zFMG^x(wA;4*W`hEOXXB`z<4^}k6mwsl8F_3zsgTC*u1$iEj`qs#tnf+8U93L7x+AD z7KBzB4dNYY(o1bX*_Ir1;3bcTB7yD?5Pi_`$H6jF z5cM;P5m95N?qImbFVM0B9{sI~KYK@aZL}@kfpU$UnuvnNIQ$f^R!b}P(~A$jpEI+_ z9aD=SD+cZ-Xg9V~hbolywn>iAJUZ>yP6xBrG`6UkyBB@aL}P4U4O8em-dA z$-Dt8%Lc5&}DCIzh#%IO=QOaG?-?i9k-S);9yH; zPbusglc8HFX@Hk{Pbq+x{t(&m#doweG)0&r0HnsaA?n@5G3aeJ!;7cg*n`G;w+SwH zrE6!uLMSQwJvxl=JBWNl2u7b-1oP%IvB(Qg#tTDIEG-qU$6{&{clR3Foy5Q$`nENn z+6k8Y2m2`RH-tb(;-C5W?yY^so>FFf*V>B`RmPYjGXB-#!jERSoR5BJ@jE zn5vZ=v(>#p!Ok%3RWdxk#XwYtjOFWt?w1=cEa~k zY_}94cl@A(8}%HqpDrw1qWdOed4^)o16Ci8t_1lVsz(CmgYzy{XG(Qkr@*@}G!FC) znvVG%v3LpYdOXeRO|aXsTemPVA@-r|vp2s7rgC6OFI{*$Uy6eA6nIyO%g`_`oe4-gn9%)%Xq)qeG*&h2I>4c8|2tMZheP*x`{Nr`oRY6$^7F3~kUJ9S z{(_@aua$i;J94ICjq3mvAMuxIK#vF!t#nUgpH<#VcVdQ=9ZjV_80+9Z{Jvp)f)Hu% z`)!(~|K(%Yr|cE7^|bXK=*WHgyrpyKDb1^}<+8b;T}oLSOV%A(S>DeOxSZdrux1jFXCF^wSHCX+kKaVBGCPgQRQe8PDC zUJqt43%$w+3^{DM5a8dY>L4=WGU>oGgLR?%nU2++y#!Z9oQrm^;2`h##yn4-|Ih%B zxRama$|;@V72EI4X^%8VWjXPB-F-o1o5;T*3FmTjiHGkTR-i2AAIz=4@5sv z=f+P%GW$q)tqy*8+fADdaJn0vryFWlD#j}8B=I@?wxqD21XIh?!0-}T!NcJ%@B0GB z;;N7gZ{1cYWWOKS?cgL5uvp~&)bP)cJmpvXJkhO996MuhHcAMdZ~jQN_e)Uqlh2;T z$ga2q{6W0pO~|NPg||@&{OiGi=b!7~0`YCfae46Y_+OMUJJ}n6Y_Md}Ep|Z2+Uwib zPWweWA02KBrD=L2XORT#FQ+d{aqc<=+JRIaYBsUc;*-|F>(-sBeQFN? z*urlcZBd88*B}}Zg-HzA+u;jVK2j;Pv%_yq5Ilb)${ZUw6N_uF0!LDVHqJKZRJ3!& z!S$18;VEO^Nlc3*z8o)ATlrpUDE%itGpycgdjC!QYBXU|=E0x;J>OIV(t}o@$P;Fl zSIdNl&Kb(Vkewz{UbaSPXa;sUy=#|YA_SQ!p%s$J5^g8ktuSVN+(kWPY+eZ~{nOq{ zH%kLULGj&-%%%%(#3X3#cj4~Lj3_4=aH;@4&M00|?SFxEsjn*W)4Flt$6?BMO_|gC z{z3DlxKvSRx*b_zlzceU2^4(NuN2%bK$udPp%_h_%%54wMdt11Z!-9IVgZV*$jw@m zyw7-JR|ke%2MB-=l5#o3cgPDm-TP2?jAqN?5e9&kcHddUDrW8}M~(O3(?_p9z*`YN zWVRPl`cD#JK`Rz}UqfKK+NJwAHHT>dq}0D?=0yri%(|gX24<_IMq1Z>$a}BFHTx=d1>J2}fd!`GpECUDHNkP)C#8-Mk>k$U0}0rTE*;>pZQ0>iA`{>m zcK5>-6*W!8@vN6Y>h+#FTn}-<1%>m#6r1XSOPQKCHlyLfzMG~gPlWfgRU!}P6K-q7 zzMO|`#*_3v&r`wIx6^b#S*sS20xi=22gLq7c+3$ebna}*9L$uaij4sWy%7o_#_Ib- z^ZqAD@U^^R)gE^_Oe1$5>fqXV_g@U1=MX;KKcBk2r zX+V_O+pSNhX3yY0dHa_u-rO{X6`^l!hLau zY1V2(JAcK?iFS9W##n%w0EhLF_%!Men8jywRl;cHlRO{nE%6OG9{hr)AA%(@PWOMa zNkS}uRaj6)f#jxyL8Ucz#kNakvu|C$&w8RMh>wsT@e}Y!*_cXeX*#-sF*<$a84To$ zDua!&@%r612J=E=0IZj^2QP>zvWg|rUYA#KIHbT5u=Pt20SjhE?7>OJaTvvBg#x&r zuJIII-d4s7qw^Tq6nsdDaS5y8NX=*+k8LOkmKL_xHNMR9^G~=UH3RvpDTU+MZ;&~9 zwKgZAQY~dS*xzbb;GWnFZiw5?-g>x~d1mq+J7k3ikHn7`)$sbvJs#UkwYBi_+Go(= zPUXe&ili>>Eh{ZQ34bxLf@V9CBWAurX1+j>!)hhnJX)nTaucI;Go_MmdUx z)x2Z8l)@dLY{?i>2J+B;b@BaXDtGb)oM%P!xLOHbVRgZ$CQmEXCAI4gpE*Xwr+{mAzx9`@ZUb(s+>^zi$G(+0cb@vBm zeTy%?b2c9qeTZv-0{Y&BC-w6=;h`~gz2+>K!`gUvpy%4iNKgRll3JsRJaDY+w#Mp; zY7L?L1Wg+5;tuIzl~*?6{?)BS*o=t4-I+J0*Z#G%{fbg{!saN2bA=Md+fqN_nAKCs<#r%*cXz})9UG9mPkN`MKow@O-`G&jy zuFrE)jgOd@3YzBq8$uqavPW`?X_h|iPyy)jdUOBoavi)Lg2^0*#L+?&)5*D7@M(WI zTPZB>^_BcXxe<>nHq0iNJ&ZTFXm$iuU+~Q&>QF=Y@{dx7exG2h?2a z+I-VVVl?gpnQe^6aE8X8F$ogMn|d#H^{9MtcTO)X`S-h6LrOWkX%(fZn**w77zMWA z!|2E(z`3&3_6H>Q11%Su-6Zm2(X{N9iS+dd#sh@tv+w~-m}ufF&Ko85iUO))hZfPP zqONr8rknr8)mw%|`F&xyDhMJi(nBcH4bm_pBGQe7G>8b&-Q5jCcS(2W(B0iRbTc#z zGl$>*-=i;n%uOgbUG4L-QVYjM{jR**9q~>>-lJ3V{QWUZ0I*4Y+p)gpSW$BA za;Y}td_E~+thnZZJ+oR_-!fa4`t)>Xggu*WY*%MBt$c!IwA^4;9%-RfVn;tGyBe#J z%9821gei6_flp;u(hpYq4q~3?s2>(k>jxYzBJo5nTpg}c7nGt}C%>9FH8OlilndP0 z4n6*o*|Rx0zvD=;M^;|7E0I9Wtzid!?eYo@FQ3sRealT1k@b<1GW9xx<-lMqS1;(}n(kdE;oTyO@g;%h+$&V8z;9ouG;kto z94{a|uY}$V!q0Q&UMZGqJ{p3kQdS&m8PBO1=L-pOu_Ib!=C#6!f+AM&E1 zlAc3v4Y7Svc;$a8cg}FcsfY6IfxemHT67#OvxsI#5zS~6@F|Onl0mv_P3(oGqgBE$ zqtvFT(;>i?6aG*LdUWiHZJei&@&9UR+<*U9E&Uv|#c96kE!X?Jm759wKXKK)sa|tY zZetWu1xV{HZ-Q5i6XPqIxR0cn`@a@uj;(yVC!#dkyQ5gA9CGz@#rV8Ia$Z;lPWgIe zUR5VmxZj^Uwfk(8rTzSzz{MR9Nr-@CW)jYK4pys}?GAFB5z(`c=Y1CAN?&M#@T)WB zx4h}Eq>gbc#l)%dWq~JF=G~|+?>@a#04RR`Wnj-?bZA8{KY6x4fF|((;lDw-0@)jy z-_KyD$2{%mfR3kBE;FYNC=?VH#GV0?wB3XBX{C_#2eai}izWEe?>fM;asVaUwxg>3 z;@t-?bh`)FhR;S%<7AaEi8`G-zZCX`vYRl^iRm+VVL%|y`l&#TS;aHFTkrEoWl^fZ zw0?I!tsWWg)At__ZC0L>|2Ne6wlf;IdJ*>B0}iJ0U$&i$GOOA)ad3H*XsbVGZUfzV zkcW#;UA?|(;<$Kfn5b>YD@riAd3WHakY|-E)(C+wd6xdo8`(!(6^@wRq_^*}#RK80 zOYyVy)#F#`atTrG(Yx#l+XZPe%{?J|o&A@Itu$rGSa4}>*EIni5ey_s&DK#d=p&+LRYYOZ+@8Vh+)^gQqz z_=dNu7ud9?W!_H3QvzV7FyziR?dQC?iEX?2aD`iNG}W0%2TQlGm&Dl_jF-4wcWs|z z(ag;}UN0Heon53DbA;1p#jXDmw9(J1-73kv?rH%QPj8_n)O#MGU+W_L=sa$~yj~4f z;ma3(*U#>?lCw~%nc+Kna?k=?>)lSI&MnxLzG*Rj#5mDM1=Cohta#Z^_e>2snXj8%Q?ma_@%1zU;&Oyn( z6B-+&K|aN}QE+ybpC1k64j;XZ4_x#?-sWXmu$ixXY{LdhjN{(5vcGK<87F&)xfboX zXYgpgR#^|T(UaVkjHVU6Nz(3D2z(Npo4!-1r(6`oPYVqHa@w8ABsNtWAXA4c?-cYntS4Q-_!~y7T+L7pRU#UC~ z?>(N68;>X1P!~>GaAyl!#{qv^E?apw{KygF(!KBp4p3%4p2J!OEjY#ZYm)p7{)HPr~J@k+1@5&MMs^(>1Y=n&c>_&;U z$?gQ|oCfI0HtX#fG9ykz?F=EOkuHB=PffROE>nPvd> zv7&D-J(GCo(rc>XS(G@5X|ACtnRG?u|(G?qZ#p>0im8{4;}Rx|!o zMhs)o=FS&%1Zh8QzCVALe}}hK(3&N=U?(7lzLNqQ^z)8@Gs7Tk)zq>StSP85o3b(yukug;FF z`gTug|1N$!pENSMRf;o%0~PPnK#@4&rnJ!gJ@YGk=V>Y7>;=5{ogq@5Kb3l-wq&Rc z6j#1(jneXmzN7v|{ehmZiZghVJMTOno0n9;V4{C%XuC(Oj~H>6%Ha%tOCFNuzhBUp zv;V9-mFi1;Ap;0?0b{=X`DQyWf`6PVh=jWWG?cNk6DJ&AWG>l5FrH(XRxd&MN@n1! zK*0d363!5R#Y#WZyZ%;8_n}z3!8QaOek_2hq@?g*c8YH2fkS{LBgE0j-M?=%RY*vq z`cM5eh0R|}3SHQ91HB8MDFw+u$ZB00N(9su49aI>=_z*sJ=u3*MiZ6sxr* zn0Bq+*qUPrBn1t>GPtnN;6s;xs=sqUkgTh-S-e(&ENEX&0iE^3D#R1|yY z$6fCIh6nxZA?}-^ceG!03zIgU{*M)GZUiqR65n&g8yv4g8 zP-v3)3EHc11vuo%H=b*xJC}&GOW_m#`Nb75opmOiR{qxV-DiqdjVs>%tzF*6UNn9i zHZH5%J^taieROY7)Hr?{yb#lU9zH;=YSQ)rk+^ zd%CiNeJA%s{b@a=v$6NyJUoT}xTPlO;$mB$yDrO8<-tgBhg7%3`O1D7xjE_0<#YY^L4M8h+tj>UNeEMBmkA+wRO2uz2 zE|k!oPE388m)}NIc12-vA`j^1HN4i%EHA;WI^lIM7W$fF_zF1BoQ+(jJ`B&{Abwwt zywn8PI?vq^LRSliQn0$s?%F1BcF}ffGK@|nDHsW4cPrm4U0sb7)NNf7LdNu=ado?( z-^lJGiF6kk{(_Ad-qVGwxm>ONiU@hd)1~@)L7Ijqh#cbnYAJ-JtfT6%`c8<4{4&FA_5VZfy^XE8XeCx_`+6%Z(09p8bqir@YL7?28dSW* z)^b%_4|kgPLM9Je2Vjv%#X2hU+;+rCErXTM@y?@HXb0f@4c1%dCib*|4wlOBrf+R3 zmt=&%F6$ZVn+5lKd)h+MC;R57AIH7a9FKPG6{g4Y+q4p$ zYkmZeXd-`i&-%gtl4ntuIX+;jSP zWYP8St`?b)O(_|+Wu6LIdhEnYjfzXsW6K+gM-udyaA!CF<&TTgm)C59= zb}@X~nDb42BIfqmP%x~D$~GA1*<$OwN!hwDITK)_$nIQamF=gfYjz)pf47MN-;TJ{ zy5Cn?fW2up!X3pOgWe|)r-!4s@$ZL=(0Iv!cf=I7%E*uPs`mCD-Z<>sE3HoYtg;QR z3xj!|Q4d{q-A{^%$H82tVLK*e<1e}QfAIgvd2DRsYR%v0g6;JgOiJeiEtvB6eeP7g1>y-BmtjI)=~wZ}>yjZ) zgir6Du*qqyC@*0AsyIM5x@O_aS5JUPIzd4}&@uvqp;x$c7Yf1;Div725FH-}G#)2cA}D%*Uy*@-wl zWfuyrXhyT{%c z#P1#4=(tID-ZaJhXp{xox2_%37s-wAGP9*}lb?rRp%4P6N zO`D8N`7UDe7%gF4yVfNRH85v(pjy)pF#ICm8vaH25_16>EdscU-;tBw(2b^gDFe0T z^-MRhj&K|3v9@L&uX2O1_lUsIS^fbB_&A8ox4}nh<(EM)6p*n}MaMYzpRoAUZ9b-j ztgLn&OmkH3MVae1>BBcsvjm7d**({Nf($W=P0=wGOD51QbivZ1gJ>ibe2$s?gfMS7 z*>nSMV?PmGw^tZpfjX6baWuY#(Dl<7?@`GcV3oR?HyyjIa@?VzA?v|)`f!ZIuwVyUf8ifS z#!l0M)srkyF#QkPILW2@xLrROQtN4f~!d>=~O zg;Zc9bAxX;BcPK=O46H=N}jCAIE*U+HM9F7xV|Z%L~hp?Me~;>ukGTFqiW*zdM&!{ zq1ie{X!otJUqehb1Xrd_tmQrr7$+w*XmX7z)TvncUUui4^kD@Fwu3afE;YGEnrYF65pWfw+kcW91V}B*h&fH%w#Eo5e0}_5|_2KSB z5Yl^hiuY}>^VJ<{l~<5g4OJS|F0qk}K%9jODRUoA`%fGceI$N6MZ3a1FFOgr4f0%k zmm1?n@WQHV937+v+bogo$ZzOAjKsBd?q=Mn8kZ5cJFxZ^d|+kQ6>Z;H3>R_8rZ~2N zhJF4sNBzSi$IK9+ZLZq!TnA!?>SVErwEUTZNs)0ld9xjQ#?0UPqtO>`W(B7FA@M20 zkR4SE{LfhGMOae9%=`?Jm#eHpb7OB!x1BBialogXKkdaPZuCDC{lOKIc2jlmD}Xj; z+HvK;W9fxceLv%SF(Ps<{=8P&skU-}>wW%8&Vc@}y-_06hf4! zrU_O0P+a=)3MUT=8|$o@aa}s$@^IyRMz}euvdMenG%GRR%olH85qlUV3TjctDe^LM zhURDR_oscz>R$I1zjt^iUmzS+4;zGc3h*KvV^%?@jct75ToJ zxCm%wq@oW^q^eJAz;R91+xHCC)|2?Wx*SPR&aLt=N_cB=4(c9Q){W zmJg-UZFLzbGL97YMl<=Wq}SSucTp^64Y&RyuGT%`J+*xcAVF>}3g1R=+x=Zx|M~x- zR&}3It4xRID?!GYw;;Iyb6tSxC6pnRzWuud86nr2@1*t?H&4Y=`d($)TD?&uV`;I| zXXWY1Ggs^3XE^45>C|l8ZRNN2o#A+y*RY*+^qm@)zj6H!=tJrBqV9Vc*H!Z$+Ak1TdjRCo2nYjaAiPyLC*`-RB) z`m#l%%eN<*R)q!O;VJrTJ;U`*z6X(WH{@B=`YDphE3Fh5*>aQqY)+39LtWs%277IG zT8E1Yr4Rsb;s~$3%yfYmrS`gOhHnwk#JX5H3B)wCW1Qi{aQLVJYFcDy4A}Nf(v@co ztWy;WsogJt-A&6sM7~O*GSo>uwA`9h68?IJ1A>Z}_kdWw!Zc;SF3?fK-Q^=0$2j7D z;Lwd5KPX!R$_to`aVGwW8npxDE>!ktkbxLp9{+-y`t2E?#;osRME;lway4}B|8gW; z7hy)GiL7?a9+~O+`Id&=?l{e{_X<}FWRtQzg8O^_RQ+sbvi9g*D%2zyAhhJU42N7k zg_;X|VO-yIdB^p1z9QJ7Ew?J5ABQf+OWO85{)l&qGa&5FqtZan<#KoMnU$)huG5Wi zo^z0Nt9o5dC%Ai;qqHV=Gxql`c_3BBB%vt3*&|46&1%;C7`@ohiJQU=j`2A1NJV>& ziuFni`dq+;LT7-m-SN!vWk=^Rv8!9m=T@X;#lu5hZ%@>ZO9U^I_O?PuJvpJi9Qd`K z5vn{bCtDXgun7$j!0TP`a<7s2oJXHkLqey>a4Oa-`?x~GE+R||lka|OmxG-9O$wj&wl$}<;ZSq?Q zzreFcr-Hppelgb-C2aqMwisUek-d5umeZZhmxG>jgtsrRd-c*@W*b8gFczv^kap)_ zkHHah1n?KrCl7jcG50mGWMhLc#N;_axVA!ttE>IgYv#m+mI^?Rj-u1DY29hsm)PWZ zu9Wy(tEox}p#2{bN15i(FHrO$h?~ElyP%DhU(01trb6Si{LwE)qbC|BK#Kr7PwSyy zJP(|mZu^QC`pzO7 z;0nElj|5qFyc?%|5zAR1auxMlhRjeczvjg#4-!kAPLul2?817+((wbl|LH!9wIS8T@g@1ygu{n_1QMEvOrg4rc2wWKZB~(~AZ9P_;$1LmwzyspqL7LDid`YT_A{x;Qtr zs-D3|+neA$gO;!8&!iSVOOoelcDU$sD8b!l-5!7FEB&W0k!?b+POXP-z4?d3ED}0i zT+!YTPa3~M%3SK&x{)=9pV0?2E8!0D+3xk8vz&^#7g$_8K7PF0XyBT}UM%Qx3>(GP z=}FgW!Zl7rMr9S^u38FjyaioFAoQcc{oLV;R~PLX=nox_A8L92Wyanb!4V=th&zWP z?&C-PDnk|Hk%`_vL6;Jju^yJb^fp~`XhF7_O}LuL07_Xm69{PfBx!$QL8(f|P$u8~ zrlQKrt!bo9kqS|d=!AG%IP7m_d*0z@Mz!4}EvqJ5!Rrgj1sS1ki{8WbH&BzKO0*za zUqJc&uRa&Ll=l#gk=JnMyPW;mg-sTI%pgzZY-^v2iqAw z>&&0}XTL*%yFW?HMG%xP2bXN9KQ5B~QPW8`+;6hT_0Pek2=fQTmR1M(hn$C^Y6OKb z6-O`sh{_Z?&kni!7+;zmR}eajilB?K|^!j z^lX%O(U!Qmpa8s9a8ZjEI}dV=#%)5q;A_R37rP(m=oq*2)6TWjH)T?@8;9WmIyR^E zX*!PpfFlS?Hr5cY^pH)4#Hur8=Hw-m%PSKeXOgMUh2Cq2_v+CB5W4ZweFjq(I4Gk> z;*+{TZ%ZWnTD?XHYZJGCBSDS+73h4gbMA3>i;qHLr6KgOmbC@m?sKzPp_F>FTyg%j z3Mh#NKIfZnqS}p!V02VAUs<3s9j>vi}mMf_cmJTLXlvJO5g~Oa! z%Y7qG1TW7&=F*t2ZI2WYgryh8;>N2sW$f51OK2h2tZvQQk_sFt(^Yl=dQ^S|gu`cI zfq)LwIUkpJ>_Da}!da>d(t43?88pBdBBdDkptYICi;PCV<6sS5%Cs~#k6D6Vm$ zs_|h*{b=o!y{P+`_3$&2e0ANb&`d{#-i2!9X75Mwc6FQkPAHR3bKX!KbD4})lgxD{ zWx?|kd-3Ykb#u+-bg}Cqp<}!MP#ll&3(V@ye@N2eXTo!_ic5FV)E=QqGWVF`=un-5 zQ;Vn>--+F|>$9I!MXRNixsDR)bNXj)`l^nJ$>Xqy>GHO{L^vst!t<+*d+We?#P!?p z*O$^ikv=7!ASRkIT*?GCFiEP%rb*hX1Q^y(emKAU@1R_tSG&IL!VTt{KWeLs$r518 z%t}rEku6t^sJlH?apRI1AKL})xJ$1))sSVmmp*c$eHZEOaemrZ^PAW^ANCr@p1hsn z`~WgL1!->{h1nhaGu^V`)VGXb3fuJG*1X%!$*EvO!cgwy#Oe2n~UnoHgh>h$GeY(j2BtR1~nPn z@8kN)F6J5oDv3EHFl-&dbH_Jv?ILZDg_^0|%0`|IYt|!r%3U725%~Q5lpj5R#o+?C z8it?w_~JR4IV}%A2OfMq>~R*1$8ClV8JiyiTAs)*eci995dDBLt=p#N(^P-p$O6<# zJY9eR;vNMP%>MDYZ#Fzf%rjDOlOf#=+EhI8&v)#=G13_32Z5h2olp zoW5B-*C#n7*OQ={)vDht@mc5L@sRYij~uOZ+<9A~*=%u ztM!Vgvt9W-Z7Y%LI`pm!v^=$LEm~fz#kkM3J`ptUd3ckof1Pj^NpOKuBhwmvFX`uP zJh6;nELdfpR))Ap*_{FaM5R3yX=ArlMigU^q|(O_wD;~?sGm_fw zjiw~mnN*m}9b@odBpTz1OxZxUo`wOzxozHt9hXL|lI-7pNHQ^P6Jj-%>{*BzG=nEVwB*vlAT z10F{#7KteD_+FU(U--6y^`*uL>Pulrk+wkeg2K8UGw`FL!fyR4mm8SxDk-C^129G*L zk3x;*!dODgd>RhNZ-;qL3}%bSOIvOpfDWfGk0MtMRz>fYoB|w#Viu*g9&ml@nwlUu z-4b2R5_%%IA*QNJ8Ln%VZ3ZINZ%SFejXT2F7BH(;QgA+tkPw{JWF**HEd*DXfKvDzNaIBv=*R*YlD$rnfe&R#Zqf z^?S=;lw1m{%0XSLeUK-fFa1lE!Hdu4p#47|-iG=U(9?PtR{_3K-A@b{yP)ugycetuw|3~l>_UX)! zTMuw9)xwYb%eg?2pzVdcN;dC<6fljXpR1Z8I`h7o^}>k%5r3xbu>Tp2MJ!c~n3}6Y zkW8N!^R`nfpkxbvjm3Qo)qYO~syyqI6Ky2nUoEu%BT`d#h%S$v`KCeK&?_Hf%=IQ9 z{*s}J)ezkxxXyOx5h1b+!=+^ot8x_vm!vy+U(G8RZCyAeRXzd%s7_@TC)c;563p(h z{AEpf*NL?SSf*4RHu8o0j_|Vr3TD%`-yIH5Qt@*}3blDU^rjS$)EkiePx1*E1-*qI z2^p0-!N)Bo8%eOZu;I@w;wrUrHD8~EHdl;D$AA+Cw?>wwh!BbO4x@${kB7GlR}^oD z>wRlZ1iOmEHVoX|XM=Bp? zdWn*7B{3@nI^g@f8T4VRRR1T;U~sla>*1@m@Bpy6OM2-Io8o&QTk~EX(bh*|ZWxpR z+L(>)HJzFLlue<2C*2}*Z@7MNG})h1A?h}OZSj6hnsOvDb#p?u7@Qojb)dkhYw=k> z*o1UIL2Ecl=@9zi@&m@$xgP7Y~xkP!G(9AARH#6J9$ZM5Q2N{Oa{iIfGz=8CDN_sEYqVcOfL; zoZxTcV*@9MkC5wTr}2&AHLHInNhhu;fPk7(k=H>c{k&Ui>Vwp*KOvOse6h;2kDlh; z*-V#AjFfhkESsURSKxSZyC#w0r|TkXIk@U!s1Yi3F5GXH$#mM6xo6|-qQ=}SFcU_q zuu`jOkvlZT|BzP7{rI-;LRP{&Pc{-8ul+texKsOm$!o(FGMQ+^3(%K$%Z21a)K=~S zSK^EHi=K@Kz86y2SOD}b-z9(fgzd;G7G`1x+i-smM!7NaTx@odnPBCugSe0noSy>Q zT{zq=AJtLC;*mcL_Qulpr~YBa(#1n!3#nre>2VF_KkRRHvx#&y%G!g;bR?)XLschFvBB-iGpKZBa{7WZ@AGs0oe8NVv()?$4wR)5UV!`<=6je6axwkcd(j3 zdZl4q8@35}4vb0_Mah})9q{?(o{hL(rYJrj*RLe(6DB<5gN@rhMTTiND&e8%qF=aTr*SZx~zV%I&IE^wEz+iPqAK+CDJIm>WkYyvX2p2r>kSj=RMcznY( znmmiB_MzrSXl z`>H=x*7c)r z%E=i%q;2uA$)A?kdp!fXZlWR!PI4mNX$@HYWsWNE=~tk#dAe}8PFG^(*z@SVZA8B5 zoi_Fr?z=L%_d+#weP_Puv@rqBHiKaB#X%eX)ZHI@{Zx4Fd9r(oz2DmP1aR+ZT-VHx z&+wb9Fzy6e<(Q3RwExqE>kdTRHZcnFv22r+{_GjD+K7|VHDpI70AKUn?0hdfwga>~ zXtSOgkneT9%lJCj5rN%AqO#L#-}4Jv9UJ%tLzn5kE`aOpg~%v#&snnVdXM(f4TWe~z@^ItWVkgYk338$)1VlOTMz zuPIyjJRmj1_O#^T^iN~ORcv%P@;&K&yrfXDwq~+yN7L!gXPWhMYOoA z5-0B8PFcKBG+tF)(vZuy`v6)0Da_gTh8em4Jf`_5KAyA$)SmfHK?cDSkLi-2a0I(X zx+IcKI+=_1;p)K85B=Im3pqpWAcy6ZsP9bozwO_1PKAlVnzxM+l;+$Y5|h?3Aawz! zwmY8Vtn2UzBjtYy4!f*%#?d#sI2Pv4KvDWB4BE2J0V@2_7bf1Gyx+)klK-@W@mAQ> zuU+7t$Fj1-&odi9Pj8zQC!FRy5MVDmOhBxm>uC+8CZ#vFJr74Qj~jgbH|@CaPKUOF zRpmWMp)d;&k$JBSbovPWCMrm=QWat9GKH!zmPgQukz(f5zzQs8TgeWm`aU=w2wicfn?T3dYjU$7fS^;?xTt@* zsLpH_1O2N@RRTdITyb=8EG=uKS7b#%O{naPnIA2N~qczeFxDYDXd`(n8qD7KNzXDx)lhemk@ zUREh2xgJt{WpC@bU&g(d=y=>fR7_h!U;xj8_0Gp_x6($uFzyD(4pJAwM|(mf_OpV3 z>iOQ{!FS@7zQBfH>HWteJ??lTxwN?I=4`+9KEr$^aQQ=OmBqB_9hGaO6#bgfAcP?; zoIiL!+FDq%PP809_-73#r3F_s9EWqlEIZyH_$TD0(qBFrvvvG$I_=r)-A!S^p(_!E zKQ@DZeh@Cf=BjHK3-B8M@J$C2TDXt?zy*vhQ48`9c6T?)V^b;^`(PF(3cTEV7MOgk zo$BZpJQfTt3{(FEI_!_GfO}qSyA*ix zUXcn12yeNKce2Iu2KVq+Y5o=)c@Ozx+EiKDxsCQvTWea|yUj;8gr`}HtX-{@5#~w3 zq?s8El^wQU^3Lo7oojh6iPp80^o^ICU!nleXaDSllxW8d_^2+4&<=A%_X#S}o$x%q z(>@+}ebS=^BT(78Q~}zsMe0>1+zrAQgxHL;u=lTr(U8#ffdIYhQ}#?pPiA zw9MNmiQIR~2NkVOdxmAgLKuaW1mUucUHH95BUZ9#6fizcKM%@;V(OXd=|L8Nsi}s&Yz*voZmq1-k;lx)7LLY;Bp`t{sm@Lt{U5=6amrDaaM(&ljnX;#pNm(|kKo=jaN>g; zx}yl`+&v+-p|LONT9hd1w$5C*!XAso()b&$SAq&sf8&YY&wDZhrLsBSW&K7W7+L6G z$R1qMW5kN>ML^7V`eo)~I=I=6zC65mq)(88ZjA=_;wEL|9+9iJ^H;-Co7ZSd&6fKR-Mp4b)yC-feobw^Am zaSNhb1W%kx9CfLCRN?GENaK)%$4XuVJqE4?xd%i3W{$(I#InW$q_TSRExRV$1HCK_ zSIF7LeG%B|jt7f-yVG`}oshv02!HN3Nz2Y6b z&>!GcaGIg)$@HYW#RNp@x81WW@-l$Qk19uwtt$1z^x`0tPg-pJd0s<%UE&P@G5zGG z58hi1ta(H-wx!<2x$+Cdn|*>mT^KSwvjVRHe$=34qJHXy^=w3wqVgrVCZf@K6XdX` znuZ*Au2*0i%fsOTfpKSi zueZ>ZpjqF2-;v-NX0;<2c4JZ&hO5XAy=^rRLJDUjjaQ;zFv&YHLrE;JJ^j0tn~FDq zOvn;n$B)K-XxNHXhT^IvYI5noXi21du}%q{8C(^kt{7P=|3uc}Oi!17kGhwBJJG*L zjO}UaOBP7LOdy>p3CcK}DfJVaJ<8JcbN#bY!1=SK?)ziM%|2HJX7ku-F;T>%p5oKb z8)Q=mcE4lu{xfTf@8`H#gym&(wy%VE64qoCJD~$ZzC6*^bG#*}`-9HPB@>`2RCSJ)#zQ@pMryMHP!Q9x`QDNBPuF8{HB%zIPh*2fLNYyjsPKi+> z@0o3pvfq?NpK`||s)l(%Knpwxt~KMPjO4z!0`bsEw}%0X?@Z~sWheDrQSMG)53%FPz+IYS%kpo;qLFF%oHpkn9qe3{6guG^1Yr#|3jLPkIU{Z$N^ z4$y6QHeC*J_aLFN`VKJ+(z&hz#+QPR@~?4+(=r(fBj-+SVqS-|Glty`G0w((Zqj6?@sHn5EgLr_n< zrozyk6|0_+CzOlpw86fczpT8~BwE444wgT~=b~Bf46IM_7n!;GtEu5=MA>KBjGy7E za%3=fs%eImxL&fTJ4;e%(4E(~XURZ*`TJ4HRs-17Z{t>f5ig-u3llu4T zt^lP1XH)wF5i-XQ^cx;Hm0EW1bRLpQ?hczSbJR9-3bk@ZK!Ik&jFDt6u;Ra|^=+Jn zeQ1r8uGkev-nVicPd)TW>F0K zM!1XQ&i0zZR7L3zEo7SH_mgdPRfR%Nj~R=F7Y^h+aJ!z*fsTp=39U|``2Sa=OTk@Pk2JtAPPpP^6aLT*IBa{G?pY;l}iUg8YfqOOLt$rtlQ*+YU0MOu%9Xe9FI=$9eH0} z;;?*KPCmI>XW>cxu2r%qSY`2+3Z2~C*j;$)e3&H4In$l2J@6$N8yT1`$Zo_!!-uJ@FI%RaD;K|_5IcO>ZG>AwOX<&Ir*UfDvVr;U`|*h2U$GXVIE3@B#Z( z(NBA!9pfrCz>=Q5?*MKra#u%j2|E?Y|9L z7^)w-Ff`=i!&Xg&DmTFU#;Z*owlrEr_lglL3ar^zQ@9+Q;`Pdlik^}#Gnr~sth+;x zf(;Z)k986a!8)M8Z2EaqZRQNb4M`?<0TDWsI&W(@`ITF_YX$&k0%5nKTZ6 z^Y0WJH$b+gf2cSY%VQD=muc38!gWaHemZqT7s+sLo?|*wxT zcO;$C9RE5(boUUPZ8Qs!p&WZm7KU!vXSf$8w`b`q z9_SfNA(>_wb>H$}5`7)*5}yf)drCv$^gI%gZT+O-ih17pVj)mZoc%8qTsaqLM#L1J zBAy2lZJjTsXo6{OEbWs zrxot&;eH0jmWeFRa9xj~-`K4l`FBilQ55Ke-FC*Gi&3rlTjc_7*&Cq}(k7hDIsNCt zhZfkKgf)cz$xEb)`~cE<*oR^0z>WNEqcJ*atoc1)*0XFz`_q_`GCbvE-ko#Qk!wu9 zBHl|hQ@Qez{CP==+jlmRr#*vxh-GluMaNx4qCL%B+py$_PI7-EU1m>7%^&&l{&Dst zg1RaOO$5s*N1mM_GutGW{rxo9?Vnq7S(Y4&xN)~OzE`ar2VWWlvXO_TL9y1EiwMeu z;Xiz?mj!x&y!*$;X;OEFY@2(j0$crroHi=?%L#ev=fs$iNA?+e^zR$sy`g26UoX=Sbmz)%Wn^z#Tb;%^Wyzc z_Vb5_o9RlU%~nWU$Ywh^*7&FAC$pYt62xDhmLPM122ED^VPU!&GH+-HKK-PP;o-o) z+@6>LCLCkNJIhNI@JUm2B<2YJMJyGUOUi4gfPu|S_2htI26iunwBgd+ff-B~+C&Ww zo8=dchBdWd5>rJInXoM4*}$<-jI>MV|456m2-JRK6@-3lubT4Nllb7Nm_{Zb_X`+X ztFB))rHox`WB3X5#l{36kJ!eDT4EMUnQ_rdBw2iMjJhrn=1XDIuMiSsOy@&-X zGB^KFcF1#wX_4LuWKaH`{#2LcO~B={_1f6(-6wiGp!59~Iq&UHVs#5W5Dq$vv|zXK zGYlj)f0+|LX|Lj3lW_hw`FMA#!jN`AA=LNHPUD16mWtS(9H8KRw{l30HhRtNh?3wh z0RhH1OjZHWI55aX5S{p-$=n(Yi;Ff#`DKhqW~l!k1q*38z>fzZvG@FYZpaFjzYd#@}FP7m+@__TCWKx`yg;fk2z zcQy)X9Bki950&JuHlCOfG<>*5JyV+x7@dJpK7iVaQ%#DOLMB`HsflQo@~DU$qDEWa z@}F;{JU@7*?Y!s;r+Ddn^Kf*)j79uU&Q=sXUAUKhj2`{ZbcA#P5l&T_w(3y5oQJYR zTIG;qj(t}Ycn06=lF4B&3p0~(J^d`8a1S@n!nx=s_iEUObP%-T{fT62?X86R7|Km1 zYWergQD{N1%k^8%3OyP+UNlZlB+&Ktkai7I#Ff&|!%3IsZpE#U;_*dGH`S)|6-|DI zYt;FXmGz|!%UaK&i(YT5s_8n}zg3qL;QU~xQ3-XrYg)1Y3y`E=^%{H_Y!twHBY$7Z6L&2XsS zWMl0Ks;;N;6l;7RaeH8E$w7|rgckq4yV^y6=&O^<&V%i7*- zlGi0=4?rj^$?X~S9~{pG(~15IhmjMklfv4ZeUkn*;MLp~>YhGWJpA<^KvHt*!7P>{ zl5$P(>~0YiuqXItjca0vLfXQ(IEtBKsH5WXD`LH?^mW`IO8~xmMCTI0(G@16fMlO2 zn}u~mkLag&=uz9cQ=Px}c^abfM8X#ust(0Sh~4@Ze+>m^I(iSzhY)T@BWUU4M53b%asLig88hu)zrMSDhyK9R(#ogWAiWPTvZ*g~bcPZ|c;GSRs z0vz6N&YW-N{K?#ZGs(U8wbr#Hu5m<&SuU;MFwIwAJ9&zs%{V}_A&0&X#ab1|hc3fY zDS%TMWf+~ZBQX@O7#umL%yA-)yn@UnS=P_8=I?`Qx;sJvhT^=6Ntc!=arwLUC)pGS z5LpX z`aQ$3>h~8e8z9@>iWHP-dKESADx|YRYXVXdNN**iw;J+dM0JjcGdxdlV^lxF@)DpM zyMuFKi9`oWN{&ayLQfD-cF1t*5NbrOvlNj7U&r6xZYun+k(Lj7=xVp9J^ z6~yl`X$@iEzg}Q7G3aul@3$d-{Y{HLtFT`fcE&D4j_CP?Z&;VI5&X`?Yn$tP+k#&AedG_Qs$Q#U_;PQkrtOr0})AM3TI( zwHC-2fwwG%?YAHA1N`0$BGBDH1g7~Si+zZyqp;_HlEPySV$!`%mf(gZ2o6#(2DRd_ zNC?5(KJe_gwP#b~0r0X1eLk<@Rn1EjHD+xI6)D~};>!_)y;G)(cW=dg;PqP3&TkPv zr7bXHeb!jZ=4AWA0kxCq-T?Xw}&do-+SddTvgZ-1tEAr`R#yYIdgT-AP}BFt)v*5wJA8g!nQgDNUeW2 z6<1X&++FJT;>v?m1m2y{8z*WiBm&VNuw(s7amWJnd&fNI1eniHhJ30pp@N)@wsCF)@j{WBqf3eBR))O%PgV6rlk)h9!;}J&^x>z22tX{3x^%;iUdZxe`H> zDU$RC(=38SxZ?0#+Z&JPY9o@FwzXXL>c#0|Y(FPr8G@m_ptdW{_a;gDn-(&D9X~*f z?n3|h<@#zYGEqf_ha-$2@C<7UE@>g-5Muc8AG`}l_*o$7Uw?a~WZ%<@ZE)nNVrC=z zg<54duYDhPtar&K5I|W%kcEI_$LvgZh9))_0l?igO6?m}ls?4;VNv9tjiuzBpt?3z zN*8~PmnIzxE<#+QP>82?x#fK5^J%0~-ame%=eCpyl=2fwNun9I%ppD$X8havQKjb0 z62}FoYaq!QGZ*7OcD03O#>lE>#UxNdbsQQCgMH!dFQap=%AIuYP*0Urd7^r^*n0f( zrAld}lOx_puL(z&mZCza-Yki(RpyrP$G*~!?QvG}DH(Ga3jI*+t=O+j3(^9E4&arZ zi$$vr<+BdTke)kr^K5zFSt}4U z4OzE^rjEJZ^4I$j!LYKJ7mBY;>&({#@=k9Gp$a-(4DSf~He7;v?1g)SUAfwLq z+I>G%g0Ejhvw4hx&n*a|D<5Pxe;3QZu{9G-?k5`fS#OsghwHrB&DWn-9JVO5i;gWe z`0O{x)|wqeKPSW#u-c6Gd0+F}ML5xHXt3;&wTl6%6@)n+ax$TPZWTu4BYusf z&{I-#1L`I;ZCj-rFK@n?%XFJOc zcL%CO{?1rRgn5ZJ)oWA@(#U=U#xo#pTNqcz%9ahJAah|Yxt^;|*X6b)*!vwaFr zyil`+)LO9;Zc$I^Wzo!{a}e~~KKivC&qii}XR1r{dh_2=5H#n}S}V>)0%%3-ozP9d z!=W^lVnYX<+e5doh75u^^3D6DTh=SZzb%ti@cpH5-8fRG&0y%UcFl~?B$q%#QwIjj znG3OJ<-k{7qik?4x%YSL;EvupU9M~3%2kLrQce7xMjhTh$gy5YoyVR30A{T~G$2!8n1n%o~5 zM51j`J%l?M_nCzhQ@7Sio|M*Pdff<=8bCrTaQlpB_cyh>d?J#G(R&S@M8$`d77b&V zQAtHV5svYbn|2F|mClLZ9NlKR?jx2K_{DvM(wgR#vGQzmRla=tK;|s6qyzKBgn2Y% z!?f^0Q;#NtJ683gC1VR9+D~(NsO-KV&rl_B0x)i9Y?o4Ne-Ug-U|KQw&&DXqW#jdf z6TQ{EqnEk9VCWdD&fhO105%=L=5_5xaeuAZ36o+@!gTDAn9uz-QQ$Da4WZrSx2}+s z{$C@8H=EA+Q#Qv}KB8_fv~!kP+^!{s_0T&r&Y^&9??XXXk+5tdavs($4bOHb7p-34 zpc$gdNKe>_Wa(c7Zu zzZfe-5)~&n5`L4%bC&?Lm!x<_dp_6BtM8V0K3281E`NS#RDqg0+CN>aa}KR$1zP?K zbdX01jQPK7?GPB+F@M1yEYF8_p?UGcq0XqWI_v~R840Ro_-i!{VZQA-*;ps&?B6u2 z^4QFixWifTzrM88+RhW=VhhxHsg}{*6vMQ!X?b)GI)Mah+|;4^&3N@@UyiN|B0zS; zB_Renq7w#0VbPAuCYT&3y_=#F9dO;zle9{soC_WFEMYeu(Drfs z@|06H3{rC}@aajKqp1s6sJX#yW)6G1ohj*k%k1)^$063P-WXTLyo33iD*x7d`z-T| zcVbuT)X(;S2=*eEc8=rwMmn4vf7+6=GY-SmPb9PSgwf`j-kVJky?l>)ig#i+Y*E^q zO36HTJY+C`l;^;kWc$O(`2;~*fyiLv5F?xIx(rqWYjp-IwEFswBuq&j^Ke>(k@7!p zBEpzBtG4n7?SYo=UMR7LZKHi1f6qsDO~piye)%NN%%^y`7u<5IEf-Dc*J2}q-}*d}Cw@=LQ9x_uHV;PK_tODo?Tx#PRcQ^3ngRLo4-QkY{FJ%P-kpeFZjiknzZF;2YWjDeZ%>NFTe6jc;}&O69lGO~yR51fS1pTfeD2 z{w{~v{L1{33REr(6!fs=0A-fhj)188jRqT{jZ3!n#WG0|b-Bif9KF%3@C77pP z<=ap636B%f0Y`HJ2%;Z?YH`G~(3Dkg1iIV9h|l>-`0b28^Uy{)8s85(2e6wwXH;Fb z_(Di6=~GU>un?6)e9khjTWtz~F4k9@1o%?&qEeHI0qTy;pzCraoPrj{|J)wFF#25x zd;RCCi!&ccVtwAp{XQMy(RXp7T zAoVb`hHJVT;a`HkFn5>4BPg+1s+b%Ozb0$4ZTeOkOF^5}khJBYRPjpbZ^v7QnOHV5zI#!|4=CO*vhS&nQDX=> znEkcy)Y&u=xzszqB1J|Kc#!eqWgiSP>j7x`@jVgeJ7qWU8~-`E4fF(rz@~&l36uk4 zxQMvhf)iqd)J)0mMRqjybx9^^`DBp@{t zhtH5o^y{PuZ$YtVSkDF8Ou8o}p6(DskxULVgwL9A{Z28YOsm?3XTz1ha+F`BFgDW{ zId}!WKB)9Y4GB0c-8(Yz$koR^MllW!z4?3`pTljLSR-yj6kzx3i>7SSzCn;VevFWd%&dqQ~MzyRul^ zKj=YheV2p9PrTP^Jif<8Ayc!Y?J39&RAl2dxTiukhlUI2%f=iUoYJ=KOb$4F8%mU{ zxk^fO`|%=(#hPpS6da2ELdI>PSU{Ii89Kl_hbZ9jHc)SMN5LA|nHWrCav|Eo;uw#=~Mu2&^38 zZux5gMc{+Aub_+=t|uWK$M~+bI**iAld4gSkJpO&(j>NWH$G+)^f06sB3+3pq>)UU zLgW4cfP0I3U#2hPNZdNjAPXkJUHOrGO~mu8yLj!*H|Gtd|04;+O(BA?L&vRwl5Fby zAFO|%L1g-s;z^uxCROi2brY#G)J5#rkv9B&cYo%E*Va!qZf;)muM<@-8ZYktV{^Hc ziue5kc4>@g@|*xGNBrb}dZ*{EMTy5w`BflNrGVqo+AEVQZ-N&rR3o9#R{~$|+7WiS zctMvGHbI4$g-ssQJJ2fGrLH@TV#`pRY~8bxP#n~ zBKInhUI=SJ-`+`Fk@fMek^_U5Jd`89xxfEgmC&oWgRc1d>|WQ%lMjK5K8uLWBi9bB z_eqW`Qw;cN)BL|Nvcr6IQDyN1{}FM~HL{F8Am9{8Frgqo7o|IFYP+^HCIRFfwlhoU zUT`W#GF*lfAI!NXva*Pf2TZc3KKep;6aQ}Mu506DXM>IfbPL7*K#_X z=p6Y3d*O2f$2l7i3QT&$^V%cMMeP&6=|JUsI6>SYgkT^%j#wD_zG8k{xDjOgqE822 z_4G72?cT_1UKm=B`h$hy%KP*-xWqp3RbTHwYrYD#w0C{)>{R#cON?iG7NNxcu@khr zL;F~4hSm!c2_N1L{9013g@GNQ)ag6yB{h2=tPF6S8SF(2hf)CNxP2V|QAl_9_-(5` z`4-EXbvZK|Ezs-RMX>lP%BO-LW~;V8`C0}IcCt&OpSKROnVq*MJZwRDW57;0kD*h+ zGSKNFEt7CNmPOtagWpBpAJJL~BNak>^tO&qpK;aVbp;UGNOwoi+v?z|%0hDfy08=Q z(f}=u=8VRM&XBLXab6Tm?jbF}z_8`5@z`CT_NPn&(!(}(v#!#lf&7uN($I_hf{~(Y zFya;dafyE!rJ*$lS_7eW0@xBMy^{3Oz3q-t9{mMzCO84&AbsSMZ;T$VBz=`B2aJ!& zGCIwj1n1%YNulm$Oh#$)jAmlybZyTpa)9e=kWKgKo%A8?@Ouv=R7S5|e5X>(Qh-W1 zov26PesG}YE3%W7sSF+3%d7|tjz09iRmy9u^mtJy$)Zx=@QSa|%^h$5UEEre!K0|z zM#UonVe8IoY$n9=DdhKkp7rUUg2l~igNTt@(xJsyT(kfPd3Qoj*!#Q|pZ5ui$c13h z)vE>3(ML7%?Cra*@WGChg zDtn5%kpU6jjAs^js6ypPzdVUCXNrL)zDvOSz5L<`KsSM7o4? z(0YrC;NKE1k}Bq)y*~mlDOfD2(_P7_c|T83Q1#l(Oma7vzhU@62_L%NnfKp=mp`)Dcde?a7+ehWzF1MotiuZl zZiQ1BXyFi|ECn9q5w5v_@WPo$LOuf#3MW6Po?eISpm)5{S}tkwu3)-r-ESo5i_U=7 z8zX^u6Zz5TTrq&RrYhJ614g+iw>vCF$Bz7!Zb(U#m`}DBerw3z`4yz-A~shs5}XS$ z8fXay^s(3|Z;2pJX~ZXMQLDRGRpERx^mR9w^b%7PSc34=KWr3LVFZ3zsmi17ZA`5} z>E@mLStgO&>81*(SsD~%DJ_ELC_C=yFTt(Vx}-oD0I@4yaA6Joy^+hV^HpPbA)quw zGVcY-^V!aS^GCCc7LpPA3KKt$IK7nRp?o*ZQ5>^}d)+(orw4l;`A~Ml11yacbN-}c z0e%L7V}Rr#eAFVh_dFg^ugf=SC&P5VJV_DdCML?xygx){`CxXDB|~N@rc>=N@#i!E z$fX^hJFswRN){5s7@(Bh{d^O>0V;oo2Dm6Gyu(py=)`Y#G90>N@>7@OmZbTm7sPz} z%0h&847tnWMS7{rOZl}u8cXbUGqpqm?rt1bL{j1xDiO+PP_5;Z`^c@IP3BoZ!0f*cs(dtkPCGm`q3PXoNpRo4mg+%;k3@lMinmPi5go zz-A4z6dxP1z0^_Yg@^3Gb=Q4v6(lJPabuIys!OQO;vjSDR3A@bt}33Pw^3li#q@SL0V8(<~3fJ$HBKa`sThB>8uzsYR{xF?~ zHCu zjUR!{TvUwiIAdQ&lMN)xxr9-v8xWOYYn*y-MHpG|;449rt_MCsan@fN=fF+msM-!{ zdFf6r0AuVOr}0%EPBedL@JI5ueq@$~p`-c?Vv82KMd$&jK0F8MDf8Y4D~XaJ%TWaf zM$`^}Dy3nT!(#;1(d`Y3X}XEKdr2uXP_%N$PrgJVoz4DU?!@^}A}7}(q!SxfLOOh1 z9`~*g9+%DkYZafT_NqKCR5>aME33<|+>VK0#gAS9S2tzGZ1b{`y+#5S3dUowh8E86 ztA!Dd7%v$P|51(e3&DUrC&Gm^Y!VK(X=cH~2~N^)aH+yods)UNtxQ=eB`$(U+4!I4 z%$(R+E;zE08>HXFB$r;9@D~~FUkx`V<2Hg#MtvU{&fYmGSrOCx7BaD6EjP7k_Cd2&jQk`v#@ylW3=9@Qk4zd4#wj@n#suQ zvX|%{-C*uAyAk50cLo{2EZGU!zk}go$lbu^Y7mj8xhk=of%JHKd$(CF$3V()YTOVs%A@P;%^~i1t`A^LLo=$+gfM0u=8vD{sbA=# zG-yx{TQN}ZDE4)A%E@2+y`*A~_Y7&(9f=V(5ef{%R8IEoi{=Gb<+6*ihrR}aU^o)! zVIw?9+9AJ1cJN#Hk^E8eVaFxC?+#CjPz>zJdE&|xEJw#JRv6-GC9~`BYR(cp&3`qt zt?*I20q1kHjQds$>e&hmNvHMf$wi$l+2^YL_JUi(;0Vhx8)kZvWdbRyz~rY!$e>5l7~ar-y|G~Yy#0kU#I+Hzb8K)xFzqIohqy1YQR{iGWJ`vTp!WP9^Ux9^y)G| z>tPNO8_wE*#v~jCY^$qckHkPJ(J+cM!+b1ewIYJB8|BI1JLN_Okpf6Zgj7jin2SaG zUE-iX1minbLi+br#pt{JRWrr^*L~y#A3o>s9&KC>78Rj#Pj)qhctv=LRiO%p-9r&G z@m!I|oZjBn{7fP5m^eiGzriGj%Ya(0Bb%R#Wi-!o#J?-5N=?MI`FLt$`kViJJ2~F@ zB23E@GG;4i&qo{`DNnfHV~6m}bQQ7F^fG8y!8^Emt~`CK&w(y%_4uQYn!;+8%f7oE z{d~42WE02hPWvAs%rR2(tzMC|K_p-f2xWh;z_mr{loG!Bxev2*E5pjM*jaIAaVMUtr`b9L0Tj$@#SLN}4 z^qB6*?&C`w+?7ZS!BRZfKL;Ag7Lb*{ZL?vTH%$fErc@QpH;>ZU>7dwb6o<)*V;)>2 zVJ_!Y740vD)1B&K&`XznPu`dPIb4FL`=wQCOvm8o%(Iobb;_sCUmxYU6{nEY zsUt`4^v&J1GT}%yJ{X7JSU^3(Jstn2gB-GZ{vx(wPd2$x0kpCkf73!j@g@6&Xbry) z!Xw7Asc&oY11G1toU3C)sa|5Y830^=5(iq2oAv;f47l(9H)^w=>;2^HeOoAb95Yj4z|E1$ICDXC`U!7 zFhs@9S_{`O%b_xc98ef(8M$xk);S#>yEf9|swDD#N_`XZ4-~-fJBeP4)^B2wn3e}p}seFyBKa4C2s$`?a~aD5ArT&+%qDn4RBcK z+3beWu0r(7ul0=f)%{nWjX*NB_xfiQ5COF_I-NV7QDxprAkru!mW9&c5b`ZavZ*Az@A0mUNATnYK*= z{ZyIiiTayrn_S#vdfNPaA7%E7d=D{VMepY)`HnQP5PIaFGYtfd9#dZo#7|?~$BXN; zKdg;_dfl_>PPMN~k`F9K4V}Hd;_ms|*e!5XoC%9&9<}UQ^WzN&0{pz+ZN7Gc}lN)R= z`h0I#=Vq!m9vbF+tXB+gCXPr}1?A`j!BNnG z1%VmKrn}NgIKPty-mje7?RoxE9grQ5{e13&16rbKZ zrB+%-M49Dco~X#Nf_x~ILY1(&@VA4GxCm40@DoBlNe3)Z#_ln7ytf?xJIoMwf+?v9 z^p~%B3r-I0HIMSW!`Uj98BYAbMT;9cK6+FOdDR}*5Qf2aj&Y)q)pTrb^tilU{j&o6 z1trlx3rAk096_tLP;1q7kp$1P85FlL-)YJ$#G0=Zaqt98MU^ zzz2jjyI+7AB#l@uLeh4i)$Un=<&d$ZfI0K<7IQ(jba9h+Lk;Ai8e>prZ7BPsaF-_+b#2YFpzx$7nH&9QC-qnP zsV1V&^I=%Uo=SEp{Z9*H7kB4s+Fsz?m)&`ZgHq|`3DKgWJvU6sUG@-T+c;3L^bdo7 z)>38%t#dUOPL@^E<-#ScBvl5zrXD<|EqX8|Wde(545TU0zR@$JU)5JB%7q!URU|+B=jOhA0LrzBj;t0s<*>C}I4;mNG!?g{xCJUb#l{^xA z{ePWQPTW>9N-&iq$of%*W1L4C4eLB->u1Wmv-Q4LKBW$`&QAT^l?yOj$Ds=mVS3{7 zE4H5`f3V>gAL6h1n2_v1u|Qz}_hJC5ybg*f{Wba=Cw!EX?s1ubc9(h0{-pxad*9$w z*EKt(LjA7?ek5I2VzV}pvDBu524!QW4;DFeQ1po~?*C7;h!Pp@Ep5->HJ39s>Y7W@ zmvP)|?$;5Gxv3m4f5X+8ZYu1Sr)Ls5p@k;g+%5a8MZ}AtOxe@zYa=YSJylA6+VZhF z_|TOL@DNURqz)It^bWqG%^4c~9_nNtw@EVqy*LyE(KaYMpAJxe?1LJ~EuVidoT`_R zREQT|9GIfx zo}V{OOWU}tU4sBJ8!Z?}x+BveZuR=Y-vK@Znz{-*fY2A#tBR<2&8q5UM=!}S+>pnk z2@FzZ(6LF+uf$ZH2nyh0zi&*@@Gcy#8rXa?H@#yCRw>`l{e8q=-``z>88U5?rZ#dr zET;tSd%me0pTEs;rVG~Tq5&Wle((z(-j2?QZ=$I~#Pr_T?ewef81{&*( z9ce>bJ!%pWk74iGfH__kUW7HAVBhwL4UG&;8i&<2$_xz>tQJ=IcVZF<^oeB0ul-Zq z3^2(Ea=VEWYL_W^CDaq5r|dZ75z=+iNX5{e{^Oscliyq6@gvUL=T@KPVVPs(+ID6r z)seV z6eyO-Cx4pJd)Ku zE}X^pzTXEAP1#D1FdeDl&hTSNCm_nU+o9o>I=9icIeX=H1(Fo>`Yk96q&-GrYFrC- z(cZ@-KOO|h03#-}ZR%)?^VZ~E82)&Pjp!UW#@dVPI5d&Jwkya8QVB2c$V4>zgsK)x zZXAf&gf5gy3c!H82voDVWM02U{?BYjO}o9iJ9-zG>_k_Te5(gWehknGI9rmjEELB$ zC9#-D!@YmjHMJkNQRqUN_J^I0`Rs=xBBh*>MW@?9@Ns1X7Z2tLXvocL5oBfsC~lf( zrsyK^?~gOy-RZ;^YS<1jRaA5qa7emN$WIn9q@Cz3WK&DKMk&*zGTSL};hUp&De~^Q z+#&z+VU-3&b0>*ivDc;2l*;5OEYNat>VKrm+&?ZvZZ%J+(aBl0(@3~Hh8EcLPO_)l z5xo~3oJZaBU{9vfGZH+KFt(lw`6Ub+uic@g*6g6sr<~pAGU#v=E2cebr7FGTabPdN zm*C`;D%p^|rFW~@J%O{u-qL*!6sN3=nL?=MXljv5EG^R-82$sBu|;)r}VTPKRN!oi&>~qYD6r_a55ls=vqm5+9^HH1tAr2 zM!(9|e#uh!dYb4*hg*I+ymNB!Ivc9L(vkFI=blPGmbo=vFwcr41-qlh^&5@36v_EP zu+Kt3OCB>nM1pW&m#Dqa|G=rEl&BW-VkJsp1C@njb&QrYTh)YDd4H0NrfH3LKnI)k zdSERSqUJZHtj}{`CZJ8bmV#B3?lm^A_i_Wcl&==*B+wA9tN=Uzcs+7UicEs!Wl;RH zGUN@v-hH8gm!rRU6edjfgki>b@r2FhLTqQXYgH{E9qNl2E4-0Z-)^gpM! z?=9l&i)Be~hAci;Oa5o*G?fLbW}d9}eeL3)>%LZ_w=0kbIrVLFV{4z{)EHwuMk1{Q8^Hv-_tB?S&@Qh;$Ox8ssSzcH-&|ri{9nClS z$3;}uPq~o1rwkQ_f$tly>h#k;J3TS)3K~A;kL?c7TwoB~HAx)V^Dn&~1ZVZh<){|$ zSh#YgRz4vD`zt$x2`_4KoMhjE(poOSrWuGk~q&-)VJS*uH8TI44V8=b{ zQp%53KR1gFGnx^-7?mx_CNmHzn%D+oVA~ldu9`wf0=^~8tj_-`hiN>XF1pHTxC%~i z@rFduV=pv_nvJs}yJ)^CiC1$EuJcu=y`}%+@sk?Xrp$dcps3p`39k!`M$)b_uScDD zW7!=N61xxkQY!hY>TX2ONce5Ovu!lr647u6e7YvQNPX_>!aBy zI{S}SvA}1|=@bbjM=hn+SbagSxyxsX7gQUAXVj@Y&c6uPQ;Ei4R)?AS6y+14@%`e_ZVVmKtPh4QxvRV^$ zcsT-h*vStz|87FE^5dY0M0JWu)%FX4WK9P){= zR9!ghifTGPMc;^y4#M;T%ra5~mTM|pIdu3E&rsN+1R9H758pCAMI#% zU=~@)ApIYTr_bg8liFjGyO3L$vZ1gR%VTi8!~R0jjbaB5)_WWy59JNtcp+Si_C&lp z24cA}e_2#1wdQI<$05@DO>E`a@6;;V>3$xs8J9C)GL9Ddq&%8_xX5lZVBK7>{@LNE zEn?GA*}j`3N^y*G{|Xq?TC-W`zxj~Kw~eT$`@0ydl*#mZRKx3O zy4}mRCa_r~*@SblQNrjKQfl^+b*b&f0-J7UW*@2ZIxkmeBYDVY|SyrQw3|FM9iSY7)5^Y0BvhwO**(3?8!U<=|fe4p`37jS_vonU<5;1AQdcp$w^lnnY6jGUM*#r2GfYos_GcCw=+^4Kbe9) zOLMusev_5TBzq4XtlvF~b>Q_hafFC*ovfhIbSS7aTdK6fwVRBE5odZhxHi!Ab0R$0EDuciIEGY?2AY5{U>Eg9h6< zUHeX)D3iaGiR(O^s(PhA5-fVq818qS)!jLj+|`(f7h)X0j9=G_n`pavB6qT!MW>pn zQN$Q%#_Ed_PZixI6J@-dJ7J_V)>TWJK)@kTIGrplMs z|0E_?a;AQ_CI{Us3T9Kt@~f4g8mN#f=^kp=L&9t+s%ex7yZ$6h_u(KII0^5L_VCREg{5Q-t z;91sKxZr#IjqXVpTHk-pcYuid=ls6Uvqo?qBsWU#=Xit9EIZ4r5>KROx+EQe5+=l# zPUvkE&YNeYJU)i40dV1Q1W`0`*~Z$dSK1e+(RuQ(>{d}Q&v2e%Y6D#==s4Og?688h z7X#HJKTejrc(cDf=*BjQjmQ7A?`t3oh=BR$;NsN`ZyWD|4n+GUg0%^2RR*i@<5($g zzVV|6tzCdj;U+DHnf5X_6y;Xn&rB|l^X5@_Tn3Nn;=ZEuqie#D?HqmnnyWqHAZz%L zO$??kT$|W2TpqjsuKPuMD@+Uzt5i%-O;YuD*8`^XPe-qUWI}6%O~=|rf7Rfq@EwE2 zNJjSBhZNIXehgzYpD{y&EjoovSKIr)+gsiLKFbVn?tFeb>KevP;}%LjZXkK_!y@Pe%olN4og$p#+t=&it4JUUZf+UAvtgO^@pSiF6CM0QG9KHty;KC z(|sGcf?1Uj$xJjPMnTKbD9STrV}Pj--&J$^8zL&=1gGlZ3`aeaPab$sV448^n3BzI zhH2?kT{^8tyT)|av}GyD!y-?|>+E-D`PR}uFB=ec742m~@IuNIV|B&pPlO=1ntHQ& zr1sdW1PTkcK`vzsu8VoR4@tk-=AlFVrgem$(v!N6E^J%>LW+EYhC6T%_!}UK8^KZ~ zlmQS6k0ZmXMIVzPNO#>ghx>aW))5w2GThBB_A%J8+>xPPALBmjMD8L*#l7DO7KQhLfKQ4lFG^F;SdjY5jEmDG?Q907T%j7R>ciy%uJj`*cXwXYzOTt+ z?`FMQ_P6X|_accSEtQvON*GQ?}n)cg5 zy%I!?_k)2a!sgggI*$DhGp6sD`eF1zHoLN>3%*aU$TlD`@VoNYQucIoquzAZshf|~ z{v-x`RsIm@n%DOwy}ePwQ#JT<@biZ_6t$nCL7!BDWz1Mao@CDGb4D?$`yNrfTK*nN z{*{l^%^eEb?N`mwH^spjT3+^F_twQt7@`BZ(9kp(v;Ywz!bhjjlrBWwR_n0Znhn#= zJmgl^{3z}!e`9W^|FUQ7gYy}m$@aYKcf7U;g4s3A?t9Jp0^kirz6#b|jV!n^vI)6U z{m;k|RQ1JVry^`pOSj#G{!0SE-}+zH8IHTg9)XnAh5;rmBvl!(MQ!MsbvwP93Cj_m z{~6SG`us$U-33J6*yYrN+^NF5;>22A*AolBBe)aoe5-m4H@)>3sAgUP=p8NwQWgti z?{bu_-6tuTp#|7Cf%AT`Tz^=#h} z{BGu?XkM#mPHHz!WUD(e%zCXR349q1U)nkCu5}MD#6!saYRmXu-fU&F>=l`?d`EsN zuc~~=@6BnHcKK+-)pdDCn&>HrAb5awIXf{DMEYPY3WF8SjZF) zmvUjOxK2kdGKTVrd2KC_niZ7nttB4$M)s=p+^{wN8zOC_0z7sCUQfi9ApyvEsmRb# zTf9FEX@`rV79G72CEblo2PV7BJ&BOgmdd5UM!2b)L!eP}NYs8rXpgT`{|@_S;^D8C zC-w3hzp(s!yMnC~1#_5>F zfc7!>dK?&pcw;#60I~B^HEjM>$Se{a2z?2OxSskR!M8Mwu_z5eufMds5$mi%GX&t0 zpo^jJenjt7`(EnM^uH*Xjl%!kKC=E6FUOPVVZ_E2AW5)$~0%b=LY9xPUm_V-dXqP|N3+=)fKJ4)~}XJdM!4iLhO zyMM3gev{5gBaysI02Iq(x4}H;!DmsGF7qIP-#R3plS#RzhAQ3sgsSG>vlvZb9wCo; zV6yqv;a-i+;_OH+WN#JsYTSjyUAm7usSy>W$E6=%A--R_v2nYWF0G;%>YY!zDF^Sw zc#K=IcDw)SJUQslO6ABwe0Qe|@bw88>|>{YWOx!2u0FJm2OvhX7|rccAn5JKqz_SaFE*zW){HmKI6qHs04*hh-GXK$~dtI6YHipe7y}SH0}H zQOf?#pVAukLJQx_wo9Zclx@Ixqxh;59ZRpjR;=wdx_EZW>kv4CaOxUD2tLfG38XvZ zWgq7~m~Z=`c2~QLH?-jql2ApuBAq)J5A#@WdaCKI%V%mI!GgD4Dm?0mm1XcNKwPu9 zw#RtATkNVe-ZLz|w#{8Nhfd0_$`5!4p< zgs}29UFr6MJUsP{>0aU+3n%PmYil*Joyy_e;?3oKvZ=l&!Wv2AS;9j#Cd{Xcx2 zWm8*i*sdRndvS*X#odZSaWC%frFd~KUNpFr;$GaH;O_439yAFMUiQr1-`?4OVCBP_ zmAUWpIh<9&!{?L&WQxZ9LO6CHKXK4 zdOW`{uN%XiENPKwu7jioOxTr6?UxH-;EzsmL4j*vP_F$Xu@zw9N79LSP|?czD9V;F2G6@AeVvd(Xlkh( zF=;IRE>uUCos~~UFrAsWe#8hI5Ej&WlTP4(2NNvdu!jfkh7uBKrg+Zf0~RKIn{E(L z0SgkC3wC%iMSr8E<&175VOyx6{>0@IVDN)f6IhLNC^Am2DQa=S!ao&|Ms#-+ zf-`oI8Z?|f3a1P^5{=6MF6r>XV3G&NsZYc;o3uds>DIp*SUG2o?8FqE48)%Ko{ak@ zveVl7)??MZ09cU9&S?Ao``-M+dspj6 zrD&bb+yvglvBGJw)~klRY2GMrwlBbUl!ux5Ix6afr@}*w_rVcS$w!rX`I_joe zumza~o)MED#Y5&725YajRCjB`ci_2L#ByF$anGr(W~+H*n|`3*d1mjJI|2B{TXs*% z8CE*p2#+9a5dquOA+wiU?T&KcrJ%aUrxC@uk5W;Pqoie*SuR&k&S&;Z;r@^(On=3| z>F!$mi$-!`oh{^UJV_l;&coC1=|x#EB7an&t5s~x&^;!gNZlt2-VPn z?LNny+F6N*Z?v z_!|juse)r}|2&@$02ZQ5nKLBFrk*Wq|M@J0zpjCZ8N?WZScI7#t8;c*rNY2rWbS2W zRe*WSZ{h99S^vV_4{C&hU!dVB9+)rtVZcA>H*E2*uCo0Pw|y*$pYD-Twf z<6UwFcqRo072Pw8m}-Jmf+B(K$GR|s^qFAHf(1yqr=iVc+yN6pI z`5;AzqX9+6x2yx2+wr#Z8QmAT(m48?%~dt`lY)Eg%?1f_6CL*|cJMyR_NCLF+n2B^ z5h^GIujK^;co~1ox~cAF(JwGjxnrQhIW7U-1nxd}>eA zI^OhW96q|BHw@*UQ|=8<;iL7XGMHpEj}uxh>Ge}jNSz65I@%l%9?`v!&({tG+vDwl zYs4RdubqTrjU0n_Sy;_EF29)B{#t9?c^wuP3*7@(xy`9&$Gh6gq9S1r$M8Sml6`74 zJ*k-(0Q-egaoK=%`vK$6e%!=w@CR=}bJI_f1zWiNRG9y-3kCe*4|^e@67%or@VdTv zyfpMs`Q7MuVExB=+v9R`dF11PG3@>nZwnao78eV?f2@L0Lc{`J7)Kf3h7s08#*`Vi zf#?BMEp^}C{AbP-eHLsbp)jz5FzN-~x7=1l-F3e2I_qSwLdcezXO>Ar;3CfbTYrdz z<6#Z*(lwxoVd>S+_wX8AgzeyEUB$ip(%vd)V)C zyh!2i|B3@Q51%OPES7PqY`)dWQ;_c5o7MHaOCj(f6S-LSEb(-KKlzv{XW#lBU0_w< zUz|6$^+;IMRF3!EJppA`0LnNYp`z!v81js|VQi{a)Z^lHVj5Uec{vl>0n4v8I5+L5 zhqIW96G33`>L-ae>sd7D zRpKRAZ_OSVk^NVF@%fvphkq%sC06D#DYm5!*3YwOwXu^61Dq~9dbM&?ihrio1rGWW z1c^We-n%65$uRn|OJj7y8rgr~uB@j#bqY{bQD@va-w>?o`Ta(~lBI5`fq5v^l(k66 zKuN9(MKP`?BpBC{#x=&hKbfH*0=TV`)*c*aq`0#cj`6Hi@Hshd{+dpd!x5RlLgcoR z`ln^b=~+Zax#9iG%XG_PZL0is!;R*3Yxkd5VJf|W9E7jPsz*+`y7q(ndbm`FkRGf7eu z#(eJ{mEto`>X#&kgaPa{?>3E6gU(E9F}qIhLGghL7F5-c0YBPs*-UCXekK-%HAVf~ z!x3a#VcU(i0J&2`^r1)o?n!XV%=6RrFTnMU%*k%W(+bHeYT)r!zyG9TBSjk=roE~} z?iZMzm@Pl0=)#xh=K}Y~(O)VShpx9Pm;&ozdHQUcL7zSbVd;`8b6TX4+V0+}jm_XS=Hq5)`hRHO*T3w~5Kc)0le_4QU< z-PR6~D~?ImdD#?p??1%bNP(ggl4k-Wo5NG8NE+QgIt_01mGb9)vt`yvdZJKV&6wW?sJg@#@zx6=DTdSJ=EjsX*nUJ8os*BNAXsJmkRyCj(;Dhix|4>0 zQYYONZctSJz!$K9BsNayYjZE@yvYEvPS$v@sxU#rYY20uQ=eO}i-r(2oUUKd}u;V!w!SQ*c2 z_Ru81kf9-Gu3~s>Ab?XRO}eBIw&qi4kwYVI@dtmi<8f$EGo9dV4liUeo(?fN$^2%n z_g|I2&okn9Xj-90lp@qNSCibOLrbK|UF9xyA7tyrK}bU7`yf3Pz9y z_ECDQciEs)$I>2OpbRj)xCrNGQ0$Gux^}58{W3?<#cUn&dH{aM zU3kpGi8u@^5%8j1IttG5Hz5#1ZH|#j&g%r<>yJ?$vyfPQmR=J*WSLF>%`yIQtIH=c zj(V80(vXvM=|*-Ou7bS(8YlAr;dUm3tC`Y zXlD^g7hy$rh52LB|hMCYJpGR=Ja*kH+i;8*9;t zvZvO2pvoAaD3{LKfBg8FV(u#Ose%gfJn;UehPe0e_7x7E8C#2~+~nmc_v22+8SK*M zhLe5mxw{#1mz_Ndu@!Ich`EH8gGGmbjl5b2gn3f*9%~YTc6%+mFchBRA2@$-kiI%~ zDEyX=bm(`zPLYuHw-AX6h(g$ADtsT=$zYfW5SK@kNSd5?X4H8_^cDIo7jpWaFn(4@ z!+^}&_FkAbgX<4bsvS*Y#PIIB%}~?l^qg)|rzpijv8sd_{dDUb5Gzf)zukU_-w>|+ z*7{jIAkR@3vwQz&v&_EdEP@Vb@gUoKmdhG5X#Nlt$v~hJ$!ZYCO*hUMXwkH#OQXY~ zb|?7#83~nr!`BBd*CarCXF-1?S6E!5SROIP+!AzS)3|U(VMCQ0hwDUl??%z)Vtha_ zrvDL!XS4ILuRG>dfGs1k7g0O!sgkU9eY2y(I}~59(M=RkyOZC%mrSAFO%lKh{c*o~ z(!o{he}8(iK091kGweI_RERC_XWU;w-%RW;XIbf_)scToNAljt7>@1#0TLy-*E7}! zEbbMW2>6-|a^Q(DTlW;gDIx6)jg!6cS5AQmDslt7OKOESf4jdYgS$}D_UXF76`u31q z5(M$^$iQ-amfn9jAuWZUbF$jdgObdBJ5{z!_kz;E3%Ju#tkLrRa#2)vJ+}QvKm{bl z7qwp7d;zKyP-yqi9sjMfpMbT0yUBwMn@U;iO^F&Aa3(8z!}{ z4+XW?q$zfVY$p&36g|q_KsGyS_7m>o#DjPUpPtZz0%Gs%cZh!skizRM-^*t8+H-k6 zI8L;J5!WeEDPsb-hR(qCr}pY%9?yUUee#_1(52>B1@s@vhk$!{?aq=0Rc2!g@H0Z4 zO4RN(F2?kMGY+@__B;KdMfwHB@GFV9?9>}@lt-NAW%l;1%oZxjBrX}Q2>U<5BW+Fv zGho;2<%V{w{NU|BK~U(w=NiR8%@Ga8-22IKG?m^MRsPybDA&E=kN6iX8MQ!3zSc0v zuF--@=Nn@@=MV}3{y$b;3J!7fwCc@?+v+o6E# zF)OQ-h}uX#4YO}a-$AyJQBZz=)c0(IPx>oN@XX5J|I3vZeN)(NF*sz$vJ%vCQNp@* z6f(ktY4$mH=|s{z+7(ZD>~sl!)TJ^RE*CyFYgmJqxfm<#G(_`uT--f#qxdGipx|@Q zpqb`Y9;ZNN%M6?MQnfT15%Bn6t-oBK(ddzMV>*}_X=~OoMfl!KBN#4Yb&#Up8@Ocg zeg?&!n0Kn7=A%g_e|$bfd)yR@!Kt_?exXwzYx-~@*(LLc=?@-&vq#RikhBgHxj7rR zp54`tH4roz)5M^o80+zUKp^k%AaUNh!pr^WyjP|dU8a% z6|`3#KP5RBu87)(`X)o(>PKn0Bv4r9W{2;^j?OX*0j=v6Bf2sif65>7hEk&sMf|oL zK&^V!Fu$R(w__lZx#s7h-oU1#sK_tUWNvkw+r8!KnnGqH*b0_>)wDd>a~1Hq{_xH! zM4Uzmnw_7H-u5WOO3yj-5VH!u`DuQT*GSyCBDMlVsRR8Y_$Zy{3;VV-)>qGJ_X7$ndvzTvMRK70$*JvsUoKJm(O@ zvAxDC898sd*I>mmY7bSSd9L3O54vP#H%jpI-(kE8bMAlD-u3Qe#aGp-?4O*eT4_J$ zw#o5{WFq>t7+L7*v=1j;v+=8Jkh-9@g((S z5B5jpjFbS-==Y=}w{vv+(Avm(fISX`>Kw}Z#%QD9$0N-aWUT|-7xCF#BQM& zZVvgIG8Z3^K_Ziog->y8^ucxO?X+q6Nnf9K zYr8w!)$glR45sbZ)FipGPBK3%>a#g*Y0-qIy;-RZz3! ztj!57%GQ)U`e?5mJ2p=v&ml1>Rjbbt#wk#7+#Wtvt$VpZ);zjiVrZTV@{LV^VRv5a z#9MEMzXM6wJkMA+`b(o-=O#xqit`lkFJqP_+ z`A-SkxsN9*T_!RRnOeV?6{^Ya@tRCKn#Vd~nT_6`W{nf8 zSbk6=FdD4ZHZ4QI%0VRdUg+@oOz~G6gsuMv(a-r5qKhUzUJ4d;q4!K<@NLe?@BgzO zLKi}-6n`=^qk839s&*FK*;&s)EuGzx^h`Z`|Dpsqn~~qQg&7G*8gr}YiUujQ0S&+- zK%+Unv={ux&!2J+ov&D|Q-3A|6{l3RiNH6VB-W2A?Og{-E?^Vw5$~x)WPEBdc1ro9 zrpO88qdA-s=V-uFrcJ$}+5 zze|wV&dtk|&Tz_$FAvnIY)5ssnnV=YH+%^CE5*at&7jXu1l@rQmrM#BeTxM$BED9&Rq2Ci^CdBkL7#~4 ztyT@BYtZ`3&+YM^|CoiO(f1Attfk2Ugu!}>T3``Z<90%3XN@z;kaK~76AQllU{W}gg;v-rkn z@%MYmb&gK$0Dky|@@|W0)yf+AZ=BopRLbzb$!CsV>LLUrVn0=*PJ!IbI{1V@3?2f^ zRxKubt#DW%xqbQJw+qDtvAx*Uv_{DsW-NAf39zI@nKPhb2~4VuPgl%UFB-y z&%!TK4TT|wSjsDZ4Mg9vLfr1KZ6#UW3POzOiYVmYn63&fWv$2z@jW_~D_KJ58*FKhXCdt;yl*ctKva&RD~WCE1LBqULJJ7!=gl=_nyeMm?M zka`FLo%-r>*po7HK~awK(Z&=?H_oDc?$3X$39(~?7cwdQBYCUxnEvv%$6#9 zoUM?Nkj#fLg4&J&GBJd^Gx@LHpudG_xnZ#D-PHzLfu9GQI@Lx|s}0BUIg-71ABlN& z1JOsX-iMrHJoX*=G=vRjTgL=HylXDlcJWQJ%NaCr4V^{RPk=pp} zA!N0H)|khK{HSiguK14^tKmL($1JN8w45h~uakcT(Yqu3m)}Xk6}lWd^Ky>C(?RqD zBRnAe-Ov}~TUwcYe9m0E_+#gysu9afLD7#S+-F2Jadzu?b;7Ff=}vvN5ttghiUov) zak+NE*w~aicd3ysq&A3}yDB-KN$B+jKJe%6K5PmT3wx9ajWQaK^WK8@~? zvi>hc#4#pFbo6;bi@no?9v6-&rRe(sHW*OMQeQGv`5Q>`Wb)#W-s392Oha+oI2o_s zP>daPh-L9^vC%FKmM>QdrqRE%tn0_$*$5E9f975&Crjc}j^++fqIMt0{q#ud^!{(W zH7Oj^t#YH;_T#q^&^Yx;lz^$N?*obfb@8o?hAy5?B@&57X1nQ>2gO3+&PHY z#Kpc4t;K1Q(~7L_#>&1h+`r9~vRDzbaJymFV$y+Aa4$KTuV=ZsNV9#DJfo=lulV^l z72P4p?iwCIl^mH}+Hw{=%9tXEt&v$0GWnm|Ku#CB2w+edl>5_bBnpFVzMpLci;6P( zwF`v5Wtp8wR}3~iZLs_|?EdhtGx=%2uAF7ASvj&ZiQfBAYf;A}y9@cacRztzAIW1* zYZWPcX0e>hKyX<$f2+-Wtq0-Muv7vw=Jw=j6@L7} z{k3H2RJx{bTTuAVx|W>RvZ1`V?7K9!z74vV z&zq7697ew(?{k`|g7BLg6xr)<$?xhH;k7S+QS8x!5D=!9w@q1JK)2a!8T>(u+z4#? zALNeB-0e<7BT_dG&#GWHFE5MZTz(J@1U8dfK>kM!Izxgn43ERaa+8lR%N+gadkRwEZ8BE2N@?Ou z1t_V2{yoPT(=NE1KkNP@%6ea$Wu*AD^ANdQi_)xC`mq?#@VF&--#F>AE1j5SC8v*< zF4}rD@-W^aokOH2v>%ykCO^5aws??zb!PxTA-xif5H`UTt(-;z#3^$ac$fX1}$qVo`P(*KUlDZC`N3Qy~heZC;UE ztpO&^R8rVs1+8{Vx%_>aj5Q)jwP&_t@9c4*J4sLs1;&@x}O4sMMkyz{=C|& zwFlX0=iufvD0~%x$x4RebFeS0Re~%;n8Vm9E?=UpV5hf+=Qv z6m7Na^bzeeN@m5!8zobV0^F4W|Ek3c$2*2H~!tgMT8QlJxtAr(f$xzmUwCtoptz$esGzs`yj*JJRNox z-=yXL*48!a_d__mU(|>+KXRuUI?3{uwjJQVp8pn-b3(X)N#Ls^ImfDys0_`|Ui0Y7 zF@}bV8|)0cpc8SJtDFcS$eXU~Lic!9kxQ{{^S*+(Y$h=9$usGiow%?r*Mieqsz=5@ zy{4y2supjGL_rl_vOpXKAW87Zw7asZV2UkkE(C%!A^8i8Z?97`G-w%lLv66eyIwN_ zmi=0Z%ag13{(r;ch zIlA5EGa-mKn1s3NRyQqN5KZTwfPj!HLw?$`HN-9xMp~Wfp?ZjNX6eTBC!r3PGe$|t zWm2n&p-P`QBAz4)M%ZTTYQrwW6Jd>Bd_VG|e71A6D*;K(pe}DCPG^E`kLw#z0hw6j zN~E{n6I%S$dX&s~8uM!4EjiP)9!z-@@RZ`T6uCE-S?Igj8J&3UH?S2Dxtvb54^MP= zxsGi2@b4gxZJo>-=^=QG2jlm$P3-!lLn6?_5}|;dZpJBA;q(M&OT0DwP7a&K zDiu79M1s~5XGI3oj47UWv6}uiu+jZvCUPd(k4DM4keGd|EmlG^;*<5f*2p%W_>n_S z;a={RUj%iiETdlvpPk|anFG3maA+B(`3YA+e&5{7=G{AO9I+r>wY7bpc#Zda30R4c zF(j^cT;?g)1qycJCD&Af#HS*s4(Gh?_FXUj6+QM8U|;wLSe* zh=apQY~4v!V8xp0hOL@90UEUn57d*f=r{uL$Xhg9rr)dIx_hdKET|~^*k%Yx{*+2x zvHNhkY39wzT@>=yl2`(?6z22PhBox9t_%ISp*HukCl#}{h1arF@!jMBLQv0p(8>Ai zafd(jqtI%z23TXgp7N3O=Hwul=lfP(F|%s&zlXu}4QgL#fxi+o1Fd3HXm2h6UMJE? zTZ}1Serr-__i-vY>Dn($5oYa6AjjQXn9mcjPraTJMisnBbu;>F@${V#xYS>jIQN+j z?USLHZB*EcPmc6N5$r^+s3w(CUVcf@oucq92)P3PB3dN&Sii zU8a8SIjbZD6D*%(=J7f0lgO=yQ>5N;CSgK_6DReY_6`vTD#?Y711-6$sIP?gGNx}+ zCko;zE2jnKOm*6U=p~Q0PEmpHSe2(2lQQS|k0gqx&#BrhI)igEN{b=fbNQjjT#?OF z%S3Q+Fcd5_XoL+Cf>}gv4YbR3-o~iI1KC;Jj<&->Lp%_onA<(Vv#=c#@C0Yefg)C8 z9HYm(zKW z6k+mSE&-T(B7d_j|Hb1-+%h5|w;qU$Mb%q)%<-+1vW9 zSHc0=H+vFqVy(`N=t|5R>|v$vZo4tH0s|ukdjt|blMa8&>ANLh(K0MB$pF;(pCy1g zRo|{gKzQDycLt;1FoFP|nwhv>j6-%aUoJoBO5cTMH-m%mHoMtVX7KhGL?N&Dqj;SG z&jzs{RS?-~6F~7)KbEm^uU(&-T4>%*sgkKYs8pq4S^R%qsHjk8I7~Xfy1O@0JE!gT zZin3;`rPcm<%k9R6YG9WMg3e!EAF5%b$u>^2A~ojI$y3in0>9Y9osa4MZZid!T{Lh ztvfu6V{X@5*Qv*e`66K=QUUrvgmV^(TI_3S*fJEB^CAbJ++D2D3w?QW0Doc*03rR3 zj~d*6N2x<+v=#rFT&3WnePq~S!us3wYYR>)(e=9lejWRVmWO%QV-jTf(~MZ$G1h_b zUp!%Ns8~6`u-NJ5gV+4;J7Z%ts+~e^5wska> zDZ*9)KG#97o&hsQlxy%XODI;qQi{-xB3kGr%x?X77gWK}iW>lLnJ!2@{(FP*M|uAO zrjSTrQGcqTkRB_(oM-E|F(XRNfpS0mJ7Qg`?U2DAURF(vVKkmX%2><0vr-h*n@hbv z%du9a1I?euGRR3-;}*Qs9AxTQJ2 zvCkYPly+Yz-?!2QJRnFBlXbGqyP1j0BG?W(ZTn+Vt_v?o8RCsXcUc7R*Bb8Qo%jN9& z?3NV3&SYSQs>S>w6MPN7FGcX?lB&#B9bJN3iU<)?f1CXrQqg2<4IyMn z2)~61fJ)}?`jpve&p}zX4PjNJ8J73CiV1uBhoxJLsf=58)9UvVw2-mClL& z-Oo__1Zfr#n;{vi=2O}*{&1wfoWW4d$&AXD4^hyFAn$jV7yiT`%l30%vlLr;7<#k2 zDA(yv8k#&Ij;V$e%l2s$7`A=TAO{R&e)aW>N=X?3=^k~;;XK;PUKuKN?b_k{m-}GFI_Z2 z=eY?$sRJr`d9fNvxdZ<5mM4_jyZ^+T*CY9pzKs$=RUlc9JIP6J!q8nOb2$!q$YJ|& zSjyWk6WF_k4kvoEo~Ukkn)(YfY2B@|X?;MQXqRueYRGxem(Fxp$&&0I(DZXv0E_^F zjvba)sbrjiwUmE|LGc(BH@B|m#O{JSo!i;>cjV)OXH_J5A$MVa?A339E-ET9w|ZQ0 zCl8#l*oR^F?*~lHVKEr39}{CJ7bKfgI7$AJ_*6Dn-tS=u1PYRvh%TGInp6ByJ_-pJ zIpMO!e>4@3*S$71e?6`G&fS$YU@QBiuaV;>GzgRcXMLj%a&ph*(HQel21RDC#@lp@ zCiK&wx08UJ#r{ckFewaF(}h<1;npS6LvMdOEpu^CQbRa2^W2f`&R>+F z-%G~DB~5K`i3(lJ>4@ae zYG~Lsb|uNSO0VQ!CU0@c_V0LdJkCm_969U^ON%Evm#Ntz}*Y032gaP8mw-w+U=TZ8Y2Ws?$c1CqgXdYBh++|SR9a<1L;w0!GCpDwU zjV*uw*Nwrkttgzr-MDl5qfgV$pO#fTZ#zmCy~eatcEYWhI3-W_t}72C6}kuqgQaN zE5o}y6h}@CTACJaHELm(gnU4(Qen~H~U90kr?SDosd8LTv+HsBj_>8#XC&_7C z`Wt}_rbQnSL8 zc`N@49)*;*i=IGE+w;%NG8Y=CPRm0Jj&7*{ue(uWkZno+2soN?-ztI$M+gLRZ}rdx z>!Ja*HB#zoB1ZSzWP$#S-Oeds`1x=kuA(r#u9&TRqe=HU=}{(bakl-x$v{dJ@mIQK zPE(K@%lq)*??LJG0Yw_d;BYCfFstYpwz`Kt9iMGWneFQvfyYGf{S%Oy-GsjGQq1Q;qL$#cd$Xru`O9th@2z5h_q96D zMo_K7{pb^+8kuMiq+p0q&}O`ji@oPqZzU9n>RL#ZcD&mk`6D06Oa4_D;VcfuGAt7} zj2SnKr=YG*Zr@qzJ`P&)x)vvn>q8sGwzLpAO@0BLsg4EF-?rgFbXi`W&==OpPEBjO zUOl_+pH`4^M3a5H=9gpIAu3&IFwk_ z7eg_fJ;tc*XQ5edAEWlad;Wn|qqf@uht;2)R6HM$ALYizr(}Z2pM9cKsdD^_0WU+n zt}?wnL|(NP5P$dY+uueMv@uC&lalVn36?Z?t~bAGVp@I z$Ni}+x|}}s*nfEKXdtN)AYALB>QuFQEg6WReBc{@6eLM8z4|+&5(%&U62^R~YX8Ie zMgPk~kHS~Y5@nfeArF~cpG1D=z+zL&fOJ;<_n#spV2+=o`%z#tn^R^uifD<%+Ixg4 zcO#UxZCT_NLKI;;Ixlx1IDU8JM#bYRFqUF&IGW{R$fPB`NjrU_-d`JZZ6jp}0V3}W zyg<-r3S^WHrcM8AD~=zGP6yM!?F}l?02YLE8_P`t?ZPtQG>cUSo!yN%A%v#yAd8Z& zv+<$kN3`Q@KGa?|4bW1_pet4tGV2DM(J3EARJy4q<)9E!ou3#&ow0|W1c z@`=$AQ#$daW2N}r=KjH&w#eygaayw><%5Y#^wFfx!H_(2Z+8&V*1OT>+72(FPK&;1 zEDHmx5NY@DO6vEfE5f(e2x^a8{E-A9OnNN7;q^_18>`_!J*@LkE1#rKexnl^yH~$d zhdFj~z#UQs9$GP*w*<^#ZFleZ=^B|$N!6I{nZucU@4-$z!2oWTBho4M^@iq;=P84af(^mRx^?S8aA)aN1@s(WoEI?UXuRAaD8Gb9 z78o@A;~X^)`9?vyf64Hr@Qx763$#-jDI1i4dhFsCcN_PiwBUHHeR0UX!kw?kqkU@E6ye*_oPvDf@g z0LSQ^iK5c65PYrow;^^AL}{rpfy)67Ic)1QCe(b=pi_vi%l6yfO?w5qT#%P^tkBw) zJII>~u#vMx>_fT>y_=3d(_@f_PcY3>+b@x49Pr6NGBb0ZGV(Ivno$uvy|1lKabB=g0FKpLg2MGgjaB*ljx0Z+p!Y<*rAJL36qvv zsL@h)FO-Vo64%9#Wd>`O`7Tr|sO%QCT_MV!@0-d(J2Hvor#m^cfNH5;t)pHH zIC~iXG&7Inmp&Czx~Z0u;1zaR5|})ealQX*Q^}@pv|b!7Z5nm{Z%Ns5Blm}{OBeS| z$-nV>5ux@+EScLgXM)j>=-C^!#0<+EeWc>p?mvu($|sU&+?Fb98=HHp455#uh)xNl z0``P!jZSgghhzB}D?Lf6fs#>*+61YewPCiJO!;$2%myF^D-L5gyBJ;Rv?NTmlD&_- z;8l2_mY2lCzW}w8pN{x7S`zNGt!4L`gEwB*T4i$>O%-vn`)7D`aqxlA@`{maSZXfB zPKbb6U4Kr8&>{7y^5>#w*;7MYQFc-FdpbK^I+1F*Kw5ym?otH3|J#s0=k|`ce4(l!kNkvnO2^R@HOkQ13nz^{K<_ zLiTdaBc;nutAZU%+Ckg9k;|WrnIGplOCM~wJb2o4KG1F2f2PpQsGV24cTErWu%^4b zMr8ea5+73BAeBCh4}TfCJ5~6+=LHW37mQYs{8@qd^*g$V?>+vtSU`x^jFlC0Hc2^J zaaicGcjti@^tjH2^g3*zT$5G`sh8eMwKFathG9CVXKqo$!H?UDBb}n#Euc8}5mmjV zkn`tztCz@u`A^M9LgidUk+{K!2HP1gD~`{T$r#o_%pr^O2wCx_eNEa~oRuEBpZWQo zf7t2+VUD$L3)IDcP~`YLg~F1vEslqv-tKIuCVb1~W`seNJ(KWyi8I+>5kHMVXT1)% z)bVrGwzI7^VRqNLw6MeTv_h?H4bE}<2nn4~m-FhCN!6aeJ#CDvP}-b$5?=Dzbf>-j zJ7VzEpAaL0JH{6}EIa^#4mNQVYlxhFy;bC~v~y?R9pyA4;G&B>q5He<0dp>TcSFD; zvG)Du_gIpA@xy;Eu)d^a@>GWZZ5-0_C19%0x?Fxl!0l@(>^94oBFp1SriVQ?5Bpt)P-=3!gLi52Z46D zEP&%shO1=)kK9zvGS}^xPF0ld$vb*^nooI*a}PiqO%F)>GrF~+r$I>3e#;B!zzvQ?8|$bAEC;y zd1#8lx9dl+rC)`lBCE`Cb4C;RC%5t}|5-FO(m8Hj zcnO|gbtIIK>NcryA04?H_synr1K1Rhy=N=*P97cONi)@gKDVI}N=Sg1gqrNa7hz)F z0g_`r$Gk35x2>N%-*>GS3!#4LSo=L=K6APkG2DkNpGv_BDdwzMTD5u#9sm(bq=&(L z?YrRdITOavdd9oVxPI8R#d?d?9(mTKsxKw&1=!U^=rd zWpTJ76XdW+j85ICOl$QAqh>wcztjeq=_8)n5gvqDqfW28-#P4xqD2#}Y>?r11L<($|>C|gm zl!g1c{<|##8!`)07anSj&t3KqxG7_t2mk<3x zC_mPoW?Zk?w>s%~lgHb`f=FlTM%K^ABqg!Jg$v(Wd_vw6bynTPPSfWgbGclXX#TIG ztF2e-g6SHPM^L6vzQZi~Y5hw#?UPe>&*f$Q4T&>d`#WVKNzAzpS23sVg@#;-$p}Au z!0TczA5${a|K_pra4cOtUl#vhf}st#uYD?msj}db~#zW)7|qSGsJ(!nbI8u)yAOY zCoe}Uk=;`C*%hBQ1;}|_Tdw?8=T!KE?=x@R_ZR0&&yiGXH!nh$Ft0kp2|(P_ZX+lj6pvtB33lFP^V_6)=j1l^`&vOsU&$FJv)Q_2c?DRy1D>ex+iG+)(7X#t z;3E?>;2D*Sze+CEW>1r}7P|09ZD)ZmO!-#IZk7bn!RHh_PQ90v(;gD8NuQr!hN+rP zzEUdcjxImCpozJVJ<}NO3vu6~##6 zenG216z=dkr?XZK8Qa^gc_K8<>5fmPwx5-Cx1?z=U(Ba$rbzliy?X%SIawEcz zdf!)BwZA>In4RVSE*1y~B#Pes-#$vS=E5aCuyFe-&eHr8`mE zxH(v{RA70`&S;>=QRqEeusy=AU5|ivFdgY%P)f@8qi4`9iTBdpNb|V`)9>kOuq=@_ zMXao$uYhV_C=rc_wd~BW+ekmQxpu(we*kzuhrj73zcfsvK5| zykYOS1>4WW;OK)_2w1TOE!wshy%#`%ytz@LSg~(pmn-9o( zXWY)7N+jC|?_G_04FBM{Xxglq*oJ&cFU-eSc1ii0@oa0qG;WOPGlOvX?nAX0Gco)< zg4o=|@OKG@XY#iTSFT*ek|j&w;NXa{V=ZB0GY;d&JA6aq#@S-57+rh&@t8kvF3z4k zE*(zp{z(h`4xc~05{iu@y(y7UhPVAhP$AA(2n5$ zvEk00+XxHWfGJa^z{$xO;~kvg;OHjhaFyS#q6fGCDlyNmdAkTX!P9f1fY~yfKY#u& zP5!xk`?lIi*TuyZ7NS>cYnyNMO|rGMlY3SeCs(eLKYr{W-o53AIX=_(-+!iZy?yI4 z)`YBrt1IPOiTqzb(>VF#>@aKAOdLJ3A8)xMkD9XbV*@9(godtzo143;uT_HewO8e_ zk+#O&Ee;>vhd128N7YH(h0pQp%N<|!?fc%{Ygo5#4cy%+-wIY%zaiho7XJP-#5vf5 z*W797KTu!e5FPUvVdr;X%FY=GI%tpM4~7c}55$|7qj2irL@b>f46jL3Vc|3!gPdAo zsCNh0Eg1*DT{E%%@=RQR?JTt%hS#y9aq@r%md*)+_cVW41~_8y!eJP?dNk}JJm9xC z2n6<#Sj>f6agaNF|aI#%;qN7Wuoxjoa zFimu__Jl7kUmcB)w_4-fg?2a_X^j`YvK;UXe+Ttb8)CxlvbcR!4 zNzC+5hM<`#;5EMrY*!72ZTJLu?etgtGlk#z|FQQKa9u6U-xz>&hbVS;cVnSqHy~o5 z0wPE%h;)OZDA<8wV4{KoQc8!dD0U!5h}i%a;+3iYTr6_d#v-TjSmHVfi(Pe~>nMQD1|7skPs7(o(@=O*3ogEm zp{gYU*->iLM$RaDCv3)eo|lgUyAQ$L#hbr5*`bvHl?JoqXWt;s`Gf7x?=5!I$!IugaUz&rXacD~9;<@hvhkpJM&CY?uY4 z;lSxzD1MSp`4U|&w+M(oxiJ@Tz||8Ti%sCBzZkxYm&4n{1`cbs!OZg_jD3=^YV83y ztZ={>EVD?Z1@{$cv}4B;C`C%O!-((kKT09%ZUkH#J9J7J`UcoALKMf80dt@eIV^N9vwQ1BkR40K9zsrGI&ut_!+N;pREJ@JiOt%F%a(5r(CFg zH*VUD*mGy_;n7_Z&#WCk3D27ShXLzbEbU_<9$(8s_@OX((RbzI=}k}nTK$s&>$@zC z(T_QM8t>Sqbk;8`sh@u(dhDC3tlPozKt?#axer8r6A?_?sf_IW$rQT=6>kEN6uBM2 zf!pEg?gl&eWw7?s#oC=_@H*p*-I+UZy_ntl>qlUXn3PEvXROJ`A9h+DbEd3sS|9D) zyJFVvg|Itj4ZlOv5WKxHwg-t|!!}K<+dmD~$L!#I-Vd8E1!FtO&JBqqlg@d=nq;l> z-f`Fztb!d?_>TLhe#hTbg};&T9U}=p+!D_FwXt~z(cdhBjs8lo_ZUE9n>L16_lBa0 z9GBy24lOWh%QP4rT!!_b+Ss&Bjnfa_)fzrW=EEx78roZDLd}lqLij4SO))ZX66p;~ z;K<4oQjOp0JHFPYnUJz*%5M>zv!^9)yq?E-l94kDI%ZAzX?!Y^TVjUSJSwL(rfi=D z1#5x+?AvJ%@3SVhrGfZa5x7S63X&88O0nB_Kt*JYl?XtdN;Ty5Wd%JPG{cUd1&d_Qoy%wikZ7+ zQd?VNLBIlZ*6oaX{p&%bKjp7Yz#Jv3Z>c1F?i|US6PW|=Sc1U>L|a?p?<(iiW@|;T=zs`(iN0xw z2wJmus1FQXs*Q7(Xsly_Or~ooSFt;IHv)VENw?Yrzs+0WTLtX*-wpgXZ$UuNCVB?J zHE=(y0?w0Ooq|<<30Su=96p;vg!G`G-+k9Da38D#qJI1Cmd)_rO87y+@bldOKL=O% zt+InZjqd>~R>9xY3T{?3_P88}3B7CP8H;uH+u^gy9swp6@HZhju+rxLGq8o9nH_;G ze2gvOL9)|zp%L5+m&21}tgk7J^Hu3}zh4(HTmgJcEaA4)7+%YaNiT54;Yu>xZ7JntVg)}-lCv&e@Y}c1}(apQ6r zcuhhlyAEhz(g^Y^MKIYx1pZ_T?B1>b|IlHu3pe5Rj9{OToThQglk860GcH(jbP2ro z_QbYeagyJnM6(_2{H9>dR#UQ1+{xw)Mli`v&x9bdv0Sm{2;uMUPBx?%g11V*b5CEg zf0mQIAVE5#vZ)(D+kdt=s) z1z5db4?eqk5`A%m5PfgLw+LHE`i23TIrgUUQXHBNjo=&K8*jfZz?&j{coKb$iH%UN zuNZpI?g|qpvZcw+?_u4U#(PnEPYRn-hoKVYsQ9)Dn+^;?vxyQA87P6CD~7;i|0l;w^2dm*?n|0I!sf5NOu~` znH68UF9UOt}A=1PbQR06OScWaY>^+9)?9m_MWUnyv^KToqlkcwXup}8ghj`edq&@6UuY-MrBi2T&!QQ?W*jQV^iqc%1oe_Tg1n#jD zcjF_bVfC`$3-MuOGvB%Y5T7dq-mv=pif`|~ zd?P#LDz@*~iM4C&IE~+>@3;;J$mYL!?*XU(zwqA=Rm*LEi%?bNzio((jm3-^GtjVM zgCCoW>es6U)$w9tqL?*P2Qe4IfeLm~JzL*-gKuA7)BtvHAzOF+gttZaNWb$z?_M=- zh5Lzq&3KK*YBVmGAomX2u+6sY{PQ6@I~$7^FNV0d`1gF6V_5zARZ#Cg3|0Bothc13 zB$h8Ue1h4K!ER!LUBguv~E%(PuMI z@_`)+$&uXowg3SC^hrcPRMy;H^Y#>eQ#)6@;_W_j#w3V}Hms92gX(yOGh_M$TsVK2 zzx%HU>`;S%0DpAq)bV>hKbN6qe5+Q?N$%U?3EKq9uCc&J(%oOy>aRjSW_|4~va@2a zVz~k2Iqs8_G<`Y{+jY#_o8Y{lmicJSuEPk4QJ{{eo!erVCG1;iVQ!)S>Sce-T< zA_N5mp?UM>+~LB4^pNNI`TP((Z-0NNHP(QUM4Jxnv2*t>l#$+b=k^`w z8!SYF2BPSq(HvoR&M1#f#g%RQF=OB`{(bcwH~^<3B1kEyKuU57v?mI;Weo4w4beWE z`5{HIzCjq?nZ^d<@pzp{NKQ-TE4>lt&Y|DHL7dKv{zH)yybl!@({OmL3%Y8yKtoYc z=q)tB?c29e_N5fNcI`&nb{!xl(g4fGO~%6mCsBIgGWOc7N4M5(YqePuMOAnktwd48 zMHHWngUh1jP?D45z<#s10^*15OkKz{Yy^#_n(*Hkh!W!UlV{Ihx^g8XBqXSBwc@vx zmX?*_E`4`~ON}7fPz)W_nSI^s73V^lBZSSo@sz55|?<2E2J1CgE^F|b2d9zSK!aAbrKKjJeG z?(B^oZQE17tdDtG<8Wi|VSFP#?X_Nq4r)#58x+T4qh-jW^0C9dgST%(i#Dwx(NGj- zlV{@T;Rt*@mjJ(IW@s$03^@sDteLkEua2Kb(digC>n(<&q%@S()!^poiMPeY{9p~X z{x2;fhbA(L*kELaPmzgueE2ksr_MwpF)_4hqKREp2E=7iTb#xsY7^0V4bi1}YaDj) z0Lb>awd*kE3>n2Y^0K~i=FC~%*Kc0E0YiQ2Thx{{+imuUF~|xD1(L2J&Mz3lx(J)7 zCk+^eEOvv*qCk7A*ZB>RhFyq=qE?YyLazmwWTE#6vz&*QT7H^!1}@8 z4OsozcPuZVjBagbV9a0-jO_1-wwhXK)S%IK9x+^oSN*r5v?h9WT!3*yyfL)5HOZ;| z5T$mliT`sx)$xrLJ7GX~6O13~%b)D;pK<;Ne+;U5CLt<;1*7y4=NXNAJ8vV{WE1+d z7H-V@EAzGbyQ^8wnlN?pR9s5B#J}ITxHya)Ig-bZ8$S+7mxcHIEazH!PCJ-liYP4(x?&sadmrtMgO*74# zHRJl8g}FJNKYfM|Z{NY&(+lb<>X4U_hr?P2uII2z03011p{S?`RaI4ZczE!Gtk}Ur zma8rK?Sq;cnh4wwh|ixs^G)+ihh%zgn>KB*bJtFkm4CyXd-tGkxQO(7F+o}Wd zke-`@1m;y8LT=Xm1BoVjyw{rYu2-w?V#6dl`lIxVXEan0Qn`{IIpMfvl7) zG}JWU@8{2HJbC(r)BG;0DKC~M%X`tnMZ7GmOss6IjI6Az%&hFJ4y-P$PFz+~9a&vj zoukg4<2p@zLOis_jpMu-)4eyz>JXA^nTYh*fPrm0QG7j2o;n>FnVEdEd3bm@di3Z) zI#UD8n>P17Ld0bX+4c>|tEn4utvf5%b)w6(foic3j z-+;zyjUg*7i*;+);q9BZqtf|ZR8BxPjKTuBun zW;Upd&A`*JGgvWhD#S@Pw(r;pp$Edil(4+qJm~8#K!bV>(7j7{96xf5$6wFBis@6P zbAI&b-V+B89^&ylJSAHpnPeu(cP>BKZ}wz=C*J^`7gr$ydqWSPTlel6==!5+CD8r?{N}T#Kzun?cTbAuTf!v722nbL1du*B=K$ z)TCXLKEs(cYB1u0-1)nlMmEvnG^xzjBTv>Ej4)E2weu0%;Z^^srl=7Nw$`NV8;#f%XH(XfU+^{cXr z5pU*b4MF@C#+#_xyr~H+PfB}#@d&ornxJ>P+TTK5v>7x}R)D?1EIc^A1GvHZO#m1&gM z4XW2Ys#jJNqKP-th7X|c?gx#Uv}@8AZ`c5HM-N59R??~I-MV>0dNq}srM-(if)E>H z^lI1gJB^>|*Nktfq6qtiv+?l6PJza?Xdv}8{ib|A3Aa+_l5ArRSdxvT(6n(=YzWxE zouD7n_-nGt42`5D(OOj<+gGo_*SIv~9yo!8<8;uF#+A;^THzqsbj)_Vy5j(54cFr1 zK_42IPrCV{lE%~2EqgGb-wqbxcFd&pL6PjXSLz9@_)PQ(4MQ}_~- zj39FxG^cS^QoIq&XUxU(@N?YG2{E@rhbGNy@u?-**@333@s4B^vz^w=(uW-BON}*} z!p}bdA3uD+Q<80FE6un(V&ghnw zd>N#BOzAtA=R1(<85v1^lga9&B#hA(4m}vvwI|~Jws4y=$~^#s+cKM|K6Iu|LwZK0 zAgfQE;x;L3^Etyt;rgyHe53XWwOvm(tR}Z*4VNs%U6Plj{~}ra>gCH{%IcL>c6CeQ z4LgkHEAi%D?p>1AhFmt*lGQhFV2++H>XR((*`hU$IeMV-Vmi_{?}AS6fxPVp4H<^0 zDEbyiR;Q<>VfO6Vwc3mwm|!qw67>W6F3HY|B-^oHn+~W){GLVf`R2{rynlxu4oBC{ zUAY`wNOtLidt?uiyxY5bFWRJ0Iks-z3Qgh%)6ZHo_ ze7t<1rmO}wU_K%G__E@Kx1quW!H5ctJL5;uQgyEqdu1 z$x`~wWa^!#!h#Ehw9G6_n=zB?7Tvma!{Nh+xz2I(=1nYFvIJr^=RT=^HQ_ry=-u%; zQxSGL3NI;ZHnUq)0lfHB@g4FB*e*hr_Mq@1Hg4O4Ui}7qr|~m=Hm_41ucV?1BeT_{ z3f$%nbbr-w{``4NojR5CqpmvfdR4`XiHT#{?D;qmoq)n`!VDl=TYOYhiv3|(STJV? zCXNgs{r)0uKl+R(#UHSF*DiGHDa>8eMZaczbsN|@dm!h*3x0GS3)SlB|H6MSP}}@3{8d6#oBZVhn_yVDa3Mc!iVd!^vT{&U zQ?CVbatf3t0TmJ`vt~_4#OXt%EU;U)*cOBjC@*_m3xvhUuc$14gSd;QVQ8oac491} zAuB8Y8~XC{0)1s=Rm_|*1*cAiqVzLc)cn_n^z<~WUTuLcUAjO?Nd-#E>QGm2M&;0i z8a>(X|0J+HtMgV-)qo-?B`sUFg0Aj-L_|dJiHScOGBPswq6s_sR7|W9q)7n$%yR_= zB~nr(=&6Va6UHIz;7)ve&lVzCo0inkrpy_LrM*K&S}ZBn%c-0#Ng0zM`m#{1gFZ`> zmsiC2@ncAl48i-iZ1VnJzi7uc*H~Iwp%n=taWWpHq-3B<22joNC@Ko&VSRb@=uz0a zXDi+oKccr8e?Ou8Tj4M2`vF&S5@BU!#wYp2#U-ogtNlb@nWwS-tu<-{cJ17R;y3r{ z{VI*|-xO}<--6W%TXc2r2CbmMIC#y6YLtT)j}qYFYK1<1dSh7c{_ry~M^5No#Dxc8 zRnUBNckPPtJ4fTl-A#ml9r;g_;OT6MzP);4=&%v+*%*NAhu08C@#Ya$=83($a#GOsn?RQW~~8w^_0M{Ve0VR zXp8LoSx9`52CED9=;hZJ!#w)IXV)rZpV)`Q;0>_WAB$ey#4)VDBD_uKA#2|bBpurZ zo8X1$?bZ!Lw~T^!N+7acT}M*RMcA%gfZn|%F?6sBynI(9>rN_?o+QKe+#2-u>5ZX* z!{C+VNBGx}bR`b9_68h($Y5o7`B)(Hb}BACNr7GDTJ-VhgCQG-!ZXnunFTqxd@U7r z4iw+J4+fLr=i%#x%)2?b^dc2DF^=fv-yfssoju_zP?E9>Zz8rKaMcnF?A;3kdiR6t zGE-#i*@er;LSPqch~BQ0= z6KPN7?~4(e24Po@JN3eB6cwf+D8P~M`=LMKJCTu>b|(ud`RQ1D!4Z9Y`(gN|f!LAl zM)+A|0A^szW)}<@G6?;;^}>2XBc$!ziS(1Z;2max5meV^R!yKZRs>DkiD39(HTe2k zBl~U!GKpW#7u_+ye*g!kiQrzNG1HV+bMAJT8ROJl`x>Y1e}*lMFzEL*4Z$)?l;Fk?|$g#)d!AyOpqF}4{7_h zVg0gc=-X2gy}OHJ-C`Z2?+QWosZhA@U4=na_zruFkrJ_&@VCQh$rP%eIC}M!hJ)L3 zq*I=(|9K?(V$g=c=;zlDjxkPD{!CoHl?r1!Q^+=#gG6HqEHPh7c3vv7Utgs%JE6H_ zb5!5R)yP5?-Pd=6)n-FPAKrq85hwBZ%xT;?brd&G9>%p30^B=$1Yc4kfa?*+kK6)# zqX|%H(g-rm6=CgckAl~)P*(a4hYuaa$Wdb;r=SceCI>Z|qDiyn-=VQ)Gsut(lqR{< zqkDIFtTw@8w(;j-Ht>Xu(A-pj`2QX5r0|o*SlZj9u! z5)Wh&-I{Qd>OpCr6C!ZH$qwU*zM_mgB*mqmDyM`dRNiXPP*R1Qq%5Q;tv%JnPJbS5 zh3z1Vi|8}n|B61PeMvlnLoRDEVc-BL$tZC8D!-u5(%KPy8{Ij$ac~>aPpqP!@LT$< zZqyc^<4@r*(VsMEAe3Yke@&lwCn+X{woRJBa^5Ul4Gkdy%;=|8(T@SLqJ>G(YLa`ep|6k#%&JaBANZ z>gRWe9yTVX zRGjq*z#SSN_JlfOlJ`h-_3TRWZaMNk6Tfi}(UH3_Zo&|V$j~^WMxZ5vhN~K4+JPB3 zk$W1s`FC)T#+zwVyP->`dZeF^z?t)5xKoge(5qpXx@$VRxOc(ALyK_cZY1u!xQzpc zf;fKXP9iWc7>MwgC+uiX`=y&Q4l z*a2+bu^QcNTS0{CI3!pLdv6@Z&4N1!BN;YhMo)ph{xF=06v`8vwjDi5&Wf0bU@*y( zJ=yzl^Z5-N3J<}|nZ3}N=r7P8iimSUdA6qQAYDShpSXPpQeGV3JiK^*Cq}W;_~b+| zaO@E54?n>1cVzBDFOq}I>2AWd!AO1{%JJi3_dsj%K#t$PM(A+r3m$;Pr+Yd5#EW|| zj^PviJ|p{M_o2PWeVL1WIr}hh1LaHj{R8?_efRMA!z2gBP8ut;sS?2u0!DKe>DITx zcwV95p%^rF5U1H!s~>h9p?3@KBlPAW4Bk3|m$}cHw%E0I4b|l^4y<>?kUpJ-GK?93 zoriW)+vVcm)q@xkNd1TC_x9~gJletOACEYW$ulOSzI=V53Z5{sx{P_ zYoamPmL0llVdQ8#Oq;O-6DIkh*MND@Y}=WqtG8_N`|oxeg%P7|>D}%8-QEM{)4N@u z)`IL=vIqG)E!)s|PQM#BLu1v(P*W!PP0#l224TbqOH7+i?@pw5d(TF*CT)1SI_WG; z)Jb3Y{|y=oPYv~^#DgYitkRf30~%^1n`)&0IiUW`<_%QTAuS~ZMOkTR4;X-x9swv% zx{BB5F2Uby4Z5}O0x4+)vPl)uSdDZw4JHR$@l%Z1iL@MkYU_VDuJJe{=8Y=XC zHgDDf({!fc%#jF`e=5g|&-t*7u!i(%IcV4`!8g$YB^8-?|0)BU{MTdPfc_ZNvmd-o zEO716AtasN0n?B@TcGU*En}Hl82Z2se>- zGZ_vp%h0!qHiB*3?8He z4{vj1-^)ZA*^BF9T)3^lYz~hEAF?TKApKSf9G#b;Utbvv8mI_&!q2>$j)bQvSRB3_ zGFGxsuvUQa@s+szI0fmX3pzP1L;t?A9N*2;44Jvr_{Na7l!MwD1-M@{MR8d&3JWg7 z+1(T>Z4@D^A_+aHxDzYwo-tMraV^I zn2}9K<$sw4<5R0>Y*m1Yog7?aP4MF&M~YONI@-Bb?CtW1$|GXv>_Z*tn4 z<15?B!8v9Hiod1c!<%$?d9H$bYeh&mk%f_^2~uvPBfTISD`kFHU}u z$!RLuDp6mNhT-8QxcDFeS!C0Dd0Pnhy~!qAw-~9Uo2EQT!>ZG(sk|zXB76hVpDsR# zM>g57-ae}_gz)?HmV!Our;uKpnx6&pv)059vS}=&NPjX!Ea6|dn~9JhXG|L12AWjf z`s_fAsRT@pF(=BJva{+2W~t#KXxmi;9XgZTVse=sT0m){=2B?6zAd_VbU<5I>hEhs zpiX)~E1gE@(yI;Hb?N}={&EnRRE;lA_-(52<$vH$7Ds2oZ{M*aWXLY(`1({fv-;3n zr-=@(9ni*+#${^_s9KOswOS3T)-*QT(BD;{W<%qXE$PX2GA>c~j~_dRDN`m>eH0-sCI&?X`Jd{g2Dz$wHPh6@BBSMqN=%`#scQC` z>i09>*y1=#d-1*$`;HvvjsnU`ikwEB^krpuTGOUYV6b>8&Ro32>HjbM_e0fk+utHo zRrzllu3X86yW4t9nluK(hW5p1ts$5=L8}&w)*4D_{V`c*Ea9%<4ri6+Z0(XAp7jdf zzCN!3)y{YC@ZsHkoIP^@D@_-38pDSTpz(S{E!q>bM`7d$vT247gr4qn?A{rGr;oD) z+Uzu+e{vf{dU^(C&z}2zqlKEX2(*SbhmLkzOdQt+<4C{#PXf!6F>)F0!=*X~c+<45wo#QM}C!@1ni@zu+l^fueX zU5xTF=0N$QzY6`Bty31_-rZDe-sFKlW)eJ&b^G(;D*76`L;LivScTnXNb8H1`EDSP+E z>Cl6~%R;0?L_vG_7~ao^ckPSl;61>NJj8C_gW)}U|8S(tNatUBL}V2D^%ERdX7n0@ zoXvYs5uJj=Yn{=xSxYn&Z3w+Nb8-7}D$1U|#4i8MXxpr<;7F;ZgNJ*%Ecd*F{z06--1y+YdBJF2nHxVlF|pZ>P+$V zFlG2yWE?()id%UI-x!1*9Xkn*loNGu<8&0hUB8RHE(UQs|ip6smATKowrO)ya z>>t24@k)q_367Lur|>Z%4t|SQqOr6Rm@&cUo7C});8p}P| z;?tlpxD|2)$axCfqOmRWA&`3S2c+BuF5dx?$j(X210K-WQ1BHWQ*OX-?;;$6(*?PP?VQhiD0z4IA*kv!Sv=b(9x78kox}`Ce?t+nv$5I z*#NVei(-1)mKfhd7b6CGle}@p#I6%Cvy~ENH50)!!r|{WmB1to`rT9<6WerzcJIX) zKhy`>1MD!d(+EszCJ&t^^$Em&lP`ORr_t|;?fXExpBZnqR9zI2oyBC@_Z3;<} z9~MT-@I3n(KIA@tH_0h=8D+>zDZs&gJqnA8_`%VRPEJ1@DZM=LzT_kF3tqv}+#1rN z($G|Gia`I3f+OXl8jh50+hXUwz5M2&I}aW}-(WEsiin|0lhz1x^GEsBT-+v^Y(#o7 zv;S-IW74p(NH}te4Ea|`Jbnseh79HLTI0qd{!$X>M^xkm4C>3|b3N#cpG-P-CYRgC zPn|^1UcG+nNVz8z9b0uI`7DM-RF->r5Ae188$xz$M=R#oPh~bWT#P3<*HH548T=jH zprP0pG7ThQtG^Tl@u^%cyO^wklAJuBw{WqsL-Fl%yiE{NJlxhgX&lG6?ySwPmL4!(W`xT9NS8| z9J@k@slG*Iud#z1ACUdWfc?Ht&%D>nyFgHptHR+!;iMCh3}?C@eQQ5EQhp&F_WY^i zFkd(yV|#YQh|aB{-KQ&b2K4+669@Fbs2-g#vU^A9j~R+RYfX`VdN+_ADL6GUr>|t{ z%hkaCX7QB%;`A<#&)#G2Gdhf3P5Rn>yJ1B44j9|J3oK?$#DxGye2FI=3|X4S_{0HJrU9WkT++mcyk(8_5{F#cr*Er@MdstcrVw(^}2Xd6Ih;< z_9^ZNqWm1NYKAta!P-x|U-w$HC-$%EH$6IH!PsHgXTK6JBd8x|NBw@k;k?<2U3SLM z8$E>g8?Bz5h~IVe8&)ssuaEDz zu$kwOvwtJph&MU|ds6+||1NK)R`Z75`9r+9co>ny8?!&i8-sDfu;0N91!ssij7A3K zaf$lP^?2YLo1X!mzIch1rmML;V&l>V-wpUwQsqcV<8LEL3AAp~6x*HM@%6@CR4Bl&pHr)xKy+!Tz;>!j<4hGW7YCX?%7Xq&FM;1U3w zPez3&Ow#=;qAuYm$?ERahaQX?i<{dI zpzLfS_L!|jd*!BF9vID-kNf8n@a5`l1Ub40vRX_W=F?{5`QZp|=Y*KrqJvr;j+7=A zcy~IM+fHky>p`x*BpQ?b?dRx z|HZh?#%woc!`&eH%xpUDNZFwy!UHy=g5om{9;a_Yi|0GAdtXHEqkhF?^~nf~snT7z zBc=ByRFVxEwcZzlTXZCTknT(NS$bBsAgd!zqi5f~s89T!GgcedPoATE?;+IH7abcj z+ffXLhD&ib?>eX_F}pl9<|IJVY>*EKz0J9N5NIZ{#|hzcb;k7RZFx$~Gk zc}lG|V~&)IC(g!$(+ONo#cd14z|P&cW7a%fJv_Y6=5&CF<7d&mV-Mb6m*_3Q%SUX! z2sjja2<_UoLnCTW^HmloE)*`U+PQT%nv?D)BPs(2n|1i|fn*~wJkW15)QL|@67ul0 zbU@|fHztUS)?*%t4aLQnnEFgubzwvXniUEM+pa=*L9`*RI^e z>{)ZTZqd0jo*rr*}oSI<-1 z<$o4tUtduc&r2$5!5dOHSlYwl&p3QGnmb1h(;ABbLx*F;nDMn}j~YJ_gNBcyv=Nv( zdp>-&>_Gb6CwNQz_~&PK|2-jtzK?azt{6RG66e{FQDbV=iNVlOqdCvU5?}4zeQ+`J zI-4Tk>J4kQhef5>cQ6b3vza5MKfK(caVzgVUX^@7L~IO}nwntSMF(jGN# z0`Y7l1`ZpEnYsqpxN|>p9_DjzAm#<}SK}FT%D$YO!f%-zNp)d78%q75W}O%e8L7ql z$b_jg;NlyIOV@I_110NA1*Nt7*^5t=D58q8S=rk!im)T>7<3mIVekko;>!r0Pfgk* ziD!&otgp>mxCC42yT9@54XUrH`z!XdzgwU&_kZE95~|wdFBjNJ-E-#5g0!^ccR?*F z*{Bv&pJv&pkr*aT9E}slcBAw&bGl;&axq_ktO>$m0=+UDm zKdtf$DQkZ=q^71|$&$r<^Gr3ppLyP}q2LYQph11Uc(-rwX1;hVwCUSA+LSpLva~{^ zB%g!PQavau*Pt))Gksw(p#ilmTbyKz%s!g{%hHOFl@Se7lSNQhSNS!4(I4~& z4Csa6tzLNZnr+--i?A&GdqiT!gLF*aIE`;mS2Jyljd3CT)b$5dSTP+F;ufQwu4xwH}wufM<|-DMnKO-Th?+37hSEAZ;W3z&p1=NnWN zmn*~n!X|wBLQ2E!LfEdbhh)R5af5B#*A_u9m!3F!l{qg|;&t9Dm@ZmP>5@=ZXpT+p zTZz@>cvDhC!KUf!p2F1IFwumNPGW#GBq6J_te5!*jv?Wr{oT__5PMN(LQ z(iO!epHXn1%4uRp-&8|LOG?3mjIgrTpYZAHJJ=t$gBamAGL(eF31<|2{DfBz-@$5? z14P9oAXTp+T<03$Yh(t#W@KW+zSU4&E+^ao!Zxr{nKcyKVv|!azP&ERm$DCVJZTSc zLvfnWZcNb3QWguRk`h!@*?;ue%CNpTMrVRT9GjZ=oFmN?mIB|?k=%t+g0qoSSYcZ4- zi`VD&W8Bv2_1-Md0KjUZm5modrqA)5Hn=O{2 zciR?!h`uJt2J87#aO>b^VUmo~KUbSRb3V02?N%UU#7n+OFd z4K!-N@}coolw@<&7|WokvOF9NrsDDOEhxWy4p9MzVK5;869#$0WBC@`J#v@?1JS-m z`QDDh+vqTa*sehTF6|&vbz)jQqAN#j)UMem4C`S7tv)Vj({w7tL{(@El!nQyF}Qjl zP~gFx1YtswwLvxTlkkW>rM-_kiXHYVF{oPyE&~{SQEE>~39%nQ`crlJ)s^I7I(rgw zLWw?W-#Y0NFuqaRhxl;pUbhNEda%t0|Cqj-q8yB8PCyo$sQg{}u{^CL@fh~4x4`gT zY{5j($C!@rEBY!5vRF2KJTmqK2<^-0UlHiD3D)w|b0|-t_$=mNc>|xLlW@Sn1tWX) zBD&S|nclokyX>Op(-3|wKSVp52_a}GV$QC>4e zpdBzCS6&Jm*rJZdqGwm(w72o2rXlCjbs;`C5__;45TCqppIis=#Js|BfZBWHjnTr#GXyT$Uy@A zF+)cqHCl*IeVU4Ke&YoCKBJNRSXi*WPW;xLsLScIcSPC~kj^w`*Bs=$7I=~omx1vk zv<03F7=cR>@k0EQRA_IUD3rmv7m`lz;&sW~Z~zm#vOYlZL&qTTyl~2J){87m+#ukO z@Ek!rp>L1EEs{kGCNJORYoKES7uq*nDS6xMPsk2BGgO>*pooL z1}LvmhCG#B%2XX9<4E_ECE8T}anu*0&qnjfv79S8n6IlVv_ln05=d8pRMp>qCy*cz zt9mw;Zc1`o7o+>SVMsR%v{CH`nR@kjdLx40dskh$33|1e$KM^=Z8h4c^{@L~8rLM5 z+@|OEcV#uvtL=Px*A+v%TcT~_L68+~_~Tus5B(2*7o=){DDh0_-}TV1LpOxlIpWK? z7`zBO3RgWnG*^)M-v3!U@HSz5{x1Ui_Nu?b>Jy0>FlWl34O{T>?MJ*Qc>yc3$7GgB zqluX&{9}Xgu^f0t_Kb%RABo<>g=S;n_RbtXhu-4HY0$Ujg3pR^eMj8tGec zu=SaThGcU}lbz&s!4uyqzThL_+pb)PhV|vh22&>+%ok;a6i+tcnqxK)C0m2p9LxYF zLD|0cU^Ym}^Y>Uw^cyyihm?dG+{hOC`ua23M(^Q5HkG200#r>@;Tz+FZ}hK(((El= zNe5LTy+{SlHXhuDVz!t28D}UhQ-snAia+O#qOvj+=9gmK8ZRiyt3pLg241=*+{P zBHU3}`UP)Zeua~r7nEdGNhec;n~gKsdt_gI`^0&ux~?vo<9d`-e#EyA7Vt`pq&(y7N|niMg@&bNT+5plHDM=r~w+8DM7-J`f>Lk zC$Tnc*MRVqplYp3_!M6kevcnFWPHcBQH6x5#1DKu8jF{SL)t_d(#DdIA{j49`ao?U zJ()m?^abga)W=DG5MQVak&Xgwar*A8R$AiOlc#)ca@Ve%Xy1-(s;azM{Y1n`9}t&@ zh#1M||1?zR-S7uL*dndr!iC7p6m-h}c3>yg@7}WqgV{#EsuNG^H*APTl9E3_g6dLL zenn*!ELgf6k(V;~VlbPPY$uY+S+SY4AJr6_ivk z-*71+;!`>OziMFp?a<-F&>B64>LYCUu19VAt9r@GD`2+XLY$0E!mF=Uv)5#=)#h6T zU-V>YkKcYlNa$eE}p=Js6&Xka1?Q|$7?}MbT}@YKZ1C|xqdYPg|F`LwH|iU;LkVwF{msr z;Ay3wpW*3~E67MY|D8r`%&}UuFA_vkp3&!z;!;us?&YQkYiJeBnU9Sq|D1Cg+vGia zjxOreYY16s5g5$xj$;QGAt`zl;v!9P?)b9*9GqCj^JIA+4P6MmIb9(o$z-b-boC68 zopYtC)c?2e`Ev>G=iR{Nq;vcx$Y=t_-3Y?rZs6ZsBUM5bM0yz3JY%0yKM6r+tyOO zZux%;Wo4z*pY9QB^{Sf5I{d=?M#GVtl+V_t{Ka_00~dyVo( zKQ6$#;ym2Bbs35Ar+6J0ji31!8-0wYv3{JL8IAnsS5a2_oJz+uhw638e{J|b-AL)} z%MS^XmnI$E$`*x>pQ7m5b2zS93q=L8msC{X>Fh!}eF5?xJc6b9YDi1TKvP~Bn^sr| zH&TY3!g3wKIj)UnGwgJ5Mp<$W?i`JPzV>A9?AE1OD}>p*qC7SYSGI>@2HOlv`fJ}V zU2%Hj79jgJQg$DNc7I{sb2#Z!(WfGaT^|rj&*6gx^ZDEH6DA-zHHFLnU*1T$&JA5# zwkA8U0rVzK#_i*0QI>ukyByuoRv6`g1h(0J~fAogfui7HxX{6Ec=QlFY{r#O1M>o-*UBc2TEyt zy8Gx643`PFigZ+~aUb{Zk1iO(k7S0C3?WM{JWV4!@<85L(UPdRu zX2B9jHT`w@rvAo}veu21K3g!X1H0w89wtrHLDr=dQf$j{=E!mM z?a`a_b3LHg1&3g zcMI|!!FIJ3l!zB{^72?^ZvIOr2MlZS9~LV9KKx2LXh=ZG*@p7$wrM}K{oI6vlsCpE*0L(S3zAy z0rCn;aBy-);oG+;djAfN&NUq=z3~213G!dRhNYD?q$SynluZ%1p~{i6=8crhk#g@I z!IAR*edsSVB$+9SF6}xZZ2K;h7rn!6(u<7@4LN>IeoPuP90}~8gY+9n4Bm|~z54Qa zE$#7$PZszQbs-vq239#zk{nLY%HneS_~}#V*}KY-a!w6L%6*~eNO|)cDHkunJu2(h zigJYP-i21}+VUHcO-UX-iHJc-QYQRYT0%o!2{JT}+FDqm;NAn0%g;zwuY;1ZGL%Rr zxhz?M;@D&qM8?B*o&n!0#BYxd+=P;^rFfG60;XnFvbtprM@n`hrQt7bq@*%)M@p&# zs|%}BdQJ{>rcL8@9n_&KqL`c_og;qhE@<@@cr!+8G!mmOaGfSH`~(K}6V@9i4;+q+ zJ%><1_~9OY=)rD8qrNgvUk^8K-sJiI@KpT^)$Ad#T>+_N-ssNLfz$R{rfP$PV9wxXmtz-QbA$AU7m# z_52PA1Q#jp;$}Cb?C{0I<2&#<@i>*|9F;W^{5HOyZ|7t2l>Ry4ILBx2vG*ArMz1FQ z_$?lYrL?#$?#SM|5iif~M|tw;?=))DCSdzPs`4$4IfPqbTj(3|{7$1LZ3Zlkr7@ga zhqmBt4Dr6YjEoked4=t(h{Ttq(>U%Eiun@)F{HOAjAwb^l#e%VAN0YkgWgEpA@HLb zl89#7P65vPdt%)Z59o~a!mM!{u-$$S^3R_FBYUmS9E zhlQReCXVo>cYWb$>W%Xo8C?%t3UT8%Mds`y-kc-eu>B-|gg4QDoHt3qUbuZ|tH7IT z8r8h12~};*+9&_)K5DNG-)Y2e^{7R=X1_^g{pQ3@eBu3uXjhf7HvJ#G`IK;s-(LAg z`^}Zm02D^=qIZv@JSiRp;Wuz^$0I!6pNn@<$-<44Ih4m0fqpU7^X7NnxYo^^p#K|h zzE$xii}9w42D{C&Chc0h+3AZ%-+4ncQcjUhO#S8-lLKsShVziWaW?#iZeFH9!I%_n?K|5#RMoO|BXAK#_Wo(~5 zeQ+}DFe=}^M`~;wCX8qMeClCnyDqrE#-ZpGvH><@WH;evx3PnUAZgD*;^7^f*%X2S zU3&_$nrz3+&AU)>{xXh|tp0u@<*0GEx#J+pB9pMkd=1*GHh~z~Zp^N}fAcoJ6um`I zU?7^er14i&4CYg3;rY?CfBZ(uxdxCU`>3&!D*ViC@bPjso<_yNjAXuqcq49u1>3Jj zX~uQroxXs@WMea1tpmwOX1g&Pj@fd|reinb_2}9S;rsTZqWC>B;u0}s;$+T`fh3P2 zxjZ6Sy=^bX^dH2_Gpc)U#QO%J@+m)08t$El!lDUN zIBzh+q))fhcxMG|ArdJz{1}o#wNZmB@Qlh?ul&q9O3* z*!iD$cAj`f@eIzyry%v#13W1Dgg3;q&&02azZlOdsXQ;JPM5N-^13jdvHno2P6XBU zJr$FLOIPpUUco!wzj!~Riq-66?B-MET*=ZNzb!@9-6x1lO5=Tr@#SZpvA;RbDE(Yw z8q#wg-pm1*DufLZMFr0En3w))wTL0(6@Y{=la!nqVGZUbvX?^ z-5I~3zu-IlIq>yaN5Yj1BJQZkE(QMA?(AS!W{<9+m~`nV3*Fh>U}V?_ix%|4f_Xju zqhP4lljprqw3Tt%?oDw&4q9cmM7iELgCD_azllcDLEN0l6OV$-{5E zH$Fdliw6mJuyEP}j?Yf640iM)O`sTW?%sikvjIf`6@#Q6&V56lcY18?5f8z#LISFNu9VLy8J6(YMLcGs<2y7E zkO-uQHiBP}1Mu`EO0w_6a)IDnDn$mAuLZ^D=A-1^eb{&{hsXdCNDOI&^}cp^cl{|| z#OGq=+-iJr_?X#|<@5xfZs)?zV>#;e7lGJNaX4;tz}vi6$WMC=GhJ3+5lE6D=IQ2x zN@jmle8t+`4yZr00YnEjgoBR_if=#1%an((Sg?}gvy)jp$dInM`x2#(pTgdM6&ehx z2hl;ISnF$pBEm07rTQ9}@rlDmWL&x$TcMoFQ}O62HtcYO(l|MYs8+QdftqYQg^hYom+O3yG*8TJE#W(1`Hs5v*Ol7GG}A z_xt=MJhrSsqoHD`N9|%;Mk{ADmQ{tkxGbEEEQvmidru3neYYQ4>$Kqg zOnH(t#;@)N`wa$&Jsd=3O96MJ%pkdxO5ZhercAvnK*~KJlg7xDXLzQOu?f&j?U$z-{4D07 zqRA65Yt&+l@9%)oy{MVKCIu!jh?Df54P6&?BC)w3WpF{Z6a#n4LoS2k3vfbOK4+yx_K6 z4+g~RdB32qKS7{xI(s7aIa%Nl<3DR#MxUK__zU`_mrvsI4sUoE>to?B>5t{~S(*v) ze~+U%9-Q3C`v-0i{hV{COh1d#q!@gNPQtsWRFo%Vl90+oSwbvQw{M0g$xu#z{7@{c zrayTMmayN%H`cf2X$^ug#qY8+!TqDb^gi_w?gUEDpZiZ$-zrNyhE(DYJLS%hc+6-l z9AEqUs!4wZ)n%vc3fw!qRp^(Q#EZ+v=o_Ov9$zOthX&#rq4aAhww(w;=eZqGzkhwq zSUVrtd3mTHc@uWvEZVdaHr4A47>?V=h!@Y^;_UI==rXenM7oMVYuP9yCnTWq@fXDG zip2Q7f|DKxc@Y{nMX_R|F&-BQr-_|A8ArM)n_R4iaieG9dL}#c0XUz10bLh#<21*u z9*gS_ukv{27}>qEu;FLys2RALdP|7UJdf@Szl#XQn2*Ml`+`$n^qC~|=q@-qj_x%E zIbqZfp1ng-q$>`m46sJF8hz>Fmg^hN}H!fk6$w*FrtobA)UZ?VqY7}+) zGKThJ8+3?=T9a_)l2Fc+o5>ixQj6n{Sv3Li*Ry&2g|n#`Hb^)renQ{j$O@x=@bV*4 zVT-j*)`|J7L_=vB-!M;xlqHFy4H;P=2$qNV_dKWZp`<1-&VHoIZPpIWjW+X0Gcz zTze|;Bs=9Qv`0_k=~_JoA$cE-udhBKJMjW0tPturYVlws$51@gCFgJyCJ|2<{-`0N zaXDIW+PrfA3MN?+9ad)Jk+^hSSR}qhZ9aG6TwVrw4S7tqm<;Qq)|ekOAFbxM;`mKw zG{MY(nXo=a@s1SVNm#&a(y}RL*vx|U2|LUW(MK!2)&l-C!uO;2lXjTBX#rGcwWGdQ zkMt^KOg0|{>i~VswbO$7cp2Wu8jX|12*=4-vdq}P@hrNQG>Rd4lIw`!13f7tleo0YhPVhd(DNl_dNPNA2vSoVe37YKdrVb#p*C? zEIVL}Q5Mr7*OKT{`A7C2PJJknJ5sWf*{02!EwlqccWMj64oXm#(OBH6F;6!ld-!Mm{CHO$`dzxwy9@cd<9k`r zyJKp<+fj+$QNa@8^OCM=SlUem%eu+KsGBnMyZ6B4UP8X(ds}06kMUUCO%uzyQhHZK z!d1hP|H1FV(ypq+D1SeZ-66 z7xbN5LS}?CnoJ@)?MN_Rhk5$=BbFPJokruEj7WX>&0h|jNkdueWo-1afF{|$BFzPQ z44Nvo#}0?h0NFDo_wK>UgKRUhHKayJz&n_1xfccabnPi@me>-$JS0UF;XTg+h)Bn$ zDAMDt=0c=5*;<6}6(Zn&zWxlhi`n5la%6+4kqzcb_R=e|p&wz5uPL`Rn9bo4w1(Rt z%obU@)Q-kvIW%gh0yi^fl;;-U8`(x~8*QLCQVwbp)!`q$fsf%|-dA9)ohKSJREBsx zB{-9P#cZf@vadWh*+H3XaHa8Ta1ISX5veGJuPe!R@_~YkDpVxo;bXdn+j!*_4D^MjI{8k8H!!NbH3-)=oe#j}_2+qw>_qZOerRvGrYJn;HM8H!$4z-663 zl;zc-MD~^Ya%+@bdrW%I3j}Og2Q|W%AEQk6tp|y|a(s9X1o`bj+om19w;OB27E?44 zw8stQWZPEU%7;7IkP>7=ijWOyv(OTsa+uAQkHBCjG$wlTV-(@M-xXgf%eW2g<+>S? z5*oantj(QJQcN9)!se4f)Tf&8xs3HY*5S+7Pkfru+bsxEQcVe88mslq@$m}TdijOe zveO++$EiV9OCIZXugB+dBK{57vSA-ol?3O{mK`;*#A-6keP(0ivL28b)(B$5#L?Gs z0E`cr!YtGh17?wYug{z*MbUXgUo6{fj8#X>FxYk=L)665WB&`ZP$d~(y$}p8(|^g_nboU^#%M+BRa!q%W{|>x5QBU z;lg1_Jw%`}zbPhfoIzzWf$6SASmr$qOV*Fa631~^;-oFWdiqU&FLj#?laM7aJ!Fgd zn@!MqoN!PG1Jh-sr#^bj=O(uWZ$*n{jBk`CDhtg4+UPNT8G6n%Mz^U;(3NcA{~Rnv zj~UB(-W|rxhiKy;8_{*=%|S-GpsW421LIp@?cocPY=Mr=SpN1T)Cv@mEyB{+iMuCbl3?rPhFQ7>oJO7W=T*@+ zGKOF9F480OIDKaM{1q4Q%F4=cIVBBF9^Sk@Iy2|;lW%L)YvE$dn5R$mnhX6U%i$BW z9ha`=@`X>fTER}=t;shA%(;@KJ$e5XXA@K5;O>Lj`i7han%2-F*yYBIk!3e zzbfDUPJzDlzfGvB^4~bH?bd94g^ha5fv%_yU}+5KcV!`WI%5uLWu zivv+wwDCU!lo!5+@Vs9=_JRlL3e8A=7ZaC)uHK@5+L2NiIoWzI8;R@UGXs{!)(_t! z?@lf}9FOtMJ_~0D$w`mfrvMttRKdPks9{;e35m4g2G ze-CW^kFDjhElVt~f7D-De_~Fc%-L5cM_o`|Kko3!4}Yym<7e8{Y3#|q1%Y;X*&9?= z{P}g}KN3EC_y9jYKfbY^IX)N}{lbyb!UE5qKj-+~-ri7CQ-i#`JRI!p$;Nq&A~HlA z9UZ?rXLx#e;QhOI$j{G*rL7I53w*wC2@emQ#`4KCATBC~Hcd3K)5Za1 z7t?Sj^ceKVP2?N*y0mPKuytf(#HHiPjsuu6Y!u&&*tdNroObgAC_W`{JG6VTc~21x zAFYMxxHw*~*ozl2e1veT)A;e@k(`{&=bj?YokhRFRgRQ{h9PI$epJL~;IN}Ry0&Tq z?npUC8@KlyL0NP%c3Ih@EtOA9R20jm%)rB=XHXiKhP`W@(XDmc@A@l)CQX{a+sljd zqPVCSF3!$^Bc-wuTwGmIO#CPyUfJ5&K}J><8jTyn-`AJEiw}50_Nl3f$q(m@ty@w0 z=`-%;=E88v5{Qb6p(B+e)NVb#5udK_K7=`=#tP0EZQJ9xvo|U!K7H#R=nNdn`82p| zcSQMYLS;fG;x~q1RF&h(m_GfHNPGjuM|y0)K;kF6xpT^l8OX@Yq_MFa;YY*KqelUP)pls1-k9GiVm4zgo*q4mk5NhR zTd@j_m6RbTC5<(@hIn=I0*cODgtOr?D9Xw~Sxz2qiXj=r?tH_+Lx<40dw1?g$@)`D&@LeL2AJa}F4LIH)>mo*o2QP; z05WgVa4BpUe_HSoR%`5_NPUIffN#EPRUM9$cd^vW0+I?!XxvZ)ZiAZRz3oK6Z!X|7 z2XLDKxc-g6Z93pN1@M@PS9ZDxnQw`?S{_(9)(wXiuEIO}`GEU00bB`}J-sH=b1L#I z7ZSVHV8SpTEFI^H^JHJad8Uv~^f-RaysF=&_>7fHVX5QD9Vyw9{mtZrcA-5OLaI>jvI%hB;f|eGm(+#Kcvc$a=;K|h3rEGjS<|DvRO+s zAi1Nv&;Ykc4wTWjyyrkD+I8v#agtL;+LLkr;7NRmNk)*l4R>PWj+C?J?~f~KY>0s{lN z9`KOHqU9@A2#%EO_ULWfQTF8v?%ccueLX!ir17^)*RBXVd>G{rl8nZ{Y2Nt2MCnu8sZ-D=_{2vWZO2hYFp);L3+lMBWF-Tvc!Lx88qY+Afq4; zTL*g-6uw6B`*(2h^nj9@DwIf0xhzUU{edb8n8Btyvi8myx z1A?K|trzFbmZ22<$jXY z9XgPGB8tVNLsUCb1_uYDCFvMU*DzbT5>FpL#>aQ>;pgLn#w5dKNjF(TI?Aj3mv~2d zOyGtM9KX698FXmY0wI=bIZvM-kK%of^*z=HgI3w#3+YbxNj@8mpUnGeJL1Qly?d$O zl;YOSo6udbfckbrbZg!cN7lLXdSvYg#nhoAc-wbx*#`Tp9k}j?CuHZ9u-Th`9M~Mx z&Ye5arArr1r!GfIzA50%bKq{8uy29w>&UqPT#2a-SF3;>WS&KM%o#47?V&iwpFhL* zc!tOD*?Zq<)B)9LIfR!PRhvc~@I8&;W>=N>SM+PfGhFTn_Y?gqY+uNElwLlAvl|a! z#q3R(F(v@st9Bwc{3Ml&-n~VD_B=&kW<4kk|KovnWq?L*ng=gm(rTt#)DZD1YedY{W6|11L+T^n0Yk-yvZ6{I_`X z6Vxg{E7PxNGx(nNZ|FDG@jQ*n&h`wYMdH)N1k#_c;nDs)JU?;^AEMcfl#E^k(LE#3 z|EuzbIg|2(*9opCkO}+(Wb`8Y>NPA}vXtK@*tBU=Y}~j}aHOR1%7n&Wc4J>_l1JNj z?!Z?X?{aB;Tex%y8q)aNxoI;TT;q&#vWc#e?Ko?2l_TZA0XTUkf?NBknHiWcbqd$@ zhj!|U3%;9yOWBAc88x!XRvJsTQWBF{G!C6{_s0OT4cNFcb=XK`?mU1Bl4VC-ywSZ) zJHe51tTt}$4@X&iI`-H)qP<2_h*3F>j91`3+0|b@e?bt9zs*~>`r$|!evaEYA(m_S zxLR|Z<&KmqE%EMLJhz?J=oxZbsxjHpe#_1HVKwZ~8g_UMv%Q!N7Hn>d(hHZ7xBnOx zkDbVEHD_!>F>&m6hh^f+MA}DniVx@s)UUZ(leTjhR5aX@HJhyCC$y0emAF&dNGR zcRqJA?b)ggjxoDBDGTY__d{p!Fy8iqx(IePlhx^@ugxY~vu2yIdh6-yBj>6x=XRR< zTi1*%bzJ;f^H&h!phN7et9Oo}Y5%Hps`ig_zBFGc264}{n=IG-E z+2pwMgzU}|l7WA0zdO@knI6mP)S-QQ1aIA1%jcn($h@J>Q}hccu6h=hfjdevN46Iw z<@{L}RHwZnasTF9Z5lrVi)X+N7iH^WFFseI;By7uf35sWI#Tl4a4Husb5$LFRVVhl zCePU4Y-aLtag`(G>>Zde+z$?GPSKF{oTsyyS2hD%lg7_boyK^^)+pHludj$_AImEL za*mWNP_gjgkMfM_#161!Ykf8QQk_6)%*m4V8^(+8H0prrG}a$$@~njMtg4*<3;(@9 zWA6XLUnNwv$zLvf_)vsL4{zYwm3V$i<(2GM{<|hz$-ao}tXN#V5{LWu(os}+moMP3 z(_5<-V`>6h)M07tH#;fw;e$+pKG9&benUSePM}ZE`}fj_J_&rHk4iRd|1ksikA|qI zXpAA{k2Q&=hB$03h9fE39JjOWaW&NrsqvQoQOLe*!}HF%WQ`5pQ_!osaI0dcPCZBg z-1&cUq%0|Uho?_&lhT&R+cbyT^lBYE&tdIB&wIJ4{KVF;Uzh{o@3$#?et7o)PafqE z{RDvqwdv3FSzG>~pMuu~w*=?g3bv5P>h!OZs-Hi7z_X{hxN$8>s4weFzbX%v_3oWi zD$ng2^%eSR?fSBXbV{T8etiEBPaj_)`iY!I&hOQi(@(*xmp27x;0m^A`LC^S&5(H| z6LS~OMFXY!Xx6nkf_9Q3TKbK?xu;mRYz3d>YSyGFw)zL6tcVo5TR^W`gebTSspr_F_EgND#lRYQ>FT70GWXOXcmHJAr6<%Vd|MiqhfYw_vQ6}&i; z2#1Bsp(rEwUBMS=D1sin+auJ=6W>y=;`y04n9b6MSVIXkQBp^snH@^wGLe5K24;G5 zAg68N|h;prPIr8$A8+<@H;xnte&ZlM)k#^)}%T71B3VpX9Y!tSnX^BiKbFe)0Bswr3F4;%EHUD39y{C z01^!vK~hEMTncun-J<{Cg!OdKj?aQUn- zM@cNjN5;X%P#;n%Vo+(P1`j_Eynj`Mm-nB+YLz7~yy#*w?2U~eqag`}rm}EeX@X+He@*yn<}HG(cq1q( zE5pUfh06H`AKtt{z-Aw4cB$F`Sp_ZBnjz49Ek4F&;B9;g99J%fToY-?6F8e0Q<+oo zHYOG87n_o?EeClic{tH;7RS<@%vNw*_6~cO(RfGva$dR;3Nnh2laPg@o)L;q$Kics z0$hxjL4j~(8%tuH(PA=KFXDYf0$lZ%L6LA}6_nwy))7U_3AU&Ru70jiY@-Yr6>-=b z=%I*=xeuq};J#o5l%y3PP4BFwKKbTCGCn4z!(;U-C^eIVw7LY=EL()a^9lG!W%XLN z3TpC7kdu_gTD>KBb1o4jacS_gvV=w}RWwo+!?ejGaB_<;o=3A&&XT!NE1dp%jh%S$UPE*g2+&mUOGv%&j}Mk{KT%GfW;HA6T9AA2t(en?YK^K8I2o1(PnV{ zfRM)MzdZNH>9f<){tW#))%25ohd%q8oho-d3hytT#$oq;STJcLw1;@X*1#9%12^Gb z_*OhTu@!d?Z~aaA-^CsTvQFb;d<2eq?uXvQ4VW~{2VSNjxEmf0jK{2h z60K^wb_wR)I3iUB#!AxXby@Cp| zbdQCfM2{ZA!qn7>I>=$COn?50lPAN`dt`5j$cR8^>@cM7KTQ0&jp)E#7~fBDdaMRf zWl=0KUy56K!f9fsBhI0BZ#KDD50fTMMMkFJ_;)7e9QtbY<2N@=nmY*@Il>K%XHTC) zzrMn0q7x@fMtW*h{DrgV$MC5P6J}398oMEs!nre1=-;2+U|A0n#!&plBwpt8QD-oC zJe5t3=xYr|+V1_t=bMQ14#I%8>^9wc7&mDOlGA8Bq;Mf221B)nqMm#`Oq??jso8?V z;rR=(7&KVeXf$?=HZHRZgf6fKTFdT2ky2k&Cun~3q> zj*(q@aVNtuKoD` z=scnaHiw1a=8Ie$ICls`b%;Loy{^N$V(Z}$+{ijn_=-+^1phvD|J0l2kn zFmhJTLA;$0B3;fP$twa+d;{^##}wsmb5LkI5xFK>xMnmA*O%396AsI&;OF>%Gvrbo zo~{~=M=P~(az;<+G?fIqnNp)gYxr;8iVq)3@Z#ljSgx~#4A}-vIyQk{Pyjxbe8Gzs zuV7(CcAbn2)TCtKyKn_QMa1)k9j}$fXwpiBj|J7xu1PCwvs#ZY7c=lGDh}353?QXO zwqFYccv)HCQ&J|`1eai|zZ8;0b)^@%9hEz~1?aVRyHho=?Uen}aG ze;IcAMvx+WWmzS7EVtnHQeo^RtXZ)H(v8VB)089I!;IS?%obT|u$*iYvi%6(ZJ9Yf zTuetX*=*~UFM$HtcPj1G;X(G;2X>?5+xM_v??SeZ3KS*f;9_Wk_hdu8jZ20T*?5YL zrJ>wT9WEQaQC#u~g=Et^6279M5|kB`;Oej*?+Oa>uCNf!UQSSItpX(tS*%~a3`G|% zldW?Z>kW;eBqL8i^cRs`M|FA^a~ZD2%b~0xLpGKw9R1zN4*h_lcO`Ik^MtahI@x5> zSZ`oNwrDcmC#1oR>`av=vQTJEcAA$nUKbUkh|1&Y?gbS!btp8FB71KMiX)ToAvPJF zgs)2Y@`S(E+ZnIky~Br+uL#;4g0`)zZltV&X6+io&w4d4*N5m-co?nX{FZ7YO*Zfn z6mpv_72c~>lFcp;xt5Ap>$RTS&L2O0ftQybl$9GpQc@N+wrlbFO))-wErs6}e>Co( z0a;C1*g4tab>VB2eE0-!-vFqnH-%(F30TcGz^lkae7=+kf2-BdXs!fV>I1g!_IUNS zh|d`W1a3j&rY+D=gl&u-i-c`kkaK7+Y?sWV^2kDpY<)vpBP88PM$Y4FuwK6gN-Am) z6O+V(C5A}IPDIYb9N2oDS85ET=J{vtiZXT{^n zqbsoUwu4GLidPlIJQH2Qk4I+S71%hgfl3v=?qYq!UrEH3XE(6c-vO%aRnb7D0VXY) zier}}@UZv+o;|pV-00J|d1OE7-}`X$=mFdeCpb)hAKr&sr;pMBA#Mq?Dk@7Qk1aCq6*23a^lfGjx%kv;CBksZ`@*ylv+=SWDtN$@r6@Cp? zXY+X8MthRbYnBN_q?8~o+5iT+bCH=L=%)YeP+nev{K6t+-M){D8P^bx4$Oe{!W3$p}$S2s`B3|uv4VjoJ-w- z&Dk(#yqdt)Uf3EU`~98>2u^lveX*>x_L|R+cy`+#J4lnQ-7rVZY8veMGwo_%PAhNt zx>0!Gv2c2k2$%57f<2kbP`$vyfH^- z6OM$&;^R+_l&pPOTe9DRlOfwG#OT!3mJC>2bzgb+eGOYUtNpj(kF@2l`zwpA&Z}nr z)xfqb74x;p>ONWBM{7cLn&9-yZkA-c`bYI+PxdVcw_H|Muyyv|hCkC+e--{uj+8z= zP*Ycjyo@XyEG$u&n}?!%58!BR14VfSs46SNbL~33&wGUY>$hQPvJ%oV($G{=!6wty zKO8BmZ=|HWce;6@?8ZIZiHwE*v>DtfpiA?X2wUrd@|YA{*|r}uhK=N#5&O35fYVN1 z0NF(;ep{j4y${!KhmRYB=u3&bUa^S@7+&SLGG1Hz$BmRxkv|+M2iA0?^g!3vZP2hj z*$bn_;WoQffx)}bS(UkyzfYrxyliSweE z>h5A=2PNW%l8OpkygX6-xda7oi($LY0WxxO&`?o@KiPvNcOT+OdNxcKE)twGnl(p= zrw>XWKE>TjDKMNjpRW^lY}yQ=Yn*=TNZFA%aM}!HMCPV!I5(Oc%)v4p`oc5C-;S-Pmdm)ud^mk#nt#Elo!0g z!R(7uYZ#@SY8L{f)qT7T`ORLxt0Pq?j&4$o$pVFvl7P3@njkVun2H z<@oGq0C>y+{ALiJrg8koHjCjr-2o#9`@?XoI}*rVh8NM>I0f)$PLV(5#qtTDc*^sd z`7+o{Ue6sV*^~Xv%fiwb-p}v;4{8AMiS!bwCOvBNxyV^I5(~OF`}a9gE?S6NdG|=Ws;qLP>z4KLH15)PVz^xkpwIj7~*+cGCrhVg|~$@)M@->k4o_OM-x_jmG;pM4FMOPBHSSCiy^Aj$vFUrX`u=@TqBHG#N9BeWsewA0%U zWsjcWPW&YGkxw=|W1 z!#&cQ7A;=hSu zU4p!a4^jH948hyCqh;&X!l4HX7vpJq4nC3&;7R$2yYOjqI6)th*CI}d@* zZfL35?0Y*h=+Lq?LY&=El5-Q!6H{SfxP;$u*<3{pL8g}YLbCt<{$t$PSG++(v}@ZI zdo~53>}fu3B_>06<}5CUyHUB1_y$rv?jq~xDNNNG!}-yj;`h0DqMURKyeED5mo{bX z@P{2K-;(|KD2F<00&t5t?vdVjhrY?0kW1e#gWHsLEf#DaNoCqu4z>1ZRKfQ&=CH=_ z*?ZMAenY#OK0R;73yx(Ok-wzRoYlU^XP*adurk-6@hkf5H^XJ`RMGhE_{N;@u0-Km z%2`|wJBs}-dk|o`13T93N7SZcxE6K>Z>Y~9hst-4XysMq#o!6`$)_p!mKsa%9l#W= z0B8^MMUd@I6tRPbbLl;HNIKj5k#-Ih)%9ZK$RnOVyo9$GFA)A-?nt>{av)9z9Hw+C zE78Sc0#^QiH*c>0f;Tnbd%kS%2`kGjDpNI$I_a}`f`3cDp)|(7dj!`LQJH)RA1_eovSrH;M@nO3!I82}Yi!@O3twrx%YFC&3yqfk){%014M)mB z196gUK&E=9=4AhHr0mfP7k2EUvOPlF{zDi!knOz@!PuUCkrWUN#H8Ykt1kw$@5IY9 zb-Xq*Pf@?V_ZUYv1*3b%PG~^3qpr>r+&p^$Wi*cO@$g0a7A?6vFj`=M`{`Nu^5g}A zynVS{{oRrB@ENjm5)fixhYp%`+(`MeBc*heBc;(wd^{6}r(vgIHf^@xNU7Es!K-Xg z8kvN=z2Q}klnuBO+}@zA`1ZU2H%M;JBO8X@*x#d1Z-hsj5*#V7U;W`o*|j?&nLN7l z5Q(9OF=mjkA8HiI?0Di&CGjb0$6gHX*_-o2XY6>SM@FOa#cLejwHH0R2)5&#Y145% z=`y|*6e4tM2s&2Tj)rsQ;qK)$loCHeeEbDjP31S8ua75jm$_W=w^{=Y(p~9I{@ zI}#7l!%snH)M#GULDW~GLIhczPBM5lzt!|do3VHG7U(1A`ZX?YPMZPP$N7dRI&pz?>L@tOGk`qdDk&&n&0-_Gh^6oD z)w@5n2*MpHCr{>eYEOM=>y{vV`ON03Y6mv+_w$Ta^=uaV&1UwPV<214Ve2?`Ik{EG zv$Y!L-1d7jyVdj=uo*Sx@Rm=JujpMC_jCBObG!et!1AQAsjlz`X2bFNtH3i>zv>$) zckfTfoXK0E)!!3qZBHQW`b*9OR=a9mRm0D;tAWj4GRH)=?)LgiCF(kc?ytr(RuWdu znsutKS2fSr3@dl4{Y4*R@V%eWi;S;~7d3hFGwo_%c=RBpJp;$Uh%`)RzgxKU?2IzF7W8>dQ~F{ZsX2^k1Qz(J6aP^<`?vzb9m6 zWGCQX?MNp^id8B3eVxZ?2#PQp}Y79@y%b47J*Hn!sN?DP@G1&g6dN;DhZ zs-au6HrQ+BNH|G&a)=DD>9aY_W@_pPTxE@tm}EQ+kA#`dY)I7;g8(W))xM@?3vAdF zgc2(A(-$vbZehU}R@sSl0UI~sBPrF-@?Y`|wCskzrc#OsSZqoLUOb*1j=*X%@TA4W z(WIFs`~&>)p|}{&A3ecp3romI$)O41`x%2 zT5ZkoHKY~cvt%VcoKHgjsc2ZwTL2j{7T98)_li|`&*I6Du$iF?*#;75+_)*ceEsph zqy#SuU&D5d9Y1kRos2CnA0NCc`AG4Fup?tcPF4|Wjb!1eZ-jTJVo?x&4tBG2A=gL( zY8o2wAOr3#;TAl5jy1MxAy4?K5_0fZxB_n@6Y%OxEbNKr^3pO;l~>>=trnk-!RzDa zVLx{v6vSnqBCP<|MaC#5{MUrjC^{L9H)L$DH&_Bisv~pebfVuZj-@&2E#bKA9mn~L z@#eS??`*J?pA5?uHXRASkjh*faS<*C%b+YN4+Y}MI?Ai?R1AtJZ&$)sk(Py`iZVat z@O41}FSDzQJ5-d^p&%*+`+0_VeKroo7n0z%+!U&cijXHm&t7*SULB9Z+taa}Z>mxX zkZ&Y|HS-stfbxACl?abzrcfh%w)kqNXNZD`7`$WgONfq)B4mla`Q&+c#%?)dw^d$! z2wc93%7hFK-%o#yXFz`^WeW?N*Y4x_sS8*`Wl$j_T#9(K)YKHW?y;L`DSV@`;b9ix zu~W06g^46K@l+Go#2y2d#!pKVCJOmv(od623~Eg@u~YA^(R(+m==@CkXZmbniqWs4 z^AG8>iLyUUKkC=?nG@W#D8fCD_i+(8;IMt}^iEzP@hl0rU6o%o zefIlyEd9Q~-#z5M7kZP}yMFL8+m3t3j#2)MHUYb}@O$~$JM{j2fo^4b3{Lrn!C*=t zCXMie|LR?MewOmhP2eZuu@ePhpxhZpP$v{v7>( zS@{{%Ret73nL_2KcKm!J1#ceRL&2-p-{*vwBjt{5JJ6*=7t|N2j~P>DATuKq6%`dY ze&RU#^bscfrq7`GoNR8CpE%7<3L7XaV)h=4j7_^x8Jmh|pG_FocR;PNS5!n4i}V)a zS_Zva`W2_B|Mu%EEci{HGzn>!F7x=)M~|a_Pl^|z_=%H|mYT*5>xeTE7%)JfsiUKV z)U;Gy?g%QwfIb5_{-p7fka9VN;Pa#X#JDu#-|RWF zIX_uiHO$bNhRbnOX38spzJoDTHx@sc;zepB3fXDd&>648+i2Lp;fRl> z{!bw%>k4!xO%~`38iKe;8ZTJ<R^MeFh@tv{26LX*rlaaVn?NvuiJe?F$o3 z78VLfhtFa+KHnjx=F+%#Pk5%>rtg$w?9(5c{t}atF<}DPa>VmKefr?Ui4(lfFh|O1 z^B16AgNEoXTOXm5Is-1#g$+Tza{>36e?MRwOMIsSUej^kY7ty#tcCVaUo7D#rken+ zvxRi;Y5WxIU%mU#%8-)RV&X6#ET(rOjZBHRa{!;;d6%WpyN|35;W={+e|OP1H=JF% z^7r5UAJhTtRC;Z|Z4%&Tj?X*K;Pv4bcomw94;zC~zMk4~?MPt#IN?-%54O38piWx< z1z?+<0_Z#Ro6DUkS9DQs1$t=qvbC>S5ej(W~cE;qM?bR%grQEdW0vQ<8Q_=p!T zh1*AD z>gXn7jqk`kZinlvkkcIqpYL`7bjN~7raIx;XB$p@S9rL z?Ig}lPAGa^h&M#b(a{Nt3Mx>dIymVrLD88wydhpWEm{VpDtl}_{bq42%~@|L$5kXg zIy$UJ;ft3jBHPQ^#hD-Uqd+`bH_w2VrT9cNT;?r?GVzn;;Xrx4rhJRu7Q>b7Se3?P zr%6b0`;*zB#pe>>X0RM8Wa}x&DZ$>(9eSw{u(7m3e*R0mXPdVJ{LxsmDP+VN!Fu{!>ki z$`&c9AK2O0k)2O+jNNRxaSJrnH6cy?#>T=1Z(mV7$wD@d)2vxDJ{MwbWsTz3#XLSZ zFc{4mH{rLWNQeTH*WzcNlA*gK+&@T;By7GZQP3HjhjQFp#+wY?S1bC z$xs&9Dot*_AX`&O)-u`3WG(y6Wbak7d)e`(6h4=f!pGMinwrh{<^p45W4;lWIZ|%l z8iWqaky5k~I!`o!`SBYFdP?Pd2?Rc%Po2pB$AGG^;9|RMD{m34V5|jRWH>2ym(g~&(qlAC1t=grJw2iiauLd zW($8WiO$=vmF!HCzfchP#sYmZe~xeTZLrgatNHUY&#L3A)7ZlDTgvKZI(6m2ezV2m z>Jw^b+6z3k+!V6eoXqawMx?6SiN{3`>6~R&(>Ml8s*P*sSAXIZrQqQ?RwOP>Ue^R zSM(lR-+V!TGfDQ_@MrsLUE%-aNJ;o=8jblTJqJT06kbe5Q9>#ljZC=BqDpp`r|~Mh zk55H@WIQbO7DAfzUQHEMY+7k0I8uh4!t#kz`6hd|X>e!AHk7^lfIC;O@!J`g14);r z%@Jnjg!1TQTnX8a8AGcbDLdk{Gks^#DM$$jfp(87N6N8d5M6c9T5Li*hK~>qa^sGa zX{o$*BhE*mUzOv^ZyYJvjg-uhQfnM;?+im(WD<6*vPE09#t@Tegk{T@<6-Vyl)ih9 zy*qZITUT~)-4C0niK-gBS6QNn>Rp_W1{dOo64{9C_6+VwS@IDD#YM1nbl|saFdNz5 z!~!Mp>3DKF8m6=6@y(>IG@2sBb{$GDW#ex685rtJ<(p4CHfw>*UTi`-+N*Vez8H z$h&z9rN!?MOns$At5%Spb~Bqg3r~-p`Qwh13zy+7*{d&)oQ2J7=15s}Bc-u9KAnxn z;{zvQLVcwX^_A9b+hAMJ7JMywi`?uhSg5PV9Ys2K?1%$9ccJ|42V6}~#jI)5dD;8) z?1_^H522F!)|E@iSTJw??>kcZZpKjJ8=HroFmwb`_Z>!M&TX9Z-;6$;1m}!dW5(m^ z;gcxOx`~4xe(2n$Ex(P1_0N81){T_xZx+wf8Q#zD{tv2PhVXP^H%<=YH&d2w@W#u%*YP;45U;k! zqSVup(#8unQaaVq4u2(JbKzBM70HW+VgA4UM#?)VEB}T)`}Uz-`}X|6EF*)3xPSFJ zzPx&aAU}UJ*VN>Pgj*P!;(6{pe0cs6-fr$tZ(Jp-+1`%xi71M`1joh8`5qA_t36hj z;r+!F{|BYtL76{zBMc9+{>?xL;t%SIw1lp);U?<6@vdh`HbNCc}KNCo1 z)<>5fJrH*E$nW1sIbItHY%T2lMq&P1c!BCdz3ljfA4xrs-8`V7rp|AKW_y7OUccfuMZ37ULP=Q}O2iMBwe~1}@(cy{AHvqs z3bOKY&`?x@zv*g}B#^8=bpfW+X7d}gTD5D7klnlRwW1vN9zMXLB})WH%1#{-8g`JE zk(HH|nLAQa9avphoziz55M(v+fZf6wb>bA6NFNb@HWFIZYo}w!BC)CuMMgzo;9$Y| zbTaWWBQ60IZ{H()UnqKX>B{BWJUv~(k&?8XeFqNIaHL#{Jd!D;<>d(8xdSapj!KA% z3Aaa|zJQPMY49_%jV9mQkpVkQA%yJ^2DlX~?2BUiR_@U6+*rJeJBw}hd-&~GV~6U zy!jQdvV89=zoO6LS=s3~!~di7dD;I#zqvwr-yq!U1gUX&do~+S!|veu;hQKvo6a35 zza?Mb`+NktZ0}9g{+qulZy2!sXxC!#BJv=%t+&C%v7^zH#*FImhB>D1*s+7#rN6n6 zk~y+}D?@JHy?=ZoC3B>VJj-pt)T~TQn5-i>QVtl13n!`Hekeg)ZlsJ%{OygD z7F9P=9ypH06DIS^S30z4#cj85DOYjh;Bm}jwxdWr^yt?Y;Sr|=N6ITXm_qep{217; zA0khj1U`L1Vss3~j1u3hk}BAe*AZyK&4B%8@zv`ve+1Y~jBJgp znnpF9n(#fI@EGmqB^7v0Pm20Y_*cx8{oexRS;Hxim7vyaI2HDHdG=X2ShR>Mek>*rq6iG98Vd~3hCwF&W8WBct%C$ z>xyiKnbnPzzb>9JC(61GW33YikFD72j9I=HS_GG|@7zXS&iL^>#q3TyoXf@-7#Va=a{!FJP)Ql(GDyKVC z)@|heGlNM@N6Kzpl(0K^4$9tcqLjS^JE;g;{Q891GbZoFH<3Zo8ULlRoP+!opo8zmf8{;m@||@7I^4 z63_RauP*~(fs)mi(W|CWv#vkWXZ2-{sq9_0u>0FW{xf~JX5fyLvvnaZB92x~TVczl zIvgomwZxWfA^1$j;KQfSV6xI25~5OQA)|sIBMW>!mw-nHPu6gxWDb)QA8`?n_8x=j zgc*<^`pp$6euX7IpH09EGOp@$q-@#-do9-y%|tv2I|Z{Tv-pjU&6+kv;CdI7+_;OU zNog>fw-8eG8bMQ|IW`1tMoDQYp1ynm^VKyRDFZj+BPrF-UcQ8dr41?ga%d{4hyX)V z?il&(&}pnD15cXD+(bhI{!T9Vkb57`Gp=Iw5@X1S%LtB?2FCb!A_mW?j@*%w@HGhE zZ@n`<+{wcW!nb1hV$#r%SA&n0Jw9B$jr`OsST9`$8D?0jsKT3_tjFS$vtVOj1lfjC zXspT{DFg7n^b20TErPB6TFA=DLcOs%y!?IfuJkir78k>A-FnE$C_zn37M}B#;N7wF zC^!@WyP5MLN5+U6yOENNwzs$Lq9FSQ){qe^-$)Ls(n|1HWrMdlH}NXt3hYfOUXJ2r z<>9u_2*szO@j9Gs%3T13hSE@xRfem%4T`gG;x*wrn5={%;j55g=wfS!BC2ok?L7E9 zxKKN5)@nQMNNHk$w`3?WCrHP6i=ikk3neOtv+hz9QQVso=dpf44M$4)&EnW8HBR%F zaNPgL-c`V5m2~g#+qS#g)m>eC?Zm=Bu}~4a6I($9k&+Tn6a^C$Tal8KmJnO910|%p zB-H1B&fFIS#a-QXcm4kB{G8v5^Uj?+_uP4B<~ehobNCu<>5kOX?$DhvPaJ3p zA|;KPWU8O$=ncK;3sJj5b=08xn^fis16?*67DUP_RKI3T;gLA$<{hNbxX~w&T8Cs< zgYKcZa5+8S4WwPa4TJUSs7qjumHC<~Gm&`49qEqeVK`$E>i$rjz+N?IELx6)i`S4& z^$pjlqaMkl8Ue!%id z>kfT)Ln3-HQS7}$vf}SpS;PuRCW)1J=z6Q|ciQ*)Yujg1+i$gBOr(tP6v|Os9b1ea zY6khfTd{4OEi(N`{?9`Rxlm?rb*0;9+1)2O-tne-&N%iVM9Sj+vnR8sA(Gd-E52~C zIDy$RBBg~OaMCr8sm^1%$8}HYdnvNsTe*yUSb-}JK0W*h5B5bP=0pT?e5p+)QnGUP4qfxn?SDZ3 z`Twj){h0o@%JEZh)9pN7N5;Zw?*a7aBpvvT z89EFX9bKtB2F|+=pl6#-)aF+hqc|QHFI^V*a&bF{UcIG=l!{}mEhL*EbTBE5^jgXH1Mq(@4=0sa^~R7RM5n@Bl8R^D$A ze7xv4MuK}Uk#dLvf=@cpyr8q4j`L(1j2oJ1+0vvmZRp(R?M!-w-JW$qhoVza-lm;Wsd5 z_!#ky<%*0&WqJ7_3Ia&LidLq#NtROf>ob$){ zA)`b){abg0zp*tCdLOr~k7In7epLP+^y@nae!kMd?CqQPFoE`e92v4-yKeBaC7Dw@ zcWA$U<5BmEssnLowfwD*2SxPi-ft1U~xL17rBFj{y% ze49u)PDZ5cT6Y+T6JZO*Kgn@l5Fl*Qr z_%30O>Gb{fF;X2NpULH38>KdviXR+3CR1``%4HquN<_+*ZxJb1u0>_SV~y%Gf|;?5NEsK0wQB37XdAWbV5^!2 zvS^M;48M*oE7b*d<2kE1w`FhM21dxfbsuq|SD{82uj&s~(V$`t7!x+gBs{}WD%2;c z2tu52extbw8Mp5v{_;)21}g}gRYN_(5Joc>%beeoB2v;G-*C<%5$z)3xGOXWgH`{w zGU`(Mj6Ko`<0YOvCol;+d10(9h?Gv}OJTN3s6&`Tf31eVI7v6|5awAUun%J(J;GNU zd7nLiZkjw>V7%Id@$?9*q|tLF(;Tk7it1BeYSA^igoRS?K19mx2hd)*9yJO7)*_6h zvv?&^FJ4CqVV%u{|2dKgdyMD5xs1QlT|(v5_nMTo2?r)$xrJ21OS)^J%2I`!+JM&&BdTB0g&Xc}Q!gB9yh zr*ciyAem~?y%MiQ2>fkjV2IW&Tb6luO=~rT>1qw6gb`+=cVW0@{Ts}-a0wC!XJuTz z1tY@7^{P-gVaN>&R1r^OA>-CP7!y{nPnfVO)mL9i<=1W@^Ugz<5VmhnmC7qthZ>Ea zxWq(c=H|d;y9F9FZGtM_eUJ4Mlo5B*6&4M~QqOJE(rbHb3B5%;lmqZVQR zy22<(i{`+bEB9z$8H-&S`eiK zOq0s@?mHk1jVsYOR$H(X88-=Y#-w4Nfd!h^ZGsB_{Q(PMh^TV}=O#ozJ4CLh`t zw^7_q>0G*;wb{jT%YM(9%0DKze_^7v<6o0xkNmGqg$22^jy^|P%0pzNJw#qklsLEf zQ1aQQ&Mym|i(Hr=kKCMOWM!ozD?11I`32x;x*wZL6Dc|RqoK}7Jb!E`2#rOVJCPA* z_P6ECcr(fIYu;`|KQMrv)-W_~SV0ger~e5eWpR4>vXEq!g!I%WNKbiyoUBN3_QvnB z_JUiR#L)Ll6y&qF^54|aur&q6DA}==;>1mNPULPPk^9c%G#ENI*=aM3s{xdoM1wCJO3NkY@ zk&~N;q9Q&&{WR$h5h=~hP_I!#RR5tOv>0!k^+GCPCO$i=Sw$je7%fyqrh6db&U#AZ z432VDryh2!C`F`HkP#`{{EYnv57K;5fP44vW5%305|OfLa~#`bfR}++aQ)zMD9H^G z`n&FJ+QHd)8*t$oLU$j;$ZnE;koAq;-rhV|;p626Iho_P5yOWgBq#{4Np3DKF6hB} zRVr8NQ<_M*MIusu{WYc!ABDR|98l;Vjsxqpq==MEq+GTXkxWK-@d9?Xc7g=J?HA`p zwd=x!o;%gaTVP0bvQvxjhagfK7|^&%K>}f9bqx)fv!NO=U$g=_B#)@m=b$=4iYn5w zK_l3#-H3djFg!SV7IS3Aux*=_L!|t#j7X_(ir4fU;nsFg?DG*sN)t=;ZYMp**uDdB zX}2w2`CP>5%|__l>L-avN%x63a12G>A+TGgg*FWu<6G+IyhV%f=<#DA59>X9(7e@; zf?Tp<>_lPQ``bjyh2o5|Hp#(Y;WFVsHQxC=)TYjo5h?3p8;zA*pHMs_*(@8QBoQfp z{t0`Sxbm_H_a8pQY#J+qNZGC(jvPHIh&(rL-NdBHlO-Z$w{CEBauR#d>o;#==B!!o zAyOWEL!|83S(GdE?29m~19(mHcGNRPH#VXsxlW?`9OZ^1r5@GPL%ZfJMVm@;gWnJ- zuRfshDT_$y7cQMgUc4oA+U(%4bc&9S#=3RuBqAlrW7Vov?+_{PJ$QhHG9qQYum1y` zUQLm_ZiJ*aVp5mRIAHU?H0z87wlGO@lps@XowQyM9VZPo!jYNFk+qTJ!`~Z?1a!yX z-s%}JnWTn^gN(3bqz;_e*_H;$QJ31`w%%@^t`UyW=x-)!t{E*6DfyHCFY563T(9(X zU$QK-Ol5M_RuuWA-gIP|Z$-@BAUr*I8;QHl;DzBT`i|tdX}DDHopwH>%w$T|+tO#i z?0%Tip5{cFZ%WTApN2^JjYOopcUPQo+S=OUCyrLcYc}*8ReqiykWfGCHUIXl>wW*Ni#CwNNW5(d&_=eV?_FX#T*vXT4`RWz!-o0Bsky23+ z0YQP{xdTH&Ag?e|ln?3nEBra?7p?QWUiE3;NzAjnj)jvvc>QwFH9?matt2Al)Ty|2 z<0gt|9<{f(N89%8B_idpQFwUdEDC7dWKv2?+HX{-TnVbQcZqr)i<}pEFt@M}wnbG~ z@2IYh1a<lrCR_$8Q)7KY-jRbbQuBC~pHEW<@HQ8G2No(~fSE$l@B#4x) zTf>Idz5?2pJ-l}xb7?*Lj@Ba)?Ns zCiE1)N6y@?4YiY#l`Zy#t7-kJSfw(W(YkH5Vm)5?hT-u^7c3+>ab&HZ8aIKh+9njz z9^%e{ZIJJ43jL)vcu;Js6G3&D z2w7|wbkkErpS0Jv!>qB}F;!t3c556!q?3bGha+CTeJ{4-;CtQhpn7Lvwt64N3^K#? z(c5v{@DQ^6>3#5h==*oB{gO$pYzxFTHSWmu4M6;vn}|I07%|81BFme`GuOH5EZOtC zeeLI(nNZ30K6e7}?6FBIVw_D4;d){=)~DJ-?Vp z*-Ro*`pJlt{bWSSo;~5{=qRvYSa2{DXnx@K`w!?3uk(`qh99j_gN92EvIUWH`yR26 zcQr77L!_KI5tn)XEqaMlwC?eIC5V*Mr{gxQe;iqmqeuVD(UNIx;>f&@k2&H6VFs&J zYG_ukJ}OfGS52OZSVvFfo(~b^|2BkqOF#E}iIg-?Gqz%k#q&24;@=P{Hz1$p*++yO z=ZzXG$b#Ii-9{Z0(cU8B;0dS@h7m+cj!1d>G+xoXc`59oh(5^o<#op0jouB-zkvY( zB~A|p4;e!1Q=q_89we{6gCw~s5(W?7DPbkCRud*=Y^pp+9=G-rcBJRF*U%BpfEdfp z8KH;=hfX8kGZ;3@*Gp?Ptvjl#Rw9bgz6`}N63M?$ z-@fpWIZfyC$+YH{erJM6N#o!et!JE^&$*&|DV@W08jmjt4<6IhNBbttB_ieQ*|^8! zChrCIAJ~sp>@0)iw0PuLL{eY#=soXHT`QbX2_of`=|Z={`!?3K@IH?Ba~ueTgPkNh zc}qk}+V|C}R}UK6oA5lI^>ZJWN)svBDZ?HcYk~JUWflSt6Eg5HDGSds^2Pa#AhCTY zk?j-a=ee&%j?aCGr0f?+&&)!0b`}cqUkDq(&mraH7a}$-TgdDY$t)_PK=l1Xh=1&G zl+V4Mr4``V>FZcH`w%9K+yQMZ7x;xeLu^)&I2((9A+;5sSAILCbBWAy=-RA2A+sDI zv;5EIOf36FA!1Uq@gN}`kCL+yo%!K1`z!L>GRqJ$%SK_r=OeR!TcSDlOZr@r?45iT ziGPl7ev|wM9}KbbmCX^-2nZ&D$zy^*R_qZ}mOsWzCTFf&UOVs0lE+x~1p3!_%JlH? zhWxP6v~Yioc5Q25_ckS@#cmZuM&z&uB#XZ_7iH`a_hvGu>*)oMAKZdAQ~$v)?KmRk zfe$89aw`9wvbje(75(-*ef6>Ll)d%7)z15JiFen0dZ(}1BIG#tsDK~6To`GugF6t3*HC(n5>As;z z@bHJm^tl95DxogD3qyk~NKZ@_5j-?CHBp_~sau6Ttu90wftsYVUeHvYi5gVD4#`1Z zkH8;CHzB!cX=$Nm?OLc)wHovmEJdnM2-4^t<}23|C@!nKlp<2DMLI_=5eL`vQL}P2 z)S`Ro&RU36XCI_EpT}lal2dzvNJ)Ql8GolUYk{a+voZm$X>*an#7F{Ty3~#ok&?=1 z%#$LTFp-kltX-u#YLHAeQT-AkB|TRiS;Q3fNSx&EFCtIs&s~B#)Snsz>NGcKASpTq zX>su|Ah27Pyee_x(r=zW>VaO`ocytc~A z-2TTXbw73c#R}6>M9OhP%rT_5DNI-HL%fGG@Yq+%H@(WX&7R3_F>#K-zQ2o5lCsyi zcZih5%2{zgS^xRk+vkD1=aCoWCOp;69J5^zDNX3Uj~yMUZEr!+#8s;QcE8`3|B!rS zefyC7Ik$hs1-Rx!|38OJ&rm$$h?EDO;rXcuHmnKqn z6H2fN>`9K+WM0|NIys?xFG;~Qu17yy+GUH^e&O)mx)Z~DNC#HM`P;9)!Q4^faP!b9 zybiezC*y7CA@fi@rvE@(+-EP!oeeF}vvpf)^DB%|Qo_Zn(!JhGq;zvbFIgW(D~v*D zh>S?-T8c)4{nZ5Lcz!7BfIxTFdK$Yf85Qi z(6>Wp(f-I@{cypWo{`Eu*kI%r$$(}AwI3vlkm=#&h5r3yM9SeK5ExucqiNXg1n z>Zg~d7vyAQ!ePUPBS3a=?B(wVIT_JWzDqaw@7xQ7-GKKtYYgo0t5iNz9{!&68>arB zclRjq0yu=~`&rU{KlBECEUYo8Ll;p#nC|NLuB6b1`QkppYt*z<;C|9 zDdmPD*xF9qD`4k7$jgk4*qgnVEBz*N^AO;59>e5iktf@AhL^=|yr%krR{Jr$YY(Y> z$Y6N-(!D4Jg@g#%ae04wS040iqC6-FBSy%|NyZ$VlT%no7!*b*&^!7s`cb);x1`X& zNaIvt+*m=R?Ax{jy)!E+yp4-{?J>HyWF*$7eP?)>?-s^8;rot2v9FwHrw_ebcOy$6 z@ER^z*+Q|aG{$=O9{@MmeJ@?RhB5RzVIpPkpW4CAgs={^bNRqAjO{l_w9~oePdKze z7q8hUC?*xi$OG~Ufx=g`ou>u$1^vyL%lNZYI#18RV})hjt$R0|bu1N;a@tJ%_nU9g zp~`=8aO5v|r9D<6aWR=ocl^IE>yHBr73p&u7(Axsjhi-xUf+c_+eQ&BD z)e+ZBDEHcXt7k!9sW_^nKAh(*L!tIW>3f4QZ>}ll+WT~^fzk$X?VMryaH4AqHvPu6 zUvjC;?}IXXQr|R)@?g9+q&>OySz2pj5q0Px(k%DkrS3F9Ybc;Soa&S8OTWj@A?quZ z{0{Fe8H5GD&_0>w4UR}@&P2-W9AP-IcFkH;u2dO~8Z?60)?y;%bF5vrPIwSyPoi75 z7$S@I^NG)*v1PL^m6gRaY;0mfcsM&X4RKM?P*YP!Rl*ew2y+1;NzQMmuX=M9l^k4cnm&)PQWe5dHK4apRn$-R4ubal#iBk(nbcjlOkli} z^B17aQ8}o7ty*=UqqPaCG0%|_9gEEyHN`<88&>k)T*lw&ZrY4mwdq zi*Xv^R*s%&pl=}XX$`tYlW-t=Bxn3>wSFU7H!bTaw`rp$FxB6Jl&Bb_KaYo@j;;)| zRmBF{lO;TThK#rb7*U;igpsTLP!StcW+9$rmEnB>#!FVBe$85f=%_w(9$^W8Wcr1{ zWbsNgs8$nI>3P&PZbV#C(iW{+jgM?5k}@~*CH+<5&4Bh*s;S(=u9eA ztb|po)`;?gmoKr?#u`mqwiLRSRgg#`0R5U-V|3C7H`F_QoP!s4LOcE?FeF}Z$A4}!SO~fpt z0`C8NpFKFO%Ev5m5g*+5Ni`tsVcGBzxq4ZF>6jF&xhxht*DFoIJ4? z_wR;?^BO6VAv^9Y|2*ff$b3cwS>(U;)W-brHNvSw-<)pZf+igPxx&5<5Di|F~hH7nCRty*Ef2 z-8*)I^WKBNlNf}acEU(GiJ&6ayAQlioCcmJ!RO=|@qaEKF>nw<&br`rR2*Dv?a|}c zu0j{4)NdfJ?X|}%|8ShxWPlFMTjCqK@AL^1ao5`)h4&+Iz-TL4H)}4UOf8x<2a#8< zBR?h{b~|^WW4m@Gc!)DcQ8Xd^$j(XANG5vI=AstkJ2vos3f=kjJGU{DNxE+nDF+Nj*v|bz9$qGv=-;83NZAKrJN60ZijKM_Z-|ur z2jRwUJG^uc!cq0jXxFTTM5G)r2%+3g@D1Q1fh&$i$>)$^H-TF;mqgP1m(EU{%kDKx z6Dg}ztA>^3M5K(Q-~8g$tMEg$DyTz!)9Y0qS?cnVgELds+b9BhV@imCuiqwq{Xfk7 zjN&<&z{n&?$_eVqFrB1^!F|m!cAyCk%~*lVVj|@h1*$t%)bXZyZnw%BY*$`~1JhT+ znSQt7%jP0ZZ3-6wgQUuXCudS6VkWsM9PjsNZNTE z$@H1EbqjqrS0YeSJ#7BWW%*AMDO%Sa8q3hkwm18gie+%-SL?QCx z6)c`LTiml%lcw0OZ;Zm*k8sc32Qx;G6;YhqckYB^r%s9a_wIxHqHpCADP{5q3=M(& zNa-wWNcUdwKX?SV^B5j`*dgq%VxCnTI2hq4&f+!Q%fWIty0rO4%<0Nw#^IK?ABvvF z!rsyfZCn3@@4opKbCo9HA+47M5f5OqSzkCLs8FdQRM)IVRAK^hUKYZ9hb0=)vk7vB z+Iz?u?DT;4ISHvUat23_rZvob?FQt~Iu+$}0jer9P~p4p(USHCHYR2$puRi|zKFR~ zrbMCl_p03I?&to}4MhRDkc z*}RuXIc_X2UArm~DIFcrxocO6NI7OKBItfawAVRAvS~wmD?y}GnTAIp;mCg)18d{0 zXwj6`YT9e8m^KU1zQM@8atmhbHPC>a+f}BudeKrOhF(Dim0N0VMvKN}ow~Pa)fzUm z=I1>GrIZ2Lyz;3wKM*sjw=q2~qM*>@Dv1`Lsi zl-;`Gq~lqMNO|MBi1fzqzEkJUICR8bjHi!DA4H_&ludZ>aTsvz9NpN3HV5t!c~1}o zDf8cK3n8HtopONHI znn-z#KCgKoC(sp_>`uZ?#}?by?Ssw612|=T82&cL@c66)UR-dMt|_+h`9h@p_{{cb zJVq&Vq{$cl{>bnMMT*O1B%HmD1cw{oXq5SZG|rho`M*e{6i(Fx@aW7wtX5V;g>TBP zrA(ycweOD+DUV_j?eW>3px@}>t-k%}p$Ls*d+aEFCXDzUVS|MeCgHJ% zA6^jFvm#t0B2v;iyK44a#9jzT?#+9!(KAGwmOqwxZYKX(E?a{P7hi#$7()pnC9T_L zi&h}}oIj%3j<+}>WxWQlUa5wBj|+Ho_zdQ8)J3{KuLE|b7AT@MHp0~tDhi5XJ>p2l zr}rM9=SaXMcQ1^U*{$^M-UIH(PXbA)2y}8SaWFoZxbA+6Q4mI+)P z5Q^o5+l8HV-TJU0*%Z(k^pIr35v&D~l5zF=O(-HfcZ+bHavwQ~NZGq5&bUd~G5q>9 zDAIn8-+iBc{YutqE}yKTQs$kpTt!66n}h=?IlGmLNI7H}uAe=Jmv^7wn7Jj|)82)# zUrHPd7e)$EqGKW`LIk|8X`i_aF{d9G5KpO4SdqB9E-cqtlt4}`+V&=aPn=dovhC{8=wLeS+HJW9xw z&c~?!Z=I|0cf}DGA0=kMlg8fOefwcYb8Ps*SD<8!L`jS3fqB-|V`dpIioqTrb zMP4S7lOhoteG>_B5yDd@A0#nx>|+R%pDGu7$>hItbK;Pa@*D{X@${J{yn+7NBoQfj zllL`#X;T9`&Br6@nTa@@EX>$Z=J4?E$S+d2i+krKZ-di`c^EpdIc=txNck&l_IwxKZa&z-9yB(Ovv zZ0DK{$Rm*W)WIF96Q`g8flBsrBZ!n#Um{X25XPZAy%pz^Sz|`Cf zS+qHhi;WdVl7dLtpaD#bjggs_F3Q!YKTMcpf~E16ErMVf9~}erby9i#di6wk2EFrm zdaex`8mLAftX{S1!oy33cQ6v%{IG$5Ni_l}^{TT`?h>RsOHofWCQlb2rEbFpFr@pY zr=}q>J^`AWHlcd0QbbB&l=l68?vUQrXndJ5n2RrYSyWZI&=@c=>&qP zE_<_OS+RGa((mraMvaAcqI3e!DFl}I{T34@*~2RXs1)j7xkO6(P7o=nj1}nGa~C1S zBLKn>cRm~9O2kMmPiA7IXOJLva(NAs*CuM8%TqlAp}%k$>X5A11CAESC&|?ZX>R@! z!Lo8y)F4o&sk0eLX{kud%7lTb3CT%1co#%UM=yE?KM^gaF15k(($LbP^(~&{m@0^= z_2~WZq2dPiL=+c?40^9dCMKxI9*VyE9!o}z!@WbNflGJkM|K|wy7uPal5;VclA}>x zy9Z=R^K@)tg7D76hQyUBRmOtFOL4b2BIS!T;I7O|U6>Q0s>)8G^kfC-jiaZK7v%azCZ*-WpE44rAX08QglVI<2{I%TDIYmFlvU1_ zcVGIB?|ahdPzjOJh^~FdLtg1?zvQx6kb>a&eikLqINYgCn}5?E4+g79>syiRM)Ouh0;ffBTp6+DXF{+ zky2J)5GiGz!uSxAy>YWKinp27yF|)wm`FJmH|& z0oCpn%u48{EV9~s3J?y*F-X5rBVT=MyCO%wS%e0E2!4jKD$+ne!_~Y_2eC(tpox=_ zim9}g9`PaX(}H;UewdK?dX+x;c>9VgO4br_yU~5fXpz2Y7ZfC;72r)fTT#HfT<`u$ zz8rKyEslLFeFThlsLVqS*O)rAgAG1s$>~LYc5Sm0D;Bi2b!H zp9=l{un>pMyQCSf$G79XR>T6u3z^`mHFIId$A?GAF*M}w>&p{nNkMBgfa)PpgNFku zV~btVHp148VxgXY{Ey_$R#r0uBCe|!V_pX*i(n!3O3SxSrYz5HYv_kHVvYy;*A8nS z2RlZIoq{alT^A{#3YyF#sXt-O>Z!DHZn!k5NRHhYcEw|%8GXLh2f#@x#f14MH#A)2IFY3zQK~GJIu>6tcE=qvxy3*rtVSCE zg@;73<6vuMX@+6pi~1Agu-mFw^oD?SHsUvS$Xn5wCDf3S|Em9G_b zrTj5VH7cn!Vs&a_hR!TYW>+Wbv7nU@7mC9o_3Q2j>JJTiS9KGhPi?p-EdBYZ0@k~MUBbk z>tn|5Ka!5D%aSM?V?va)gp%qJan%)*Ps^<4aGM7&X6U<|25ld14jz6?T~)a986V0g z6(JfQ!(B59qRt>Z^?@uVUbg1HIFO-JGwIkB3FrIZgy;F48Cmpd`@{fN}Y6q!QR!^IhH znkS46Ylt*mWhK9rHo#AqJn(nHvQ+gLEQ?OhpwatdX9V;@g~4}#zhGezPZLLoL_GBA z>sOULxt(KZbne%>YV$rkYA~^@ShemukFsjf>0dXYDszn9ANAIJL!B@;CQ}%{M~=k= zh)`2giy9glKI3TT_e#P6HU4ke$Q1kV3ZsKbrwmLY3o%Me!nkVeSNp|#9}pvn^xYh) zbhpu0@n_{``p>WD#1=}~m-y)P#l4e5s_d@kWZWR0y#9^TD|N8>c1#E-X!V>2mF}&6 z^llVplb7Gr2X%A7SySu-`_yz_*X>@Smt%TbYD~mLvQzT52QBx=~MzzS4fABP?ajcgMb_)&XH$7b$vYLOTEtAhh77-Z&&6P?A#!74RQ|vHO zP3P%?p=Nr$@ivF^5s;4e?D+$fr<0hK#kLHZRlzh|wx$F1TmU=Ifs>H=?X2?!rz(rP zC&1nxD}1fDonw#HwAV`Xlhs-QO#C8op>uBB5xKE)5)SK&(2L7P>J9LAH)zFwl)N8AG(Z-(MX4@w-uq>0mo7K{X zHRZsPiJYL{JdOpd4Dj%`r{YKXf!&^lfguuGG!#>Pgb&6%My0lq@l}D$UE(WQeEM+J>k)cpd(Iexj` z8)@OVQA~Ja6CTve7BLjO<{!}%mxW+DBccF>PJD@fw~GnqDINo;G#xH2;Q7wNTcsns zA`ZfyDPLuLx&#F7@S7Gg38>wQI)!EI*KSI9o^8XH54JZ7R^z?c1mX-SDI>J1KSnX) zV=~+-1njHv7=9kjg$3o2Od)3Xi^PX6eI{V2)U;YaUvA1G=V2nAkmer2Vd_Vl;U-jU zxX=+*AwL!I`nK3$DF^r(B2#J%Hu}f1GdQi2_J5P9{`!R=bab-}%HXrSeTqtrF2AQJ*)<0Nk;dq<-jM`vXa?)Y-W~*s0 z6`Xd(j~y{Mf4m9_(&A^jaH!ow8FM2j<{F0G>I(f|w~r3aSir*xL+t)sM*>_sq2nC$ zTErK>^+Odo1Mc|DGVu%k7=a89eysnD$C$Ou-6s?}w9FQXiA+Jd;JrjtV0frq`udrI zx@OjS0+O1Gf~jM8$Yb^G@N0#bZ7xAlz9jjLHgY46)pv_Hf->DwRAio`Hth<{DluSi zsm6RY(#Y)LAW}!Pv?U882@m30pt!C3(cFS#1AJH`&D!q(Ob+#uPkS&Y0#iEvhtOE* zhwp%;Vt*1L5%K|s1y(d5G$N<_ zLx$s8e0ZZL2t0gQsHc)fp;|&o&IURpAXHA>-44Gw?D2~sciBuvcfS)>PiMsLO-Li! ziJt2S{(I!*HAn0^pok6~Z(`EvHkiPZ*l2b-y4R*d!&kJu3GCzGB@$rHqhDcp7fngE zhaQ}>aX@djMXLOnqPXqZmqInZi&}Us;Dw-cB2U6{3DaNUr0lOhMZ7@a{-y%a6qgv` zlHB>?Ppt}Kp+u#ZMvZB)m`4=YA-6vEui!P`Z^!rG41Byo(RQD1VOi650*sKVImMX9Xj_PFH^C_HC}kmA!FJv-9R{(4WAi*cq^F8ZvgF+9@=0 zgtiz+Uf20X@;T#{SEs|))zkILE!;)^>T7Ll5*`3Xm;r7d_*&y#@JzWz@u*)<=bi{4 z3cabw6YzpZ;a8WMLW+hwx`TPpo9Xp^DEi{1+O z6ve*iB#XKIg5DqNSgfZ{aTg%Z(FoI$dhKvPew5$M*nGG4xHY9~+b^sjxdrwM0dVqwdDE`GAEy%K-c4JI;M@$Ez_r2-G$M--k{V4;P)3dHR)x{9X z8lhFn1k^JCbQ{HQ@i;0e17r;$-$>ik{`j7o+8D3Q7!fC3^w`qcku!R z=iOhA*UCaPLhH17>b0CdP$cllfao)&wHuoL7S}3<-PyJ|n(8AR>GigrU$>rN&|53a zlRXH%!SIhyfn{kpNKIp3E~!cWk|a#XEPEctPGZt@oi=iqG{jJiF*7Zm<@7v;0%+BFufrA^J?^E!{~Ugw&pi4pEy=2h{! zLS=--P3sREX8cy-#<6;3vfIhET90|t#+xH%Ps#M!^J7yeWORoVWk+mdIGU-gd#tms z=m@f#GQMl(ci_>{!pn`ENI%0smz8oCJg#!Gv+w=uMoY#s(P;C@L}$!1*~;tMET1aa zF?qaW>+D$m1%%${@bCXCq75HH>+5LuP0Sl#^OFhm{J$l%jUHcKpKUVvSe{AAL5yYx zSZT=h0@D5w)CDc`b(qong93JhG1{47chpuyt$PJMDlvcTa^?@BUK~b|+*`tz?Y2Vl35AU=y{Pn%+ zt9*1^TzJuzjE@1a)){wKpR(t+nMjf2J?r(fh@)}G^^hsn`o%DbK@1w*gJZnd5J}`T zB*zV&d0fia#OB-ToJNaFDw^@z3LbMVv*D{cSl04CFh1q$ST<*$qCU*_s&{0msIhV` zmuBO1rJ~R=)%QQ}sb|!x!2BZtF8l3P_)n(7qEM>!#guQvzTZc@(WCayE40{rNj|?Q zzgNiO>S@XqfF!?tm~@_~i{?HDir#N%P_U-e|*I!v(|g^ z+fbxAd1V|)h@X)~lMMW#Cn-7iGr=6Q!Dp;ilyc&D^~{~km_1s(Iz<@?en04A3BS*v z&o!1akAvw;l6jeG<~Q?IAJ)k!$=x1lmFgxtz8|UrD2H=lb>TGZfV=5=R#(~Z$7hPK zb2XHymWjx%elW8&8pWRvLA)HQ^Is%;{i&QebuP|uXB!9TjKH9jRk+@6FR=Valn z95ra=3M1#OCy@(50?s1{g`k6ez=z@oE5+cJ<-gj77C^g-^^D^5r3$cADq!C zjE2@$NdI<&c^uJ1D%v8r&fT@3Q7s{75Py^K zOW5u`Gwrzqepkr0E%+=w&fR;3k^GzY87n6J+24fYJaEo-h}@YnJx3I~;D?r{(8c6> zLqIl>`L0T#s_|J4$_R}+H~n?{$R~zd{RhQUrfV=-B=fRnL2k8EtaUgu|1JyulBI=W z^WHj#jgPTyT-%oD54pZ>i`D{?n0ZNl4Ad-js1zBJ)FDu58G(4I$|={g(3QwA(WY4G9``>7z~ngYoc>}PBH2y4AF-`0p zMm~#kWj(IyPE-8|0DpPtJ@{@0JA2 zqWv;yI*bl2Xrznq$vI|7f~8)jN6@g{V;SP)oO09he}%`IHpn%44KAQpW)O`3A! zokbHoAbUKp_JxIM5x#M~r~3%YE}C+Bv~z^Sr%g3v?EgsqZE4S=4V5%RPe~^HQvEdW zzGXk*E~p2O?l+4slt~<#0zr$o-v21_yXd+jzboQYKzeT6$Y$VfUuLqS<^>TsEfviq z*xcxw)j)!J^3d!$=aQ>`39!PiRzx+;LP zf^5IrznFE~7 z(+7LpymW%-B2Xrdjd!Q#@FjO3`koqtz6|;#+)j_wSD4=rkq_C}?_8<>nB|zE_x832 zLOk6sD%le*qYj4=v;;|;rZqp+96Xg&bw@4!I5%v2H!-J>ijZp8NSUk@Re`fK;VVARaGW&W<^Y zVCx(T?ztfZ9LcB{2)Z`t8pa`ozkb^EIOYDLQ=(calvya@H^vWIG=m+af>*Lqq#Tue z0dD7+NC@fP6bIXU&R_cei2!Z7Lk>3f%+ud8=qz#|`qrE!?+9rbEyl2sQe^>bpwu;` zgzj2UhMiAY+_vb*L!q6mj9j#YzW{YfVxpHy@uZj`QQlvP8kzQdS(jI#+tF5nZ;QVQ zy8;4k;Z0@zAuJ@Y)l3k(27Vxht_HjdK_UDoY@YMkY026%sIw|9T^wpRhgE{JJJT!= z?Hmj%C`UY;tzn^5bpVUWvI7Gcs3^>P;5Pm3GMW)Cy3#|_T?0Cwg)1<^HSz_CFG9;X za{a+zT$(A3Dvhs3UL?4go}`F&6N(Tm_}YNWMxY{=7@4fKU}D>yo8CBEgdY$3#1P-hsG7voMhS&$C# zy<=l^zn*M#HZABh&Xt={q1~SH+Uc1r`FRBFINDn&q0KtI#ppOM=V2P?^fw*o^det} zS?Inj6pz$4{zI}as^WF(25FK?#J~uGj4vE-Lx&&Yq?Y{dCl?z3{U+VzJmbgMje3wr zjgEb663OH_;93z;req+q|CmbeAF zgp89*7d0~VQm^wgw6vDj$J84Kw zzt1}Q@7CVleVxTV1HXGpymq8e{nr^t>S~pLwN}$n;vXncR%IByV0GIvah5a&r{;90 z0qe%4_@$#6u_V5sJJU3P&a2CE)^3+77eD5X+;!>EvVO**gO_KAqc4rA_~l@|OWf(T z4U1{rRMo@;gWG;e^h5{cVr*W-C@Y$sIs9?mT=bIxZZSE7kR*8J`L~M!x6sxRvYC1B z`u!x#{;c@ako*2$?58_YNsAJ!^sF>JGxPTQ+MEY5z4S52xOr3*WOvtsR1!+%cZUi| zszoO}DW3YL51e-xmO^d7b?qfkPqom=to!MlhRY`E#Q_nRE^7VQ?_JLXSU zSI&RtaH^J@x;!~gapUkp1}QBeXWs8U4wv>9>VH~w@;0bxB~vp%WLvobp`MKR$j%(pW-Qy|~d7`PeCvAa_i-jNUDytxGn_;Y4ISod_9WSo} zjrRA1VA*znWmaI6Xno`Uj|P89Is1nc^c(eE*ZjIe6K1=4uc#_Al@fj(KpAo>U z&eZ4Z$Boy2OSE01a@cH$NQgbfI+XA#=WP%MYA_%!vf32pV@qX{_xd5{8WaL=y&L z4u%dGuda9YkrRtBV3OWofm%I2kJ8i(;PGL~lm7ZRU|9~JLW_5W!bfr_*r5hjr$6xj z5;TLvAG1uG+JyD?Sjh3?)7^@Tj~Y-@US9#^<*)o1lsASh+4Nl1k)Pe_Byy23R(knK zdO^Z9V&hYkRXLZ|R7~3PoMnaxJiwF8CXkBY+=BaT-4P-63kUDVs&0H+(uaxlawdx{(L|k;OWd>PJ1KOUPq^GdLxRo%?nzv6ng8@epjl5mh*jp> zIZ_YeH+q2UiW*|&GqN2ddf-roG3aGTfG2*eZoXKaXU#V^vkEI~ZszmDi%%#3jJYI{_h7E^tC2Au+Kzq7(fSgA+@oO8FQO6|b+a zcr-f7M%eT3Q%06HNh)rf`&QHbDVaOIjzpB8_JfzUG3x2JL1LUt@ZV z&{q8HR%%#si-ky2=qw3g8y(}HElZ_3Wq16JQlT-W8$w_yEHSLq2?bfh>;TllL=MhG zmneLH93kyCd1OiiYShiM>C`e<_T$Ho7t0Ka5>)q<*Frio;YG+5rR)Q|q7;TgoXlt(k2Tj06nMk6Nr=jhneJ1Ah# zokrU;|M962)nwF&!}+8d1wL_I^y%8m#On&laOi~NG`z0YP6zb1iW zOmiWG$yD=5 zsBH^}Bk1FzOte_DL7{(?H2nd}vsIiAaI3)klUi$9V@O3Sxb=wEyVHK_h3$k`+K4h) z?k#6smB$Cu?6@js{10j2RODN}b=7P9V}sKLh2Sm+eim+(M`skt*llCoh4bJJS4>_J z(8V&(`z;Y7S*zDdg3md@?lG0YrfEd)p=jD<$5lTOLH1Y)uOY8WetZLCTpM0n;?0*o z*Dn=XCjmT!^hWD7RF4R>qR4G55a@j>{`3WQ6;f{Y&tB^#+qOdQ%#-~7+tg$2h1Pfh zAr`+LkW~laB{B7KaTgVCV3rcTlJ{Sq8BK?83%}_+&O)3rU$6vj02>*GB(^PAJ3R32 zxQEOa@fG!NzBiRREFJSvXtZ0<;>G+*%!8;hb4JwsE`vo`qQ`~{*i6IWF!Bb-ndtF- z`1u{@Y#s%e`0%5ybAK^9>*xZS!+*i(_tuv@%`)q4ucekKn@ zCn)rA>8y#mxCkH7x#9R#O@$)11l)W!nEE-On1c>!TYTRgOWqSE#2~=9R?~!7WuQ0cq9*qc(>-8^2xW>ZHA`ANJlzad)>cq=elZ{OBf;b)d=d{dsqL9!=KKee~ z>6PLirm)wSwdbn?z~<>z_JnXh!7zAwaSH=<58h&pqI%EzZ3E^i0cv2;cSbx$mCk1WJvwB(|`?oW%E zeu*Pz*JnaTyQA9*2mk0>@D8J>WA@h54VT0W0$RuhWmO2?yncC{5Tvna_OaLp_OEyO z*9F#moKnkd>%ANOQyMS(0Bj`Veh4EGoqR1)?;K`-c;B}wAR#9n#e_6HSp_l@s|G}0 z1HR_QOoI|$QM!sOH35NUT?!U16s0qfZ$EzgC|j%4AU?*r2~3b~JpddC&t{;Vdg~Xs zZW#S|L%c#_p*oXb_RpYN>#0J%rjvRx#ei2}FR18@kRAfjr&nV1C)AaW%YcW^0?;Mn zy3v8gQ5|4@^myT)M3qWL2M@cxZfegd0l#ouAy9cz*lzlz(zt$agNsIx?#Iy6S)OLxX83PGd6R`SH>R^dS1u zaZ#p2*D-$g-hP&_JeJh@>N%Pj8gGQ);(kdgsR6RMZ{`1J>{Z4ga@_WRzh7!WRa-l5 zEkKi@LIgJDj^7{n2(0VW6>p9P4{cpwu|Bj|N$RpK1T+J2ja!<`_C5LdJ{3?SEvJKw>-m%i1&Hqsx-=s(kb-U zx`8r-VrdI_UrdY4SoMe?mx^qV`be_%WaV|ZpzWh-N9mNxg{{#y%c-^EKoCT=1bNqz z37L;p8Uj$dpx(jM>B?GlZ(3BFWq{$jT_0dKF{d}wjFujPj$DY3v1v&{V?Bx=?>Yg# zd}YAfbTQrK@I~AHA_h02GRRf-wcE@wVvT<29+!aZ36!XN;w6jftHPiR)OWXK3cHWfl z?IHCN(e!T-=2O1ddGh%U6PqZ56aMHXtfwJFN3V5Yvg_+}jFsi}y)#0){K>TX_Tf&{ zKbXfD56Qd3808;t{LeTmqaKB5zUZZopG*hZqNEQ_nNi7FUm%w~=%Wzw^8C|A+Ov@f z=^H6j!g!=Te?Yg%(f^yjwD(B)>qZQna4z)Uw}`%K4DqB5p(ipYo>VmvAsHH&C3m20 z5?utMi^)0IIioG)ad|QB^pFCQG7E`WY^16~7%!o1g3s;yo{D!j9()l&FU3#wQaZOC z!v}3O31q@94~aoA8+(#l>~|mi_8VIKoc5~EcLvjDgac7espviVl8PM@-4^H~Q!dXa zRTV)l>u1p%zL7{43B@zb@03^u(UMN7rTo{evU3(T;NVk(r)M9o&ffW6YRdE3>vk@ohXuyr(^=mnk-eODi92hw5Jcmrn zH6s+AHUh$>$m}}XS$}PM_)OwCJM%L1|G$t@z9KRI`uCqGpQg3B?wk*rfe($HCE(=q zD||$Tba@YW81dUd3y&lVd!Z5j=GxmHqptytY{y?!vEX0qAJRv0Nv8ME<}u+!jzxv? zMO42ykgIn#T_LX=*q9uLrZctdPH4h6bbyd z-56Kh__l%$#KjKdNY|Sn0;xP`yFX04pv(;iW)k`v=cmJ?S>>NTTr;$G*76HvA$2*H z-~PbOBB;$$%9}SD;j^y*0?H0P#Rm#gK5-Zr=m0HQ(2_CBaxklmZ=kroK6HQ@p=LQN z6dph}K^y2WH1Zg)viw`*e4WOl{a{&qHui%?-$lSP43>=mKC)wQL@gevmGwl{8(gWg zzh$?zo}yQ!Jb1WLK9~XjuW?bH2?h1bn0a|-=3lNv5F?_Ywc`w!-MCKO2TtF<+gja# zptM*h0XHI+=pqC~<7XL~OlXm+e{eykSL>ewd5qbt_IENwT}ihgaD6-1qgmInQK9$^ zD<6!0x(Mm;IOy!IMgb-$i9T&2Wwa_QiA z;j5=ArL7>!xF1S+lD?{FW*F66+GU^HPS~B(*!+lY;_3uUef#fYU*;H;0>z=*JsJeK zU#Fv6KdBk@;~l$;mmdq71r%CF z5u(mU`c zeq0E==9G+81!r@Mn4TUlQo}_9%uo9NVSe)AUq8nL-%cwr8TtNE%^7)i1r=qP7Hd)!Wcb%31R) ze{o;mtC~e6$nZ7p>N+$_3>ZpI{_7wNN6A5REuwVdC4n7C#&vp5M2lbYd9!~=X&1>+ z3|sFdH?-4hh7sad`tI+I>-K6+G*?~QebHm=&OovZiOj*|sMO;E`{OYetI55~dL#8-VS4d&Q-MLlqkwYVdkl$Je_hqSE&0 z3M}_84uElvi!;uYE;k1(cRHKdj-6d@JM=AtMB&{Futc>hQFB$gfTk)HFG`gRS_$dJ z|D0be>l&FtIP3Th^Cqr)(P}A9;ID244Za^n-sXNvEa@U*#Us?Z8^4V8-fZuuk}10T z361*RSUSKj+QMa5?G7eH^@P;QWpLQ@cf6eHHc}(g4B`WZ2C4++s(|p2_&TR7oQ@+h zEZ@6ix2Wr963ONAXNu=BkJNp+ohP&}>lf31is&{Pt2{M_S{xaV{v14N?)5nNOyjsY zOnx%-f8kQ^kCO^bXNe{e4#@>P77ul);PDJ-tkfP1B=Cv6@&C>vB@J72*t4v#P1UrHrzUj+AAP2a<&N734aRHUZ? z=pS;sa{uSfgL>BO{RzNo^ND&&AThrEU-Fs101=;ud~7Nq2$aET9=-3|d`rCEaz00#ZT!$Mt7!3UDcJXD3b^xJ?fFU3Ta|87f0%yUsm&yCv>Si=-A z+JkJfl1okcfDaD~@i!5~%pD`sqTj2WC*%;NSP+T@QJw4G%X#}mVLs8(Qa_TmyQZA8 zQWg=fkR_*5EsPCO)g>G{u$CSh{nivePDoK)$HwmJik_Ym`cv@jQb)g?hHdiEs;o$( zKGe0TdiG*E&7ZcNa!~bT5IrGR@B^ycb{ivDUG;F}nW60BCO+i)rMn2nkJQ zA38taC5;tVXoilwO^++?uM`QKWWK_rcEEt@p3tLLJ~&&o<1BGne+FDlp5AWz$S3+A zjN9!{bZW;TQ7MgcU|L#=-(~0)*M2AjJYrv$&a4@Wem?FJo2>*Q%L&0We)l)J@ZDZ1 zk&s*1$0OT2wC{b4FuA$b2BJaIfSiaC3c>jJ?(UtDbN{|i_vHrS3)RM!_+2dxZ(6|l z9!L&Rbsn{Xg_rZmi@lylIQNY$f)8=_OUKRe{3<1ktFxu7hGzlN0zkrT9^*=&X zMaPkQp6vTjf4)S%0aD{uW^R^R0QO-N?rT6WXQl@;kxcx2qSx)OdjXL@>1M;I)49bH zmrD;TS3vWM8OSOG@&W+ktJeW>G@&o5sp^}kiSWMU!sMh4n!%cXaILB3cQQ)UPZY&9 z+q}yOb97Dg8<)n!zbOWDitY?UD}wNSenRZr`-jj5b~whp+@C;-+Q(R^rT8%Ngf*ed zdoarPyaY`pIQOmINFb(6#GM3F)AL{pyF5}p7e_=uf6CPB9_D@;CRcU&hH0;Fw$c{S zJHi7SzWEOG*lx})gr>e+kbj>-<1fL$LY;R}f9>3A@UuX|`5>*b5og}pGP*-OC8I7D zb_Bv3Ss9%I)_oaARW1-)<6?5m)07Y^z1SqQax)gnWd6N;7I>d>h@OdaVDm0{eLnd6 z%G|0&H+nt*NzwvBR#WopKlz3~d#!uEe?X%!HnpOVNO-*!DEs^wk+z7hF%O8r&Oe!a ztQddK<**{TlpFC^w=`ZSl%DSjAiHh9IwxWQMVDqLtR_o-r}AJ40E^bLv5IxQNDD%J zCvpWPuEU5QmvprXBhOcu60VeK{AiuHj0ZTlpDrF3T|*nDoimd!g;#z5Yz;btzEeKu z(9$r(GhL0t0@EwNdRLbq_Z&15$vefQ20!Ys$(GZTm~^%a-X>qPrDl7EobypU4%EAq z@uKrNm}4GR$qI`xfCe_BD7K`hpgx(HEMCmC{C&&kuxq88;dd z&KNGmoO}P8k zo;3Xf7*1oHeliJ!M+r}CJgd%FD%gN_LUC)H_xH`^3GBmyR*?%j#@}~onnGml*%lL` z0(XA)WfpyvU2-Bzxt&zAn818_+&!wPHF>z4popeT;Ly#w3UpvPSmb|QLTM_^>3v`r zInJk0t$+4sS^c&N9ty9*IC12`aCiikxg|z>2+M)1OugsjVljv10)igEogHtO*j z4W;U(dXma%!G>XGu~mTEnP!v|uPeHcuWbL6$jc1e)9neaLHV2fBM(q6wNIE1qRT|h zl&J`1WFL@mgtVKw>Spc?zo?lH71{b_j{Y+#zDR*vyDDXqH(;-J`1^%D+>CNaB$*i& z-gJgeV$x#u7niZs_n;ZGTa2!|QJm0$=BH~Zj^>-k;_IP*P8`!ki8<&|y)Cp9w0$C? zKC+zAmuiq)e0U`usaOp>3I8X!n?vr9v=ZSwL0}@MW}|k&M*$%wTZ&X^GAo%74W;1rVR9B};oNRRP*Q(ZvAUZPw(w6=3Xd+X_vW%CT z><48gzFa3uR~9Oyk*RV!Ajec;yl3A%;HWfckR3+q6hnJ>J$Q zeSz@hvpMnSny=-bZ04$9>|y+kVY6kmH4V{z9!ZD)J}zg?d`Eyuc5{704YQiXRcGDM zM5k2j^1=ic961>6b?cImk`>J96ZojxBn?u?0QRD-IBMS~UqCFsWFS|aa@DNS!zWO) zBoFE*9)au(^-s=9#}ny?x8GYRw@$Vd7v`)rlc|!JP!x|o*)A}N3XA^zN^jUoS?ExZ zhzQ^)32e7bw2>)pYhl-fZFkC&&{28GQ|eoq<1d%|Av0Hs(l^eM!`}3zPZ-}(T>2_Z zKANx@pC{~IrpV?S;|v>~vM{8OdKHn~6Di^mcW-at;4KYcJ<8p+$vJF4RwB3W(6>GD zWiE@m4=c(Owxj53p7h;NmWDEp3>MszK?$W$mht`sq)Re?;qgU#l8Z{Y+TGYaL2yRc-QEl) z0kB5y2j!zp>Zo@I$EO-Dto$XGhI-rFiq~C0O*j_?dZS!6IfH6r`Mu^$X*H%{XiVhA#`)ajBs9g9aQ;*fQo{><99!& z>A}jUD*7H*tXMk9&uEBBq*`Qio&;g3PV;)e^hohK-P^?yAC{dhX;?_X)94+hXnF1i zr4+^AHN)%akscVAdYDaP{a=)ST+r3w5F}$ai=W$`oZ*~?jnSS;} z6(K~1SlHx8B_3lZCZkFHNTL4;@A-_~f%CikrDC%oRGQBX!OvO=t;v0%L$CIun z6832XY_N;9u`Q0GR1HAt+U(tT&z>XY#C#FSL4?eg`}LXGujphfuy7{ZR#7br&Gzsu z*;>&aVwI>yW|~7~r4wKHTD;|65L@x!K6bFAB2g40{ZzZgC?F@tW1=*Rj!dCh{uq@m z^Sj7;)Y-eH>14yi2=3khoUvm-H!R40OYoPJndEe{)r;{eWA`za-?Lv-Kme=bu?0p! zF^}^Wz`2Jb#?nB0yQ%l@F5jA&O~*u>bc4+w>$E2C{mBI(TT2aJ3F>0-G+<&ovv)DABZr*g zsjQh?@*878e|)Dr9H>y}Vu&}eR~aZ80*R)&Y_qk8$AqZZzU zk@u_+)wt7q$dYeNNuOn^shXe)w4pH9z`?-8tP88{5&#cdFokL!*>zVqhf2&MFlfAV zd?4VoMm9xqHD1BY&q2OX&?%F}s}we7HSJc6{fIXbHJBU<0xU+MF&GGH_GI#O#;ua9 zoXgRG7mG?+fFM+6;d|Kvl7Lj#x>aUYsP_-d+j6-Rq&=R{uB4Uc?|il$WRmR0s(~Bq zQ16dXE;OVrp)$M#TPkff$*H6D!wenM1-_T7%e3{19bY;4@Uff+EMdM?uUn7<8WpN_^cdUz{?9| z8#3k2{>PIrD~UoF#r7*4F%9uzFyP|Du7Kxvm4=eC=hZu{BF>L&sHcOssNsE6U#;Zt z%va%#c(vxcexV~FA#qy&Lr(2@L9OUl1UHVxoO1tIgDUE)|M&xz#RjVhwC;(AIuwUW z%bZB%x=2(XJ2hI5Ep`8Xsr-25EEGJ1NSgj1wPQa#IvQFYg-9^z7%}kp$C%Q2j^PWD zM}7G184c{^20dx)WjiUYrRee?vb#01>st6E$al``M%@rvNeYP?sut} zYWLG?q+_%*3`^xH`P)1GARyGi&LKHu0IjFa0smKv2~M6+E1GHUG#;N3gN5P>N;*f-HJ1Rpxht_jm#hHCOGE3z zqO~9@$wKs8mHE-eIXMC<#0~I+eL{+H+65z&D6)z-8_pHJ)#zlD)uM2uc$k(Xag``7 zgjsLBbqM-@SOsk4YlxJitk7oe$JZb6UG0r*0WJ8cx~99(_L)wh>*g_dGh3g$Ts$5- zf!*@s0k+>G(n?H)LMF_W_8x^_E7CfF2QS2VlGe$ zq0vQ#$Y#*Ru|gtUBr+m%(}8MXgR#H#P|YHZH;l`$C_HDKA=2e4=*OixXtIuasK;W< zVmo5>Pe{XQ28Ty57GFq_p8X6{g!Dr)u&Y0W=@NUzNVh8Rs$H$wgmv}BpJe2y99vBc z;kTQk-uK_`$H)nwArvi5XTeeEAWAW*WO8GJ<{iJ&(c*eMp?x2l5JB?4Z(DRd-@n!0 zwlwSVnP=WuZ~l;4gC~GENvq$7U%M#Q?HW4qW47!)$_!yK1-oAc{>$HR z-fjUnV^edCn}-U=4oMyYhIksP5IImwz%{Z(JOKl;rd(%p)K)*`X#Os8QbhU@-!&;2 zKBjA97Hd)|nd)KI_vHkUjZVYBFqz@u7U!K$2D`f(`vpB#cOxtSd34Mh`uHGTtINBC zJ2fL^tN-4=L#QB47FZQrwnkeeDALjjU&w_%IW#LUb za+IL5{h28U#}gTZO@<>ho^FZ5s7tsBI_8ZV3a5jYO|(S`Fv!EA6G>{|KB_HI?{?VqBc4N zjXdcfq)kINteqy`X!5>4!I-Rmo}Hp z6iQK*x%STwiZQ>Nz~jNuR#RzxR+c?*wP$TZfuHu)@|2{1ExE)rc~4Bevnz>0QJ z&E@^5qL|k$Be+b4=pq?+C{~m6rwNGC2W$m6Qowr~_i%eMNKf}g-H!-}Gk^epJW{r~ zt;#x1WQ0d4t0x$MO6F{C#^^4Q`K~n#789a94?|vPNS!))Ep+ zRwMk#*W1ZbE{nL;pz@+7M9Z};t15H&4f*PAx|r_8JIZ0ZH&yvh&sC!y^nmp%MU&b$ z1LM-z`xfQREp2hx&kZcwG`r!Fq;TkMDGFswE4b;0kv@0xp~S8J50^L2wD($Iju1u) z8K<1R!7x!;>AF&D{uq=Ll`27Qz?o-u7IM^Ses?93{07(!#{yZ}#hiK`(P?f{F>UR5 zJof!Au?#GC$VTT$KlM=g#=g41tW!@HJ$*F%^BvR&9ySD-b?Cxc8x72~>ojBIrRU^xNgQtXZyTRX(ZLd~f9d&R^R^mB^2$}~wWLb)0+lR^G(!S7Brm<~3QDfVU zZQHipGql)&^X#X3cjB|UEULJ z$ts~xOYPr7+bM~9o-Z`oD5`@qFI`DHJO&JD^}{xV9s|jGK6Po9^Sb3(H0L?_;BUDP zGHdBOTkB_PRn1x%xSkDJDGe_BQne)lVTmGP5vR9H>JEg?SQGMx`#Q;D)?$UhPMbxg z)SrQG3mlg7ZH38l1nKj$Q5tGZ+(RY`NWU!*X?&XN^$edW_Y$SB54H~qY#Jx#5 zHBmKeHGpX^mN$mI95R227h(Tict*W!gHw-1U*PrY z^Dm9^$h(sTQcB9ut|trhI98vR-ov%Bf_SYspbzBnv^9VM=*g(pOgsyq_?%cgiT2D) ziFQ)A?#sga_>%B`E^4y#e_`kDM{`H4higUUsgl~w_JMvrmF zeW~8!0x-2flXEaJ#6qc-$g8EMTavN@gTkwP{Ydgl&hSOtl;-Jratf6CnJF35UxZKu zaP)7R`KnK>F6bS;!*9sxWD?Tfi@amtm{?Rr>?cf~L+6Fo+>g3mm&fd`)X6Zl zj+9_Z#@T#48*BN(I(gR(ZFT~CUpkzw9si3ZxDCu+@JBcj#J;n>6+ba2W0Da=Uf}I; zdOlRktm%&K1(sZvn;{S8?c0-`!Zqt>ab!LaTPIk77#n4ZZJ16F_2!el$YAGTd=N!o zc}LG@(E(AD zQfiGSeDnUZS;-}(<2)jv+7`%X3^wVnXf0LE1EniIaWHh>z)Y(KV9sOjA>#LZ)4^VT z$RXLEajVd8(ZpHcs8Yknl2LyHt_Of^Ygs^x;N*4}|8_`iTrFXE#-e8Qx)lFx*JRns zwCkH9Sr$o0DVxm`b~on_2(_?@UqCIHF-!o+gC1uRXlg<;ssmy`BOyu*^VkE;x4O2s zR)s||(a;LV{BVrqr?8=C15U;KUru%)Jmm|Zy%G9CSN&9s)G=pkQg$TX?r-c>6;7Kdfb8+zfp?U zIk!d!R$ZDuhB8ryC#|eV8gHAat80NMBnoAdM-ZFRVRALHIF8fF4@46Tn2i9miYf5z z2JVeq122+_V8mM@bomxg?;m(^7pwxJd2CebqvqcmQDUMaFVnxtM!*dW0;K<4evMRw zs#H5-tL}9Bt_7^2cHEY)%{&Q)T~Om4so?Y%ER4FR@tW`!xEh}RJ9V|K)i}u=Dv3ef z*{@YeNa49x^mriaoWrEW(2~-u&_(=+PA*T{^vo_8n|wLs(+|x?-xV)1!oryw{n935 zJ3_^I&8mMRrq*$9cB|7-uno1LE<47{Q z;(Mnfkye9y4O-JulwREpCTh&J+1Jt7V`lJmfbgdm4OHqEpr4P042_c3JLB~C<#|F+ z0arJv@&*> zQKgI#vGoQ;5S{p#UAD1YIrz=O28d*@Q0S7R{i=~=UR9)nm)B% zta5yKQn!21L11sbgD};Ep=W>;>G;Mt|7qFeTo?&7RW#05exGjp^(`YG(V@#8D~aer z=W`u{1YDnp1NU$8T!!SsNhc3r{Qo$$Oh@BsQ}TpNVn=;E-ZAZ)?v2MTm$sPu&Tc8k z4%;TNs>`yNpi>f@DbLyt)8HVzmhL+hPXQ+T(Gh8O)SxpiXfIFXtLY?&I1MPpXm>l2 z?iP`P^*NYOsnBeK96x!G2wUl_DQreVlGlmdaarhbr`$WDb@Jc(#;1|-W2UgjgRkVn zoQU4<9T^uAXl+o=S%$HW`b<=n#(?0r`>ZMCRYNL2?vD&s2HI?-u=;202c%EcwSqcl z)wlX=)gI~fzn7d8=ZC{4+g_3-4g*K|pm|yz3jT*J9_0`i5M)RvEeU7n-ATL#jmWjL zihbd%R^4}iDx+UA*9&;EcVV-QbRPC13lj%FKjcAsag~MurFMDooFta1WF-?acpPRF z^_&?CRZgEIn!;zT5o=Q_m08;Gu1V|#;sK+#5tpv?Ki}(?^c&YHKS@3&H&dTDBiJ9`V9Bx%hk1ACM7}~?Xcqt4}0N8 zTNsA5jKv+#mR%zyP|bJCnR4aPHW#Kn*A*_=YEGEouqknz1)bl)flgwx*hA^ZPi|ob zqGyEm4sHkXQeu{J*`)ba*PVA|$Zn;K>`N_?*%Z{LG&2mF!Jis?xf-B`RTD2Yw{bnT zYKE+zcpB{23dh=QR%%dXIW0jUm)6fQ?M%Amq2AA&V~g1eZ-6;CI)l5 zyOd}3l&P5Yl-ih2ipV;0)j6z(g%oq(Emp^kxNgqhV3AOsMoxv)oEN}uIiZ4`*T_Xx z1YKZK9CSL+>b^M6|M%a=t(2&+s+{#a>c9c5`J|YuA&#@dT2RqXr1Tkwkj|!R9L6X~ zEh50toI;%OKP3my(qYlRpUh#I`2CJIa=g6#7>r1&p!Um5f~=%3F4fWic_9_^*T>l! zJStFeI4`%FK&MY%q`xdwdI%CYx%vRrXH&h~3aP+S46*s*TW>Mia6PsWr-cR8`F+YQ zCuPE0H{~$VlR<{A-Xv=5&uF_gx3lnq`}ThBB(|t`7ts-_I0mD;Um>OXm2hW^*2d%M zjRwHM0M-og-@B$C%V>@^RYyj|XA69OW^eI0V7W|~3}TJXzziBSTFfnX`dk2=W)eEX zK(KKUvSfh_&cTi%cotwkqE;4Ani!28Ebn0>=L>)-Cp15t>LTmb_j&i=pvOE8Q@ioG z))!<}Zw@@u`=z~8CgYRSq0m)S=1c}tqiKsL08@f55xZSgc#T1`Fa!>2*9f^SCN?42 z{pM+SA?%rp2b~W61Qn2E-5d!|I%!lqL=W`=o{c7#jz&oSaL=5&vl`kmiZSOf9%YeE>!RybTRvNne$OvXHZyu#z(KY6pMtj26z zZ_oH!o}~z2=lBkipRP}>^aKdwS3Hk+JrB$95`N!OmTFn~#ynsxtlxk707Ma09BS8; z%(c$WDIN1DrY4U7-EEjG?5gdC>3T(_AB0kCAu@l>D^;q?S)xqHn}QU?pgrQ@Y|+9lb-0x26pfWws<*(Ug-i!N5r=$!JmS- zK#mOFOjKGsuIf~fW`8r0NBnR}mZdI-;{+X`Y1l~@HA?B|w9|oESkaxXt~AT5J68!urXor ze&!m7-x}kyuWw)v=*#o7u)a8!>0rsb>}iQJau0&vQY$?W$Se>i<0Dt)vUTHfgVW>j zz_Mr`p`mGUJK%`C#Ji`8EwCFzdOR<$X7*V6%E#x81vzKoKDAh9ME0*923M(MJznIb z^=TV3p{vH5z0_gpJ7BI)m<<}|`G!1dDh&u#ccp+rer;G{yI-sW7O~M#-Bl^A(u{>m zrpY%0xWHNkfj_=G@)dUeS)1MgZYUS+@}R3#pyAr zBfP)!*V0*^Qs@i48`E$cUcK@Y+@!`A@bSH1UOTswL zT;lyRge+62<7kb)&cCLh;+U1tbv$Uti#c4QZeack zmL}OHy0U5UBWLscu-{30qgEr01c}d`)uH4Z(`>!KJ zoE_QK=@7)TT&R>=71*|QVs-JodJkZB5K<}=ec!h=V=GlF81udPBRcYQ1N>Jcnsc=c z|Ho)W)M4bK8wu=2Tu;{FW2+c?CP?Y{be$#TZbSpp13K3%ZD;sS4tB^gU2gos-c|^O z)nCNop)}k>C*&us03VeX8iPSM16`^DceWT+`XaC^+TUDtr?T=x2&1n|L<59CfJ1-z z_(x=A)}nW0MtLj|_$Yz_>!EU!<)=}*)p+pFP*LriWp_YfvEpZ`XOiF;CQGk6@X`e$ z-JD^KF^Jbua94*`!g7Y~=7ncKC%c4VQhu!eJl^BKhV{dUOgYo-;3%)9W$HiZvPAS=5Gm>z6F;aM(N zV}n7^$ngp%U#iLIVHc%Dr74^^e)j$mT{;p5Qs!MLXYS||o+RVT(BAdfk@cz*ube3q zJf1MnVgzzpe~&3xbp6I)M`3x@bJ=UePSm&cAzdfK9*7OA#$Xiyn}t!pA=B3Cx3xAm z_>t4#uzNN=n2+pfcC6UT-UkAPKl6SoZWu_BT+&vLRY9q;HaI=5I@4}nFYdjT`Grmv1|B!VP~n%{~QWqEp-fI=5Y#SOL|>Rn2hPw5hVXo6|-A` za8T4hf85HE=bO;%o~figsXX+c;*#v(kLEO z?0Pr_=4fjIW-sFSB}-qxTXHjBp~Y`oKP9|ifCH{el^h1+eL@n{J)Ljlx|#Vs1%f91 z6N|&Iy&}tLw*bTIZr@wJNKo?<2jqx*nf-s5KCYK4$)#r4Y>VlW`}HyfukMGZIy@Z3 znG@x_@*Q~+y}`Kq@G&WbaNq@vGpqaK7e_C{CfBN`^4Mb+&y>ta!XuoN#C=-lFgA>n zQ=Js`tVrkB2tC$RSzqr?D;W|t#H@YgH-HaRY>L7YiT_&K*OD06ktV@_b z5yP)sTKIhF%#oDx(_yvxMbgLqO9$D!d_jdb1?A*CtZ~)?zCa90#QaMr@Q2KxPnxgP zhgzduO&298wMQ@xy2%twZWoCGM5JFWYpG;v%3gdy^q8==upo+Li_9DJJ$x8fCZ}qm zc}``$7=(|g9*BuhZg|C*-QQmXdRwD5fh<2TMCzV<>Z5!CshewB?J1>HnuNn9mxnA|=W*h6k4!f)q16sj0Tbtmh5XrTn5sY@D z>lrw|TpFS6tEthB)o|wuPDmpS2e&~z11D6M2_&x%y{wD^I@}%_sOY{J%^~y|stBaa zVw8X!J3CvZ`vFAf(34sJuw+Ce6$3v%4e0mZxg=ONmPbHppSz*^jY&X8!|=y0YBk3L#tI9b26Xo zD)Lw86W{OR{-#tGNBATt+doNL=88nEh$fcjhGv@y!@N!_!JTv50Uaqk6BQ(M>S+3j zl0iAV;5zbp<;0w=SPUazNnZ;s2npOR)k{$lNPC`@J2sEFM^CA~Bf-NjC6mAPH<8Lq zbc`ad}w#PvnL;~ps_ql)^u!Tw)Uv{HfeP@wJC+2(El-0WN!jx_Ohi!#ASp0E*~cMY>0 z9y>>jEI|cWdiV(i0M>M;Wo&`70=5Q1eBv?k#R59e*cK8Po6^rPG|ZQUjL%H)sp~fT z8h*=d0@1n7663lzEX6bP?*67%C@oJKAfeM7h=>XX2r8ZLoCFEi!6XJjBLy=`q!w~- zq&%~0x-W5+&Q@Qp+d}|pvdsxUoNsUFd+Xl6Efxjv1W{W&FL5Z52}yT>yQT-vE z5!Mkh%q4kudh#JtP8jRx=nO%C2>QX~8@wB1FVyXS=~}djc1ItcUDnbO5GH@Q)Rf_G zfeN$Y14DL5=;9^F;S5@?MPNJ?2nVkhG5;>q@X*khmJbj3Pke-r@;>i=z2k71Iz+dN zJRf|G^LHrGzL+<7v15uqjHxZf_3LD|jUFs-SFr~+77lsGr@+?2Gn#j>lt8&-`6|vx8+!GXf#+ zS?W8BSTZD=VuUJC4u5t@DHW4eX*$Xsl#~aP_v%Suew^xM4JZ8|cnVBIGu4kplwaE^sYBG4lW94P+dQ+TKWq^vZW zyn!gU(Pv?gtk_p33SHa*0r2=`o zxhhpi@7V*=m`hFIGaIl9c57JPlEb8-LMW_vqy|D<09^Jn2csrUMjMum6L0&i=S?{PG$K)0%B4|UQWDnz$17mAf3({X zzmkpSMufSTFVjF~FYPM6MhKiutJBPBr%Xx_l3p|GHJjR2OrNY9G`EaA<(sF~HhEM~~0SVeA;^v|htWhIOgZ zszqZ~0D-g8q2OIy*vx-W+_~I|V)k))!Rm$gkGOqt0#=yFnkAq9bdWpZQuczHpYn*sgDf!xqd>-47}q&bcG(%Wr-;IkRxu*CZiO zfZA$V_p;@5>d8-g36|HiDx_djC;s!v#v_yOl&s6bc&}t+5cvs+3J5uMHJhj5dV6I2 zP#+I9+Ko4B&=8D759{4>+~$d$JwRQJcfL_tRm>Fd#(_eoj9NmYpoN1T$>I1z_0vZ zOyab^e5md1KT3Sgh{KzCtGFt5yV&qHAn+CK0`tNY%d(OiA^Qv8uI>j;CqH^%P2OXvKaxR_xl*#e5nIQ0K|)m($?$NjgMSd+5i z5fg5MD#C6zXvmb)wS=quEPz+8DiP@c-zAR#F2nucRFK;rRfDo>f+}VwMHFhpQ8a2~ zA_>y7No2)tZBFY~Bhe8kM8o`|m^q)g`1`-Gw;Z-f`MPS9e3Gdrgn*d`%cX~rJJ=!r zbn=^9bOMkofZ-^g17Dy9LjyvU1z>y`R;uDS%pKzW(tJ-8c>SFec>bD5aGi4h=RSgU z?uryCLY}2#;J#q)@ufOvpyy+W8sVJ!=y_Kn8Yon_6?aH;+FSybz{7tatBC}9kFpkS zc^}0Nm(&JmNkM-kV?sp(vsP(1b^6_x{FcYC|D4HH*7dGl?#q7 zeF9S9$`-6>v&u!R59&5T2y1bGgEbv*@vEv_Ay~#+_s; zveRQsQsnB~|87%om1tjAAdG(c{F%T^iw2w}GmVJ@F@uQRLLI)@;RWp#{hi(K`}kkw zvZJSDQbc))6Z1`sYvk=Zxl$^HTa^5+Kz(|x;u(9gd@wPgXXhlPl>(8|a4Uyv{*>Mg zY0a~_VB#5`1EgYVRfh43sxhpNjbXEFU=^_6g|YF=EIVN2#0oodvF=3RXh@HoV;C$ z^B*}=%paI(cNr|krr>wo-E>P(uQ9%|`x4xNvlMR}+3Z+wR|cfJ5OgIe#hswep!3CO z4zOI=56$kkh-Y&!aN?r^B%#&HsoJ|qWBoJ^7pU{KH+aCDWSwizKU}73E|0XGfCg+& z=V>gJn~CT+t;ra-KY<{=)p#BwXqR_OloyZJ7;M6Xpdvf{>roq!|IIl!c+Un+#M<09 z${XjjIF1jWthNyY)F^%ZEYJ1dWH#C5uGY}#1a#Of{(m1T7J;c>wp<&KD|I^j0a$6M zqlR{K!A}44!d{BIvAjk$Ghs8)8g!22at=>K6CsZz;FPA`$_pcgv*-UF6Z=VfQX`(siD9n9l`?9D2Geqa-ESCf)hm}M~`2Y1rjbKdtonzWbKi*ek#&W|WRt?33k-$kMrfFp%wB%P}KqcNG0Qs{MTa z!B1-&>|OetiF^QUq9IPV#gn;OCEC%w<{dEz1xgmW;jT%cXZW9 z*$sMRZN792zyKlhi?_Xql})%w3~wBq*?cV40o14&2eSX-2=9$5M>JKR-d6!~5On&E z*V&HOUZ>~?G%}OVxvsCsjRS9bK-{&r=*+Rj#*6o zb-O95M3dMKQSQp+2FsXVQ&RKDN%5=x)U%P8jY>Tfq7rx^SM6kB<`p+iH(era_a7K; zUqwa_!W5-gw!;Y@PbQ@RPj4{TBbFpnPc{6o?@NVdz4q7vU~jTOzBa(xnyxQNmC)SQ z(S}%Mvq5U7FaFy027_9qZ=ChFv4PcSV>YAd@B9;!ZXHi}Z6`V1QoD~w3%Yxsigvle ztTsIrw;u6Y9{j7EI}G2Rkzs7t8IQD$+r|42)uDS8B%Xo8nPZFE4S)(_eVA*vQ~Y?} z?!auZ;@ZQpHB@ppIxzN`UZ;WN^pRc_7}f#%fg)yWld#hO_qG$8}hDp|(fhik_@$FyYY{*e})r|I-%LX6zK;h%Fdm7Zy=DJ@kWXf2=9B@}df`bmA&l<%Ygj~*taoB~(u8~%vt_QS>w4== zbq(3yf6lv?;Y~r5odLN4a$wEo>!3bQOUWX6?tOd2;AVfKcCI%$ zOv~OH>9?t2a>m#l&g+y5ruxM!LeJ!W$Pt~mNg19T38+fW-(h!O@X#z-e)RmV?3q2` zdO8Ee?a6*Ha?akZAsv7rU{zf?zkTQzYqlMJ`TSO3N%kL~rz1W*))?s&6`At+Mhy1T zQ@HOlhK$+PqYlc@a-#|KH46*s(14kenpr{Ty{V*G>&;G6`>oO3 z&Q_-lk9*@!xVz)vuVEFXi08Fnj&s101j_g=JqD{$p{|S?JUpP=bqW!lR419BYu{uU zVoCM$zqu7Dl`?;^Pq`yh$_qfXr7Drc-ig^B;3wh?P^zN5kyrUvSa|(dpA8HrW_$R> z;|%!gef`+5a|+bQQWU6my^dGTg^9N46Hnhv_W7uRvi<^?_d`-E5AB%E@;`nPGN+-TX_E0HJ*Iy+=n)j^tTah(1D2^G z4z~kF;a?IY%4=`6X&byjH20zfy~H=T*5B(E z4G1&$_Y`kS0wl~rUx6oDnKEvzk@%7)q-D?cx z0JD9c5sc!r+p)oBf}Y#yRmeYcu6z2!HhSE<;(1^8Y_yo4f9s&oDBu$RxR#VsDsS!+ zkEb2g9liVKO;TlmyT^iCJT!T@yuBnN=g}7t&aE!=-20l8@*x3})ZdB$o-uzjew``o zQv4p9Qy5LFQT^rw)ZOdPkecUwYUv{%h(Ed9u#ef|FY>c<0x|*{9n@8OeqMm-r9Ucv zZFw1&e^m5W2YFHx!@&e>4|#KuriywK%;mxR(z`ja2V6fP{%282 zFztzWc{c*E&ZHth=>Y>OA$0uMzuGhJCuM>J88FR9(7WAAuSpc-UmsNATYHHin6@91 zR!u5^HEH4vXITy}%x@3sx88!hjfYnM{7P?95|BOg~g0{b3o2XcU_Nu$x2Zj^xZ#BijqiFl| zGiXyQOrf7X{luBxL;yS(LV4Y=p||Y4ho6cS@e3dyAfv#gRnb4>(1TNM*^HHb(?5|F&#uKo^Wgq_^oKWm13MJK z#f2sZ#*$@Pxl8r!Bk)xNg*p+fw!ek|WVYJ0eaXbji0u>+p}6{cqq{s$`0bgAW9i4( zE=J#AAI#*InvJT&+pl?}v0y28|K8L}AQYPVy;eAs(}-1rxGafkhnq3TqZkZav1k$fZseeywS62xsZar3wC-dmB-dxVIXpMiMCV`6^CpjWT zI~X>k7vEa}s+clZDMYD2V=!DWN>lp@kF5h5yV;=?gBQ6gk;*U84 zAW4nWBmSU`98@Dgjy5dOkvr?~xLDM^fq{WifGE_N!%7)f^6=A30Eco^9d>MDfX_M@ zc4vdeqM|!{!y$9j%QOo)u4RO^NS48tc`lLxU4Eh-I1S;?Pl!2czXV|$EL|$Fm*Wh z4udNx*61RP2`dMS0lO09wCg5897%+vRsj~xG_Q88J$?Spc6>$-^5}Z|RD+q!7EBak zOo4n`2LR~j#9nmRh_B2h&IZCtRv{dM9D@N}??7>83Ss+~o0so<=)c*1_#ZDuy*JtV z?oc%GC!JRk4vCJ?Kjzt^tG>SLjAe_zJdWl-gA)!a>{jWf+UDbW;+^_ZuN<#iNs#_2 zR37NmI{@IBZh##Sp{M(hy{aApFug3)%7jIkavYNfy5wewJE+&?02G!2LVf*Vw~uwRq}5W#J|~-Qp(C5)syyGd(2n0LS1_xY{|N@%+iS^OG%P+k|YD*Xvtj%~uErCgA$9nBvgeZ;b8TkPo5!te38hpL24uY0;VLsW_^cWsq?-k+F+qteS5Z^%?zEN{$kSFGi zozQE8$)}WHjNm#C2YDmA^XN)RCnomc=2ulQSSxGc0;hmFJ3G5-m9kj8ax-oQh?5#}@|^#79fiy#gi!`DK3gBr zo!nQL*3g#{J!YRj^?w1kDMzh2Cdr6R40_dMvqBWO0qdD-##s z^>RsI-chOC`_t}1GoKvX*X}>QOXAje3ScR9`gb-*z~rU?D`vMCNqJeEBAyTZe-3jp zxtYz@0sQ!u69gcg4DxS=uN#Y2GOU5Ac2zs{NvP%+jy(BbJQ9~VP!KER^Qh{(I&PVc zZ25NcP<~2~$!8lEd$tN6Eok@by4LD)zz9-a2+I414Fa4>%pdCFiQ+MaR&AJG)K=jg zKFCoAj3^JbVlRPj?d}Ck{OAvs3fv-QzkoZk1iHz+0eXC3op!Ot@tjYoyMgbKfYosE z)7GV`Xlp`N2;vctyIFw#Ga=4TXEzl_IF!JL@q#Sca^}=g?6vS`=(C>xD?k*ze&+{B zT(3AQO*T{u2d%8;+gmX@UnNJs139b)Q{xY0K_RzkW6^261QDBv)(C36x_5;`LJQc{ z1hz?%T7gBt60kH@Lx{wiZ(`wioYA0|ygL_v&$fT6C~%3EuiKILtB@`es^RrYVoCGt z+o$23txA}&Ebf1qmo=#S7i1Kqp@GU#jogX~y61_>zcu}`nR}rZ{0RNo2|Kd_5PN^O zT=b)1KmNkwuqZqC(QFoFIBJiw?7$dxT3z()nLr`*P_(0aiq&z;ow=ERgX)A%E>l5u zdV`8|z7Z82ay9PmMe>9FQGlQM>K4u`YI?A?3Vn} zzIb9wApK|v$~+99aqNG>g0r(rNFooB%fD1*4PX$Q{>F^tZ#@s!#Nnfu3J&3A6DXEb zFLryhaXnqbr2>AT1zrt%Cb07l&j&zkGepG=Gh}megp4kD9mSyD|DNIT zR3KEt=zx6iL>A4oJ=yr+m^d-2+GI7g`-h~L4zTOCN{WaN@=k-vH;F#ciVz*1*r{%V z&&XEzR>*hqUyzv(Q%g!&X~4{5yeWFWvvCjg{0DGXNx3g(D&e^50})Br%d(n1DUbH> z#Zv^mrK^^o0dF75z}ln}XmDUx8OYskHb_Zx0Gf5YJ_O7s)94op-0fDk4~2C)#zy|c z)HurGF^azHD4eE^ejb}@FCDk0|^Y$HECy2;LTxG zS?mUM?Kl@y{jP~#+>geMgGRCsCanIK9v(jWbf@Chv1{58EU6`f1y(jIhG_urZ_`%J z`(|rLmzU9jof^lQfHCz*TOe-7rD<=6Dym8ACr314P}N`3PWV_NdPldYpEZlDSdaG$ zwe|Uv25$%5hck_|6PY|Ai;UMrhtmx?CM>2oIsSoRfw)2}08c>fCvBW0_vgh5I2;xU3f2L@@^Lnq8X{Sd}ZUuK?2ji6>9Q zNDuBrwXl8~CC{czr=HSUy$Y0hQ&+ID%Stq*oP8w)<6d!*{)(FLJj($%c zgs?@7{Wf(&AZ)!`BPq+VP$ntHF?W@b0;O{(`nD zG2Jbg1bDwc;yO?MD?hee`FJQATxuV{OSF`Yrc7V*(Kuc5VGM`EQWO>|l0sGd)NZVy zD)h@06UC<=?uTTxvhC2zh7#x_SN==i~GarIe$AV?*(sl$_51WW{C#i zL-NjfrlhF6481r5lKwmRQFlEbYi!>gGgb}WftEA@a0 zC`;FQu>yy%T(86{9Nsm8;!)k2g%M&Kx;I0+W5{ysA!{^VRz{jZc$pM$!!bJS+q82y z!YD<8?o{fGb{h>w!|liE$6IvF_?bUM$k}}2rm_gdtp6n>ecAY1t=IIq6}1`Fiw=Qx zAR0ZaWhI%$B<7wEQnGarlJyy(@1PK6u1X8tU7YslStp#wA5Gh2P=>paMm;p^IQKe4 zNP4Bkp;0Snt+YK{z>jDJoodJBS2-oVd`h+G{h4K7X6t`vQi)32H)y3wMKl%I^uqW3 zHsN_~=5aZ}XoVF_tdW=yB$Xe=f|t{!C&veMlK3K_lOK>dR|@d~{GnvbC#q zs4)92O}R)hf+KsN4W3-^YL}ZXT|gz-;1jLuKH1r+8F>~@oS1ff(jjHxYb{whk1_=< z?_TL^WCJd%wpr-hnr-2pX>UT(E`Y+|V|AbWOdUsq@EiD)l7`J(_Ca@JVuhJ)-zij6 z{-fgt?M3cM4ogZ{n}@!Rd!5eNNG=v;b_T0jZ?f1Cs^&H&mur3s?I4v^5W&-P=mXQt z3rRz178glzk7`uWeNo24BcWDv>eI6~&KG5))YAUyTKF9;vuIdnNJ=x?@%Jj5!}SZOa3~@Ub`?gsg zQ#uAWC_bF%FctsILT$|Cr$$nQBi!oe%P6=b7aKYxG;-D>wD_*UN|(0%J>2giFeyM< z6-;r{3$P3sP7awrDN(j(if`w++oR>9vSkoe`fhqpRifrCqb|oAbHKtVJA^eDJa9u&*r_OX<6D( z^3|};r#c%3Nd=_o{rrR_`s;L|0Q$!_5%Nviscsa><$TN^O&7xNjbA-gp3c&#!5r;P zHgQ5#5B8rsJkG`1_i3PR7wk}Ke7YM3EnXNJ9E$%VOvBY9EW7?n%vSzi;^#sH<;-3` zh|g2Pm|k@zQ9mztoJ)PX`JtzjAitbl+PFO0txIK8etmSJ&$^2~}6}M_92L2%jSX5~agZEqo675JV(Ar8}(08aQF5B_= z-lZd3wR_C_0=vu?Wg`y4-YM+>QA0u$WV-n2)_zuh#7fvi=f6TeKe*1cqr2`8;T!L} zt+wb}YHQ^BN*gvcr1}qQ5;I^=U1KwdwL87RnXFn2LFLT6c#qd`=WEDig;)_fF?jrm z)rj4ZqXKF&WL;6u7Kd3Ubta7>%Z&H!ji%0Qm(Iw1lf@d#mr_Cew8IERg2)|aTQY8v zsTiB8Gg-mC@TojAz+a)yDQrb3ry8+v_rTQM)q6pQhpZ;}+-`9OE{GS;c1O=C9f-CC;@MX6)zB)vTdk=P2%|YuBR!x#hiFXomcUlpkK+-Ng~+%XE8q~6V%+YnwfLS?_`-Zrx*M073%pbK zf=v>T%D*QSMEyrCH7L`T6Vb3nP@!oS8>t3&*DN~Ies0hj$SzKM)kyO>Tibf(F+EXW z;Mtt#D1M;rMk#HelC4qBVL+BV-abwEfknsK>{yTpxzmajh1een7n{sb8W1)Bx*?Kz z=z){DyBh18SgG{R@28s*SqTEY>Rra4zOj7V4l@{iA=v|O@Tg1%mfx)bUBP5-O}N+5 zArAk%{V@W)BhF1t~N#B)QAN z)%%C2(Q=Xo7%g@Nh;IA*E=-s*I8OG2q$%0&;KbLA91f8Pf!jenw6Y2AUjxPqLLU{e zU$nQu!f)|63Qq!GJk)k%6IEnH0PSJW&freZ@@-=pC9{4rG>{&HmpXB?wWSR%Pg_zl ze2E>C#Ek4QbrtI~H9oE1LZ=pdX3gHv4}fLcMBHWEx5Zo z4DRj{9D=(A65QSOJ5SYntL{Jk4RdCn-rY-jiOGG}fIY&b|Gu9H4At?gd=MXt!GDPX zNr;$K@1rG^HM{Z^C?#S_|e<}ZKjhUD*b>@7K8qbv^%zB>ubR7AXpyCHbix>oLROy2)R$gNSH z!VozN$vh$^pon))hM}O{MUG2wwx;7@gaVhJpe*w8N=P{E>oc2=r$;Nvd&ksRVhBuT zh03VzH&s2eH(RrXFEWV9_2Z483I<>6xJov_-lCt1XU=MpbJk)19c!!dV$azBxQkB; zcnc9IxF6E^(Y?5S843;FZCQirFtL+Aq;c7)A%R*^_O8B`cticiUyoGye4t~D)y~a?6 zgUu8At$co^%L<`x+6+5ZdOCAnwb|)$^IZzd-6J%vXkxnS_LMKh3fN#ly1L)m+@=1I zGZz{)CCWzmr6t^sZI%AF2w^pr!Ij(y9J=ObVaE=j%2C51jpa>aWosBuX|kBAJR6u^ zdz(?vrYiYy{YbDaN8?{OZU8+onB1>#BoFFrwG5c9mX|qy{Wv-bxOwC}n6{F(n z92DG*xj0Vs^pZVMd8hyU>F+Gu_^1`|OGrcLl3(AZ~rDukjfTE%deXOQ}jz`w35DI^5(6tX3nviD+f{ zO;*uZa_}3rBu#NK1vQiWo_O}=0~InbF+s1ajCqLFiOFSTewKj;$vV0IZnovN&)FJl zLW;_J``h;C08qiEJ{=wghVqlTV!uA!B-i0n(CX#h(+4CeNd1O%e3?t^46W6&4%EIq z8hJCf3^i}9?I&s_gBqC0d2h~r*lVrXfUYufm4Hk_Hc$L!Tc{7Y*|6@y4`*kyoa5Ef z%B`5N$O`o^Q7#2>O7UeH-#C>MYp*TT^C~_hMCL|)sGFmIf0dCsHTd<$jo$_FA^DOB z4Wahb>i53$%vx*1#Efaf(>J)$e^Y&g@8XP0crSi3UdMx&N(P=^O>F7K0nI;@1phCMvVzhjUw^Bxw9zDG#{v1_@K2cxwT z6uWMsNDQrU4mxY70(?trO>S&(6)%$OAPr-3GOY?(4e&Gm=~|1@hDN>m-1EKTfSSjY z?aIF(`yTtS*uz($^o^btP>auBVk(g?7zmB91#iuQTS0tc4s>YK@wfLid(@ot;LSN+ z2$zLB0%iP-&8rcLY^4-r$7!k2d0;&z=njo#&?4=|9U46Q{JuAlnZ|DP^MQ52{u{ZD zmGEE7pQ)uj{nD{u|D;B;(~{eR!^;LFdcdYy#iVN=$y#eYlsxb}Wv>D!OVj%Yr=L0YjghsDhyh^a(cg*ny@sfZ9=b-~e z1Af>f(@fzrrn-Mp&UXsh#1hUp@Fk`hX@<+8#qD>$C!Yr-@fo1l*BDBjJN1u4O9uTd z!8l%U!rzMbBe0v+j5`05db1p>3in0+a)2HVUv`Rx;uF>Dy?;YPfky6Ub=;qXnhiE94KEK2oo>9z4iXe;CH)YzOu|-Z8D+nF7cSS zE`|b)9^;>0=D>o%q6}hVWB--pPjywb(SxQDDa*P$x@k|;3-Xi~dY>ITKU}j51ifv2 z@go7rK5K5c@j$vJQ(Ro#vs?@+RmkcZ;YE@WJGf&1x(Fj`oNo-DdZo8IoQqHyZ|3NB zq(*lTLa9am<>KQ|(;>%WToh~LOy+R8QpghUkwwC_)Cr5aK^#QzeX4>Kn^MyXM2a-T zrN>9gV7`-72haWM56yuF+buU4Kt)GA83rK)G8!;qUhQh1y_e31E^pm1$8p|BbV9K_ zdLfW})IpHlqK3yEalB$Y)hU^hLSB7W|73uH3Uo`U-H%>4JvwgFLN_IJV;!v?nRh<~ z-FL{LA!vC5Jf=7N;dj3WHl=^3bRmM@zJ~7&J>MwFmUBD~7u}1Ey$e(9reEl!iCUws z^6H31=5efJJV8Ak1?sz|8&T|LVv9iSX!r@9t#`Bj9jyyI-33nG}OF|ghr`}wUeS` zPI_C0ACm%{Ubym|Z~L^O$c4dCvM6!0;?UrY=wCNlt6O3kp}fP^`^;i?Vgyv#e>ehH zCJ&xglPioTDjyjsikQrA<_7k&lu!b)W5^hmjlvx7zG3_Yl~t;>p`57p{>F!4=z067 zlUgZtcF7gyDui;HPkkxyN2ftfZTaAcQs@EKc-=d{N&t)feXGULTl;1L6rHB}OWuJv};K3Q`RLM!W=KT%2 zT|`aCFZxnrg?%E_@$^h;0|2`gM?@T&l%~n7)e2fh5O~^|HIt7|$Y2aj1?pE(#5sp4 zqTW%z>XaBWv5;0lX;OtMa)|R+N6&UC1CD}y9|TbPq}bT_en1k*Owej))~W$_fowuY zPwi_J&{!qQC5xv!n$>{}$irtiK(R`3Z>A;Y>UTGxj8T#>5E4GTWLag_uS-lbUyapf zzN!~E?v7!9HC-K$4dZ`)QY-<6I(fsr#?i1&EXqy2JiU5SytY|UoQ}`ce>*Gq&e~2S z%ZGhwJjwcs{yf*&uBeZbbR)pFPd_S&GS4a?o@5U%#O_l^j<)K>Y!3UC(Hc5=WH z%h;Ia?L-EN2Qu3r%F_dqLfA!f{s% z!O>+jkNIRU1yQ0upgB6IPu>b+zBU2-7Qg8hJLaeCLu>}9S9DQ?zv(S82Yb#Nz6 z$L&#c?Zpp{sBYf4tH0vh){6=pQQs{oK0okZH8}m-c7^++8jrki73!aq<#z?~U(x-u zKHb^o_@h+ZCAge~Raba@rZXA^^f*_8ROkAknXkoc&+_ssEOgIp@v$UKAjI}86r<4W zSRIT3Bth10QVj{Zp$av=EsCLpf?NN5%&wAn8vBs%BhbY7N_JvqlzGhs#kA1snNyC5%piBPp*hqK8k{ zY)Gbe^V6so`ltS`UvUlT$SYU~{6;v4 zG&xRVWx_Swc?P0EbkWM}(Je6u@A|g!RN~q_Vb7{Dr7KPR{TT?w(k-~P z7j$TcfvkJMd1g?fICJ65%5rYu#Q&ft@Hrgtx?`EarGdQ~-Rb0Qt(*!$2xEp@Gr_L%vf4ejJ6!5Jshk z)z43&sA9;JD|Fz8n$EtW-QqL)%gzd{qX`(a&WW9eZt*6B!dPEdQk?O9+o0A z_L^ET6AH;Xcd2@sFV4+Bo-}5S_kx}jZ}`TTK?dEKV_=C1Cn zP~eZzpf+#91WwQ<^{Z`g88RbyjS#KfGJp(wg^-CB&)UZ3;&y3D5Phjq8Fp`o4wP@9 zprr7VHJgTUu$sQmtK;jP2jcoss;{-Dpu}e@|e#;ITcDvfIW> z6-3eu|DJgNY1>*?OEXAz?ny}F^TOD&lldpIU~Tt3=yKt@yz9 z7FY)IoxVPEp7Nz~hNWXP!hmJ!?hzD}(A^V{QdXOqTycx#PwOt1;wc87DjS_I%pOs& zY0V}pfi&>#6!tZps`?LWNJ8mTXQBig&q@88z#!Nz7?v+GJ_=mGt30JzEf^y22iq+u z5NFK}qTBCIH?Hs?f$LtvWhq$eZKw(zl-5hz@Ijv0nse}<%bN^=^njQK^{xJ^RUG)b)!{?^1&yfB^!^^O%irz+}&9H2PEQ-{)Myl}Sd$d+! zi{XN!#j;lG$o(Q+Xwdx46iTXz#XkfuYbDJ}=b@nZA~*nucXyzEofdcDvi z_rRQ{f)LYc`)f*+2_t25u_jd5%eA!o`ML}WU{2v7S%UB_h36Fam(-OGKg3v88&V!_ zR4B2+g|S$7Xw4O3Bs^ho3^~Q+uZ-~Gz+X;AEezduuin(2>dW%256X7xT zsmr$j$a{yV$m0by?bre%)8}ryXry-&f+7LUJH5@N%4of(-*B~bVq+<`z~DF~+HWRw zE3S(z5#wGEI>x(n7CLlcWU;*OpETjnCmqgw(C&@rtBf^|ZleK|09_mcd<>MQT6j8~Yc(~dZDoLDHj9P9jLv=s(* z+oju!D@MSUohRDI_DL+~RYrHF^^pxFuHMW`SGxsWX+5wu`KjiL5PFNc#KlWUN$Mw6?pESBVVIbVRAHjw0vnG3q zXRNO9vxk*S%aruz$Y(S|CJ2Y%+>}oNHH@l1x1IGq3u1>^6T2GOl$_1-p&u^|$1J#x z6m|>v4WMQSdohWPkrVxkdqtq6{Jg&xJOhg`+TC{0iheYMnvbYQScPIGOPMWLxA+tv0&%#U(Ku`0gNdgyq?vDcE{8 z`+-0qyCzae&MyFEK4f=-iP}QkqPN};IxYb^@Yft&pYH=n8k#ng)5Ifo{*~IG_HCPM zS_~9tOH2l>lq>Vg{V_`FKalN;fO#?02fQ^CHbXO|!QxL4ml`VXsxgGoy9wH!x?y01 zGb`*Y5`tkuWECq>PccR`ziR!A@Po50i?Q7F*RARz6Ewr4CtYb_kI5c-;QbE6GH9wf z=E-VqWQCvI4ne{qN@nXP<=E}|&=PdS+wm9pFw?bzg66Aqvu@lOVx{e;818jFKV2$S zlo4=uN!=&U%^u}9Y>Hi|@G*L^F<3v2ILAY<$9uishr~QH{itt?N0*5~AG)MZwT&CL ze8f!AU>Qo}vtb}R*Lg1`VXYppg+o!w&h|`M*7Gj7?))!at1#eE!rwmVEy% z>cO2l6u&|o?Uu`Cl#tjP@cLAy@KP+x1Zzy={>c)1x_OZWUT@*SNW7dQz7NKAzy6r{ zjj3cO-9KvFB$f1(c0{D)l}bFX9uu)sYgH%}iA%9tsTHOeCc|4ro6=aUYC_dCB{KXo zTqW*VZvJxA zDgIo1nMel=sOQ~*b2)#od9Jr^tx@6({Gel0{@Tws;aa5a0cdRt|j<17S+XN-7v4)lcdL4C)GBrZTEEqur#k ziW{2?a*{DN{}ybNQHiDgZ4Jvn7*yv&Jdx?GoBzmRJZ`zN&GXbOatHSNT*PyCgJpkn zJo|NVnLLvli{c;?3jE|K)kejTdw0`(Y@>W!u?k+A#t_}UQnWtW7F$&b0lp@*-%)AO zUc+L)s+Vx3Gapj=({D4_%0q4Ir^d`4te8k%)JMU@vIZNyr>FYSAE+cB8EDQ({&8+x z*KSec{HuDvKwf!b3R}$$dp8%QLg20UgMXfxekaTu9oR$E5RmOSz2!4vYFb$sL&kSK zlQf4qO?=SiN%z9)${QC^QXo3H4*v_a0M9KY~yt&Cxs!vI9A zS|{pp4FaR(5#`b{s*9a#dIY5Ik8uebJui3seuvoHp}5dsGF4~>tC|g!Kxbc1rN+lH zhR(xRlv$jYY}dlTh?5Sc#Hi5Rr2CM}7W|CFY8va?NPvlw{&&@c~i71Y*&YnbI5w?1 zo7Y<8T5QN7<;_Dr)PsT8Y!c%0oqCdiWCc%etDdD&f#%Ei1-bFbipn!J^20|>#cVYs z2#KJa^S%Xg#8|K8iz+*%@DcwpA!sW64K2G632-g zOFezYf!EVL52CacVubs`U5v>4xkjVqrH$}&%PCD!)Ro>kN2^<|#gKx3(ktR@#c!Ev zhm}^m38L3e_ZTNDsQaDX@iNDMSl3)0a-2Q&5oMx@nVuOoG;G(K@otIchv^|pil-Zh z@rsipE|^IT5*UX4QuytSZs9^?G2>jRh6P;Y$(Dc2>-GCr1VLRt@J9DpjV{q_DKS_L z>Zoq;Szk=V#-;%a|GDYj=hTmva*r`GEe#O;%B1*BZl7-7X_4|uY&fv40 z$mVL@KAN;uH9ker4A?EJD~D=7=%wrD(m%nH7Nm z%1WAo^#CE&SNC<@b z+_RFgdZw?-T%x(vZcU95POS z!_s~^VYeIcJlQykTt3JgnP`uEKAZtX^t=wyun3?CsItlwq6zsD?MY8gpO{5XvWy3D z$W9Pcm?xk9nUT=c6Kc^6U}e!Lun=-GvNv;Gf(cpDz`Ygj64EZvA)d1sJCVHoSiAC; z!f>Yqs<}%!c&I4j$K;Be9e#y-)pv&-tp;@l8!kd^#9Vxy7&=tRnVvtPj4@y6sYG#d zQrX$L;@!T*PCZllBD4W%5|co}%r_zm3Qd!x6f7w%I{Uy$GzfQfUB{M*AZk_oZ@ygzomW6gNTTX_?YO}aiaSq=%jI};gD}l0avjghmfkR zpc*wo1wzm$f|7aV_P&MWH46f`yf=1=P-Nl0+&|t+p;?yvqRS?)TbU%TKJD16slk6# zL1y;}f8mxDg;;gvf#@h4ZR(p*bw`tc98|K6GfSAnqrG`e$6r)k^n%SXBO8N)z~)B$ zYH$-HokDsS^Dnw%-e}rj`&o8+WSmNqYG`??&XzVgwnd)VC9r$ z=x=;M*qXV9v>bEo#cdzFZOtd!jhH-F9)JQ2Joqv2@RaZWsNLJ!^1m{{pDbl#y1v3b z;6_}cOAO`TMHxoM4+2@;>ztkx%g;~G!g|-=!EoyQ1`CUcdl|B>% z?Q^iTt+FU8Mj?YoX?W;vLLyS;yDb}N=|GW?!Nb-z{>DM^qvj~Vf#_B&m$MS~tq zr~!OR%Ri}7oD2k&5(Mdc`}TpgS_h-juq5K{W<@zm)9xS&w}i|!#K`s5t})k7&D8|~ z#?{V@GWVJr?T6`>s)M7EtBdO%LyWKU9CbRZm}AuAdgahL(`dK3#KKm zU%%2=e>>DdHOjiwNzU0HdoD>8D7a$D>;T~J{^S=~*U$M)9?9WlP?y*y=SD>{j?fxc9nvf?5a%CpUfcXc!9*af_DU!^ zE?JEzHb*h_V3)SThPZqf%_9yWrbCS63XMSILvz9vEf7dHBqu*Ws@IV7qseU3tgMFV zo`bm)ptD$DWH;acI;(|!K7?ZVZNy&FLIsu~F#&+i6V4zAnjZo1%z~5ZPq<5|>8uMH zh}`5)3Cyu0$qFYI>?q`!@amS)$A<9OT~!eUIdQS}+Vl~dwl3-SC7Kk<=q$3zZd@F6 z9KcOzkR+!@KeNPv9SrwgP@H|V+BXb$+-bO+n1tEL`5n6f!RQ4sg&70ootBNEKZJjF z6SS{eH;#m-_C$vBPBWXfPM_@vtsAvNp>*QONXxZK1ly}gor~V zvsflSr)%VI))^UFdz_IFqZhn7q$q?6B9Eje<>X=^HCI(s%Mb!Six1O*dkN*LLN&gP z$Nrc104*&FXvw>Yr+h)xKi&xu14jpX4UHMpQlnnX+@0sJD==EwqcyLXQ?Za9|F*4^ zH)qdoeBVkXk73)SoQ=s~<$Hie8s32@OdHX$(5UrLZ{xvOg1a}K8`?2XKW<~+G=u@% zX;{RgC%zeB%5?{Tu0>u16{34hOhLPlxsCS%+>IrPb|iRsw4sMOyfy=|o3H+{A}q1z zg0X!`=);C2cSzx?aY+j4i3GeAcwJ4fZrxNQN~<0JlGo z;vDBcLDlf5EcM7?lkNQeMZZLvo)C=(;J-xVady+8chLa>jc)IVG|j<6v(KHBT}zLo zGbwj#9fY7qDS@hoj)0_($Gm?t&%0BbUFb;r1F6M2mF#(xH+#5bZ%58;1l=z__}Y~p zbZq+S;Oo4Ji;b13NQY1CioU->%@u$3`%eb7+n^jk!?lh45|cCVVIutDq5tZWN;#vs z!h(eRfrBYzh^+>#_{$XVN7z8@C*% z4!K+7Wk?D++&JXErTp))L;_H$YzWGT7g_)CXb2xYF73Z2HOMu$(QM~k)4klNNG_b0 ziZlz^mb~yK5`ad%jAKkG|3(#v$$5Fr7rbDjO{SGaFP91xOmXlRIyRLT$g_}%s8c#q zcJ=)ja8IIs4ZUT;!ND<|ZF1n?sDFR^d3WkVG11?>U_ouv;vpS~);*Zpb#+AnQ9cPi_3JdHy?u;_IF@LFaaB6>9|^`w() z_LBeMT#q64+*D@iN+SK0`mi5%chIDym~vv0s%8ERnf_^e$Z|d$dw&&Wwtb@o@4LW` zBS06!4#o`oi^seX2-y=9>|qR(V$&6Q;e}_4s-EPy9c~mR(xXDJ#l;LwTUvxblPc_< zFX6@5jW)~BnXPd;e%!)GQwuvun^v@m=rT~@9#9;0EeH5p7iq&mG2uGGihv|+%2PGU|Gd+c?4}1N@N2USCNTHdM?<8*%R~k?Z&-cStF-TeOxL)^XU#LAxUd_bh z3eAlBD+hSN%}q}vl39_M&MUF|+e!oHp|4FIxyDBAk;h;7LYBEs|Dy$^_gajyt zo_DeiewgLCl2#%Iyu=b*ocMYRwpHq}%{Qd6^y%2_T>R;0ZpyvtWs#Np5adw-#-f>42GBK%L?4zYQaHJuPV^mXii$ba z+64KG5Qcb#MNc0Pm&3b_6}=K&3JQP88qZ8!~x!&+`MDXII zfg|!scH#|p9HjZsh;XU>NA?T$T9J+h+~o@MZTfILwPn6w;OSf?e4j1fR@oHt37=;8 zP$S}B(C_~CyWS#F#EYF}E1SY@tl2;%k&j{%6HFSH?82~jyv?PO&w^*fr-xi1gVyj$ zh^b~JxAekTvU0hz)d4ZHw6QiLr(?a5iN*bYlr)g(!1~#~T1v`rfM8?WCrrAjr*C7| z+?~i1x}@byx<|dyE&s~z<&oZ|(8q4W;6pqoJs+_;FK?;dxwZELIRcn>IK67I*R1^v z;Z>jQA#4g-#y9GoKS+ob@e6-Bjf}E)`VZAX)7*X-s?S|MY>P*>eGhNE2mSF^LR!W@ z+?Xg0{YVL?R}vOW)nLAvlBZn@-7|==x5O|S%JGO@xdi#x&5OyU{0L6VhK_nU;mgjh zGZ|DLC#sVk+2mte`Pp$}<4FFzU+NR9j|eI&58bsY6(S=QrSkns-*OgQG>M=Ii*0{mCw;5)BWDOY zaWNpB`19;`{!2wWwolgWP~PjqS?tHnS18O`QmtVO$K{`_qD-!xG1-EYz5AsHDmkHg z)8)Z1%nNx}=VAve~=M5jdd1RbXa#)up_1_52d)yi^p zKcF7D%LLS`gh5jxFGwy&KJbWMUZ2OthN3I5>eZd3+7KX{LM)m;>AUl1)nf`qDZa&& zd-N`YXDaykM}32q=x9B;UlFXTvp!?}{Kef85t9zZ5E|RNa-rfF{rg|P<)ZEkDPpr# ztdovquJa4CD`7Qm{ij7znXd$~VLE&O6n%tDGgtmiw=$ss8~5s(l5i=wajUD z)OJC9+z?^1=Y#s1Gh-hgfhAUh{LY6?V4mCUg~sl>@zP$)Mnkjm;ScoMNHwxPz=+<6 zv0VD~QMi3oyy2b*jqcx63zIbmWJ(a*>*m}pP?04iUrUmd`_&FZ@U0yVwq3_W(*Og; zES8eUxoRjQbu5pp`6sO*N#I+S57JHKx7!hNB{DLga!wF{4w+k_6>YHg8_G4Xx>MY~ zc=LV|8~X*|Ae;a$6;HJ&x8cV5x2E-3YaR)amn|z649y_=PEaK87vtfy{}Sk*l&Snq zJb3?C#uL%@kKY41EaarH42pqpdHfCgKc9}${|7)d`n^NH+$8o%07w)upJthV(pW`H zt7Lxm6;QBIdDnnh=ZZ~O4M|C!@{PO%U zQMp3Sjr++JiDj0Koy&2seIdZPhP?7?m*Fi+pG}Q-Y*jGZo|V0L$s(6>$9JWdi)cD& zG;NebS}%xVhnih2(Ap#x>=OJoKgm!8Ui zrr*(*fA>hGLV`@54(j?)hAO$NQ>(?!c8SB#(0-v!zMpXYw^-I%6^pL$uGLUFH=w|K zp;{Towfwm7r$io)d6uq0g|XT4L>3cbG8+PTF;TKt_ntyoQxlpA3apq*jiNBliU7t) zuteqgKJR~vVq&@(BR!sunkMW*BoWFnLDHNl<(aTN$)#hD==4bk;AFE}T)C5=KyKuG5(k~|gaVjhVW=kX}G z)i-7IHh=g@t)8Y_y%KKD<7woxAKs;rMV;X)n!{|RM8qc{Cr-nwHXuDDsg&OPpp4J5 zwKhootzIyyiaGv`0w4L%%GeUO*K}8ootLlhg@nc&Vlso>ZxN7me@@N7^7ZO>3R`G| z*_g2a*M?qe1f&7K&V7$Li8{E}`0& zg?wISBkHy>hkHVbqA|_!n5K}q#yD)xk7e{zMl0hhrD9_Ou+-@L2UL%JcvgY&XWpux zG!&_l2*zjQz3n!y+E5`~=Q+T4jQ;45EP|LYrW}lEea=&#owpsf^U2HJ%N76#r(`Om%zE zam*#m2Q$WUrHTF2KO6Aj!Gr5Le0Y;_NL_SvKdw)%_iFw=C8%FUIp(tw8>{Q@Nk#cc~zwIle-n0Fa9|pG=Jw1)qaaI@gwh{a$LoN?F-Z9hyj$Zr(Y5c$3#3xo}G6t#fE` zl6N|c?65<`3uL{=2h=9GNm@G_qQDp+{@Ne162mfH2mY-bwF<_Uxqh+LJ1=1WcEvoQ z_LLcrq`YRo^%P>czUC$i4X$@c>3QdaWtgfJ|AVL`@#jN(KUoFZEr;8N_sMDtIYXIM z{rP&GNhkrg0V=4>Sk;7A)0OI2S5-}hdX9v#3+cO=(h0Y^S@g7LMN0s^o0ChOQd*iip8cXwr9 z9oGnmWBct{a9-^rp}F8X&dcb^I>*SKq=}IRLcga)M-^ftUpo zOD?H_paAw=%gy}db*y;UPto2@eA~1$*Wg)3fF39Sq#j#t>~%#QK)Fagz0ov*7xmFBvyill{bxflj%HR(FM00IAMkWS5jG_~1~exZ4LQ~OO4Yff<^v2NTJtMdCH}OpYLJ$z zQ49e_nJfzue|;L=+_9PctUH2(Tdg2hmMZr8Yq?g%wBjVSAfwlG&Ud)DghGRQlW$(- z*6tz(Ba5cfDZOlR|9(#oew^UQXrAF>U-R`A8UpK+>BF3B{FsqN?$rT_{MPfzT8 z0Jqv3m)tvy3=<`bfyXIf+~KSI9gh(wE(m%lyPh_2RbN~wR}UU#bTT!ypr+)3_k?6# z8c`oR4mE%bPa7uzEn$QkKk{MO~ISv^QA%V1Dfk+TOqvTZI%%w(JM#5xDIL&No z8g2<7!iJKcRFWSwHg~cBlZcGWWv~dUIvx-b#7(N)MJAMrJ*7c09D2rV)tLL?Q|vlY zhmZX7%%BuXRIj86{VCsMPMMs;7i@~$P{`H)DY?n?MheJ4WP+tD$@_d;bzomeN3pVk zS{CY!OGWY>b;-6jW&$Epbb6l@_KBnn5WiCoQ-?BqD!D3_J>6{YzwFv&5>092r<8Rj$?GG>gv3XS-A7+FwsrQk{Vc3O$ z28p6WUTzwcIBbVh*JJ3i?-+hu__KMsrH8E=xDG2-HF8LKVHRhG7!Q^IP~Z`XVPN{X zkyD8_dCGZ?!yQ9X__?tax8qw+CnJs;t-#+2VIyU1;9b2L;4e@DU zt-m+_PVAoRh|+3UutsxeE4utS-8BJoW|k}NFry>g@{DyzgqmrvS z{Xv6p*J*{asl_m|=x~QJ9ezKbTl+eH|38bNcMKVxm;6F`@=TSE7eD!OrJ&>vpXHI$ z{}gE=_oIE;BV3xs{P>%`&pby<_WnikssGOOL{xmbZhBB4<5L+M>%DZxhDwWzyXyaTOJ>X#%5@SPxo5Is(XZRJesWo)TV37zwv!mp+ZG~R7I8dL=m z-Sy6=3MqjS$mZyrl)Km2p#NLfw<>Kl=kpDfk>r)?YdY*e78L&^a=)n2M#L_kQ$a^A z&N}lZ^zRFm;n=ithLa~NVbS5gUT@ISsfY}OmGV#z;?4J=d;@VK5_i;bZR!99#LL(DgM{Uc`>d--rF zn~A5l@q-(q0utHaz?>A+6eQI{>JLTY4q|{8No?$_%faQI@-v1=^jFl>tz)A9%!)nY zVa|8G{dqrZ^g2nRj~EmlzyAxnGoOVKLTil<3Z%ZXWaXa*+0e3*;V+U+Ac1e`*v->ZFvKmb$6!RONgbB{7Hk{mmO{-@<0 zH*%u)mqh*~jN@gzRDxE=6SQU`W|`Mj7gfNgxwSVLI6Dc{TcpVB{C6C0|i0XE@fx4oR9b42VN+xF%(5m_a<9oa!*23PsW<*R zF}_Ud&VJF9e1o*g(qRuSNXzhgM`YKx;9OB5m%^pd)+#t%IQ1|8tAQ12^2Byt_r9O@ zfydzDXf8ACh3TW_dJDUFRv_k?jbLa{6Jorzpis0e}Ttd2`s&)AfIa3GJZ&5eJmyt_5>4($dD|jD4nntZo$> z3oAgmP#SRW5w<3zhE_C&R6sj_c`kIT(MK{hR&S^K#9j)BGa(ExCyTI@`|uB&35zUe zm~?Q4#!v{H{F$1_wc-W3E=LiOI`><$hWuF{SylX#RF@vYao{K}4}3q8ELP%edEV8` zX7G~EwAfJ#v5=;i?@3$@o35koP07F{`jEN2k@&GRXh+20ZC zdFBT8e2w(=)tnN$(W>ftCr)sv5?TMo475wDy`?bTEbMBb znea$3{OOnS+4kSf8m}JLW>u3Qxsd3hA}G)K?UDby4D;jwCy8r{ZZv%I(U}J9?i+V47`x)cytrgoK zVz7_$e4hBnyL1mcqj#b^{D=$bh}g)7i>Sj6ZEv&oX8mB_Y-R(UWsMNo<@7k8e{k@Y zX$L+83TKl8duZ$4ct*9w2b9BoTw=O zlWEv$s=|G0XoxSegv=?+4kz~F~E1aoh985KVH{BK_K_#E%Xx& z^d1+YM>@6YZl~*nzdFr@z2RB{L#K6julpg0Kzit6va-9_ z`6kaXsdB}JhT})>jwyL6nva5cYY;6u^xRGfOP z%gkGWm^9e4=`%jf#llt9>er{+`Ss9N+4D_gw2zQ`8{n;3%$84O#e)X((&^c=<$%5V zl|oQ075$Sox&4P7Q7?6n>op@;MY-eeoKI#*p@(C`MRpIjqt)l_>(%cM0}}yLthWW9 z2Dftj1)9|y7Cv7$66i20RE7{#RssZ&xky+~vjcYY1ecU09ep=>UwsLfyxOyuDE$ez zFAAO)V`6gJ6{Mt>VT(J&+#39z+%?gFZloz;&E4VJ4(+fM20WYLX*kHZGne5#Ym^xm zgBn+FOH3)g&+|w#k^d_rFqe=EFjao$mw)}WRI8-PHCqP{S}7-;v@P%;bSCORQwQ#j z;VAI|+6=xGf#p_T*rQ9b*uBen5m+qQ?M&hy*v+d>gCTv8>h>qkFnL7@bH(OmZAP`~ z%7=5nxaxek69mVs-+BQclS=Gyj(LCs;*B_IL2;n0Hhsz1Vj-jI8E!$xQWZOFvC)Q66hV z;$gj3A}8{?^xmq0&{pj1M>$6(4t(V@Oouy9&c#*o{tIXf3H=h$cZyC}`+w#V7zJRm zEo~e1y88yH^$7_D!4KIfn7P}j?B8FQlNj~j7s}@gJWN1d?Mt~#_JU%(VG*tlpG70fW^PWLrZ!lw0b4TOkTIo4_vZKh$f(YO8*Ac-$g|ln9G+vix5kUnUk63R(PTA^O62Md1NDzf)KwJq4#Om*gwOrnMs* zhsUsL1hWO45U8bNhv;*CLfQd`VpX*Wuv92|L^3CBLkZ9Et)gA?_lZ4WdRV6eELUUW zj#xBi@#6SAAdKqJW0y4Xm*Ot{y0o^kO2sD(tITI7VZEmy&|9w7O?A@f>`M-MTv%F` zlY=$rmkYV;rF_W31w(_sA5yJxfwEx!%bgpmQ7%HMbh(ZDKc?P+yRP?*){br4Xq+^5 z8r!yQ8;xx>Y_elpP11&q8{4+6cm4k7J!6~?kdd*ov!3T(^PcmX#Qq3uQvPgyPhZjs zttwO+Fse)4_C~fg!RR!=Y z;U$pbW9TIEnfYCaCS8%>n35YO;oi-&uUQ@pn7t4XNu*rOcRhJk-RT=ud0Yro6G^0g zT*7Nl=0~nH`!Mo(vLs0Bz>jIxqCxr~I2>fu?>ct40?!~_ufRMDLT0{@s_6yA{e>X! zT(!u##jruYOKS2NF{15^+gt1 z=*)s*#`*53QKcdm>Dc&wgnsIsU-}UjI_B17?*sp-Zj4E;Z|dr$zH*t2@ZBz{Nn6BW zaly)2%H3yadX4fnfHidV>a-M?E7f~yYf&y#3_UL(t5-WA<5%1z^PC7xFP@UyeXLXa zRK1wMcrK|WNnsw=-Gs$^jjY({C5eTizGP-1hJNk{qLTl)Wo15B`JJ`Wzi2FiJE%N5 zG-@JP6Px~1u$sEEL=qNJe!h8-WY+m0}2PAvMcnKO@r+BDJ8P{0 zt*tyHZCfho7#r9-IyrWRwg+S;5sJ8Ff~O&sGMZMuFGj~SFn@wzXOv*cZu)H4a!c2> z;%djG+iYfOctw1e$V&JqEIvQr4*luJ4$G&E2$O*~`0W`-EmSWKr)5<@Vyl=$`vlvw zI_x_SX4icyO6%WhPf~_%Kf2fs$*7c05$y8phd1&Sp1!}Bgq>E^2t*wf4pbj`G+yB0 z@^lSs!r^IkY$B4s39-l_#oo1k#Hm656-YRdD0kJ|AeA!|)34BWP=mJDu5r^{z4((! zDh2f5K6?~j_z%q{5lCba=J9)q7t+2nR~S0sasv*tnM=`U@cg^gQvU+V3+uWVfe}V8 z@Y5q=xqVWDCU9c)X$HWwj1r{LQ@8Hxu1rkt3D7qKH|}zRp5Ox24W%@AiOcvgj za3M1AyH9&-MGnGRaJ}d+K%#R0I8~p7r!R}N1&GKucy6ROS+`4WLQNyL zxmyizKiQ7g^`h;ck&;pl68L(3nvAw&`KTXdzNFVpi}^6;hYH|!FE{`vYu{ zkN0cvub(7SMqSY^Zn(ua+`@(HUPdO1TL*vH&mgnzU^O2wt*{cHMv#ujD+BespeSUr zEZ#N3bmn;>sQLdv$>%|_PdwwzTZD^O5iR|}>gU7nRn8jx<)!ELY>sByzQ8j`7lA(! zk1YkPGCha3l|28N{N72?f}(H@ptmA|QDr`A!gJ8mHW5EecLpq4^VJvb@9Y*pyf)=j zwf68R6Y-V4P~jrBf476V^FYF*o4v@jPZg35*W>ptc4~6{0zP-!Foo+FC#cqQVAi6a zeEJJ==gj@6_vh(J?Ds>2ti3^RGKHHrblDm$Xj^vi(L2 zP+3V#z9w2l%3VnbBCZTC9hIC6DP|wxj8taakE>Ua(a;URB00*9$BQxoE08StOoU!S zMnNrTh5_WwUC+$HLmCa+yuZr^-SUTEgS46qhxZu?gtLd3@E#$ROefwXo;nYjV1IE| zsfTy8GOJ1(DLkTvv&D0!uBCna3KK_AAj{(mb@#MU*cW_<-*YgOVzYS&L zyenA+ZrtCtJ5K8Hi&Cj1D7Q24T6xaU62Id zc8`x|Bek1`5Ijx;>D;>gjF7!aP)(}Zs4S^9*t>d=w?p|`_;vIz%(4&wr=b1ma?`0W zlC(|i3MV$0E&B|ECy^^4$q2psg`^EtEf(o2lx*s9d}_G2JRYCZoV$WSC+AY>H)PL)VrV^2rlbfs6!!7W? zLkD_M6IO>sll=rS>@o<8agMz(l3j1q2l6|DU(=i{+tuA`zcw#gb&C??7kFSS=scZ` zg~=$?ZAz|n$o2AsQC%Ofd?Wn%t;y-6-=czU%Y%q~0p(~~zM%q0>->T1F}dPw6YG+s ze?6#U0)pZK(Sk4xS7PU#J7N5;zsd9k38IDToxhM6cE#6J zRZo}qVEE`U&CAe!)vHIVuwo%UU&F?m$d0`MDW$XMDN4&^=W=Y1OE6}YQd8y2Gn#jp z<2O2Oe7mb~`}c!K<~OnjgGNZ`gMh%DK*XuugQ@=T#Z%PE>ejHN^GdH0xT;|E(Nq_r9rY+?=n zzwfoXZ#@QG1@i~BM z8>aZ0?WWRYYGM0%_%ELapN)2kj9~|d5eFZEP0?nC?%v88XqcA(8UBi~;B!-{mDeG+ zwSXvaKgoHT#SH_?#Q&&L+tg+;{GL0;?R=<>XBkHjswDgjWt2>E(msQfXl-Bn3}bR8 zq5$r}^>(0lR<9HKt6V$xkq2SoqI&?pmG1l&#fJ#J>!5=nlg)Rn9k6h$`%$=)-Vev~ zUu~R)aS1bUZRG>m!8_Jc}r)?m_AcNlT~&lwqDZl9NLR z$xVB=8s?PiO^#U!e|s9(oqZmx2S2_Hcv3;1Yeik)L5(c3ZWE;*y5RFmPNjXxu}8)6 zqlF}&=o%H|c9+CilBR`Eay|JE0}V2?FPPZ_W?QjPUU>7k4=bVtkBE_4AVf|DsZ2g+=?;-G~|l@wP7rL3<1P}_XO%1i)AfGvX>_rYqsTg+5%PL77%l7 z>gfR&TvFr4qH|G8%HnwJMj&3%wARYTUZkY+MmzL#13b_11vIj*+p~_|hqxW5Apen0 z2TQwByHmbSRU3Bgd_dNc}%*$0%z3JizU^dTUlf~Ha30YHP7uuAPluASKHN5eH4ZT z#eIQN4b(yO!)5%VmT1P~(1rf~gU)Ij*lAw_8*2QcvKujUy2*l4o^88jT$(;TxT*fu zvp+Qb6WW}9-Dnl76Ps{d&~YY=?|C)d1VG=$@ z1;qzbWM}EW$0bXJXrIL1<~ujI_iZ@>-ZqX*>8yqe4&3F-2uhQi0Dsg_Nv9Vw{(snd znU2Y)u%0d7cYy$+3Dw!`pZ75f6{Chvw9KjdtL`pM$MhRe!Y6=}d)=%5M1hz3F@BFK z(&>knVlYW|{g0Q5SSZ##ku_S9Zn2Scsy7?0n+Kh2pB#wx-SfPQ>eRvRq^7XRc`+X+ zQ19nXkB(NNN%MBYCArQ@MvMBNFDS$j3t!BKq?wptcmO**`K0cE%wJaTk3?U{?k`q4 znZ7^rjQK4)yyK7;WwiKif#s^!%=aRKsJg|2)9yaHB`!B%mGX@zBJP1pShUJSQ+07*7YodXdZgtD`&bx^Kd!M5=Qam;jFu{_vL#V>&q?^t{49aB4Fy zW&jEXamEjzTq&+zhvmPj4rm||Rvab7%XqEzPO1QrJxar)u@AC4f2N*i6cTyOJr9U) zmYzp90cJKz!})?}Xr)gd-Y?HZ8aZ5}x(a@IsfUxYJ?zJN3euOrD-LlCxN2aq3y9xv zvs1}kANKsYT<#@bJds4s?mpUC$I7QWy`>YXW*&q~^UpWUGyl$ZrX<_CRp2;H)OYLP z@l`~&rGT?W0j0F+>PVBr5h~L7tsea;YN!;v=9}V+9QJ=UVf#%TK-AI=2!0@bZqupr z423xkZPes`h0f=H1bf7=dGR%IF6fzx!>_xgghlDo(S3X-$Yffbu6Duf@G@>A;W<2@ z?%b`Nx4ROYK+WeamQIYnLLD-j+2;PCQ)tLU)A|CXW}{E3l#AsTcaA|hR~YzGq%H$3 zot+UgE`@4rY7-)>QT64pkKKy$zE6Xypet?=A$!df%j|0Lm z&FikV)j93Cc|()H%Sqp2YIVy)cyXRES1sazWh9xsX+sd_a_csfrxU-#BedCtykGN^ z4b;1*-tM7fKWo5=?$Qdh>HCm#b&2Q75=@W&(M!w2pL#DI6gdXr@r9Mpk97y=D_+L| z8b+go1kTg%4U^k=XA4l+MljJ#0f+5ENGMctnIz(w32w?I`uuN8B9N9tr|6`Qk5XED zUU$b$ht@{6k=b{(dM%!i33-VkeUM~%h8#rXjqU8cy|V3wLjC4ohZZv?t(P7{rjTOS z7I0UOsV&C=zOT_69hbAh)D=T}q?rN&f`jB;swD_VYaPfB7dmx7gqdGTw;_@hKx&XR z6S0bwWx{q3ygrKWkEZ`?P_sH)Zh8cuMA$R;RW90XFJB~48_S@RbWkJ~02!?K(0mgHL^ajreW)g20O4s3U@|Vk6 zCbG|+Bn}B@6-usXKKvN$%~n7bqy<=8${d^S$M!$2))>UDRojb8NQ&AmF#10X;sT7f zp(+4!4sd(L02@C&#EhBM@2mhRs$M8Ubq3AvoD9@b5a>;-*iG|*P~}{03Gjtc`f=~# zj9i5rTASWPofiiQ`T8Z{SsTHmkI}=lI}N4Wcx3-`l}*O$^w170t9UJ0y9Ap528Cy! zT#yQe_|;0Wc@5yHmSa&@{P%*i`|Ddj@L5>G>9n++FNaJ&^Z}Q>u140^-(UF&uSZ6- zMGz$DRK=^(=pkpXX91iNC=8eou{cs~R{k14XmcA;7p&-HkFs%bN%GM>!mIaue24CP zP>s&>h|iD{VsB?MwKAAwK>*3i_7zD00RaAeW_D3sS%j1<&WLOs22GJc7HK*n-fu(( zvpk|h%{t`(fI~++qm0BYqTL}7$FVT&60zk=NUIH)u7y^9( zzFWId`~TSP?ZP_XjJG=QM~QfH@8^;3CthYS@-sYXrPm9Dd<5_ulK_>BQX_+rX|fpt z^vhG>p26?_PLFK=m(ML4DQV*PxO`UDkDsF9H&0P7zW$2~?Z4cR&$A$dqqanMD}R(I zP6$0#_QB|2KV5FKaTDouL+bXQh5by3kL*4I7*B44@Q`1!;}e!wBp(c!BL0o}Jy3El zAtx#lp-b|?X!gW_8i_p6S*bQZ)4-$3wAI0eaN&dMzS6U&uA9iwS|hilKGeHB_0rq{nvz)rMj_rLk{0<$-%`AMO?o`*IR!|6&hGA5ko1I&0AzAx+y(?9 z74WVHwug13ef|B^o5RCW+GhV*%YZ>890)*G;fjVP!c(?a)vsZL%irAJ2{@8mK%}Jl zcM64NH&hTkQcC?xx#`Hw@Algc8+GYlZ$dL(F1Au4LRCSr6+F_>^{{4%R1*yRz#cy1 zLJ;F+PX{(>Ih?pjq;Gv&HjM-hc&swd>p!@p&<i-B{7Fu9gXLoAs zPkPnq4^KZ<3dO{zPXdN(4bsILewAJE`(hFEf0@SOcRy2h)*gag$m63!|B7#XTm_-+ znl|`HEK)k?#>>{^uzHv4v;;Y1{Fju&j(@cDvBYKZuo9ZIh5LCe7{Mx+JyrGoTI4r( zBW{hb5uk4x&N*onhlof%U;yU$Q;e{4Beixo+6~UI4Szj{!EElw@=i(aEC&tYzhb%x z@KLAvT4Di#BjT*gNK6hXz%#+odNKZ8Dtb6~IR6%(b;RxaA}1qb$Vzw} z$2mERPRA^bRvhm2X~9ASndjBmqUXiCmq9lhv1oK+RVJaB*xG-Oo8uVR1k!yoe|ToX z!RQpe2vb7BgVc_XkFoa%)dFAMUx9cf4%gyW(5pH{cle0zj7+4wJ8z6c|1f~do{EMd%aX)j^|Nd>dmt9d+ke(dGDoR6UtmBrR6fOYee0ofJ;h}{G*;b3wtx}&JY;Snx;sH+K^w>Qx#l3Tj9o6+5_|g=e0uXl-E<%~Am{b8^|Gz*P@elV%PEMMhoka>&P)RAd z^mW%3{|6sz6}DkdTgMo30y|@ilW@1POEUgfauVUu{`YZMX}O8O3Q*bpzx%oD=I4ZH z#o&r)>Yj>4v;u!sgmqsV(?t_u@e%<^e=cW!856$%JJ-Zzv)hsjH*U-Z??KzSnny^X zl2+uiy67!{TKMi&28&8!y4vbsz23=5|L^si*%lg)A1qmRYl6K)z$#KBqy5N!r`cCh z)AI~s>*>*WFEn4we zyLBxl6nWsrwNG^YlFq=Y(`Xc&X2x;uVw@@5$ZmGTPeY^dEs*5?lMq8_(acnxWGe2? zT3l)qYxw->3913Q$8mIOsyN`cp!f!#+!S6+`%>)RiG+-fm)37-jQ*A*Q$sld^twJ&uJn4*@Bnpe$r0L;ZdR7DGUdzNeys1 z1FDri+Gf&Psm{Uw$o29bl4n9;DuNsX9apa_9_dkONFetw5TLZEw1eN%%3({@EOFAy z+HLal!#2mIC`sWr zpN9@uNvLv z;6oGKy+Z+!;3*Y%^<|0d-^>8th3t$%09XnQqQ(TYIIW_q+fCw8B+z*5KE$@iKl!V{l zi~`;{iFhIK;wM^SGpQhu`TM!5bqM*9GAJ*X@N+jCVShOA?eu;=l5#jq6Gg58Q?MLM zl=N~Cm?&iE(fTvkhSb`0X${2H@w1#<7@s}n%r7wprhm;FZBM9zZ3kO_ct`^gd;niV zhXn%xmvu0z&>I+}jneZY7#%G33dFOTgPFg9$trn(&{sUNR=I?WzguE7rMrL=jOPc{ zs%SQx(`P$=*6b?U`%2DFEj>4+BV&Y#1R56X*44)MluMKPqOmX=(@5;s+R-6~2f6#Q zrQp}7?(|}X#&a(qTS;DgTfi5cpZGFdO;}$!W(Q7(C{8?)@X{i#+2yaLsvj_f0KZihrOV=Q!RO&-X#A8y6UBeDzV2$4L?Etl4H8d``2 z0CupSZ$JzHAD>mfRo*@&{YcR6mplGf%oH?Sd}xDn9SHL}m0}osd;1N>q(%)rsM_@} zRwnYIl{8G}b0x9WN`C|3lyJ40?KebU#EPw0a*>3XPcv`xyBjC3eYwq(XqY1khEiXb zor@lwLL)Y}%}&a$1z)#@0=Wf>IDeajfS+i-sz$q(@FGn!Bi-@AkLkD#q8QxVVraAa z3Sa=eez$_mR0l}oU#6(Me`(IXKX1%xxgXnQPeee3>YX|LrxH-7sbo{57pS zzzmp^62tdw%DbKp2YiT9%45A`@Hn12Q?@*^9;BI76MEm7tFyMF$k%KBRpo`F{cyt? z)c<)m{pnKME!Y1br0E{*v$w;LjAs+K>Dj^~L#Pms+icerTGB88} zF``{iGVgvbOPa~Cslyf4sC6nh_B4m>pxbX^QJ#MN$P`CTpc>)dj#qDU7Qg%zftP}{ zsOF7#lvqYS2P;wciTiqu#b9zLfK-I^Gq9ZqNkEyxZ@uu|x^h82t?)j|qsodxwFjPT ze}wh!Y!$d~8;v6Ob$zB@*nQe!lG$dc1`F2kbbH6Nr{O!K9ZnJcd8TQY{r+j6VY<>QLarVJ5Hx7c!88&?tO!L7cgF z`+xEZ?y)h+ED|?(PMei#UD%A`EjKxmeAtr?CU{qN_XWM93^;^)Y4biH>D|;9^P`sB z@DdXX{zwAx&?;R8|Dm#(c1hKsJkMnC!Cw|j9TH?T>BeSTtWzdq@+(p?M1zdKZO zJq{gxOTc(eo+9S-b4jYq9NPl+lFd_mNEa;;7o1`_1vo{^UVPT;;-rErIi_ev#SJJw zx)+U=mAbSr>0>R(-q*`+ZSM*>Qy1N7QZ1BAUi_H5mR@y^{1j{nVaov&^ z?O+_|kwj-5@&A=p@;mQ7dA+m5=yuyI3zAih9}#9Y=yV6SJF6~ax1wic%1F663m-=1 zJ#*wsDtKE1A2(w19wp-lr@NgQ+|_QI)XhDquC8`DNi&6dVLvtiQ>7UwA>TUkvDZC> zx5Kp3uBBoVf{RU$(eC-i#?2Ru9sBqvPUzA8Hoafb*?kD$->}zKt}A@CR^f@C$Z=rx zn?$PS;1ac7D)5?#R*D*Gb$H0)v=hi+%8TDBg!~&+2?NQl$Hbs$Te{3mesYttU$21- zQV*p|FHf_%d5!K2$XGdudcHk08ALF9dWHRk(*(wV(wnK6ioxx_rtRM+UyMy}a_&wn za0Mp{N@Xv7Jc*o=9;s04;#&BjN5*}^Owm7OIs_G-orW*8`1emS@KSD72$<0|nU=D% z$hp75sFY2L+U=OLoy-^AoKt<@zXY&d3;hev5r0d##MQFT<{qDEE2Glb56E(`_+aGe z(dmT6km+ZAW4IboUnz!;$t)iU<1pO2!RTz%48TA{JXl7`LQ+okx{XLWT24ETOZZ3f z*`+*dH$S)cvUjpZl4(6)kR)X2d->>O$8~>rToafo<$h-tL?m?0jvf*XV!JSk`rZ9+ zHcM{5UUV=r@c`3G8Z_D-AO#%K>1yfA#>g3D>^n3&lls5?JM+`&Ew$NO8nF&CyjRW` zwM_wQWJVxh5w~v^pDov~z>q&UrQChPrpgsS?5<#^`f6&y#l!A)5?&?E<3aK=YgHs) zp;|63o5LrZe1oenD~j*CA*)eo99~fY22b4?{gZq&gM}8QdMrEd;l_i?p#A%xoBLpP ztLexLD}jXUKj2M?_$Fju-C?d)A25~-MGF{1VB|gK6n1Fo9Vog%wW-X9ReIl6@Yt zF?12f1XoohOnG{FPZRbhq7VFl9!*K<`p>3ir9>&=Gzgp?MK>M)SH0S7|7<6{&nCXQ zgElGUT+sWn`x{KN`wu0xs>RTDF)KbhetN|uH{eY(0t1;FTP-)1T!Y`=FHJHz`;-yW z#Q`L@kBpK8i3#yXzrMwa;xDSanVt+PK%JSjz$`kB8&}lN8wpdH&0Ed|H}2ntL71(K z>)(mT+G3msBx&hSE&A@+7}h02Crrx)+T03)`KXJi3Dr9C-s}ev0)`_rdoI#oDNy$ipX-+UUypwhEFGcD~26!9dm2C zauZO)C9IwIcwdly?4=)V!12Wcnwj zXr9ekk?FQ>*nX{7Zx0;2Fr9oEkV?w*Wxr?e^XAwvO5ZY_|sdytyaS zolFVmrQM4Y)+WLZw^a~lXB!~=?^-q354;KaO9y^~4H3mmFl>d>r#!zh^oq{C03dy2 z0^Euh+VfoCr33%8BDPFQF&9kb$vw(dB%i89rlY~&)9A>dHtUMlW#wMbCLTBqm+FVg z%h^>igz4EFi0*B|zC^HRXyK^PvfpkMCGa)Z<_&X8>T)W9Dedt5cQQRBWQl*!%bECR z=9^;P79nUaGEXq{Pk)5We-ah`Qm0^o-m)AaM7EC-GRS_OU}HQ*&I9^FIIQ*@OWAtW zviG;&7|L;fp~364!eHfUs}G|0`4Z(KEUEjqwujNCHk%cs{G2w(Hq)@>`mV~{g>jKC z6NVc~3YWMIGr^TFW^*T*M%&xXa-+O1|F8vD==PiKS79zT?gBvr{{w#kjgg>JXz3By z)-+M2aIw$>!9<(eA%(3@8^Rm29rS~p1fg-;&!Epgi3&fAn@+&)JZ9Yh$#=rpudh$( zr`9L<%txI}X5*!H8(fmAnv1$|B$lVS3jatsv4x?;(zHaby>GM_T2t~W%KYGH2%<5c zbq^S(5mg2iPNh;$q|RJh;tYQ%?=D0NGAvTX6>lBDsHr(2Z}rCOrV;uT)!m-uyV8kT2gErop9b=F4RoO6Ke(1v2I_V zkR0yuHg1yl4hIg9vHl7|be?;|cEcr$cTWYe<2G1FaE+$qYbW28?B9MV@MyiwY|ysL z2*za4tVN>SO_!z?q|J7hgPf@YbVr5^%J@4rPkqywAHcMT0}FhiYE4Z^DFLK%3JT(7 zW&!p9HnsXxW^Y6VQ~4t{#Wj)qIDQ1Mex%>c0oARt=827W<1llr<}-COlltGM?G4n) z0-A`9ZO0XVUV;PH^?YfO>-l$%0Z2U#1e)k6Q%A|y;WLjLHllSMMeY801q8QtKvzL`IM z`x{D-w8C$XaYgN(Q*2jqcN+9Z`R=j@4ACPGcqs2kvTl0V5g4ctPWa8OViF zL+!Q|+#KT2?dE4FPkUbS0>zw!-tW1;dx*arfF`5%ikzW*V_`@Bav0{{I%KR(y+c*dLQTFiV}VCVFETp)XO=a(gH^2$EwVV6sBoTVPgw0y3;dXTL%DDYTqg)~3XCwr>cPl8=whJgOAbimu zebXp7Zsq`~)ODN+F#Wx{Z#-J1Tnt;ecQz+xe$i^)wAw)vn({}H?)Z(C2-%0;a7!lX zaT<QQV#jiYF?IyCizVYaH`#>fZ9GJ{z zFg?AT)?HuI0-|bj#ea2p{j^H;9;YkxDsqi(piT z;Mvd$Od1mGWqc7%k*7hz*7w9=Q`Vn`Z7-P+P(FA-lJK$JSlS-oh}H*9BXHDeXo0IH5QWI$0u@j|OtRC1;e`7wVdWN_=I$&u=XD*F_i&3_ z>^W}>p+CJq6phRY^JCOYl*Md4m0IUprE_@dRD>ZUNBi@V_hPXTf@aL?$(OumbQ# z!)jN*zX3&+*3a}D?ojrdSOcpI@v1l};oUIwIh*#3kx|rjd-Xk~Wh`-ONRiSp0gR7q*9&9DP1%Ds%;kvM zUKa!*)1!onNtX>^kf}C|*csqU%x5~w1J(lUvg$JX__vuT1Aw6mng{>%D6xD(3$1q& zxr3$?p&=KHDcwgIuKX=?47gD})uV*FJdVE`3kR(b2!CJwBle`BaIn%VtXTCM-w8>2 zB+LR(Knw_C@9&a`{7@?%cTC!ylf|JdzuUDYf3-2tH>GetoWRV%=RaeAUIYXJoBj1`gA%gF4i-XvRxFjTI~OIo$jWF+d( z5vBiX*G&4IlV3uWg0r=Q;bDYI->&m~w}vtTy!Y@K3)4D(kp%pY0Y3BMtG%G}P-RjG zjak8j$A#DJKe69 zaj6H&#=gZCb3pG7wZ7vi+1(E#@fkZuY^Vxc^M=F{dYVc{G6UhqjW%aP+nBfFpFB2Q z=mgC}z@pVb&-<&&NvZ(U6KtUx_dGt`JvuN-XGdl$kS8?;35OK`R$nt{=?aJ&xZ`gF z8Yema_Nxt$_kF61_+ka_xkw`>nUB!l^B_sfK zmK)uRkg79pcx;v&LQh9x9F+#Rewo=jbm!NKP8;EYH7l|~Z%?;MO9##GEI=*U)M$~F zLA-8ruleust%nKpo@Ipgzapc?SYULYVOZh@>aR!@BXghS^_R$@#4JABeCJkKzxKqjigI6~n|i=}yP<_7#ed2s-i!I$5Bq1DIs11m zc-B}M|IXb*_voX0Kw~0e$}iMdB~;9OqjfK^g-*hMy-g5j=EB7Qw=BjeaivY_92Int z30$;pwy2Bjol~}F+^Y$>Uf|LdDP=$NG2&B-l{Z{EL%O=^C}tVNi_~`*@5x_Zu-rC3 z!&sBPibI2biTCyrwc`F$x;|i%&lZ9#NWHkCu91QUrma7gM zlF7UGytLQ~-{xCv8WSSQnN!h_vmG$s9ZpEu0N*YkDoy)w(J-T|+UCHHIY7_A4-1&Dqy0%dCj~ly+T$zTGhQ)GI1qFg+WYV zCKjKnqY*q>>R^ZP4}jJ`IzEZeq$j0b;05ZR$bBB}KP#E5v{^<2iTQ5;0Xstp_r1T-L6(BD%AQb(aN`uA?PppfY7hbaRr=Pf${=wbB(d%F%thqZz;3`?c z@5i-sAx_E83r$lQOtmlT4-Tx1l1@m1_b8D-L}g%fycGKof@`sHDVy`Bpdyjcm-MPX zfiFY?#$@~F-n!DHGUg&eMkd?r`Mq*Wy9MD)7IjL|kFU|+(uty7_e5abZEPY)9JGE0 zaT6jPeISS~-e0!r3c)@aMxsM7mN-`l zPU~LLD{{)5hu#$SQbON;0U4%ztlLkQH8H^eot$sklRU0R6f?6+C@)?#gRLW7!jemW z;UO$4fPdt%NxzHg%eo9dDzBy+G&zqlW&W7e?4Aq!zSp}$%>PFrv#>0sxLJ_U??j`L z&!&@E+`9^=6s^Q(*et!;#%GT`nBE+RBEa@gK%z}f_DV`lel9bjxP(Z$*$&mGq8%VV zS@2kkYnOM0=B_g+QA!8s|I&`i%SicqQ(az;WbE-en$K5k$r~^592xKkT@0TELQPGk zXv6`?TDAHZ{c^f|PRSqozE@<3Y|~mU$-pF@ZcIh3uStwXqe7ShLJkUNb#rhTA4aMk zFB96jY`hpIqd9<~@J4dnkd&1@A+*5Z3HS*dgr*kN^D~2xLec&7Z=uz5 zqo#tkPV)E6jD#)~ufl$mHqes>iyBG>J(&5Kqt?+KVO)xPz&!z{v58|s^?><+>gVa? z52grx&jj)V76a*%Ws@IK-rvHsn!jk0cenwR8w8wXW z;mqfNJl+^iavnf^0o7l9`})WtrMxE{6P?y;9ai*9S4);$r1gO@y>1`r8y`ifmfS7<8^Xa5Q4NPf2!O$JQHg;nw1Rh_9Wgn9S`x@} z>$f7&xeM}xvrrDI|F3242#>1C<++eM&iVsO5=0ca2bsU~ugm{zPZaQVa;O;e`kN<5 zrOic92`Nub0&dbd(lwSf;WeeT#G%yEVhllke(qBi{V&Nv^U3tQ5Y{#X!obP#Wd84s z9iLkRJGt?WFkTuT7dKH}$i2Q^9Q(x~;Nj1wiRlzdd!rwd^9X4>fw-`Fx=T88u!PRx zTu`t4+;34F`bYp#&oK-$pa+`=orUM|WPH8js+_e21Q2&;^4}qHb2&|sVLV$fxH`!N zt68hd?&U1Lz#DCrg+iBFub8%7bAgCFz-!rx*HoaDpY_13RZNOYN3TZ6hJXE|jB*i- z>ZVyOWVdB1MQyrD(2gdVn z>vHEm8EZQ%W_e+K{e3wqZ5VrtLaNzG;{Ik^9o4tg>;v5N?9Tk)-1B_zd8t#QpBcw^0?)j4h@1Be%-49ZbYWX(qO&lIbf9jV86g8Tq+hu>7tyZ35M z+2*1J)QXd&)(PUIlU%^T`S!Q}x_du9j)o>>)Gb#@3Hs$Mye(nGF8F(T6jr(V8e?-| z;e<|NFAUPGoF?qAiGH#%zz+Mr@BdC*U8ppn^ww4`2+JRak7NQ;Fea-`%e6JZ7QEO0 zg$h3yAn7!oCvvzW8TQ=}1br|F-T~zn26?-46z7?ig~EOo<<6#pb^j*h;J`s|-+0So z_7n=}1I)5jpJ^XmazRt)eWkolzYLMx~C+&{efeaL}?u?X`t5i=j0G@t1n9* zvgQ?q#}yBwEAfSGT(OC^s%F=6lM{cXWWRlmN(0G(*nfXzLw||IDMD&d&|b=9lz8dp zp^}s^OU@TMCbN*ir3=NLo8-7Ort*O@p3aIErJ&s72JMzShm(!)!^P4Spw`f9RsaEp z+1cdW6bML2$TTKB9PF%l|3L(hiXWb_O?x%q%s&RsD@nJXiEN9+TLZrPz`nssNVc8{W>{A3C>J_nDjp`25k*GB2!cOz%mF;!oA`d>`!S zbnB4DMXsHeUn#m9QqeB4qyCFgD;9*)y9+{^<1%Uod)pF{B76-MN+oT$5DNO|JV1OU zLNh_$G@@IsTl)))>@c1nl4lBR4HYT$nbAPKN?XPr%#AY=@chyK^DpLof}Vg}?{GsW z^nlf&tEgw?l<*MBE$OIYw`)x)$Uu>sd0)c09;7W0vATi->K7$5g36O50%Yz3RXVW_ z7_$<);IID%-l1vQ#e&gs!o)ZLNrYKT#Aht2>*-QgQxreSVPPaL_QN8YiLR*%L(7oF z9^&ZSJESFDo#KN`&VdS>@hrCgYN&7PeJ6fAo%JWOc5jl26PsfEXjQ1SDl3rWIeDub9wvb(Sdo+|PgQqE1-lcsBnEwqo;li`C zllbJPBdrh^)-`<`)tPsPkP_$Da4a1)CNhxDbGGh+;nw0Q)>M{9gn@F=4b%Tp<5z)1 zi_i8OoD!Bt)_m;3L96f?wezW?hiiq)AWF!9k+r!%FyhfGZHhc#v0DRwVd~q-0;sjc zt`%!@exa`}-o4)XLNiy`PmdGxW8?15MrcQE#+haZ=li7Lx2G2V_H1{fEHixh=Qh^A z2ddrU6ghd37ss3B-K1+uUoXbfQBiSH2Rf64@9XS z!&bAYIC78Qk6{(80t5YDMPhpno$z7wW-h;1Gxc8ZowS$tw)=)LPo^8k;jP>*ZWzzYilr2S zceqSsy|5_efn{jH6$Y?$&t9&`#97DDUf9h%zvJ^N)aOWNKHKg7b+5?C&Hrhz6`? zr7>8oxk+PyG}r%+LhyUE$LT^XGAyQ>z%TUh(~n_RMYkxP0?X z^KfnJQDynV^+7+;2^K#PJ}sOjKf&Agb`xpaiW=r!IZxF1p#anulp_gZfB))qJvWz_ z!-AQ0t#x8va2BB4cDiEXz{n}5-vdi&rML@W=>yGa7eWqmR?(^4jLlFlWf9=+SKtr3;17Ev zoaSl%|7bePs3`kri%WwdDcwVNcS(nY4Bg!w(jnd5ImFQ2g0wW!-O?@64flEPy7vp8 zSg@X$`Jc1TKEFMPsn}iQC1GxZMg-6*T&Bn=#C_MVC*i?1Lbl1zlznDSs3m#}NUd%% zfBxJb)e%*EIH7P2EgSd0&soGp!WuFOxzgr|&4|@EwRnG#BS2{Wl%{dGV38^ruP1Ti zNK~A#ZV$Cx77=Jlu9r;BSzrCylNjo*WAo$)iM+ZOe9X3Q7wG)hANlRCAZ8-i9{UV| z=`Rz!tCw_9VzUiD*p7$Ndfb323A*Gk@S@ImVfKKJgQ8Enmse>1yt)S%+hJhDPe&fs zby}tV89e&U3hcEwGag;MPqgU{gxdhFXP!Z=z=J+ni#4wz)Qm>8h$HVDevg*?GkeD z@TcWarm{+-C(9tmX|?d-)&k&z*l{1WF5Oyy+`EAaT`PD;?^XSJOvFcoPYGFAO0Ha# zD6;;bU8y^>G2mJ%-cMNfMlMi}tn;(Ujya@y&61R;wLl7ckEuyHy$0Fire}6o>(p@P z``}G#*)Xy7=}hd&4DYJ@IRiY{MRK$Z$SztE6u?D?HZ@4jAJ3~CUtvu_gg!tLmCD`3I06X5+IqgtRXzD4 z;GsT2lFilIau$G@kmQdyxQ|O`c=p8$Hx^eBqh%TvSf&7Kg!6+JbOTiYf?V;U8g*6f zrEc6!bUWL&8~TY1@4k8!VMr<){)ZKBK#^p+ufDTU0SBLpnTj!4-uRamqw%2FDUwc& z?}^0pE|=uG8IuOA{YTF)j{u(+K%91KSCug*9}*(k#2wnl>NB>YkgLASXTUggo$OC! zK5F~hMuydQ?|~>g0^O$~woigdA;>F`bruYT{(6t;-Hvot31=;G`Hi?fDRL^B@gP9# z6(}T1a7Bvm7B#O8bd=kNiikX%##!-Akx=`>p+h{-8!Ot*xBC|$nc7?n-`mCtXx0F& z-KP>;!Q0$}YlHCSf$uv}7fGXe9eseh za{Otn9Xk|BnUoQe{^(`QzqN5FMy4vtZq~Rs^H4)-v+;SOnO#f%e2ei?WdDvMc}A`H zwXUvF3^x@|;-uvkFgnpeM(j>wV=fZh`m@Do*^CdJ5M;IQ811-Q`;u)Ff9yJc`sIC> zdQ--J#PxJ`dXe(T`kYVnIP;W#Vt=8k>SUu{Qo@1HIX8m@_%mM7xr{m z57bb^!9tEz(s#OK>%5Xh`ex9Lq9`k42_k|4*KAUphgiK;fj>1Umc`Z?Y~brA@OOY-=a`3GLR`QzL#Q9{#&62 z@?%yIyl;Ms1k2XG;(xPQ2>SJ2Rj9D5Yl|r6LLw~k@6w;KtQj8d%JOP?$$(761uzy^IH+?63N-K6fe_BSnl$e3ful;vNI=!^a0_{#mfGi?SmUDF> zVcS=UjY7nmA-p-| z_T&b~MZSLau4>^z?=$sMoZLzdB8!*%rHWB{iA~ zfFvhP^G-WH1g!YcVi#~@sRZ*cgKzgc?ov~dUyuui9NuRnjp*2GJoIb~p3j>%*ZK<7 zd>jnBp{+OGv0f6)35m65V`B2FAKj*7V)ETPPmiP?vB`ed&WmADA~KcN^5uJChe$k0 z3+5NpHKR_QhmFzE+9eLjvM%+?xF{{%2h&(ZwwAA}Yv}r7{d@32!+w4NwY=$`K@7<$ zLrLZMnGEp0d>pIF=dutpD3olWpwY5 zCrR;ZZNpXh%*h(``w{Vrh|_bS9E@X2@NOiL!GpLT)tKf*^1GX@#iR@i(DjbK zW)=Ff_kcml?MM_h2NcG7J1+NAvktg$^wH8#rl;RD-71}qb>HeSUEumK01)9y)~^eG z`z70%P98PQ7DHqcG%q<3LAcAUQf|OK0}(b0Niw`>{a?JlIkQ%^L2T929Z>8c3S8|2 z`yX+#{C+(D?bR*)+L+psP4YF;sH9=CJM;!8nC$H2k1bwNP|~B~rltLW&2S@tr4BvsH!@c>UW7X}Bx{0C@ zyuIn9_SERr5lgUv_<`F05X6Xo)oTp&#rG`DEK%U%@(N(&(;34@i{+ymt=eVCvGn4^ z1Lp1l8`3U;>>a8&XwQ`j9f)qM4#Hv71ToX2$lYz%Sxtjdm<@qVj=1a~uDf?s0Dw%X zZBF#G$A-)QJ$U^&VSnFHD4{`sex6GjRb1f$If3o-BUdFw!rp=~J+S1Oqd>GYgB+XM(IFi?268CZ7iy~)L0#oW5=0t)4OqIg767Z{w zw}As|tIx%foNS?`T#>)!cdLP<;ywNcuZOmWhc>bwqCg^DqEZ>;2U(jaAKE+A_})_m(-BaOpt|d(+4c6Yq#cUy)d1dJq6wrF_r`*hz@pMa zFZD20iyG5EdtU-mq@JS23M>P#TqIGeBz&}rR6n>fkXFtq-#)M5!XWN#I#1x;#pt+=h2&!MLcw< zkmpy_GCO#psa7(j&@hU(X;b30R`hXyjmylBx3vi1_98DLoP^8tG-Ul&0%Vboi>YZ= zuZnPOz;g~8y+I8!Wy{&cLjZFafj>e|m#_XKjzZAmfjJNJN8zS-@oPtPAtX^OEG{&zBYO(jnjGm<`Daj|SRB`^yZhm^)E|^4Xn% zxh66cdI|S(?)oWLZO_AMleEj= z+92QiR~)3rD3v|8TXn4GHrIL0xN;6>__t3tor=IJw+*Z#Cs7Zp!n-tm_5AS`62YEq z?TvEu;Gm$X*ul@=Q$7;)j;(2|;M)C!Sy%h6;68eBUEHGbzNG$G$@JGlwY5TqU%E%; zkkxOW>oZDw+xb5}o*79KVt!*xkHY>M?=oSbW`jEN0fe$g^4-+(00Cmk5UA7j$!f-a zC!P~UvDpMbEJk6@;}ru2_w84A|I>v4In8pi;4NbMelnbF>nZ82H_YK<6cX^7dJWP? z-ss;U6OdE89UkYbcU%NZBj5Yo z{1lBP1L{%JCUd0V_6YEIJ>_kQub)`N!_(66m%XTSh7^b3V5D)4l@BcU-iU5`QlB>kJ z+kCbf&IFF&YiyXWEGGHpc=OEzsW+@iydR-%=)T{`_nsesfu%eSaEE$&+AZC903AJ( z04mt8n&~Z(`5gAG#fDA07#5sVb5ESWAq*%19p|hPj|#=|sYZ4tL2fHov?V3TBZ>qw z+FE$pDw4dqT;4$m!)(?uhj!ud^4Eg{64D=r>p@3c(rW%T-h@fX$;L)jWdK5>0fn)oK zeKsz_?B0YUVCiC`){h&BkxylgMu4sk&E8wi@YV0&kzu7jLV@2O{AD+)FnzHMW3%xG zGh+2T?rF~AYD6c>R4o!KL(l~EZyV{rOVN-qyM5Zydtk9HfYjuCLrO!MhN;XquMv13 z!#UkSqaEm9Y#G&RwI%HE1>nNBY8sIm4%@0q#sM4z7wf^{;h(>`g2NNT7!75F%{B$_ z`jb_Cc0?n$A8>S0RuwWrV`aSYn>2Ch=!agd6P2 ztu|!v(-NrHLa99IA+=?LPI{xB{e;QtJe#hqWac{b`S_S8y7$;hC*P@Y=}~*TaJb%! zyAx&?T4aymU|{-mv6=hHhhFesxoKLl5CRtIs~-}C$@g$19CC5(q2WvmN%7nO6dT(A zS8RULzhPcNEIw2CsUtH*i^h9>xn$FzI%iLgz$F{__ig6qJ1CQa*PNv`SK0_{o?1M0 z@^M9Ak|VZkdCu0fr%2S$tdN0y9@1c!LE!*}X!yQuRFqls4}mvWAaE~lEFH`M^62}qGTnGgOY;1kK<@^Xn2LnPWYwdIw7236&y9-ZgC*|M{XeBQBio^k$(af6c3AmIbhM@A>A?juqP01l>g9ae1jqmJFt zulDO6>e3hRxyDOznPF#`WMB@P7nXxTcU<@~f1P0?ZnGR2mQ&b*LcgRm1*5RUgp)SJ zR9N58l?qGF(FfQG{X`9-9#4IM`qZNhLfL?2wY`Kc?}G*V-x&fQA>dp?l}$c}wFnty z$o5KgHvX93)wGP&{kq3e{WqdZE2SJ!SKKU#us_|@emK^LLtv zY>E?yq*W}K8`E1J2bh&rDhdubtT*amTPWpY9@VP6nPKE$C7T8(~*b;gXxuQD$ z2;m1jtyh~Lp}x{Tgj#63Pzo-W_A?84@$dH0aUv;=`&!b0k0s|d_kh9ZtpcK`iNPH?cVunOOyD41}>KRW&brw6iL%N z+474jHa?)PB*Y2?m$m|)(Og)tiyZ+n$U!`OlxQC#0GS9COAewzpduV9C zH()#Zc8Mt(sbx0&*$I31ya>mb>l`8FO zQ27>|Cdv~?3$YK=m+Z-kJ%mQR8>DIy>++wmB(15jDgce0%@#IGV)%HUqTaG{CJ&pS zDiHHnaIv5atC1u&bXCP;(@g6q?hH>iMI(SM%p*yHnbNrNCEi`Z8_YfqT(;K#xHwiKo0z$1Fv`VQE25uuDj*{i>Dl8vy^PWcnI-%Pw#D zdOaAJGKu_TSN92{@@lh|(T(h>b?RUTTPES}L6GO91nlP+%1Fz?8%>Njfe}n<(>1id zffF312{G*rQ{xzI)>P#3=W3=i`dlGcO7pi(pIs zO;aYp;I)3NUkMOe_K{3#2`R!abFR-{7`3irpBZ{dY<>k8xj5L8LQp1W<8o4vQ<%yf zwqWzku&#q_)$_+J|GpU}i2^p|;#lP)zbl-h(vt($!6MUAXZuOxn6rfsWJyYM2@CKv z{15u%=2m6fGGY(*IxQisM9q|U6wh)Vr}-d)b9q};w(bD>&G85FEM8U2T6BE!kkby~ zO^-j^fhj-^Nc2W2WcJCUB@NfaQHFw+n*W0*0g(&@WyiPtU1U0cl4_sxF8r9@C4`QV4Ei8$6eEyY(AJp%9_Z(hjs2G z#}x~d)tQ`_iYF>Ov5nM6aR{GB3o+@f{eTnMu*YgQ`g=;FRVTXS^~A4?a`w;H#{!0a zQrkew#C?ZhtMOkv{b&LJ% z1J8thi1(Z_WU3=mz=;in?giqdBW`K;E>mfH-<98)^YD+4|v}j@zRd&vTCo)PdZ509%)L=N}a}9!i@srVLU2 zz0Bvn;OB0|Z7r9p#A`OPWxEQs<~K!XM@Q-KZ>VMLWKZW$Ywr$uJlT^R5K!d4?!<|`;$~?#-L&AH-(xA&e8#gWcxze2aehuA-`6OG35hKL4>|V4 zmr5a@SS2CQd6ISm`l@me0#L=wjpOT3WQ~QH;rSab|7oHu{(`6}`v(zkuqS{&mZ-(k z2Y?{XZND2n{iP%HBS{9n(5fUsxR^TB00%0i070W z$WXf3rcMdazqE{pgkV9J7RtV=N)R4bpl3z4F*<<8|XL+eG1XeMymhpe&N` z%W3D`hL6N4Y!IDP6zuKBKuts;7mPJ%AWlDJ!zcaKm(!IcB1#%N`CfW))05R_a=r2A z!5Zh&?+H$cms*XJFZi|1pE+RW4tFK3YNn*xqHxT1maJY)s8ooiMKlYzZQ~?;%5}=I zVsM#@A6FwTIuXybZRjSmN8tBS@oZ&3tt?f(PL*6~&}pKnBQN1IX~pzcwql%kkO|zA{O_S=}ahl-9o#c@wQB8{#7_ z+U4>%Ra|VJeZfhRBYSsxLlEa(LJ^+cw>;lgmjU@1+*SgQhp_ATqC<_67RIkHE&_Q) zYgB7L;NS=yaR_;3dbY}Vg+xd#jfLY?ed4@TgeN7`VZHX2#XJUy`#tr=X7;iK6E?wLu4=NEUdz%c291INdIdvZu6fUHw2bO*G z?%aQTv%+>-#R2$~t>VyKx^Gk_YUV){MA*w;wde+lnsM-66{MiM=Nls4ut?W;C@9XU zEACa=brOYbopBkgODLR4&Cwnvl?x81`fZvGLJdwOU%uGfnfD!-ZJ8=p^M7|eL6e{3 zkJA}KoO7_(G#!5?F@dJOx-{|gq+R0wIEQ&pkkmaEror=@a2B65lsgqXR*Z`~pWS*GE>5Gm*FI&k`7`Q*K%gPIWJnrsR zH`xs+3$=cC2A|h0Vx8$S zKt`*~Xwe0=|xM4<01q*+q^n~>Wl5*_;GPd{H4&}!C{zJ^G~oNK9V z8WgTl@WCpD#}%mBn)|mm*@u7d^>u@y>?_@EbD)yfOzuDl}R zIfPI6Y%R&T6PlZxkn(sYGYVgFZz){&9c))IN>>qw5#C zXz%otUSZ46Ob1_iD3ph!Trp3=qjL6eTE%(6X0wo*n5xj2P6!S1IiBaewMIk=UP`PG zI+^1&)b`hnI28DK7lr{uPbYWP8?QL5I<)b2pyhsM&H5nHrTBDP_YJzqjl(L?#k-EJ z_qGs`^07NKwub%vDQn-53DM)*jQros0EEOOxnN?&91^_{KdyZkLDa5my`98D(q&@&GCO&&yT*}Pj%bc2gk zzCq6HW@SpDBqKx9fi5}P7jeQR5((Y-h`<<5F`KdQf_sA5YAkBPlZzpz*NUSYbHF%s z>{hblGv%?Y9kKeg`Kp`FFqr+F;FqB=v=`H>@YTMXyESHYsBSs=zaSQX*JiVG$EF1>Sq(7WVfgyEZVqkRsE0uC{2DkVMhE(-q!Nky1GOJ^v@Z)lc0zULAh z`*@8h^CI_K7Ei`tB92~iG?ft}J1GXff|pH~*)KpI>L$6(PXnIt&q_>!+nDmqtQyX= z?($~=@vnZ+uw{}E+Tt55lK#S_Qf;G-&=_lkM2%xn#1kC>r0QWWv`AfA)Ans}A_TiO zdBnCIM}SV#UCuET_=8aduTsF6^zpe8QGAY-9AI25dX&bi&Svjp;*rz7?CR(vk;wcf z>YH1*3})T9EcMC};r58*U*#`NGQDiN1>vkHnw%FdTi2P$-7av}=&;5$m2<95i=oM- z6sLu(GY%WU2+gX|ysSs}2(uRCF)PZYJIrMyacE;aNe{`Tpt&cGnR7%V=4REOVc?p^j3&0|V7$7r0`ukK@{hr%xYN6^@>l*U9fx4StWvPQnNHG5Go)%P65_Lf+%4ca98R z*2{Fm!&s%yeMy8w=#BHXUu%uwD7cl*=gH#HITJ4n#i3O?`mm)e_}pk)2RVt}feRY0 zc!fH}@^s3!-nq`n(U|n543hGFM1ze76a#x$Abb5ftKab2nu|4H93sAVRl&5d=-Q?h zz;Tv%`BQqSIe^UN{iXBymPAZ8n-~Qcm(o)2uA83Vtv_w90bwFXknyE^_axYW_;(Cx zD~W)6zgOyPN8?W-0XJ1*Vjmt`nA#h`PoO;p*CxB`&L&Q%s;1s*0O~`!!=JJ|6v9RO z@9`q9_@=Z<{p&t={rfktiAf{K+S*I4jt~s}A1~rw)QK)2Z2ENrD)2;Jyc-gF2(c-M zTF}=&1aZ?p*xtvTl93f19hS^=Cgy0{_i;VF83!O*Mx+R$l?@ZTq1&%E2Me#h4bpQ_-?Y=DPOI zsO+T1q%_+y#?6iZSdc1hI`xWAgbR+}&)jNGQ@GZ;y*^+MU0i8OLCv-Y#v)RFeud8u45{e4Pq7eu@9)npwE*Fua zqB{YaHH$R)fKy1V&pUrH*>c00z)J&eFHrRW9Mp2Io*9nR)O*2=kChjd47$@j#*T@y z1ek$yO%(C3?~sH~j(keHO${hZ?$D65^3n6v=%>aUrQ zorX5n`SfoceRBspt8@h5)o)!iidQU`)DwTSS-|S1Yc?*za2dors_A0WG-MKytP0>B zRF>vCDw3R$c~rG1n87n25S0!nCdDc$#3Uhxjg^YV0hz}VvXy$tE6YmC_E46Umq(7r z`L(w&PnWnF4E#zyU~79L_Z7dQAfOMGJu1SWSMSH6v1FSLL80yPK`S!+8OO1(y^Fdy zPc*$ZRKmf#{&*esv(<)m@kmIgQug&F!2W~i%#D=fC?fDqm8txYdSvD0CVBEW!!?P+ zpr=(5?>Q43mY45cxFPxq`Nn-?=(iy>Et-_rkRU6!Ge5H+t>D|SG`Hvo-8orgZI5GG z``Z$moj{uY{U1QK&ga3iTix|>n%y6V$~XQyyx2*{ra#KV1(_$)@VRe?l(jJ~){cn? zP0C;xr@w1}LT zwBh>hRvct5N&|N1WPQ{_P8o=^1W_aLSpt{7VM~2N{d53rUqSZb9DU^iomE9st!y>H zn_IdZ6bs7?K*EFjlnH+r_=ejbTIz!|76upATOJ ztbrl<>5`n(<@rWYIDF5(3$V|p)^1IHR5FIwnE=U)+(AMwKrvRIo;MxZ<~ccD(>tY( zxwkKJlp<4r2oc2pT^mS-n%MyLUUaTqVtAPJgW&Lrf)RY`wDI!Gy z_c`EAOto)@R!Mj4YVrJ79TlJN>%?l-pmpgbukp(-?}!t@w<*bMRhT3C1x@>aXSw|% z!^+JNwP6@ozOU~r4RH0>tMQ)EwJ8=)2$|A}wtwn;qo$jJ(gU~f&IVK*8VVHFHxYw< zQibu_td0H_!sCxR0iz03d3RKLM~Jb`$tt&3tdiZ?le#f(yoMn;?)_`Ml)#a(XlT6p zSAV#~XWRHIIn88FVEh-q3V1plC07Z*-LAO91=YuiACCke^VM691s+ju94vZYha@!7 zkS%?HhO~1oA_3JikHZb+L7KT+Y)v!}JP-Xfc70*miRiK!b25!|hnDj>u94TBd77eA zjR@lZjAC(1#5D4a&w2u}In+erA!t7`SXGL&HPuLc5Zf}JVI1L{+V%T-NQPFw6!0BI z%#Maxdf0YJjvAp%O`Sm>c)&j_lpK75pRyC|!XO8iWj2pNjs z;`ONWQ}<#8>d)OW(2aDmlbR;3|J$N`*P5uxr2gdgA{XpKo5By@_UT*q_vJ6J;Kc`L zc~KXL=-Vc9#f8PR=apwifIIeWTi*(ICT_)Dkdrx}#TH0$vqtfYuk1e%vSQI!E+#0X zW*)3zSXqbiE5tnSsuR#xn(pL;x^-0A{c!4U27#!tIqcUloKNjWB;{d!pWII+X^7y@ zMMDH+p6T@ozuC+WK5|795 zz#KZ=^p3SEHzg7-{F8l>P=f&7t>^DG+)|`46t`z623U z5#c2*JfkXtr&Ko3(s(KXM>i|{rZgL5m^ua+0ZKnODooEvln>#z4dzj*4$Ce{Ta=0K zoT|^$M!+}x;=Pz6tp;~}>;AoE~Em)Imw5hfWfjQ+d_ z9a?WXL6B&PE=B@Sh!ES90s5*oi&jvGzT%GYe? zf-PCp_z)2o_K6yBfj9z_VF~lHI$v-x42(7ns6nDOE-fvFGI4NnrvluW6_Y$PwzGfH z7|bH`o8GVNtLy>DzLdC9U;@0Hsn}X|9n_ zU}TCpAmq4MvxADe>gh#lziRJ$+qG^Yt99#x_Bi{(M0sF29+Yvc{}%T_I4_byyT-ys zoOEr4T3Y&wR5g%=>_hWsOZ^Qea^8q)@yBg8Cf>B})0oJb@6gMPVsG!7(hgvZQpv>0-VLKXY#bQbTRf!5^0%4+|NE*x zOwA^o)6ym7XT9HIlyiEc;fCl1DJK2${V1YFx2vq{rR45~F0#n|I~7{T_vJ~k4N{4x zOIlBk6eoloPW61lN@r2Cl=18;IV$o)%kCx`kT6ZJzpQ|lif)&JR>OF3*|*0&Y&aivv#I8eCVJ@n^} zm(GlN#Ex8e-=W`lKqyf&diSamAk2~YJFwiWA9fI%r#6b@8U92d2>^2#xSvdu)c9_k z67ww!$|B0u9KmOU9D6O#jZx26RQHob8B-{N5w}2s-BWFR*%qyN6^FN*++jNmAC{L=Vp2pI z&8BbNScYy^&CvLDEzt=Z=R8i|A+__^C0skTk-HAv5U;Q0)xhAPw}%O_kAEr~Tx%$}92yY0^4>r@R8ABSShDH?%Of?+E z+$US1>$}JlDe!NU!`C2ZHvB63_S{K7mla7=P@mqM4mf z5Z5A)B2ku6#4ypn$>OF`HRsrZ(DnIeeZVUq-U_q{d5O`?W{@1cUnB=}r0poAj*LV^ z#)h`UwSbi~dJ=N2)Rtlzk2%>JM)Ayz*xcV^Df5GFe~`F^#rw!ZxtOWIG$@hvu+1u& zaf=720KGqH0Mhu3x6LkWN(pE!ZVvhqaL##VGOMZB$WNFed#Le@)K_NOy{3$5Cs+#~ zWMFS(ls!VRki%CNbNN0xyZOTAO2pUcnG57iG6M~$Pvjh}U!PBE zGZgU7SSSqh1Vto#g$~ln?vG?O+iXRHi|ZnCd&*z9JVZ0b4TD&Z9yuvoDHd1xD9(a- ze;+XQq$hbbpyImh%T1IeB_Mxrb)DN*NQI>J1#OvPNZ*+XG@BN5X$8sEGn>6WKO_ZG z3$vrxj{jE4o)~MvzTvlN7|BgciMg>|EI^Z?c-_S=LnnyDuthTa@n{tMh&7@%lKls} zL~-C|H6Tg$VOl0*)&nC59g;R&FF1!+sG{E21o4fM_8T;rm>#S1M7BeQU09<~ccwgd z#GcK5(0Y>Pzk5H)=cb_>s$5yuxGIyuX8~WMuhP)>oSBrD7CQWoN(e1tpeURj+4oa) z{!lGzFI8atwv#A1=_@+6(~UnY%Vu==X0BG`yAuyVotwwIDAwG?0}U>g&B(yF7cF?9 zC>cpBjwCFOgxr?{WHHCee>xhV;eB!B6qSy)yoX8Y1m-oPgP4?&rVu|~i{lq&sNhC+ zA>pNut_TMGmkrft#$88hutn(DD*=)T0v{2_&pRs0!)ZVKV`7PeG#dOBTVb@n{P8LM z;-F%zclX~UWy2mSP-9t>gOH^T{Q`3!`q8g;Bl#`cQOz%ce)rhmd@LH368I2`1zPxN z(%y&*RIyX)G^5miPfq<_GL#dvXcr-rFScT1lRpd{F&(@xSYAe=zlvt=efK zk7PS<-dPAR9==uwD_fuObxyt$Drp89H0xO1R(W+_CEHm9P78|XV@0TlCsVHyzV1a= zYyzheATcORkTd<_8`V-JqHiT5zr6g&v~5(OFj1yPKx8qV{vx+=kh9#_ZPp8vvVkuF zz~tWA)w6n?W&*&tQn6v23(r$^7>n3$j76qAczOQ2XQxrjrkN<+ zoOgUT#%-JUM2`I0a=;$+$chFL4jf?#8*Q$RYd!2N0LZ}8;U(=FiRGYaw~H~7NL8{#wIoB4Y82YIu#kDvcZ8U7~VRT+`hE@f$% zZEGMy-0F%(qCq2JA<*={-zOs0`-$llFYX+?Z=rSGHFLKw`U-ziEvWMOW^q!$==o29 ziz80AgM`mb;2k~D_rGvMe&JJbXA4{bolke^3aK46e)Nf#!pq}-pvjzW2e4Lf#e)G@_h`K(A*9ik_v1)1jz^$Ay_ zV1~IfVw&a|sWbG}!r&s-J!MY|%WXAK+Q1Ph0{ZGhS017m^oCSm2d^hd`I#+os2((d zlMlJAJe0p8KpT5c?(O(DIGKEzI7QJTt{v+--}@VWCO#$g-p7a(pIf^2N0oPjig{Gp zIsA0qye05>){ZKwnAH*W>emyW2gI-r2?`eJyP3j$AIsh zT?BueFlz=+ruUG{9b0s}MV#)g65HxsouyB1<&EZFNq+WB9y49Si94Ch@^HPpBB5Zg zRX7H$fnL&m&e?Bg*SKt^60Rwaj*TfX|C|O^t->s|_kBhdfYiq({l%HEN|V0BK&lC# zPi*LQ1sZ;j>_E&JezvYsR@@j!$joW5^pO2Z*GFX+S86ySOA~D1>@M(6m-PycOp#x3 zp7__YIdd6%#?1;0oUQ{uR3k*EpH|WSbLWqbZ70;?Hf5^PV3yi#dr448;m!Fz#y}S3 zp#Z3$0z%Z_u9`YgG$j7g^YEpdzP4th0ZYqYGx|%?wSwPnjn1Pwms(v|d2IgkH zg`fyE6NR6lu2SuI@tywZNamH-Ty$`Jjzv#$N{uh@B!zmqhLc`xm!Pb5df%>SbvfQD zZbl}tbaVUiR7iG5+SK2=$vFaX;ua1do4&dmISXHE(nJ4Nx%k<7hVcrI6{Jt{;sT;R zG42x^;r&ZKcqh;#8=h_&pxLKJ%)}Mzrb&0igEleJ?>PcUy!GPHwE$RE@9W}kBLUM9 z3#d;E4j8B&W7AUC@JL(ME6;#gktI-exuyCZ&H4Xyk$|`4!&2B8)!VRrtEb>7*#}$Q z`x@FD^K%qNdkL<}H=Nh_ymLxKw4mOkxk!x z2nj!%jrZpSc&1!I=Z1ULFVds>f;hlCW=*3^tEbq0^z-qfv79a5-y+fR@d$soD5skd zd#)0YUiE!L(?c=B>D}xVy;eDylB@P})NuyCxJOvI+deU`W{XKF zcw-l;VmOrY&$3ki_)tCZ6(%*4rho*xfv?Hu!iks6O{yJijX~9^p;Yn%E{_&{_W#-= zhC1)QlZD-GM6h%dn(jrp{=iNWhtL(XWmwA{c;(4z&#-wtb0EDLJ$!~ffAz1O;=Gga z(u_LJ>Tuo5U5|m@d9|U;b&Gzc@|E%;i%3C0SmyGO;|f=>@lCI>hQD;#PJm1@+@D!T z1O*ZA9#97t4dKS#wINprbUTrGuGJ5Z$xCb`>+L4Gs8OAdQ%6&-%otrAb~h=I3*~*@ zw%1$!L1Zf6K2S>L7lX*%-M^0j$gqi=8RPJ>27g>%w#|p#Zlv;4nfFl%DEf!J=WS%w zv^M5|ga0!P80;6Dwe;gFb|#)LhM751a{=W*+0dV=I+5+)UWZC~6xuxZ7aDYSKUO^c zyyIwW#Ka;+`v%JbjB!+vPI&D;KPD@LU?r$PL=7pxSP8mczDpldQnCe%nD{D1U#;LU z^qPb{s)DGQPx<$Jt4Gz1*=kBn5|N#JUBJBlh!N$TMAwU6m{H025Ve)>cFedhKu&U*tiZptpUn87}Rwq$fM3&A9)&G_c%EVu| z*mOcA1w_&wYa7KiK_hBghXOmLqhW5_+UZG=DTaR3(&Nx3HR>W1!EKlR)fvOjj=!m> za$F>z>5;1Nh2;uAY7}-=>$PEBs7#B0-@Vh*OpIGBe*IVfO!DfmH#sJ&yGCQFDW@P8 z)tpT(I3U$y|nyC)_!xK^J|FC3fE6Op--(kkl9-+`lWvW+~mC;Nh{qV!}W zQ!Z5Y;m;&CedZ4$vBl(~Is4^yoLHi_2yb;pmZ1RG7I~h24vOT+l#(lV@}5!oBQnccvj)2O`mZd>b-fuPUpzE{ zi~pl0o3VN^bu0`5S5V!7UX<+oFud{)*i~YX``jFAOQJOu?X0$FnnUe2 z-scf{6!h%8KUG{TTK9Xne{X!3BA{OLYwp&y?oyydU5jO?DzZ>@axsrCS; za0ekB6khyS^aTW!_;KHpxN`ngHUm&}!brXksps&nhQx(VYm}2Le;ywTPTuiy1Dkv7 z#?F73n8=StvJ`N{Ol+{l`%%WDr!TZNtu5t!dM$zdBf}wI-cXNiGloU9_3Lr=E9?O` zQL(5`QgWUXM*3bw#i#}w)W#l*HhhB*o~8Fs0}~Ff2cVuUlB@+NePu4jmDd<)MP!< z)vajVaWC`q@)?sRC)+Ghw_VCB+2m>7kvhBkyZq&t--X=Jr;B$ZNA@V#KNt}xh#b4a zV=r_fyAB%tL?36*L(Vg4x}R|*M~MOeRLIBT;rikPwGp(>jtrrRb043O>s@w+rLYh> zRdrowS}nGleqqiSei2}(J+1pSJ^S-hbRKE58x%tXa)>IHw7?b#&1>{ckaWDu<0M#t zYYIi>Z~Fd4U^D*|zRL{=eAWh0@38ktagS;CXJsB^ z{h`=jL#WCsph*;e$W*5T>ZSB@zyik5V|Hu@#b}9Xa1R;$S5NolzQwzm)mVIqH8U}KEbK@SZ ziC&U=F5HQ}zJ6IW!XjlYS^2vMA97uw?#!A=~|P=@GJ69Yke}N%zadM5wCF;Fbi>Y zCEK=Q!M4_WWYj3+n5TzvOruDH+j8n5>iZTniyV(@4uxJ3DrJT;?2Q(|#%lWXsr4@z ze6DE3<6)lZUu-@RaXniys9Ul7c*9(eJf{BCPy+l_9{wwN4t*a}v?$g38Q?%Lo-oV8 zqZ!pGeQbaPpvAIdV@fTt4)ns6xJ}NH+fBfa6|0fSEeVCLu;+4;aa%DZCA#!$N$M<9 z&M%y4gNnltL5CX(#cd{~`B}}jJJHG_1BGIg82;B)OH{ut%0{+!r{2ALyOxs_Q`;Gn zCr<3*GVZ8HE`5da8-zUk_PS4EJHT_>WYTNEH!dhJ`5&s@F*?ro4cBg)v_Ts;wj0~_ z#I|kQHYaRsHnwfsXly%alKuSt@B3lzwdQlOGMQ)Y>ppQ@3Ds&{@C_#mNiJwVFhZ({ zSwY3O8dBL*tZ`Fg$vs{!qOdR`oVZ=dlfaRb@h4{bFQU=3ZHT>R=F7eO%dTt=uN}Lf z%ra5m$am~{G=Z>0r+-1=DE2_1Bk95G?S>kBV@Rm*4VQ3SWOtRr7O6A;_3p#_1rzHY zGjt{NP0t5G?Gak-T$bP-9Hwfv0A{)`dw9TGO)~SE65Qgs=6F>UESsIG>GwoF8gkfHeXYKX z;FF!G?044g>lVLxE7-c*K~YB7)wB-*z8QGy?~-_jAu_&T5*{Ui{I(vLJ8AD^ZXBTR z&H8s*M`I4vxWEgL^)9FO_eF@h{75B8gMF#uRT2H$y~wy33BlI>w!}HM-;Up!4XpV* zC!5H^@*II=(s(m8q2xDwxc+>e*lV{BLr7c?oiEDBcY`-idh~ee--w^=2zF$)#FB5N zyHBy~c6sf{;}#!N^oly6?=8H-W%=GQ=FEWwSz!?XA91d=o^_w);!CgRcCJ2%l-i)x z1g>nMyws>AufYeWVgu019#f54%H*{lrWK%LMP^&o0`kiqez1*Gix!+M;Ag)-c0HBJ z6Q3E7zZ$4l27#V;W7)m-ptU2tw7Pt>ty+T{NgC@M^wZJTCZFubK5tBNQA}1(qSNLG zsggn%P8HDr!$uu~)tySdu~2X`qtUXQ*6pp3j|p0g2A=RPzE8gxu=A>BcX0b+qs=z} zR0?Y(#Qq0aAC1iH2!&QMDgsPUjuoWnh7ie6%dri1dfgEojh*?MUNx}IM8$=TfXCZk zFp@Cv{%@mctJh&lXRpY#`(so&3WHV3{>f_bhYGn&j^E4a>29D81l07?dtf7=PQRVN z{km$0U2 zksHPgl14Gu&1o_yWcN`aD>nuG{tYoBIk4-S0;$U!d%&!Q|iV zUm{C6+ko|@ZOBo}Q~CG3hUxdRsUI*kZXrTB!-2)_*&c9usvk0gn`hgNCDpRI!@r!o zI_vU9;d4ER5E&oZTy2`exNZ6651`e*`d3nUH$D2j|J8GBH!xZwHLcrzy#!2*8RDm0 zag=|LA8$}X8V!Aga9sYDkPbVbu06(xr?(qG$?GIo7i;8r7tn9B3VA^6w}ek2aHeJ; zsTm;g=iM~~;v)$^z#f4tGfi(6QRl4^(8+|6V#J=$dghVmg;{k)VbO`!WfhtgD6^zc zRfd?GE6{;lX?k)D`hsNNyDob^8);GrXsg1_bZ0(G6`cJ6h7_xEQkb<+<(?Neop%fEnE2K=uz9j47+NzL)eKM7CP=@Rb4b%3ZGDc)glhwX`^Ig zUR{XEld{N}?;l|akICBDsL~ZIr7C%$0H0Gc3nE(XFEC*FY3(8!mmWdQqjV$+SK`nY z;Dn$^xJKeh@h#Uz2z5SsKK1$PijdWR_bwC!E$7ASh7j?%+}!NxHoS?r)RhJ*h0JT< zvx1Re}cKUzDcBTb9=m7TPMcvM=XjTqkEA+16xD& zBPtK5Ro9w`(+`ff1EGF%TVwHVQ>$k1XgCf4=fElPi6_%6p$hp=?>$M&6d$M9>Pnm2 z^G87`{ha|xCIwDT=$_!y*T-WBzR9rUXW^NJu76PY_*>@u741>ha z9mpn~$mxQzYli}Qi2EDWoAe>9R%>u&&1fEfm9T?vNHHmn@eVyRFQ2JYs|@~j`#YQi zj-Z0`6l!w7x>mtgKK{g4^`Nygk$?`;S{_*lpEJ)Jz8xWjb+H5K0(yF`j;U5R6)#5v z92w%(_xkoh&MdU-%pYmk@a>bGoH*2(Z(ctRtcH>xhT;UX>*!?K=P>&-`J^wCx-N0~ z!lkj=NGy&2m<;Zq_xG%D9K8(dSTY2iq$aBW3REwN34U|utY;P})|Jj>wII%5#a9@_ zw9~m(_u#EFvDg2|{tzeH$0Sr3%<7C7DvL^#Dj2^t&?Unb`Co`62;{CosIb`rvfOlf z&I}P7;`i?9&GQ~KWl|`k5*`JXb{lq*)n?LezrUmWUM@VmM5IWUl>50zAtEeMW}1yY zbnBEY-@?CKqewo0Ug-IFaV2+bHv(FbxsJqGZpEd&tQO`yZri1bnh9e1jx37JWNyGf zv@hWGx{L4>R6Xm|D-r7^J2-%yKA!?z38-))fQUyI8+ktr|ii0u*y3+Sq#Rc=+JLihn_fL0m5|o zVm%+L&U=6_89(`fdms49RBFn{P4I7U*?w)u*o=P({MbE@MlFX#ksa1$H^JE;A3|R^ z2)H$5QX?Lz_l|{hqj8;;M6XpD{eg#~hBcI)P7`VLhXwQ$r9j2Bcb&t6k*&PXt&1bw z?!M3OlR6#9FV7a6qB-s5iMx{5{U7`GiPr4G=27uYuDOug%H{zzyICvUF|ar+l-F@0 z3z-4|RQ&_EsbzY(g4$%7W$xV%>E2{SM>Sx9+?f0sRo3y}a5zWweAZ)YLWQyienl(o z0lH+A10`xr_|^=$ff=EIvy-TFqMk~(DK*QMn6qdJaZvu@l(t_rBkj}d*G{RaJWqaR za#WAT_9-B;r(L268qGELQ6Ts%nh$z(aq2-Tl#2q6tb0*wfTWS zrdG$nqQp%DU~>y+Sq6S26BLu!tAEWI`!&_?TH!^Y;Pb0X^(gf7yx1!*Jda&b};!WtwNydIM3IQ zze33?Amsj8*o9Gw>pX~lOi^vwwgfowRM8L8SqbjNi-iBGmk^2ij0A~);i-}?AmQ)u zsQ9W-SBIGT+mCdtUam-sW5hszRNn6L8^KK)Uvk-mhqjiHA{t$$Kw{Q|Ijuo zof32ZLaR)r8UjvkoF7^T5KhnElcbVRse0^skV$kpg4MQ8r?Uz}V3}=|kK7<8j6v-m z&^1k$LZ&kYD{_DdzFS1(Ul%yNUSnt)E<8Gs9(P9qaeZt)&B7`uf+bcs#T&Xg37i`SNLr=$z!@rzzgE&zbkRi;+e$=mGTY!B?`LeQ%gRy=Xf;MT!oi`}x@ zB>LWvz5#Kez(xg^z%gAaQ%9B8UF|6?rDJ}^o-bz*I1RO#{ zJ3G$U2V5oLQJs$d=20qPF$6ZJqg<;n7u)q8He}dGdUPWP%enMr7Htp6NVt!Ic0P}v zovd;ZB{&#cPhn0>)tED4GWf}r$;N4&{skvERpjq%d!3PRHmD4)wTQVkYbg<}wz_Ex zA8tlKC>LM)21Jf{Flgw|uia6D9qvU(D39x|NrA$ExBbjZ`CSjt7`h8T<@&b@8v_L| zInU8#sB%w#RX2WLn)Dm3Zz$#i-|LU;pOwwwGltv^47S=wm(<`Lfa$IO}7{ znN`Kp)Hn0YaYEO0t064m(rVDhu{76VUKj0y{+aNK!yW65%NguhG(yK`IOPvf%WzdR z(6jkY0glH_Q^LmZVS8gRJA(v{iUBT}0;6K|7=lT7MnNJkX?-y)GNA0kb;dsRI|Pmx zJ-jb^WV(ibo?(#|~lfvpOmgL|-46kU}kK_SduZX5x;?2c4B|Y>Y7Pq#ncAL zbJVsX0=!!!RCFk5T-+5Uqr9wZgXrSl*5C9wdVelJYhqNeqUPx`<4(n70F#WNVd-HG z?P_alJWUtnHvMD_*zMI{56imO4b_ZVxnxtX$ACnqEn2M$dwSdKLQ7Un!gm|FN5y{0 zMxO&;_S`WU?(I1e`t^x8CT2-Fom?%Pxa7pXU+%<|TOYLXHL^do6xrK!Kf@h#)e_@& zX{p9wS!o2QY5TB35HM+d>eT;*JPtuOtxOAuuE%9wVzSn}lqj7$j&$VzMrJ@Lwi}`E zJB1}u-c_LcfH@mPc#HZmIMqhOv;hFFsd-S!FUAND2-H z1#zHHsR%)uP7qpIHwwz)6tO4l<@FFF`SgD?XGPazm6m3dbr60DRx~A8KV_Uf^7w6e z{CBKufdqTBH?LakrM^axj$xhoTHTyOqdh}+ugWlj6y;czfSz{dvbj?-P+|5n^39`p}g<}EDOhhoyhx2RoK zSb_lI`MGp>EIRi&lAjj^_c-mqNQ8ii2d73p)_!D{aS=m9fAr{Ft<2}s18WvY60Y#F zHc*AyJEgLRsYfb1!YEhCJ1YS*yxhowEeqJlCUf7}+#j3}v<=fSB_qMFV}W)v_g&aQ z(Gi>wADCf;?BiW{RYR@rrum2DN?2DdY$eq)K9;I9qJ=M z?t_@EhGe-rrrG(F+suZ&<`k)zVI=DfAW6kxdqB9`L^f)DCA><^WQTD;8?WkoQyFnc zN(cmQ4f^ZN_OjuLp$L&oXZQdjUA{Kr&T0l$hwCA~dkLTQ&r<$A+ZHgcfr;%VK8oxX zV(|E42KnBCV&7kp-gE}v8HkK0==3ej!h)`#NkoNbZ0%a&_sblNkE#HhW-vF1jm61X zu1L^*o?7+u0rTUr%Y~D77O}#G5)uVIcXxq!LeP)vjmNFNn=3-~kLRrpVwtj?tCf0+ z@)+C{KkuEZQX=zaW5fFClw+4ArN#v*q4elJ9hegEpDd1Xr$#-TzHmLt;M8u@sgyuDl z_fH!;b+}?c7h7-v@ zV^~Dh8frSECOodqrAxPE3dso{Ruq$o0U?0ISM z<+CGi48*!Q+;qt99p)_FnrG@BrsyFZZ7zw_-kuNXEQ8chB10*3(q+A!i+CpOO`3jL z^ws%=n;9le&;G1x)u-gS49h0f72#*Dk6!A;f&D4qzRC!8SX(1iu-S}ibw16zGtJib zU)2iY^N0d6(!a4V@;m@4iEeHH7d|NzG(y+>bzG#1Oc5AB@3=_qrBU{?(_;El z8*3e%4&}v0GexTgqrzfEY+vi2y=TwIIMgD9CtRY!wpT?W_@Q)qYln{iAxjGwHTVX~EIFNbCR~Zz7D&6X;UnoNejH5 zUBAO;M)dKjlH($u%n$|koe4ulpDe>Q!Q-T~taPm-MxOwSaqBC;vzrK=^66S>mxRAi z?nH1-NSk#N1Hkyc@nH;>GG&x53V2Qo6zo?Z_t4O0T?#*&Nk_Q8iu64s28avYpX$%O zz|}WO59Y7A(tFPujYy3?o^5(p2^8QIjDnsG4bQeZ6Bh4nL*^pF5~HytxjI^1LnAZa z>R#CR5I`|s0xCZ@nb}m$9{RXY;-~xSBc2%a_AE#KGyLr}{CPb45yikaT})=Ucvq%^ zgCLdY)rq-pRfH?piehhYh*B5#fnsMDKTGUkz>&S{7_pwr7HQT<-_IEh;Hk_`d?EIz zK*ijg6ATJZ{>NDz>A*c!Pl#^TLjL*Y%JboH;49npHVlsg0@9ET@ydJr&X{BBVBd`w_J_)KZcY52 zuA_j>Fi*coNZ)%qQ%DSkNNZ){PPW8UZVE6jdjguwE*-f7JU%UFqkCPpzV{slEFe7*F3c_xj~$qj~HY7XnfC-G)663Uld}+z3c~s$osFijbTX z&hwb#?%Xx{3Wn#f=n*qa?CzhpLyuT|{(ObjJup$ygApmjW83))NN@*zT1Ei-U0lj< zaTN8JYH6MxW!*^KS3`zHgK^Rk)iNfqLLCOUcIrQGhi%XJK{>s<>uC(Qny z5VewQgV|tSQOi5tN%6BjyKa@_(Nb}2R0AB8fa(TS27~9*Fw&G3)BDA8mbZQM9laUv zWci98+SUib7Vc~*Ri!4LSk@yP4l8|<)Mkdb2af)+=c_Ei&@nPl-|>k7-teZw@Wj3$ zZpi|YcIwyO-68`2JNtEU&!Z@e*qcI$e1&_9=~?afL=a}`r)lr+Vst(2H}5Yzq*TVM zb?Ov6bng1y(}b|#S}YU_^uI9oUt{y)Ql21d)cJpw&Vf9~g zv%Hy10vi0lt4rto8xd*gyO(f+a7hqM^heb6Y`{xFy9ucX!Mg+ou-2Afnh`?)DiF!* zjAh@9p2k54(TN!nyyy>dcK7qj=IUmN*Zf=`))`E-_FyQLf(QJuqJU($J@Hs`B%o;Ca?UPMHAUj@FfYN?HAzL-v!-a_*cOyWVd~Vq@Ijwra8ScTS&UzN7P` zfEj7dS;7-p2K*CXQo+~uYIz+}tfU-~;m6}GAp0A^Jq~ngTrTlijrkHa@eEc)Apa84 z9sKQcTrK2ync^#%s3<5VLO@B5o;k(hmrTq4XrEhano*gN5T&W`&*F7}0Lg5M7q8WU zbbbV1AwDOU_0sog(5z7bU+6w#Brv~QsX_-g*mK7L zYPW-_k;$4)vM7Rne4ia}y2*{^BO<6w)p_lX{3O!zu1krHDa7RKkLZmP5U}6p;cZNI7RJ zSvDhtJuLw;MUwtE3%W8!a}v#W+_Koh2b5zbh)J{Fm}aTp1=lyt?*Brl?)P|&3_Dpr zbFxBTVMIwu%QtASBo@2WqtS>u=^@4w5$OvLMc&dZSk5}f!o%j8+A~Mp-^oX>QV2b6 zQ8#cjqw{ywuu*S2*`gy;tiZS&thc!FFJ(*0Fu!Ht#_Ya>C&KDi0;y%mY*r}tD&nK~ zJ`#V%QV$V%d%5gE4nVI~oLh`YjmV!3#$BBrZH{`f4%f~k*6F^_E`y@}NVWbb<_%*=j;?dX$!0mJ z&3(uT}KBvSA1U0_ftV+oqu8gaCbAyCF4Ivdvh52xaL=*CHy&6{8t ziD~g+org#vJEJwN)^{;ml{BFv`<<()8hrN1q|n&6PxC5g*MnZHf{`!M*OURiIaB|) z+8CV?S@-g6#pm~>>a?0col5-!h;!u>1H*tDS(822089c9jOD%zW5q>{$1}}pZ#G6? zPtV&(i#B`hlbwa~`Lj>3${4V6_q})=iw~qovW@?o~PY*ypm_Y!-qBYfWMP(&knM46bvjx4$nk0B`QQ zX_nJ{-`7Z*qdbdZ#kvE#gCD6%mvzQ;A+D7EAaQ5(rppxGX6sc_@0}O;OwOK}tc!*- zoMw);oVL-p^-gO|_N*E(hZEmr`8xT$$?Kr>b~kqJe9TZ|$I$}b%9d9LJiAj*T*c1aLP)hCsl9C&-)!H38tJkZo=pCBB z`&XUrhiD+YMmIO~{<_4vSbXr>pt$BS9KymsPJZ`X74VpnBfRafQEU6#kr5%C-2`E??q`Z`Sb zNH!%o$z+~-21s4FSIKx~@9~3@h*V6@)RbU@cbGN9KggL2sj&}YdyQ`T^;|G#d=9_L zD4$ximAaq_J3x))mQR5h{p^O0dGh z_z3;(76#Ty*B``s;6O%y{T^&L1YVGaW~z1+Q<91Tq;56&+WA|Pc)iBDpcPT+xbd(I zLNF64<6;ubr>&(A({Jdo2v!21stLN$j1h0GBq#M2{x$TIYQqUX2QocZMcVJR{~ zc8hai??dbCEKbdcL#{L}yuPqjXwpsg4^(9u6)>}*#1{wbOUXr7w&*pIN+L?LM=}LD zm|zjA&E8J~ja+$^2UxJfsFf1=_*lO>94Bu?HNLK`%fB*#b33DzLZ=Pl)`|zC1{~>qDfzkHZd8riYKIfku$dAR2eDo76H;A{n)tBA*h_~Sse6!~aK1!f1s%GGiFajBL;aiH~_i#lo1f{Z_c!6iHslVJZ zVlzTpK)13&y$g{2x-nw^lH5qNwh5|9CAr6p;StmQ?$+#wy?=h?hX48nWWy_Xf@!Lb zvXswq`dwJ!exO9NFzpNiXg;89`z(Py*gh4lw$}3Xy=rk9k`Z3i&qWH4HlTszzy2>~ zedw;;?hnzyEB9q^5{E}7<7?N;f#un1$19NZSpU+DJgL=&i>;5wCdMmS&#jzp&u#=GGe88I|)>j;HcVtkyRd7Csk zsr8o-MkU#{%5ACRPlrK5RF1&O4+Wxq1VB?cLK@h6F_6Tb_dZP!J- z=N=^X8fWsf_pAKn+5feCWGaW@e)M%ZW6vC=+Y(?>c;1P0zZ(dTo&eEjKYi#1?qFt1 z;F>FrQIC_rG(V5z`1}pIawA}K{cEkST55Fzq2V2QYM6wCTv%cT@YOWCx=#hR|6mB{ zsM4DRh8`9&O7DL?m9UB5?;ap^eUH?j0>);C4f#L2EQ9R z7(IaL0zI)+m{9CL>;|Z?^gQI|v`E?|yo2q30L{se$vNcjL;H1g7Pn@=`nCPJre@fA;t05HaC|8D+A-K_MBwyc?o{9< zed`o%5m}S!(lF+tR^rQ={dAjkKDyp*NiZ^dRkPhyI}6YiqVhgqG)!A|9>Dt}Yq+!2 z%h0KqMzKOl?&G zg?U;C!@YHwZXPJ~C~S7MTK118YhoN=b&C6E!e-A+)H$(eq%Ydn&u&1wL3xGkY_Bev7{<$tz|=P@5Yx)3^TmT(R>THmr3* zRVeqky&~X#;rqbO!;^`#u~^OH0M`A^E-f!rTwT`ScdCJy3v@W5J}%UH0z!U5L`2w5>P z=d$cW1nIU0d`&2Z2~P=pww+xlc7B53c5oQF%O$>|$oxnbjjne6cvnTCsL~$bU%;vw zQQgw(%B8p|=^A!xx$x=)2q=qIe5}vn7r8oJ4WVQ2eauxs&#)t3+EY>mpZ0l36l%GJ z3ihmG2HvdI056aG(h>PbCX;BbEdrE0P}GHX{w4@oRy`!covOkaU!PJLj?R#Fs5`J} zeZc*T*4ra{E7mv8$Rv5iNo>W>G7mn>aTxo_H$ z?Nw+tMeqU|)h&?J-Jbi8tzvx{{^}V6iwArz#W8G`hmrmxQsL`$*ry-;yfiGH)9N`V3Ni#130)JQU~S?bShH z@)~Omw`V!-6RXs5b9h8zt)S=E?v*I)dcwIyrv*CJ`v=mX$x&e`3C8-nd$~#n()nMC z@Fpmxu!9EW1Hb224YyL9?h>j5-Dv!;bD3|9ePN8LR)5gc{L!c3j`EzD?hz z+PJM0>lPWS>(A-vi5vRtChPBcc;69jO95R)D38(~pU{RPER4JaNU; zV!|NFDimJh3=g+k)_$+*5UBY(9~#^vx_k63{w?{A8@%I5?;A>Q zP9GH%o=IAPwmIMU>JsUo^B#>_7ebuOIqK~@$Fa-P&Hf?~T(sBysKP9h@lgEwLPWi& z5f6cyy} zOTcNsO~#mOFat+DpB}qTN##tFUOPb}3o0>0SPk ziBzEae7dN70;Fb;a7hOhSQBq{E992#2Gae0fP@4E`%8gfC}{)cD5PW=9g#w%_8;K? zAP$)c9J&9U(bMv^-BrpBSk&|A#1qfRz2&&oc1LDj*CWMG@vDbscBPcPdxBr$Y=sN7 zYK85mE94>mL`(PgFflU!kYtCDcy<7W_cSbpvkfHk^RU8vav+Y=B{~2Ujb!c{D|h+< zEuN1i_@tpTC_I=W{@MIE!g2 zoLwJ?)}Whpg4vBRbFGE%*bBc(JeccMIwjX&0*8TxO$dI$#Kwg<-ya(gNWxWy8BKwwh$Jf;n{)(8T6<%I22(AOZ<#!iOM+k=Iu2M42(Wx zi8tQEshkZgECT{5LwW_aIf&FNc7H0O&068bODW=Mim)> zoBOl}Ln1)f6(kz9*DS4MMGme6K0UAfiqBE`v*&YbkpNC*8i zB#=-9thAlOxG|ZUE*I+*lWe3Y6uzj$%YPTo?c7g!e>T5t&r2jUNfs3Nnoed8RCP5Z zIo^LXR3JXVu2*%NBynhX-)3U`M`JrBelt;6!$zX8wk9>8dMtIF(z$YQL??&S@5*ak`^n3+SBe+10kVB#@ zGE&&Wu$C|ZzNPbZie`JTA5?{H$GjB<&sWB%@Nn@}bs^-&ed+sA2@$D_<;!<14o13r zAdRo$qyWZfW484FF6HNR?O!+IK`7zieR#3)w-@Qq(P6)#t}Ig~I=y$mDgomgogA08 zk9p}l6R_x^=bl$H6;vAc@;@wYZloaReLHN^{aUH)L}6C`3fC+SAsxOAIxSEguIkwGIUatSnqd_S=2~PZYqx5Iv;SN-{ae$ULF*nQ!Cc$dsZAHAZDn- z6Em*kSGUVcSj%?3X}T&%#lf)k1hcyh&Sr34~S2b zsgN52V-a~RnlY*=pcBR-!D4(LZ&O^qO*xV=BQ|@CQO2~$qlw~cGhgU{hjqc()o56M zg|>W$D5G5J+-Tpx|4K>=l0aq0))J%3o@C*Py*!VFN9@a?sdO$h*x}VB7=&3!q<~yh z(i1cSkf!2gmZ@34VQHi(=w>dylaY~uWMo8@oS2!N>j9Y#)r6QD#rvh*h!+@s^y!I- ziF>eSGQxF)0_j2Sy*SGH!pkA296}+iDAX&ZB~lz1GFcRAWXaXptom87*-4^FSPS~y zG1WoR1}Mlm`ArJd3Q2UMls&dbPtA3cYP`weDcRpmX4#lY&QN06d-+?vxW%~W-oD-q zN+pNoUKiu!a#;{j-iWH+Td1{+%Hd?}pr8bEQv`{h%mIVR^}NiNOjTRb1=TVVNXfwm z`*4^WT%O+4q|(^+rF~-5jAYPlH&~O3NrqUeNQgc8n#{HqQ%dPd^K0cHKYI`y3XCh3 z1VKje6HUkgd(!Gwz5On5lU{9r3B@MnWh{dp6TiY9v|^r`0fRwBHP9ycoL<1AB(!L}*K>0#3JXt4XiY!@n{>AgPrPP}^wLiTs^s6%_S5T`CZfx}KgC zsT0Q&SV6nv33Ug#D#pgQ@qr>W6~vjVO~30tHT+TOJ1msYe~$x0^H>BrX|k1PO5dM( z$?PiQa|@m@mH51kyO$`|)Cv=-=r(^M>>(V^dG+ZliY`&?>J)Cuo-T!|ysReixqw3{6rFxo3Gmx_oXa+y%~J#H-1YY5i$ z7-mZIp^d{GY_QXir=pUO&*kV`Hb$Gk)jP)Fj5LWh8nLI+2V{7>2%$m< zF<(l!_gU7pmHsR2*lF@(BxvL`j(HEgf0_z~!X4fa>FFs8@fZ1zwSZI5Az9PD|b;emivo8A@s(1sEo|&0IX0ZQ#l@}uj=#;IKA!@=Uy;H z^^KK-dp~-8Id{p3W2$HWgI8Zlic0kQfP=QsXteX-2t#R+DQdEKZoLyW};zFQf#ZJ6;b^KrD)%B^}Rh3Y| z=T7%OK0x`MYJT@FrB~CrSeGv9tD~mN6SpZVh?&_VE(vOjFVf_wL(MZS^dD&?jR6+69sSO_9;+!Mlj=GPwjA+}eTqdU$g&%&Z;| zW=#vtj_5y~$qUjp1P#f3IJZ&`bxaR-$-P^NN<>!Fb~&r~POK3s*sMjg!eN7Q?!N|# z5v-5uoavpR^trIY|0EZdNTQMV5(C(OqM}t~3g4jg542dkG+vmzlq!5^}e~ zJ8P*b+{I#{Q{55zxBGle$12fA6&taN-tG_mG``{6_Y0#XOX$7)UaC|8xO*&8fAwsbL< z#-<&f4Gbc}z4WehGa~F_ksuApPAJGxW1K#0w#Ng z*-5NsUmYZPEuE)K!E9^~W8KWQAz4_CcyX{)zY#KN0`5vm=$kWgM%K%N9slDgRP5Nb zm(^=>(b1|&!xJ3bi3P1SH=R_oQM^f)jLCFrBPzNpF49ghq)wNK?v2`qxV{3(>v5dj z;7E|84>ZRU|3CK~>q>%jm_%OYP*U&5Em|C$w?-=Mw(rn2E3pf=MfoeSTf1G^+za^a zgC&dQS8pAOF}z*VeXoxuu%Z9(f{-73CjkYg*Q0lsYN#zHIc@D(RD1 z6oexWd%mWLCH}w@mckDUAyI<80^Hdt-Js`kcjRurH%}HfSq5sYfVq>?$~gYIcCk_3 zvT$+ncpmkz#PUBJM?K^2AlI9`Mk@x_zw`rBFQ-+Is$Bq}QD6sllvT zy&ESGXt#06cmv|Q^*A0PliusZTq|wb28iZ z!WwXD=l9K93ag7#AD$8)s(_JN9R z7^QnLasPPZT6!i!6W(402m5mY?#9cz-uY`!2A3ljLM5FRY|X?D#x%11XBXJRsPM!~ z%ICxsODhg>LNJG7^e!M{GhWxnfku{YpKqon{~rM*_2#Z=m`_y&&YVR2u7?kIA!+iJ zBx+Mk&iCp1!Qhz*A>k2#(4;rV=gPBUrM5qzRT|2W_=ogHkMu^5Eup^He75zvDKh20 zzl@DKMkS@g|9UMO_sWhbd(lt0FG_-38A5d70KHQgMDUIu*G$#pa8Y0h26d%IQGbvR zw_ih!_)vu1MhHcjMuE--mQy6g2iS#mqf(ZHW9f}rH%0uJXyjI&98=N$R1qoQaYV1w zgG>xn-hf)2djlq8U?0+vE1YAIRG(=fpAgTN@bm*(ABlo9KH(7%P)$l$82$k(gosC^w)CBB;Z?|XQ&8#PN5Wm|f7?8EdDlA;-U`!7r1X>-Go!M?*iWk1cVvE(t*AZW za5~N-c^<nwQpvq?Z>m`kU%wM$SkMY7xAJDVD|lXT_siiYTyXo%AWJKe z@@r+mHI1c=kujNuZ(A_{QR*?0bScIS-$6^2e2r+VUqbv2i(w4SuA9mu>(}2z0A!3w zl0}B}9+MO{3%sjV2Q(b=Iw%bAD_m(mEy4WKJ^1B(_zv9oNKf!OO!LW2i`vbrFz~|`!i8>I**8A6n^8N!jJg)mn*oFy`eYwClu-aXJ;0>rBn|S@+(ibI)jKosDgCwWo;1VIA;KO{VfB>{c z68L6m%g%icZ+32z9Vmg)V0pa(2|b8!(9cn-?WR`N|7BmMVR*$VX;UoMZrv~(zU=?-be2I?wc#38P(m7{OS-#Ta&Nkn?oR1$>FzG+?r!Ps?(Xh} zv;5AP^UE0=7-lwWt#{qeb6?j;)T-;P-*GQ6-7MFPds4F8Fmw3n3qsuZJ}ahD(L;F}pRdd)QYo_?3vv>?*3Ask?L@aSRziPNZ#hbFd65H+{}b?biL* zQ`#O7zo87X2ixg%*b!O_zz{%3W>Jiy%)ifNlL1qMTrT*)eH-%|vvv8i^BIE#7%Idbc^o)RWRmP&1?qTDT?C`>qFJxaGxr_oDlP7!|9y*-&PsjK- zEpXw#Guv385b%H{x8YYz9ygEoa|#998Jpmw@|Z4|qYjD^SDFX`u{N@tyxxmmp%{bT zJEdAQy4)5;B0Sn0dQ~F4#!QNXYQ;2RQSDAAym-lf$j1a}K@`Q}1`flQN20dQT^e|q zoPiOkp>_5IV|uFK9*r3*F2{&ur1|7&V z98ijEBWD{J8&stD7t$GDPB3Fc-hcjPv#Rv96cArD5F`9{o=MktwVM4eP=JK3S_i2-l1L-BEWdn4x%888mrR(UUR(M1)TN^D zr$36c5TH(ABo|28nNYa#M$@h3(Ypkm{3@`7dncU|&@hU>C*?8M<#dSnGR{EuJg)nn zWs?bc#(8t-7kt}{BiVvwIAXqg2K;5LYW{w4Uk5K572XX2K@o6Af_e&)lok{c!?{sP z{lh$}>aUPj<-VZOgU=Hv(q;CvU69Ru3j&xa4ej~WA@mmRl{ zjB{G$I$T{nz;OgV!@Uoi+%LH#k*WZh%E952@AWM^8;w}*%nUNfqNssUnOPx$KAa3_ zDNWs{EPPO603=4U#lYx?LRR<_Xse(q$<$1eZ1uTbXf9oUi5h z1++wpfuXyArgH?`&U+U^YnSwRSNMRJ9%Q6H$2ljCnZ1$EO-XLo!$v06P^-zSr){>ZVIm=|fDUZ919l#- z-?3r2rM9{Mgwae|nEYHD1CUA9OseG949;Jco`Iv{ZFk2b8FMWyRmpI0+| zT9ytSeY_FuA(@aPf7%RebB}-cgl9m!D{7HmL3AHw(1ep`uqB&glRTsGVN{|=$H zUGS~%4g%HW*A4mTchQv8p;p=Aib&FwRLW-MgfZE9s!|ppBO%jl@r6c&iQW%bH-yhIANH_XJ26%vZ1_~Rl& zh?caZ*7#`bAO}@ZJ<{_~xLB%ZN0o5cRrpGe8wg%C);)X*1%*t`zj(tTf&=ro!Fb)K zU^W(^84O$^c`++~e|SZ@UFR{eB1wIhtaPueR;K7F^z2%U zIIa`8so%V;)`>uKoh90wPf&#&FToMM?!RB?*W`1$U->!Hta)5~m=;$~0O#8OYj*#M z_qDL~NQ}VWG&}!hVE4?zj!KzutK6Z~5e*p8i6M_0h>3R}Y%V&yWGxU9YWX)lK&IV> z-Wvn!TduK?H{t-i17v1l<#vI5XamcrT?55tPW5q-H6Tokby-4|ODJ=WK0e9*`*oJ= zQxIe@i;XWV9(0XaDp@Qq5$Obuig6Wz#teDLpH52j@7irvEeoF zg#_HsXp^_dAkZChi*g95?Syor_?aJ_IB!%{P1$Y&(s*!c&~Ey4AH#aRHP!wWVPUdu z>ZyfLl;f6gg3uFi&0yd}$wjME;AuWjY)XAkhvBwZ#mmWd7XF`pPiy7y5J}Ed-?b3YEFVm9835JV} zmmCLdvnQi<_E;%u{Wk* z+Nh}FC_eE17Wy5|TlmRR3+N2g9_add16Ou94}l5$E<##-$0(izcIgk@n}xdIMu45s zh*|G^ro_{D&F5oY8Sm2VCNhy)B7Wmb`#fc}&IE92d`m-(d+!T^ya(6ynRod>u$38G zX1F25Ig0Pq zQ0{1`>D@KfBaE=-x*?!y8amy^<^92fZ{p`bQtlTV5aE%M{-sUW#xc?+K=ZgU8!<%u*~IJJyM%`A%qq%qV%;_bOET_CyT_| z={~;D+swKOo+)?&mf_?vC@P`=`ojk=a(JPnNk}x8*8k8?n*e3cBPOxr;Kb|Oj~=Yck9#|~>THQ5p?f5Mmk<@9 z5K&GR3YG9Xe>M3XTb*(S$)9r50Dx=|17k&_oMr;E%} zle8ozLi>-qzcT?5B0+VKQw+}C?sx9MwY9ywAv_6ti8_+tJ9G6$c@A7HGEzW4RD509 zsmTv{%F<4}9~~e}o#x&OMwFs)UO*i80Ro&uazJ(aMeaw#M;SBR?2Q+)hw}Ac&kjm{ z150juXNlaK+g|G~-`)!BNH^Lt`SMARet+NN29u&{t_gs*w##r#s>M*1fl6$6zt-q< z0&jcJzLHl)k=((Rb3fAAjpDrIlaZcSr5lZ5cAY^E9f;3^5`9+=wz>9UT7_yDi> zhdj^%3|&raX1WDWs0NdKez+f<-B0OP${)73Ucaq`ieo4c#zGoagavzAkv@j-Hh5h( zql!vGCS1%|@J0mnz6O4Taek1CY1)}^z0jnD9V}`@M}{BAb=q`efI-SJ9V>g?qCSGSqd7X3(qQZN%3rsu7(Eui(MX#TMDekRI1K+1mSMA zVxA%$p%0BJk94hYWb{m*IS8PJ(jNI>#J*b;hdqbypOk@pn2nRat6ZV+ehJOxa`XP% z9~p&A$k4ne{P+yVXD1Nnlvh|j$fKB{C#qFkMNBCHL!0{hoiv*H8ECbiB2!%^033b^ zgLw{2a&57JV{O4)+CQHa=3b?8n@Ne@>{$UZ4-1SSh%gjrjlZb-b7&K)Lw&oLAQ9o2 z;(HD9)b=OBey~(PtVXRCsYa{O2CiQTg6deiXtd}s-uLf=Sfo_bTu1%DP~Di(?Ju(X z-C#kX>^D6YpG>KW5|~<>9z3Qg6v}MT>wFhUpr|5`JA%#mH4oNersR=~oqkqqueSt- zdz(^TBPnVy;0NHOGam!@>2<2s?zCSTHy5KbNBPN zn>9p>PyaefH{y>q`1caPkffwgc0)-+h?f`_OR|3%lc+M-;f$lY#Wr(SMa#E9CjX25 z$cZe8$$;Ve4Wz=7%!)?!i9S0b9Z-twgv9|hG724XnI<*0FS;>%!z$|^1}(q{2#QBE zO5f|4cL5CGt&0d@ga2Hi9`JfF9`}S*yiZFmRB2GKl57|4yoOeF_iG}9Q<~Y^nj9H& z%vEpu_kv;saQOM>L~3jH?(#dp3U1bKcdbJ<3e|22(4OmidX$V7vFuY!#q-GD+Q$>E z_;Pb|6NQXUhb^RZK#NJj1vwhe%^egOBmyy8k?U&HLxx;lQU`wtSdd^sy)(|fEKcl% zOOO4)c3OiBrq`OtED9L6JV z7eo+_(6NYZ{uJfqtOU(Y$x?$T=Na#39pb+(*c?RfdRaJ)TH#-LUIPd{Ey6xaCTzX= z214k$2gA#CdJ$A|l(4t_>Cl6=iO{3#KhbkW23CDq(-{vR<>VKijNT z)>MlvD@pLQ0j|Y@#6-PM4%U=IypIyZd5Iz5!>zD8O>Uaoj@qx=W z^y|X`4%f7K0l9#r$9_WDMztZw>T$zv{QI8kn?_LFm{jfxDK=f+n?q0>ph?>L{Dg04 z*gd+KXJXgTEjzl==ore0+cc@dwM;(WP{7aKtQExt5TlAs9!{6vprF@a@~WI0HNHpw zlHfUAs`Y7Od-a@V+1%WrwHv+dc)dV7DXQQN%uv4rV@wL|Q}9rvpiyh_^B?qzNEcgO zA6HcJnUc-V4ELh9ExLqR!{D}BDqBT``$#U|Wm!r3_bjKJMb|_iPRUvcbO2sRI2Hw4 zKI8gaSAdysP+6U&TEKs2{YlSBZCVVO-TCSXiX9pRBANtNbyLrEEUPg-x4&JGwDAQo zu6e<1zpA{EoSeLNcK~vmu*#efLKMdx-D={twR8c*k^qxGvd^=AR7$W_K!Q1J&@&Ys zQx&sYbrg>u{6*k8nFU)NMAx&jMzhm$a=@adg}!;Op-tJ%gA1!0duwsOJ~9z*0H)Bl zVQpr0tDfR;q>Qmhmij|V<-W^b=g=L^vOlDg)a#8mHX>s{pqYN($8Tzx`IQ3 zNS^*&rhj@t+hkvx7*Jm~8HIlu+mtT-OkC|lOoJEm+LnGT-I4Cl7Xm@FtHzd@m!RbicbxQxQd^Lhe+ne~a}J9TwPiGNgoqEIdJk2=M&PdQuPi_-+l^_P zL>}BI>2+bW+X8$w*&*SwQ$R5zonx(R!$p$#F-P8MJ>e?~70b0DbY5=P_1*aB>hn*q zQ!9rk+s=LcN|PO2Do0uG@6Rl{y7j2aFJr@6@uSIJF7AxN4sEW!gi?B z!@$X=JlFtx&B!*fh@dNYvA0!D1FcY@Qe&8GzTcvHT14Y`+vvYJ*D-xFF%sia=9mM9*BAcKC2>C@339EZb_1D|ANX`@%k+{E{Dg&72pDi zsbf@;yii_;?Q~jBbgIP4{HvdM(@Z7!^??L+AGDRzO1_GKc0~!@Nk=MgYpqVUO<_J^ zveM*)qV81Mjao*wP-%$vYfTC7=IzI3m#jb?iW64NkXKGPz# z0unMU$b6jPDC<{tRI3&Lw=Oc&#yH57BRg*b#IYkc1e|GO*td=E413Kq(Qn_7iTIWO zuzO~oKm$QgRX0T}0l_E!zTCs*}C~eOnWi5-5;ktE}m|q~e zH_SaSUb!9QErQdyx({1RM>P2OCRF25%G8&E)|M{a$HNmu0>R^iAzlQNMJUf>C*t)r zGzxq8$=c2Nskg!iO&jx6GF!{lDyyn`&Rqat^DH?ROUr{~k=}xJON|ymrKtwd>!;Nc z{D0bboYq7T)(=T(&EJLOz}O7XGLc!E8v^W9tvLHf@@)Di-NQKShu=#NF_mv>ntTQ; zZhkrp0)UzcCGP--wNx2tc|M7RhZXgn!Bu~2KwdBPeY2#(X2PBCir~{`pDHx`@hs?R zEe*2Kay9rjC<{Pp0-9S7U^rC3&^o>VktJ`Vkj_ zzPcD)+#lPt1ZMViG3@rwJxa!%kX{~_^#_ur$|A{5Zt-F3$|p=Ggs2R?8T zZd~y@NknkJ;1ObYW+rcMdh^+%O z4;%eW*?r`H#Ao0=SFvD&=y2F#Ds|cMRLHlYBc?RkOuvt$ryKgPfdK4%m0|(86if3{F=}i34=TU}wNO9wX))J{pjoSDXOkq4 zVcp}EjdtEj=$9PlOS%SA_WO5HCP{7c6}v9pHM|L&pQ3eHsM&|HZ=7o`&esWV4rcMN1QtFs&#Y(Z4X409`#}_o<_N~a< zAKLFtf6o5xiQaeRH$e-nGbsz>mRmTeO}w^t{apb1I@=#r|`=AKV-IFzE}sA zuVT&O{3}JQK0~^!NAKkfHiTDtjjHWLuZih#hiA@pzwk555dCP+ZA}B@PwkS&hrpC! z9rNzIsn{UFpaXxOF3_gOqyrhB!~6_GffNmsD<}7pKEsfdcm-b1OQ#E|wsSOiut$nq zHRPZ6iO*PJ*8_A3yOdic$t=e#Xgm2Zx{%ib`dw&e?eOMlv)E$G;COV>$?xj0Vh_`4 zY?9u`&v&xj{mNjf@$OftjVS&`=0+q(zW9W!)%?40S{cR44sgS(p3x6gog80VAq8mx zSemOdz^#}q4|xGNZv?JeU4RPKQ{mUcoB$x0)CE*rk-|2a869YW@Ms=AK0gtQD!0Q^ zIT$T^U{qT;-Zw#?kv;`jlkDFuI~;uIzYbaNH3uM`9Ru(AwBwPPT;b%SUeWvK zNuH1g^^Srv-x=?wuJ4zdZ-IlYR4zPHH`-HQ{^_z@qV)*yZHG<6TqVW7@p=<{AQz60OX<5E`6gpnShx6ei=3lKk{bFq9XpYl@+0 z@te2aP4J{)c`_DSHE)74Z@J(PiXX~q-ySg+PV3^no#L^!shf)uI-CLO)7%zp#U*WP z!Q}{v4;P-Sk?j<)`ieZ+C8imw>r7&Xr9(-xQ!^0jY$lY>mQ#h3&KtYFrBN^z>Xrde z4#(7jNZ^Wq31^k@kn(bcpT7~A#ADFRfDa!#=hKQI;pWg!o$@-oLnjDi+eu72Se`5H zKLC5hQ1kCGq!xu#26vqSa6vHuRVTG4%E}Iy^}6NB^L=f@(ln-Lr{K8Ub>M&O*DFVV zpQrw75kI9be~F$tBK5Rb5;;q?fehSO`z*UNp=La9X6G(?-(QfMX&jO2{a4a+z#YpE z!8M>zGbg`v9Ah*kd;qs0`ic>`Z`c9ggZva9=yDsvE94j(61;O?1SQ|6FKToBe${{r zjvM3m?nmF~gM@t>6TDxHgtzw!LVC`DQOoT68#_k;>3SFAFdyk~wCNo{Z=2qRVl(&o zSQ$rmC$}i6qT@@!p_*9KFGDdH7MN@ko4b6}WL4g=C4;>y?GJud6KPHT)HKR!5A!F zY1W)m6z9aOWg)SGkx_^u4X)%m5Sp(x12Z&3xfVS`8}c2nk?tH~^(0`TadptGvhn5Y z4-gg8Bf{6l(w#|TiOYTaNNo6n6vNz9!XPDOmqzE-o-KDuLo~zoZ%kGWS+hp?Qk_oo zoKUrLkRm;(ph!g!IR0Hy{U@3F6dt`TS3hG6{JmQs#3&|#2W6U4fPOt2Rt2*VvrmnQ zCNzs^OuoH69I6c#0D4hvYJc1yIYo8dg5D>pi8<9+oAyl!CP2_LWOgNXw zy(26P-kp5zQOop_$SsxE{6Gw<2a_@tRL#55f6?e>FxPE+(j#4LA3K>k02Dvvqp+KEUcN&XXH3_}d%i#nuGdq*dhhNn2QqppH`7zj{ZV#M;jf1EE zdLDj?P!3D_>qhdKdTr}G1QhWkn-qMSnb#!am@2b|2jW5j_=(snf{!dBTe z#1;#?LC&ak<<{`yk;nK6E1~<*2K(WaIZi-a^pTIJ94Prc@*6^)bi8`DiR!nV2h)yE zFVyN#A1TvQjQ6a)LjO$^5Btk_ zH4q)|OxBBX6yEJ58!Og*AR=k30hkoNryO2L^Zg+L2m0mILj-y8MjU=!q z=6|c%(iOj4V7{|+qVct=L2`PpvC^{z>n@GsAJpe7s=jftlWL84cK#*J`{-@Dr|HK; z1RjG^2iJxmz{SEos<5O+DuQ&OZx2LP>M`YKetLhqB-xRJc?av<{9DK zd+txVg3ixdD(E`S)7o|_F-S#u}kD{<4dqi?3 z@{AU5&OTGLf~^0tq8oB)On7wJ2appy8Wn5@I)wu2om&8Skh3fbEWS@Y@}sDv%(roX z61KJbHa_`-xFwx&ztJ)r*xovje{*(dcX+yKSSaubS@JfG&L8p-3=qpCK~{z+p4(N* zSxpQ*R!kHpQ4*XE(<{9XPh21zB89OO3eLFw=>6FA60YkYa^2Pgmi=g{eP;3#x?B4S z6CnOZag=eq`6{KAUP%Uu+LcxyAGrdSiP$_6fT8b?OIM)0r4}}D0h2rBQ3*)EHDvo4 z^0!F}(`Ul$lghLMfpP<&s*=>5tek{ta1=?2#T=Qzkl0IN&W!I9idgYFp#|KCUGDkK z$h66fP1ZAH;^Ioq-hU8)RJY|mN6`seDsfzf!JJF7T z@^5(@CtQJ3nB+pPOqM-(ALg^Bm0`y`Wq6=BM|!a*KQg!lLk)Ol@z!8Td~kecMW4 z_%je05h<4>JE5w?lEL$_KS%Q8ic65h*mrvkh^@osf=Me9j{Vy?eJ_X2I(-FKasm+9 zK2Yxv9(V0*feP`GZvc~@TXt7O2e3_-Asj0+v^p<-a+{r zEo~UTTg{afg~DF`F7c)-u~4ZHaw7w>ZlDl~9W{IRWKZUo=%3>w|vnxEYw?*;mDR&U<^>WgrGB6|FI4ifve4|{Ij-l!dnN8WDq zjgSA+bG?;F>K>h3lZ7EXAt%JI{Z()40>kXBLu|L`?kr2`i3%{t-W2eP&5xj^V_k{)UcMoF|CSkfB2T zo`BQPlCJ)*fQEA>ss9op42ZhSgG=%sw0{=h?pI{VE)mDiJ*Djyiva$(J5r6#hau+# zZiO*z5mJwOlLf3r+)~X70(OfvTDQowMVq}+RiXNaqmqhB^Z9ZCvD}+WF^2jgV09xH z|EhJ7yeg2pVl@O&I{t$NpL(7D{GA7?#Ymq4otoz~*g`>A48EUjj9TdUNZBa&C4@x* z0PK=O2n$8Ur}}z8OMFv6#n{s+$5Ww*wkGCrv5is$^jESeL`h-yh-_lvpk#$S(h0cp z;Cu=)lATNa!qJqnC>9*{zxDV$2fP5l?>5#*s=q(e^Y?jsDS~8K`~aEMM#nyknEk=; z_nd2X^EEsr3fb&$H0ssAZ;q!yd%>se7UR1-{-+h`KSc=YTbgV55*Yo`)A7|xPTGY2 zow8)sIIFr~q&pN0b5BtZo({&v)g{i`hUwImec8!O{Bbffe5*AD6kIZA`2_d)Bm$ENPV0hikurj_roL1un=a!oL;xT)PKROw=^8e~yB2l&`qH#uTv zjTR2%8BnQ;GJtYgBEzr;0AXKW{^Hs4{1Cqr3B0s-$SEQI1=_@o>`uf|0rc^IY%IEK zQ`sR^xqZ>~NSDFDdQyORy3cTr*pMpixNK&GyC(W#%xTd|#=_4-E7x--b81Z*&8pC` z0`~L+r=gwEm0jPca=srYS>r?2q*0aOtioD%&Ke1mydqZhVjF92 zFw2|4U$5B!%s;3feMtrYG3&J)TwmpjNRS)bD}5$DokJkNYh~eqHZGIJJjF!BV?BsO zqvEg`e{H!|Mo09<|5*h>}D;SmW4oVOEtmd39y1{8+Sset24Tl}|N&6F4T`MPBhtLqGv zR55`V&o`u}$(Kj1D~z^$gC;ZTaUd)a>wF)x+=(%&0rW#?MEs9CXoHNm7ndXk`@4F} zXPPG8n}46`n&t8cfdg|}oVztVqLJwq-U5*|)PV)?i9 z7qknFPPdx5kW0-etEu6lxjQLsFT%Gqk*$_T|p0b3u;6m|?> zbEk6U6i56k_xdUHM1{0l)14&_hff=J*}5sB#=KWWNSOKhmR2NpK)!M(i%-~-ONuS1!6jb@?=Kp=yL=(@S=v^k@OTxzdINFl&E)HH1Cz~)^CYO1e7}yXV$y1*(MPvC z5O&Y}?RvbD-$uy(%d_asS<%6_I~N=KA1|z0p0H)*VkN%t7JSznj)NiKP#3gr=~o3yql%r9gPJ5%a{H=8`Dc^_KSQZ({aG0ScL35t&PG0pSTC zV@3j`Zro^7c=B&vN;#upJVWFXSoA#;%B1Ur^B9X)pmO|RoY5z1_ z;kmyY-go8mKfSmRlf^=~v_G^YoCJ4D%b=KaykI}vEh(QJsm^S^Q`XOec4YLEvInJ? zSC;Wv;8pq8SvHq_iSK_s@VKgxjLem`{pIK&0cQZv{9W6$F=9Jw@#u`p1u z6OrKNrws{?3w{4L_E9m)B_SqPBT`6U2uz8m*1si2$kl4{2O|*z=YP?#Y&IC3q;mDp zug!?j!LTaDIpNjlLulVB;L*7Gcc1V@T(tfBX0R#MpX`3T|C8)W$qN6_pk+OD9@JB) zLJec9jdJJsFbOO+D@x<$_J7yWOp1y9!5G zINf6Cy%u^#a8t)IL{b|LcY1UDOa>1g-Y+KKT{8eE>bw+irrEzw>+U*}T)ds}#V?)rh8blxgi5IfhJ&_WvQg)jy>qS?x9Dx zUO9~_3Bv;%oNxSe=&;zP6D(|i>KwjDOy)Zt+BeuRz^aDFll(W?*PGS1N>Oh28rXe} zSqhex7Tk)3$4V+wLZK4yy#oe3e6EPW6!<#eQvgcWSHx$=t5?V$d=y@oUOXf7I28Y< z&h~Tm_I9+02QxF1XEZpu^h2K+d}-0EYPZ-X0bMZ)0W^T45S=bgSt~&19WYE%vqd4^ zy#nIGmI#P74sY{MBe)X3>AiQZUp& z*9AaCS#Bq!C_6H#s(Kdd4nnzj6TNuD`4*kcy)BhChxDbIwP<^APG7`rApvL;;s|~n z3gjUtxni}T)8kFq6&I*rS@v~fBrXuJI|Kdv^EGt0-XOOTX90KMj=NogWz7{rV2n>^ z>i%9^dHGW`V&u|Zh??&mZS0ZgY~*LOUyUc&?&_;;-b#V{%QNuOwh>H`F}J{I#cY=N zu8|SE>UQrRS&_+knZfN)46G^Dv8AvaMn@2?)bY?~a??al%UCW&UrFK7jiZ08(-y0sJKl0dc+Ul#k=>Kh#K-Y*)t3tZow^k`_hcR^ z(cL2#UmXNpYYJ3P-{uIkfFj&Iq;87?=F-ayu-12Xeh*N4`Wq|@hyli%W^i_P8N9^nY}EwyM(0A;oqNtmWKVJ7ar)gAgID=DvzvD1gNu(E?FhMFN|AAZ zj)a{!K<^31|Iuecq;O^QE&du)V?sWDu^PAT+;s{k*L%}`hBezOxOoiWiP~r|fM_#3_~+c}jXb?g0AJxW~gAMV3L6!O3}d_S3$L z2u(wRf7~LW`*DDY{OSkl4u9%G(P{bzE;{1_j~B}|PU1NgFxh!LE0t}6_bFjQW588> z+?2U;8Mx4AkcUJO>?87lP3@Wm5vWa(p@Es_fGs4}sB*m>v^NpEbS~O|-8NG%D!Fi~ z2srK1D-&G#Gr}9{$0T}0Drgb`LmDnewE6g{2DdG$2akOMlkLt@P0Rf--=U?UZ##Zb z#**o5J)OnL!M#Xt>QnckeA6qi?bF_VAYk%mrCYlF4ayB(D$4Gd2su@Vn_ZBYiX`H~ z*eKzXq>HLc0{D@w)FE7M!yeXC9Z5$HH1^}4nXyG74D2H80a5#8o+FG4Cv{*%8Nq** ztg8ICHt;HgMF`^y53Eg_yw#wbDeDhkPxU>aYy)C)9t=yoCYWOo?eE76G8GdT!1CYR*T$Z0;u=0Sq)E7_j#YeIEN&#AQQxd&Pk`s?=M;T#yLMz- z+@r^Er>~(qkpN-3AkWYUMn=8XAUJ9XIB7l3&Mz1lP-8Jq-Qy%oc_=0@8YA=>-K=tu zD5QKz2$cy6BriK3M!C~9*3S8CFAeJ}`mEtUH^RlB$KGYT#9{=;UL=w9H>y&OSQnWb z)66blFeWIjd6*1K8r>{2C($k-ob$a!h2INBmzQif;BDnIn3NX_l%(i%=KeVnC1MJf zBc2gx$d%#!DfyDESmer9%Bn^tXvx_)3hXj%3HgUfujLrV!TjnEf^&43c{)g{N-U+;*0Z+_r}54o93P7+<7 znbow&@<6vYpqh)iw#*77BX3t+#D?K1Ds3WYmuvG;J5I5CF2OoGwtk0f1>-_Dy~E)4 z6D0kV%xu>bufC1J8RNd4Sy*$sPpU);s8F)vvVn&fWG04v=}lrYs16U7jg<_y{HAoJ-3@83OcO43y5Jod_Mn3DB*^-b{|o8*q?&$-SL#?+cUZpFU%KL4)%rh0-^D&|4qXZ z6bni(hZ!V3WLtHZdbhdF(YOWuf&LhywV?h&0|1q(pGBb{2p)9y!fd80-e30L8O`TT z1a>U0E5^ae(Sb89MM1UUJ+FNCHm2bmcsFB!=@IViq}8W#+o!Simi)#zO{60N18deC zt)8K-e-*NpfFD2NKrsu3ALBs{)t*xh9M=hgL^;I>v+u%ctq_Mx&*mH3%5JPNAfci7 zs$P$q^Go=%y8X!#woLih$}0zTRd6eGuK)P32hnL*IwMTOS>q(d33qq7Ocle;W*JzJ z^vYJdRa;y?X5ySw0E0sIq;GuGQ_d5VR%HC{SvCE#+2wXK=mj+E0+rFI3V@iS3!_ib zh-fzqjyN*CH`|BgqPIWukK?N=(3}BQqs}e!sm*5rf7sH(fE@kf1Hh4E{}pm=-T*wq zH(PB$(VEr9*V>zPzj(fkY5yLTK&!R*>(}@m3;$bGWQYVLCz6|cy`JX@RB3eXGx#2! zZ~-oJ-wqW_s|ThktoTBM2eju?RaLDvrS=s8=_Y2MSs2l+blB(RpVR=OtY0dDukK*G z{vWa6x6fRudLZMiSnj?72`Mv#+4UX@;)7JRorQXX;Mny>fB?C4S|O+VTsfR1KID$8 zmjCzR5>^#z{7ptxVZfC*lD%iq<--S-+N zbRAW4&FAO(`MMUnvO3M>pURp%^JizjM1^3hKJ)_rB9rDte}%J%I+OY6`z)}}%Mesj z-hB8zs4?H9mE^SMvX^X}k=!(%_Ke3AQ&-&>cK0LV8rtCmBD1^TvTGS>p93p6n(lZC zbbkW(KArEp=K=?sD;4%lsrx6G$bxA!@joeL52%9Qn=wq}wlx(YM8T$;=fpZ<%k7$!rL4Ph~)K0?IddEsdi6 z$vv-ZUq$=U!i!HgUl1bnXv3A3!(J5;>yTsa*$a0n?_A6QSp3G4LTJU*s5o^(T_A`}o) za%6WrS$T{BH`xb^z#8x^i205dsuXRd0$reheKe|RlT1wf@VsGkw{)|g#ebc*jd+74 zqu8wGW=w^Gp4)$E5*71}0>iP7k&K>OBJP*Fm_ur#A`(OUb=Tn{L;M~a7M7Cg#T3?6 zEyVl3)7INWX*ey{86p&ur53WXLcm=1Fs)W=QMbcAFQ{s@Px3^@@c2*yOKt*^(*2i^ z(36#(9%RJ3e!}&ZZd+e{z<&;V?X#rTc zn510J69kR~Lvn;nhu;J7|14K`+e4S)u>n(dr3$F-)8(k>*zkz~m=SeG?I6NBa~c_> zFJetwZ9?6II9~>1xx78^&#C0g4^-<5H8IIuQw^mr`K=)!J&%aT+aXUiOT!%{2sx`* zRF2TjQVICnsK+d7>`cn)k)eyy1fu51GcBPny5{ir+2+M>fJ5co3FLb$xwDx0mHZM7 z_~xLZqid!}5oN;*b5VS7p=}ncu6SN98&osxdq9~x?SXB-Ka2ZhB| zjgC={W$=KWH#C5X*7=8fP)!1z%?)ihg^MKRY7W~?NI^DSxJOIplX`I6NgRCuqcA}< zvp-`GBttJ$Pv~9Dn*SZYOU!WBB>v*qK7CuoN9Bu^ZDyGY9%@Ja)g`OnZ!c{y%q)Wq zkgmYbcbQbmU$_Of;gPpaN3J>&t6y;6-t*ZYq@;S<1JkQy2`u14=_uluBP&|%2QrL> zd%E8DcbSqE(ft*cG&i)~9CUdIQ0r%Pm(%}t3!?t@4US{rEj;BYlr7iJc6;^f*CvR* zLPVoN67;yD{6Vk07yq~i%q>P~?Dj{1H$K*i6CP)|y>)1xk4dbCSCYhJ`XDt);E(2F zlewan_{2oQ9CjQc11JM5cXTYs+h@DnmWfEr;{4Jr;uKD-YkvQrVdu~dr{+6`&l6$f z_gUcm_uLWew$1)WT~Nw6BB4OQ69VqQ6081{!KbHHK@8n&e83qhUxyQdtfVG#ZS+aE zL#mk}LspwaVXDn|-7z%M9l`)ZONWGXOXm>M4G!H% zhje#$cXxM(^!xn&2k!|BPGA=6nYr(4U!T1<;!GB|DW;643V6>VquhOM?;&;HK4aWU zy*z4l89^XFpJHRpODzAgJVTplASl)NVgT0xmH_q&S_5j7Q`>aPMSX((v~j`AuoZTCM#7I7%W zTyP15{00Iu4|JO^fA6h}eoVa5KH3FAQRk97QeXEy*P*IsFm#612p1!Cq3>-4v^D*_ zd9|qHB(3(*eG?~oFG{g?9`%_`hU;sw0Y)66-S0VjEac2uGr=)nIv*+89dd>jzU(U1 zT$xu-w9V@VLFU!ljxTZ<&7x}--ng+K#h&h9v>m?Z<-?W6YV$=M`!=<51F9222O!YB z1jVdtJ9VtwTO*@o03`FN4_FRi83mnm{`x67FZ!P|LS|sy;06RaLrYK`jD)Hp>lq~~yHwfj}U}3`h3x|*& z@YFm8B}Vzo{bfXorF&Rv95>qh;e!!N8`XzagF(PWYDMlx&j~%b>iLih>4?5^6FxMYf7Azj`WAWqOkXA#Z{?8(9mD%E^1`NgiA=R ztVESOyCF+2`Hc>p7oMDn_}X_$c}kcQAL1lljzQzv=h!Ai$yS-$$yzV7lRWvKD@IEb zCV7*^aRH!87&XRQlAwG<77iOuBRyin-qx=`N3$%8YTAE!8j4Fjq+m-zTOr{uCR3Qh z6jS8@i-4$gPOhB60+8zw=>XehFFrxfF+w8v{J+Bo2*%j8)vu5nf>&|pPJ4oe#w`OS z0(4B;RUg)I@}3#g3~DSmrm$ksNwJyqF5LX}YZ-Q@ujLCox`36rlXP3>X)qRjB$$9$ zn%siGmzr&YrlUgIm1HX02m=Q{U>6BD8$aHRYB)0J6D03S2`FppGpcc?p#uAg0je$k{ zzSd+|Ddvb(zl`{1-cr`v8bUXdSpMy*X#KXP76zV=3=autYHGqSbD)-54dwW4ya||B z_=>50N!b3!euaMc6$3#&e3&b_Dc)p*>a%ly0XxVMV;*>Dr)bmZ)|D9k5wPLLJY9wT z@WHV%9rnuvA#lYV_i^wJ^+K1RUxVBliGA zvSbO?f-)h=Nm98hzdqxvi{={p(d=GdX9yX6I$Ni_=pUA+w6U?B^mIsHYy6fXwHUto zJqGA10r)O1^n29Iocs9ycA4L|0nSZVBu3ur(6~f9<5L3)9tS6gdQcUJk3#m7vVK)e zEKa_A*JnlbUu(7T2e5r^;_iR%zSCgt7SlIxG(2HbvZPY>^&w~N;b9oNU?{2IwAT`x zrSV0t{zco9YM)82hSv)oC%?!>9EprF>fO=Jt}!t=9}t$rUv&+C9-U<7JEFm2@Glak zP^x;bp6B^;5D;Hp@*|IJAYic2@f9Yng2%#Ql;|yVjB>tb_vY(^kr|+K#F5)>hH9`D#^OHvY@4#y`88 zftCCJ<@V&r1L0U?Q25(y=ZyG}#5tlYo7NzJLp=Bm)F-R0oivo8ML~d}#XblYjB+mb)WFd<5qDP{-B=RHI=e*TWUcV#KJ6GAsq?07Q#25 zn6Qlh&Yh380iw5Ag?@JS*@5_ZltNNgcs$XEhE%_EI655`))bhXudz}o^DneVKo+YD z+$y(?_fG;c)nFj#w-<$G)Ud0wp{SRGq2ORupLiTy;s4t=C4EM({Li>r+11&l{+^2z035kVb5;U@$VaweMfz5 z6@5EERk=ateFJ&y&EbvaqOG2g&}h@umm1~dS8PF&X7kr9|YDt6~9SOzL{*iA+f@N4) zL-U7jIjyF!gYQmb;l8n)Z*;EjDFcwc&gX0Q70J1NfWxz78!sB*Q(BFn>v7i$nFOru8;d53()*!Go zHD^_-@Lhyf1T+q>+JTxe`A3;UMrlEH7*~N%9Czr$>Od z;SdBi{4ZWeuUr#eDFx>Vf>&w=HJj^QwWDz0enb+wXL}&7NS*+dsi|c z+T;(PJyQOom#+7TN1)fh`tB=u==PY#Qb?*+Ut&S=Y7qkY9(JCfi1 zU~jlkq;M-MJewnRYFu7GysP+S*)}vTuDsGT65lt0QT;tXFr-h;;atv*O{DRn5Xgw{#R-RZ#|G1Bv8H30FxyMOk&)c63q|GrHBdyM?dH zdGwh}gJ8ivTaSk*JM3p%=oqF&;z^~^+SGh#oZ4T5*foCYi5HsbT6{Q1pX%u>HQ7Xp zpb8fmW6n91H^zs6UAjy*HyPE4A6H><{?|5KQflPQAq;|o!M3@N5`#avZ)Su+s- zaKD^rTrJjAT5F}nnV~9++NWNb1Ry_;lVxgZ+Te$I$dDRCxa&(E5-uerIr?Gc<(Gv9 zL^YuJSlpCCIio4S$q_yfqE*EiHszeiq!(ewLWYM%)x;tYycNP94v!-B?@(4Oi8H13 zn?%y{r|^I;MLX?)x7aygr<$$ek{B34ha7WlxLD|Kn4*wUsUeTL4RYWp7$zIf%*!x}YrvjmY zs*A52`l*P8@QV7P>%RVzg_CGW+|HkM)RJ<)yf}vLWhv4Y{d|a(_at3ts?ClyU)X>W z^R>u32Ms(f0-J9>=-da-yG5Uihkbp+u{3uCRMh-)VWt;A1L*xM7??4)Z7o>g{!8fZ zouNm0vgQ|aQn~sxaLqo|O1;kq|JgnKg;4~fPbWQ_@^uK!gdrzSYy&MsfjvY9kcL;% zt}&g(2ga9lLiDJU9S8-%US{yrI&0v#5TCL!ca=j|1@!#W%wt<|MD(vV7TjmT@qC0E{)$bJ4sNfWuAB_0%_Q0$z_-Ow}1PRC|nI{xc* zibr>;#(%Y_dds@!H4U+;@FutQdreUDCd5S>1o7t!bN9TCS(mc-o(QDl_ zvhc?v_m z!4{?U#els_rxm-O>+g(G=momKl!27wNdw*FJ$DTr6E3JCLh)9;nBqYXkV#iNf#vJB zxbZ;5X`AHQZ)p<&X`J0F@5C=qbgLCcQx=+k!a1CM-1032oU%%f`4~dsSj(=P?wZ&? zb?v(@zWVp#*Wn1*Z5LABoY z4>RD*C0;t4MmBS#Hs?ru_&fxG%^Be&FOUsWVIX!gsyJvd#bjZu^{UZp#hn7@^{PzIEd(i{p&m-+riX~#D~n8Teckoe|%ggL3)wk$dxcw5#4 zw?@w3MK^z~gh7vV1a6Lfro;eEBi~@uzi=A5=;e;9K$e<_4pZm0lFz6`V;|*n;9r8y zYJZSq`T>0qc}JdSK;)PO2|6HDHGW3Kog$2#zY2|$O(6HX{ZXR0+@f`pZ%eD3fSC?j z{$6nwd1{(4CD_AXVY$oD;T8}0Xj?#5YbBdG7q@u&TThR-_8S8Rp-gUXFd#qpnpH}D z3qe*8vcD4W0PS_=7ua?}tXXqGx-}S8sE6q*lb7%lpsT4^HqgfSP(DP?o z?M(L<_R5;y&QbHtu-2!}@h;qPz@FLAsm@t)4N!c65sO`wm1TX6CnsAsld}tQ@R;V$^mmI21r3V4YAyj!1dN<)?PD)XR%ue4f({BCd-~vl$LF=a|T?s0w#FP5a!;WYasZ9$RYsspecP(nl zd`|2nH;UunqSP{y3aS!Nh`l zIGzC*Qi|1fyl3}Dq?m5yhhF}}w>m~dq&erS0_r;6gb$-blJF_c_ps<)*iz>9|D zuY3D@%ocA~tV!ArcqC@5F0{d2Jot!+PbVM?YAOKDd`2UW< zHV5gKcPeR^Ei^AIF!q!$aG3@UfdrQ|-0RsMB)&x4hN&^f&RRQ>ckr3NTV!%`+uV&o zFbc&|fv_wg?BGM|{cE6t-U4|CgC2y!jSD5UfLq28BHvtZkw3U6qk+ZP3?xyzcgsOy zFB3g6?C3NDq~pf{hgR4#1!W%4_k~W62CxwTo0L*QsjU1ETl}z{&@K`*O`19QU=45V zK_3k{eECUfQm)6EPP(9(L$W;e?RBGU)&7)NXujE(*Q^0$^k@!GY(-NW4R~`Q5es`0 z-}?M}r|!tNzR=_>r)4Rw4|ymomkN3gO9Q&v@ALkq`*Ili%jzhg|yn z((D4pRT)nW)zJST87XG&uY2xk`;K)edk;cSV^qoK1yRKQtnH7OD8;JXuw6noN!|gU zH|dD8CGiT~>+|EyXF{)*hAqU*Q+RzE=spzx0V&*i|Ozi&ExhK>dDg!C4 zzdncb#{}h8v;pzjoR*Zg(ZpXu^z7j~0i9>jouynVHW;;ET)<2#`tIN$r|z@BSSl|; z)|v3YA5U>Ec^VJSOpM|pilE2CZa@K22P|dM`Rh0^u4sGbsC76&N*y7Si*KINHQTi&8A+)TowLH-*d)OKhhr4IKT2}h( zVt${|U}5OH&-oKr{`>XSw)gMXND+vbQ~~9ZUO3BtfU5^GN^fi$vAvjDO5QS(av5w1j6<2CZ|Ir+XI+2Wkx!|y>V-p@70fVd${UisS9GR@LP;4}d zZo?0;RFPQ&>Ym(Sc0q zOdu6qS{2u@tY?$$UGYS9G|Y_(TT2?|mVy&lkgPPI5b4RfNf-B=nic`c4GstgY22L< zx?Ql5l6f-)4Bf|)f5=3jMeOPpN3*xuXZ~k>*?DU-Z|}OUD`=`%2`c)@&~36VMX4Y~ zzQ{?SWR_#+a;xR^@bw+7nq_>yq_{IR&GlZ;6U)o4@0JMlXM&{i^!Ki4NXW#w_-l!I z^373EESQ+Dq9LX(y`Ath*3Wy-89*z?$Iv*&-HVuOKq-C@%hhQRy8@(A79AE0c)z0O zmUkZkQ0DbLrHLCp?u4mK2yoFh4EN0n7&K$e3EvyTVnucaMM84SFbFWiOv2-@tce>3Cq(0Vls;r6 zlxtGRDBXE9DftK~7kOgayw_mTl_4S?0)3;Ils{<8w#e!J6%on9$lmF&%AeZArU4z1P+7xu&If?Ct6Q8p*AItlX2c{&)*9_1_Hm zP-tbjvwvK9$y^JHS}H2b3sp9`4vCwF8NU)ynhYvWB=aMMCMZBC+0vSmGOley;+&5< zlKwMvFr);`c3F9)%)z#v-%@S=$X1zlaTciniT9?In^jbp(sRn*1hk?FjPRvgR=?53 ziEJ!WxqTGXc4lh_vbS~2dKCOq8j#5rd`&-o1zwmJj`-;rE+!gN_4j zmRUDOo$EVZRaevc52pk#IsapyW<|5L*xfPjt@S;!wvX*pWgp*?`%QFKJdv^B8FuT- z1%G{r`r^JSgAmV1dx|Y)I`2X>SwCz_Kb^BpOW_uER4sws?3+*9fwKEZ#tc*Dx?2d> zt;)meQdpI`sQj-dWepq^?k`sJJQG(AJcdw>5y_mR_?;h?2LnnMty%cpIt_N4fS!o8 zB(5UN_#A{x;%CKE7EXDaoovxo@I|=i=JMeiWuBM<(LxM#w%GZL5Pr96X`LK=5cK+FB`fQ6lnoS6R-x!b+`lWE zH>kEC;(wa}&?wXcR=uN!^twBZE5ukKpNEfQcbq=TLUjr12iak1i#boMc1_tk%Zp1} z`-0;K^545Gu2Dnr-A(-2nz${2xNGP=rU|!@pgr}pnncUV+=&#g^(vK8J4xMH{@ZsM z@A(X&&5bTe+g~|5e0Kh`BkpWgb{SPqr4^!1*MC#G9S^XVc+#Nz;qYNJnuL zD|DM&{h1@-e)?Beb9fYE52h1w`<^~B59Dz-TQBz%H7 zYo3F3ZjYoy_>)zq>ElRr5=5(_{1d|@9S;+pQPzg|J$l_b7JUF)fN0Sg&cw-O>iRR< z@DnDz8gJ?6O-BDnB3MRT1f(k#h^bSzBiyxJYk9Z_0*EaQ#;ax_AYvlt$xn=u5o#fE zv&Dw~on++7%EDxIyIAy#kdQBk+j&WabcIR}o+J4~(Rm&-MV@WfM6^H#bxg&U6=09( zR5mIFZtR*0!4cImoAlek~x06oE4hOB7;={>| zIZb5VL4{rCS;Qv6FcPfl2k=yi6X5l>J$@Pvj=+RF(4U*1th*$+VknYjZYikq`65cEk}#- zci&xm|Ae%M8w=rr+y68hw64ta=zE6pz4+h+>+s#2D3pDZ>ThzkXi*nC-mI?JIB~Yl zFOGcwE7FPJg-G!Y_u&n2nP1i=Q+cYFQ5&^djSDxJ?=uA_lxY1cK=k!oNRgc1wSw;h zY%Hzs7*y3msDvzRPd5JG3qCK?9}i-ktW?4|Th7MS)gI4$1dW!UE56*?5_?wBIT4Wq zkP}hespRd_y6m!dwpaDXQ&*&Ek<`L-k>uQ_T}AFnj>55YoYjtK=G2XzOWk4)aK_-P z7N`OMct<7KFL*oP!*LY~gd~-g661QddS!cg&&8*KX}3z$W8)1SX`e}K_exEv-Gv0- z=8u=fj1V|Dz$nod<T> z0R#O%!9yQFy9)#*XD!sv|0nYNO~Xmb>+vQK?l?;L3!CKRl(sljZ7JOAi7Q`$AX31) z>;|R0C3(OLv(>vUn@inN z>HVmatIbap=3O0kciiIhssbMJ!=PWT>7$toR05=IFPwej5?kXJ!;H1x&TeU};Gt5e zgqc}cE20g;aFxQ5E0waa=dS`MU7$nVI;33qiJ0RFRcsX?pV3v0P7$*3er2cGc}}s zQ`HH&h2IxwY|Q>{D^akTBf;2U_uK3<RWN0;CGB- zK31rjUxNQu%$C1XDdv{U#<;C1>^ehLk|xK?o>H;=xyw!hk+DjJhyqY3DR)X7?p{VU z^s^M=ix--8Ncf`k-0W69#CqJ|yjRIFmABv8krpgqb2^@5rV#L!90#_!R&&GE?Tz=m zwCH^vkq|!527EO~LoXLl{91~0Nydp2+djSAxV|W(OB1BsB*!`wA}s`s>5~@*`0;{Z z8M4ew-p?lA0=AxmY~U6auWX51aWU!NXbsW}f0M&+Y;M_W@;K!k(1UJgdVA>|fA>BP zI{QRH8ox>8>adBz={Xh@mKE`~LGzg3^uggvWs@iqC!y9a57}5K{GMc+Pb)A(uf1WSqeuM&5uh*qx~_#zBat=7X1R`C-^UI-l+1yFPaIHEz$d0R40Kq; zF={JnqV*k50v;GGye4lUWsWHf9K7&6_BUK9t`xI{hk2|zwQMe1_4dwxkJqdhUjC0V z;Gy?c&cd*(5dt8XY2%&gh=({3FrL2ABG0Gj#I}~|GdI_2rpRkQBT*bXP9soAJaEO| z2h6Mbn6N4vqR6*~PTrZ~%-CZ)84Bp4bXGwf- zgHE^oYmOu*i%9>BFdTXZ6c1#3qSu01yWswPGF!94n!YQW5~cuUhocf#EzaewG}7fe z?o%<>2bcN@1Ftn#_R{?e-L6B7TZ9q zhPy;QZ$$%SfP;-$G~W67R%+NDFOdWwy}FG zJ|W|GKDu!MQoi^a(CGz=LgG&OH<#9o+%`Q?_G@k?fmXwIeQ)2&SlX>IA??4JLfr;k zmbXMNAO zNwApBu8h6<(3qrq+n#&szHLUoyKuQyouCV=4h25fFS}<|ZLH*mg+JOT#9BpxW;Gd4 zWW0fstEw14BY402DT-SsYMF%)!Hjw(h2?r4M~P}wGYzE)DXhu@iPvV4xlUn@D6&^i zB3o)&uRrxMcAhKNaevZp&$i5m`nGvJGSyFupqV{r*9+4~yRUAMv*4QG%d%wk=yi)h z4!XxAA;BG~11(4a&8<{jpIO0SJr$B%uO1w1Abc9U@D-!nJ>st;f`$mcJBA9r#&osC zLM~g>wD$GML-qXJ5t12F+3ZVPZIh9d=J)BOyc<36&@is~YFm0lY8FY;#@;KIn*uaW zg|Nw7r*sd{eY=B)9-TKjfbUAQ5I&;CVbpPG&3E`+!W^{|=~OE90Db=noz^$Xg|DHproVf$xct3Dl*=pRUt`nHX}o`ZtP zwl94}1%(MBzRmN7exv^&ZTZOf0&M|V8w`Y&bAKrETA>SWOL+`&(B+VPBNO6%}% zP1x#Xn!X_yc8`1O@)7i{xVD^0?(nO&z zx#NR1ImrQAvcSRN01@)rp(1@~H+- zK5xmDWU&DNpN|Ie?m-iOcmn0*6D~m5XcXs|;`C~=C?3s_f0Osh@I1%Bd_EVqT6752 zru@`oL`!v8zyx#TE(f zYy5eXop99d{V=?+j!7SGbl3H)eXE01c}be-~;i4FGCTlpftE$RRY? z`&dylR+JK2g!R!XE3v75$eEFX+O%1#X^85w-mJDdL*b!qNM~e zY4psPy5<4076F}pGv);|5)-ZHoJ?N{AzseSSefQcah{8VH!9RW?rJ%KY(Qho0ehtS zI66#2ptTWNX)!5GVOo~ij(5CwiZitvpAN#X65nkUI_ZtVX>4yT_mR~+l<88Ub<{b9 z+4rIhs_SLjnY^cgR>;;j7rRxe(3=5U0)Y6Je&Dek*j(wAHQicGyA}Zw2@!2nhbnz_ zn>vq2Brd01Ec*3oY*tj`>fn4xkXfUsrFYlEA@nd%YpxCM+?;v2@=_H@BN{2OXE}AZ zGiR$Z{`gS9?hhEWLk(j9#5}L3t>WO|o$rf_*+z+DLs`oEnVT+Ssz;T=4)4>o8I9Z5 zbep>3uNK7{ZyKQAIkF z_uk(XTS8B;n`+d~>ZJ-;?D$=kS~3^Y`JywgTS|eLjBf6ZJ%+LaTNpx&SnkOc2l2Ry z`yG?wZgVk0=KrE2;h;4r$o}1vDhG3tMeSD6q=2MVCe{=8JZEFzvy&Tl&)yh-@$w0% z+H`eiuQ9XL$#V}w{CC34GcNlRuPLE)sJXQ|l)%G9A|WNMbT@|2U#ijL-BemVL+O>u`Vqv zZzR31#+wYzKuI)H0XD-2{m_@Q+}u4g++quz^0_GCu z{L;2n_#5(o7XnVmZOa;8h^Gpi0PgsdC-|)&p88oZ$B(Qhx+keKD<{#2E_PrHKEV(R zAZ|xFsq0Ya}(h| z3&X0$?iX@eQ4a$?X6v+87+yJHgG$swzhv>YKL8P?eUT7~k--J)_@JgA!Jh+|ZxFX> z*1ZCffQmtG+pHfbeUy)k8c0!w<;zFcxai#UL0348qKf+Z6mkGKSpgr5G91qeuS=_$ zpGV0;k3ncPKa{1`h)I*h;YxwoYVNaVPm;p1pN(xm$Bhas_v6i!a}vs;Fai5)ajP97 zW)U+YwKftSQjj6*LBuqs?OObEZ9pw=bo+O4A4gK3f>yU=H~D=CskS37`PwzV?$f85 z!}F?uMwv-2&RZvp%#5(y5#-PiwMmG+!>6EK(_v~ zuAY0@F`nAmCiS#}eO^qYQG&~)pLXWeTO1#fz5mPryRu>;6MU}(Vx>6ECgGM(2_5Q{ zZS#dRYNfFO(w9+oAihO~Gh?x8d63~cZfA_N3dUD_k=1kGjZ?tMJe|Y>XrzsvE(v1) z%g}4-V95XiZGWx}T=*bR5nj(0zMdCmNT@0%?TB>X-f|VH!>+=yJN*XZ&fQUx@8J;Z zk7cmODQ8|k`Ug?n#3h5jFLK+4f{Ovw{w$B{^~h%FdQI&HBA3x329==rrk973&S-N7 zNzxn)s=MT*eK`}?h+I-UM*-YS_XHvE{pO0>o+#c>)Nb=5xe+1`0wm{q(e67or}KAB zXcm^V4SyY<9`sr3GsMs5s^@_NJu@VZNNZm794q>EY!%wk(|4#3Qm*P_|H6Voc+3qT z1q468*O%!U*eWjkqk@#EthCt>Sx!KQY^)@phgkUuz}wnqr{bUDXEMs`+GI#kyEAB% zf-BeNbg8bBrppJoGs(}~XUZwBUV&1Xm{@YcKeyLCR?;hYgTX_+;ii9#Lk;O9o{DH> z0k6!t3>v9OPme_`wf43vOqm%O){C(i_TA6=ZgN^>RDf~?oxoBgpD-!j_iaa~ zorZQ#^MEaw=!hrd`X^(NX_X1Hm2y1(ode72^|qId4#@|yBp`6jl-VFchE92hNG_Fs zPmOZ-x*ZrsP~8I|7B0v@*+EmEdg;=}%waSDP^l98R&9^=$ZAl_w29?1Mc#5;g~ZKi z^4|bP{Y8B4`;R}@nrJZIG(odX60$n{jtQjlp9D`xCJTba_yPVwfO&Y#w>8qH&A)!l zW~tlj&3;F(8P3uF)Li8aeo`8Rgzr61;TqmdqS;smkl`(V`BB$(Wk9LH^^`w@mUesN zOsMdCc?K9KnePXJpBxkH)B#0`(|Pjd$wS#E0|K}fm1$`xLccH=b$C+lx&gEmRbLMw zqf`Wr6iWmQh3BW3q#Uu>xkyh7pW_AdX3!E2L#Vw)-7%M-pd(@|^cEN}ZUmiS~E^_fI}`&loGW=ugVnZ zK7)Hwx_;sZCQ7QC)J5{uNvu!TiF5$!&uDo9o?Rjcbpe`9*k#9vh7&Z1$7q2#Nq%bN z6T#q6!3VI6l}11X*5=pli_t{=EL4NSR!i-HMh_CSF}<$bwHcmFuPA_--{`3{a?5!5 zP+#^CwpjztEtyBpPmO>bL-BYNlYfZ4ef^gc0(>6u6Osk9zKmRnFKn^~xCI-DOyRtodMS*qvRQ=wP3Z~eDfwy`3zxlX&SAJ1XT z!hl_R2jOV890W5ml}m}}SbiM{Q|v*7qni2gGUd8Xk3mmmVdH}ZW2g8!2V$Nxs7n8Q zELJ;5$;D2n6EmW6hJKhr+KOlas1_)xV)N0X@G!$z>w!w zl5#gk3V2mTnE`2R4!Kq8fG zdKZ~0{VLj}CM-3+5g08pc1)D*p6*feX;NFrgY&t3y`%aDnOMm40}9~_`L=^)bQ=P% zC+cDX%Yu+Ay=6Qzs{#@3h`y*B#eavD_Fps$qGf8 ztEz9lt|A-M+?-P>EBpX%2u1@=mETT${t?<*uJIP}d0vvM%i~8m(1f?MuLGpc;@Tq@ptF4# zqxv6cXgz@rau=eMa~kfJy*m*cZDZinAkx%nphGQi*CUX# zTCDX4U3R_DjvZQqtF@hhOI8nJLu|b|nZRQVWbsYogI+@fleWJLlU5+%F2f&$(e`j$ zCQ)#Qk#Qf*falP)W#?0w#Bum#)ai$L4)oMG1TJ~`xIeXjF*BQwO2s{#n7T*>yWZ}K+eEe~ zGe_W2$>)oykoR8A56!-+Sc_6q>tTG?aT)oUvTO$rQQqkBK6a+GAG|g{+hS+pkB-O` za(8O^frj{SY)X@dFu%W!2Px5GDMm7x|KlGDE`TB)@7Gu0gsoB6->4L`xo^|^QF=D9 z`9EZ*A5d;x9tn7&)3RLOXcbB)rM8a%A1zJf_cg^<7=!?unjb3^qV<sv$n1|9 z({GPK(qqt==tFkzM-fZF(_v`Iu%!}{m6a12Q~ZDt9f$q?z&t$en>v{n;Zf~w+vTUTEYkmX%^#w93)}Dew#0q&#rnkPp`gAPv8N9c)h`h!6z* zuKrp>;m*|M(;odH6WG2WKB9O@YQs}qy5O_tP!^8D24q5dVi!X0W6Y#0Yf=g}-5rQm zN=m_OCxJ<3EMDG5iJFiip@FE=Hqi zT6t>P9f{oBW8v@w|7jnYf4_LnUoP$HdnPD6FK)=D0L*!lG3c9t1lX`T;jA-Oo6(aXmo<*S`(-gUpej zC4?M^G;Pd&pW_*T7HQJfC$XdWyrS*mM9v|Rm~$*2#_2UC_{Dun6z>V8NMGZHL_pS%*WHhtE?MjZ!ZjZq4=ifjr>^oj4*|v zgh1NJJhw6st$KE~9W=S5h|QjG%UTz%Rx0y|eq6@e^6%2ZV8|vUo--dEw zQhm%6nn_=Glfy18vD>}+YO0zc4O3-}rGB_CiSx3y^dpaHdDA)^4$}#ZOD^cy9p_nH zZl_=fv@9#&nsQfYvLo6oIH$PVl7DzBTE3{E}!YOSyD29y8q(fMrs z_;5&^LKMKz;4fC2Sn*aDI2QN29RCC|s(&3$)(NHEeR~mptyr!k6RB7J0!M}kczOiT zbQT*>bk#6uo9iv$rGy1@l zy4N#o;-TkqUPjH{j1EPk))6y*leg6Bug68YgCZNM9H_61%h!g!nitTH8jRllTk&NS z>Rv02XS=`>!m@&g9*T!T7WFS(`uh~KJMukNbaf82?qbbWH-l+!PU@xCo2ILembH}z zF7YdK%|>p4$t1q2-s_mVg4gG3Uv5EcI9Oo!*#(8L)04`8u(;pxTnYJ)+4ybE(;+D7 z`;@kn(Jc<8NjNUA(^iAqe&Wrqy9T+Fiz_%o@AXXx0M)Q!;;_X;da!c^QCjxWHH@Ut z=JqDH)@I!vTk?*jL|I2t6eIk0mlU}Xh*v_xqIV7|!4%J?7jib>cIK{1J{Z`MX6c^a zSigMZhtp#d+`8IR6^_Oqgwcv8M1JjvvBzv=H$T)Aq(%JG4tL05_+Xw}N*aV`9nf=I zy!57o09Bqpk(gVQ~$hI@|(4$|4hZD=_wXjtKo00dnR~qeFb$KHYErVuS?ih8{h! z-c6KR>F+8?p_6QwCdw^c9Gx*sH7-+5b~SGwtjtPibToqObS=7+tq%SVxBD%34p@qr3#GMVs)IZ9m*jyBkHmBD*IU8rI!1ZE|DU zf{>qi9;GRCVo8pjzp@a7EW$>H#}>FX09VbUmE6fJ84p@ou28Uuf$tMgYf)O;vbKkK zDgXxBk6(Pq zVUnfP`fP3az=f6QI}L>z_&0paG5i;|}ghyi$wlhiYB=QJ{l`{RentsI6%z#AB?0Ivr2zyaXT z3V@~HIrhRZqt=w6&yyJr(r zNSDe~2qUckey$LZd|La1us|jf$-*FI)!OXh|CBd85E&BquxVsIT?6ctiP_%sJpUY? zyd#K3GM~!kYy0zT?*+e+(a4;!=KagR=~$`W1BF6rgqY{u*R!<&RD@0pDkDyt!V{V+ zAZ<96hl-6$qEnw}J_mV|H3}h%!zC>3p*O9%X9!~(J3`LO3fMpq%%79bbfR4MMc@&C zYnDVg(P~Fr^v!ZRaKecVj_ODRh}#Qy1&LhGoByal5qKnS;T|YIs!Tu*=byTsP9%{3 zH8y94>l}lBVZFYHR5V>lWuB!fm@F5HH*g|~LcpjKxS1cL3 zZ5=S9eqb7zavbr#LvFr56(3!y&3i$jRrs2e$U1cn9~PS@6Oj3UtY*_m*;92r2aWD= zXB2Q^meo|Won)tedZbu-N#X5?zc%cu2FUwMf-bXl0QCZ~IUf*0Q6he05r)G5%t+#N zsHw?QTJ_*Hs6iQr6SF_AVh*}jLJs`SW&3WLsvkxa`zsZ7Ea{73TtungxQ%AH@P(ia zCAHM|qjH6k;QZGE0XK9(wZ6`L^#t=g_8^j1S=JTy$_~djDc(lkJyN#p|Hsr>2UY!r zTVE6@X{1ZKLApUY1?g^(PU-Fr4=LS9cc*kpNq2*Ecf8x*y?5sQ2Zv!s1@`2$e!$w!j<>kgSOWVk*FQzgHwI>9^yv|MB~t@*97eSJ2e@iqAKtwa(2Ci zf}k1FFVJfD(%PmT*;g!3Zp-G_cqmva=9VrG#wy5`QtPopCJV0Q2Ksoefk|@@De)~t8DGuc$;BWR|0`m^oDFvmE2a9*_55U*{WKX|tz6UwaT1`GzQDn1@05=6P{%R9KYz5fCSlym8lQ zvRRSLO+j2W2a@%9E=S?`4%!4gGrMuM+jnKB56-}S4Wm65(@O@sw#cNbBa@1XwPiNa zA(Q8MiyCM4Y+~q>yRf>swNvKmEeO}ZsA1qbCcsAgsFs%fBV{5*`1Y<$RGNy)@kz|& z&t&-phu6E0=^>Qg|jA91?m96fkbqcK-sk3 zndavM)2tAbYX9yk5ue z{I1?ow}Y(}$ce1E{MuKWFd;8^nLn(S%4G$j z>|PcpG;-Oa=KI3dBcfK?c~Fa+YN-3EzKtH-q36S&=C1N?;_zv+J4E;~P&d#cP(yG6 z=i*LxJtPZ_=t*@j(EcU{!X|cBveb8%2enjvdzMqj)gU=__z=q%E4rb*i7GSen$*M9 z|6xG@k2#uIvK10qsy?If(&X5A$mea9JGV4#IRo7ymm!X5Z9Au#T$hXTn^ z%@0yT9ZFzhLTs9IQ}oe`Ug}C#pt%QTFbZ;;rw`gRyWw z`->fhpez;1JWm(t80mhamj1@}10K(Y+l}K4URTdUW`B9;IB1f++s&KUehFf-(^pS&dotEXKzwkTFscaRBbU=`WvRQsayOHO+x-gQ!{#*VE;z$Y#yyP97B zCF8gQL{Cx+-^zf4ZPKL5WR%o+PDc!<&R`;x85k5Dwhh=kNG9P=12Z|mp1s>V;Dx<- zrW7jIxI99vx@jzQ#fD##IzM)29omBo78< znme|wzotn(4Cua&EBXzOg*=|ZrW0vBzo+W4U`4K})SizqBb(Wb_=>M@1qaB4`2?#JEhrz=eDvum7e0~)b zmPMcuccSF-$QHkO4!ttP&W_gqD`}{vfBu|Jx3L3!jw<7Z-fMh88UOP$H9m8R0zdOt zXUTfU;OBUa!cW!)L7lYwW1Q$TyT7}iUa1T`E4wGnZo8-virj;&(AUh) zMxV>qB!+_Sdi)T>vA`B3Nf&{bU80>!q8k72Tb~iKlUMrL6$nExf5RPi~^pPj?o>9Faz{S*@2o3lfMe0x~ z?;7IAR{9r;Lm{%_9#nv~&|tZ$8*laWa2*s9LFe!-qsxD&;YA7)Qtjm7ddL^5jjg9% zzUq&Rgx8iVnT!oM#9F*28R`CN8Qc;j4&1WF?pmo%@nwbgijs>7$H8Zk>Q{F4NC zDta5ISU)AP1hZ@XFs>x#dnn7*?>uZnQrG-N?>eVmTA;2o_}-sm z%FgMVNQJjoJ_;|p8RCJHxWh@gc*}&=cF@gF#&iQ~6AG`rox@0hHV>Iao=Mi)X4%d3 zeR~%d31W8`F!P#@j%6%vwr{QhM{pFH44#NKN=*7Qt?lKZ!npsz&j-VbeK!d0;<|Wzi!JpSBcjRkVD_?eABDd z0)V#ABWrT=sj-jt*85aJOhiuX-hP>Q*L*!?d)$Yd3@pvEa8@a}XKnm~P^m48FAb zWc!5^G#Y%4o(!*t@6Y5I3RTSN**tJ1%0;oLl)z;w#bw%-L8J(`*&`~7U=WjD30UVJ zNkGa+#`z0bX@YznIbz>kReXhjd(J;nNsh!7Fj7xXQ{eeDRhwkDsA{EkRer-kD$b1h zl&n9vGK^8ge66o6p6LmwF3>h)2hPg)H6Vv<& z7wbFHB?r}`?+?Hk&X3(U@RnIiS3t1geOCk6Fn8_INkn5HW>_5gNB!B&QG^GX+sX#8 z?Ef$_{;85Lrfi$6k0d-pD`i>5Dy8m|>f0=-VNvYnp0X7g4Jqd)T& zItN$o{d`#5Iu_X=HtBXO1(<}`f=ecyxHI{mLX@6xH8eghG-FN!XiL{tPHzMn7Ov2p zd}Z$(m!MDQ;~m^PcrnU0*1pAtHgZK|+^{bgbjCcchKm~sg}T`}z&Nia7$iA3K|LQq z%S)H#)mI{HSpf_010J1i&U&Yuyr#v91!<@>{a1Sd2M_YpSGR8!x$j%AQEw{5Hu6xe z^5u~)y)wFfQQ?aVI5PP_tQ5k~rd#GYVe!ia6AI&H3*-0V-9(4_*`AtvvJaM=Zkx5) zJs+;He{g->k^nh^vznkjk#wHAv(+)dpI)c>KjvH70ghoXLM{K7-=ZEPP(Xl@rvInN ztR23Em!3T01PpqjUBT04|95rlcH0wOHk&+A&;7|<&QbL_(q}!MTVoo@JhmmTS4ep5 z5HIT(z2@$r@ay@Yzr>$wojqBkoQ5;4Xu*EvPWJ5NJNOmeosxVVNfUcW$*DzQ5_xFb zmXvLbXxxK4oVQ4o&*SsDM2N*`V!D%MCK_863VqB~l*}{!JxVO=$#D)88**7pd5;@W z)@VuYtBNR)&L3hmJtb&r{JRTFS-kx>mhrC*jJD@`)dA{#VUAhlCPxcH%QoW7iWzn@ zn+;=g&C1h#=kgJ)L#9ALQxWb$p<{dLLrZq}FV~$eH#YP6*Lq)`8_W6aPxiR^oKCws zP4)}5s#x0ZuklCH`0<7O{02q`dLRKITl5JPsZ`+oBpWZ;C_8|Q4p9`#Bj8jS9WX2u zRE)gPibfX~C(ZKcmg#dwOzM$jz|WiL`P^P$ojv)DeByV|~FffzOM3BF35E zUDpo)U)3ftTt-!stfCL@NcovCNcafEn2_Day9=|G)~3BlilGF6#&jm&cE1FI%>o;= zosY4p5lG%R=nihhzP*6EfrceZugvZ2`&=m6n0}UtxBj&+-m|^@^KC*EQzjG3u`J~y zuJufbc|<)8nEsuW`zE>835dszo+74K+-0GnqfogyOAnYJmPm&8rn$(QJ> zoXjkn+N?({(IKP$FDD_vw<+hQm$;$7lnMr#H%O3YE&nHsV0h-xYd;54k)J>J{8<|2 z5t$)QWK@$oRKBDd6Q(UYP{6jXbeuCYDJ$1_)3+FTM$SMe1O@ej-DqdH?tV?kzI5;! zd-JE(1mWzGvJ{=p|yu2>Ei?3mfgZF|nbTt{VS8Wv#R%K@aTXIu?dfD!csP-{Jhsk_< zQNtuTYG-I#S=|12qK#LZplB?EKLj%>;kuaBc;?~O)qP!TRLP{w=88<(v>p>atl+yf%rz&bPl{wIRr7kK(0F_ozdP-Jqf?^Fpc&FYLc#y}kaBv)EL${r zvQRmP9P#UjL~|5f*Qj2fe`ohw-kH3+q$(VvsaIVRO6L5ArF}m>A6}TZ>Casn;{`z|`$2b;wP($+qd5>*iI9|fsL2-*C)xMMRD|cO$YhbZ zwr|b+lk+SoEBzj}9T;IHza+5`6y|+^u+Zpe$gGl`Jv)t$ld!0!s8$5Aw)W=&uT}@8 z;{lD3#)9Kspbo4&DIc>|=vS%vPsZmpH?f76yAE;~$+zzfo@wCP2}nGH`(a-vjDheA zgV3YfCG%@!5CH59akV@3d;BNig}~|Y>ifKUjq;Y%e<2?0ySUM_{N56E@{g1(9gkk! zQnWT=54jAn%RhWOZ&4G{AD(05BFq*>Tfu|?w9^J@plWT(UJ79TAN>^^Z7&|8Ls=d| zTvglTZ2gu77nf0$i#B0!A^nnP1wmtE=**1S^xZQ5)t78D_C+R{=vR1oA``7C+niF_I${oSv(wG@vC_zgRU2Z?4IPKZ7p| zDKiy&NA3r?ba{$Ov0=Za4qwv+Tqh$@>{woZ$~LY3!xDw7;a_@K#*6!>`&m?)23rg= zA%QtGQj;pfLe=)y^@Zl7o7Y}}3X52ulNrVRWh>cI1W^7KsG)j4l44=JGL)yW1^fgz z5=EPN|5~c#(9p2HSj=IC$WQVK;NG8A;CI;)gSzfSC}TR3b1v3vnbwKGo_BXoHrb0Y zO!--?$~HnWFYdEeYFYv0VR(ID_0=Hp9Mt8jE5+e}=`G?T-mq3L^PA#DLGwDo zQXS2pQ;?@kWQahLQT?#JU@h9>W;6{tl7xJ7Ut5O<0;Zqek3iQ_%{BlnizQPm$d_tQ zDWH^2WGutkX4LUB2HBLhW7w;=5%2v?&d|s41(Bq%OVK2b6o{FL@_&3B6mL=%_!}&^ z(P}GfG{eX3$*5KZ+!3-eV(4M(Ghp9WGV6P0!9f17B<{b9WcFJlOEiyO1!3V`(uavz z6nIBL%N-`g^CB4{nZ4#2L#J(UwnT@EEDV3<1OG&z(Mu9%2CFB7D4*AzW;ZTGk zrNE#svbG{9ZL)g1al$XU5%6iRTos$lka;}cePfSkezE*G@Go`$b`k$Rh@Xs}5XBw~ z1g=ykP|G{n`Plc!U6;nET|wUmr&1swdT^+MeiddL0Y}@0tPcG!f~lk|cU5x>Ngvj# zgxpaORoorzdKj$#eEm^gW}3hj*r=KJ~xyKG*)76B^EEXCx7)YRohpx?7@Ey z!m_9SiiikKY|0H}y9ddopP!W|6z_Q_TaJS1IhCyR*f80pvE#U_TweT6uN6We1a2&u zAjgkW&fFQh;szKX4Hi$>!0ieu!FZny)eXMdL~~dJlxmaQP>18)IpAo=pA@k)er%`~ zD=t1*n*Mh+89?jL+HP{JekBK`pNX+#v7I1h4@oQfz^jgVo*YKL~*6Q zFqb7_+S_fJIV!lh&#iRk{##d;x4yvHL0}!OA#OL1=)fyvLdrz_PwG&M;u9QP(A6{9 zULHV~miP!Zy3&b$#;1~S{CA6Y+DA;fC>Y4+p1I!Aa5S&b_st;W?M!~&b+?L18yPc2 z*^|R>2!nNOand@iq1`Zv8P!>H+$Tst=}s{EIbN5p*zWNVZI`!NvupDZ5Xh{IM&EL^ z4-Y%-R}xTz(OiU#53JNLFr+3oHkE2(2WRJP!Jq+C%IDn04KTeiaRE@mD_$~IN3-6a z;p%Ne=iQun6X)p3QUSyABK+1Qe{&iSlNZU|(L(nQc^P&sa#ME*oNuHVaXHStV}Z-K9DyCL*>zud znu7G@2)AA~?~oa#40D2F*pO(V&OfHKUB-Avscv4|PKh@yhZ_8e*TWrWh{HwTw4Id{ zz=cSKTV3X^s^thdkPk5uMt%f**3r)?H!VE_z{+c!1M&gfh7|84+PA9d-@YWi6bU4d z0J7fZ2c=@ZpOy-XLDcg|+y^@!+-FVrc!)y!C0>88HNke6MTSy!$vJ6Ja%+cuUZ&b| zdJ+kXr;zT{-zUh!&<*7?ip~#^$eB|k{ujAL`4#_(U7e z7;rVLO454*W$0;ZG@a%~EyR^4oLoJ=Yla<2V_1Iw$$Ez%KJW3|WayI*^X{tn;k4zK zOaZNrl5Snl?)aQ=&l>}A`?8VrN}7@(&ESZ-=I=r4`}2eKh2rZUwU795cs@`YLpYV?b6(z%->!zt#F_{X(2Ka*A1wt*UN#Fg9`nqMYDv1~e@Qu? zrB{!Hw(ucc=dm6&P3wdGdT@y(_`@$Zc8`mN-g)pbvqu{zf-B=&R}x`bHh3*oe&-b^~Eg2gF&K&ObWd~ zPr!sHhp(I@>e&8|13RCFi>r!uQ#w zZJyLapeF!poRIUaigZ!}^(!NfOFyRBZXW9LkZq!?;X3t3-k$CrTBu!m4E?X^uP!>i zFlzO7PqGjd)Q53xrBE6V)p?Iq^_>KX7Ek4mefIN(V)sa}Bv=@m>GKIsN;qS4CqL@& zN@zRmNT19EH0wI}gn5m3bw|4bUUm}`Vukpv!v^7S#J)RH@81#IJnIAw0WFL;5q#)R z*z>wKj@?fbobSn)<1M!PR`V5HR3`|B?jl+tFQ8T86vBx$l1UrLO$o!O-S{u372T7U zZ~muXlWt)#i9rk9-CYKP>VthY0eJy8K-~7n^KY^#Trab z{h#GGB0hvUd$IfMJ^4{uP!)@(b3O!KJk;N0lYon=VFIV}#{cQQY%hnj=jtneQH3xN zr0Rw7DSH&2$D!WXcVE%!=H;!AK#a^LYm30^?0W1*E_I`5(TPAiKd3pO7?>%*?fZc+ z`fzv5ZtIqr&AMQZ`6AH1SDa9XJUEz)h7X-b)ACCJ!Meac6`^y6wAXv1-SC9Cf^3|1 z7|;-}9*jt38<;Y$zfuv-Y;|;hRk?dAWW3w{t9$K(Y=*#R=G#&&l>VFJ{+hh^w{C7m zTcK#cl(z6LrIb^+Jc+m!C9}&{PG{?xhr<%;psWRQa0L5vIb^&{Ma$eYrk-%E`sD|g zdeuVnyQ5zF6`x-H$^MAYRd0&oDN@nAwhL4nC)mT`)FiACi7 zba~wwShcAO%+Uu>V*!W1`iSfMJV4mvM!}~NQui~C)!`3|zWYw;MkHhi<>d~0iN~90 zjNf*BYvIaFIX5v9ZxK|_ zNHXI|J}?-2KH{(@(le1OdWV7w;HrFWVO#0R)L~TVl?-ZAKXOcTKk3#Q14saVaV#js zm^R*ZcubX-B);cxBPQKm>$GceS6R^ubC@nm-SPp=U`t#}Z7wMC=F8l&2hreS{+jh3 zMSLx2c)+14m@q;uM|}PqJPAu-W#p6K1~KRR{GGgp6UhXqp~Fbl$2H3sN0*0^sNub$ ziH_F%3Wu-Nc?_+dHLXYLMIC=wU z>^GWq0S#x~TMNzIqB6t``%?*5X2uomr@WTIrnTjSv-7^ebrv#H6*K|CYmg##2Q%H3 z8bypcI%P2Rfum75KhvlMZ{{7yT6woaFf-pO@z>M|pUAYX6~HkFP&N z1orKPw~R-M+f&Gg%S#Gl^`>9nuPK0ST?L(veF_Z&J0vgOcyA9Yl&DOzWK{~BSY3I_ z0=A>smI4R}UGaba09m4Qnn)Li+qjU+3N+Q&YL;-jv+;iZ3I5@6-P7bVuMUut5j!^a zAah3OW$RM{T9&B-*h_$A?GvDRNV~5b=IyJHnrHy_)PU&FZPLFlaT~Ldny0PXd<0D_ zkDLelTCu6Qafe39lJlkF)@iw3bMLy!GZs;@w8(lP%5LtkoQM3rp@+NZI*_sAmG}H- zQNnM6ap7bUBjJX=!^H`i3Zpu*;0T%iz3|-gkP4M*l>GI#|8)5qVKhD=Kqf=Ax0I8d zI)3P43MShygzjPtB)JscYY95Ya}NB1PihV7TzKK-b22|ral>+laSud~i90W~P;e&L zeCMH{B7x=DEoJszQ)eq)ffIR%?D}hzgoc|D#I|4AIENhy=W}iYuOwwgNlFIfi$xL= zMM~rKUkXf-xQf1#ZXwt8#k*+3rtun0cjzM48#)-4J^Uwc4L3@gZi@#Rs5Pp&5;45> z7oU81nUQ(C4{=g3kT>jS3XG@-SgUSlM=?M>LAvMDN2^286p@ZkZtf>D(*vC}0WzI!t;xGd*4vL~F;pn>C<~vk#Pd9X zm<43-dphU=O=xfDb0{MVJbxmAt|g5cF)6hgcc;UT3;tB_QgH1rsGz}02$Hj!{WbY~ zPQU{K80ybz=rj#v0lvkkG}ugiE@BZmnhCUX)o!dT=y9mmTyPOsOp0)c`9mO7`3*KO zldaqEb>N9aUfySYE5yW7%`ii&P?;+nJ7XCS6jAN!?n%hzmw2+2FK*Gw$ykID1HS$0 z_4*{?3LacOagc0O7C>T^OOKiwj@~FT=`B3VK`m9TC*T;-2%Vxk4y~{3ADX~WNV~5$ z+?JlJv>|NUoCqy=(5y2qBDhv5R7X3QSLb9`;ae+JR1)Ji0G&JE$a{Z?_OhY^zC*9{ zCjb*AUu-6snMB)wXzcrBf@i)vp{fZ8~DdYGZ=oc3Z9Xe zu>8(^M6T{vBlh_~jvgqh@-|-iyW}9us!VXCa1XZ(GXYmlJ&a(3nIAF!OlOLDE~@L9 zZ_ZlNCiLyI;Fp~z{4N9q)!>ynvEYceqkF`d6;56}wte?^9;>pEk3jxc_VMnKQQcX| zl7If4N-jU~P~dTkLk?cy-*9PWh~T)N;h?;Nvr^&+A@692UE13RTZ} zlQBH54p5kEgI>8!YyoUXDq!`7lOBv=(42%;kB|6=#ScLhqEfcCQDjEJULcG6fCjMc zKWHP?r4!{f8$mTbsmP~u-=Ld_8uY6saE|af5&?OnBqW#B!92#mtrMEC(7XKSiSM)B z&wFl-h52wQwb7G5#f0V6=Sllj3$28N`0NSp_m_X)aC%4-_1}}OBTQ#&pJcSH4e37C zeAD+D9_EQA?D-VOlZ8$Mb!T7T`M!`_IP&Ve)&>vO+-X(*hP!uBYgeWOF2dSv@+`n zB^6WCQO<@>jN2Cmm5~_5HQ(ZPDw!9gQXdxW@=fPT(l;nNFqTWeSnH7#8c%CfkO{}6 zVY^7?wO9Z011I1NXHW7@wXfx`SH~L{4s^>Bz6fLjx7ZA%n=;vZ6491_U`z*29d6)j;BLQhF4OFOJan6{aOdGN_+(4*+LYIQM|OYvTo!?dOC6*$ zXAnb>i4)%pJ@#Zw=bEAXCNkjWNn9oK53-uOwE%0@=iaV9Zdt=AT;G<6KBJ=w3&S4P zsu1DGM-MW^Q3Wsyo+NV(!iaQGytU@GGJUTKy$WOf}us(8Firw$=QDOax&M($dPk;Dy9sf`(n z;A{HFJw%-eZ94Q-F`~?dHYh`=?Vp{UplE#`^&?BakU=Xt)@n~?PS~fcUO#Fs3$^f@ z!1S29sO^(GxkBnDT<%9z8ZoZ$^zM)KQq@jk1O|LjXG*{1jB!Nv_A1Cm66uLPvBSGm zVc!5K#HXgG&_e`de;7aFViSau@4w^k%HO7qmnb?37f$Do)#4`o-T8($u_*i47TNWM z4HC=0t@Ki*l$#Kd1kw*t;bH1|JEr=R=ayt6^+L;r9vh5n^O-5jo(kLYN%vETF&VoFE4z8VCEvnt&4`!xbPSv3TcJXyXDS4m04&N+ zN0=IE=BZi)f(=VQIb{)0X*76mhYUUvD+0zM$mj?CO$Qmz|LuXnW=a8Z-Cp}IZOwId zw^>yV&!jbbf*#IA!194eiUEbv_VHUEmpQgaa|XL*Jr13h*?oLyJlK18H=beSkF`Ht z%b1yuN_Z|2_VkMyR#Yu_h~|xeIXc?#FYIotY*5-a?zcrxnpG09A79Utg8&4e;8|cKA zSoY~L;1#)mJ7QTv$$JO!Ds**0^MZ}3%gDEh$V4QjpkQU`ijj2tO=KpUR1PM4`4hjK zHCq=j-Z#2+5{UTxrLc540Q$#Y)T6lWoOSy_bT4m(NZl4T8=y%Nw(0|*y5ix!9RrzxEcphol0hZ zsjYejB!AoeKu!OCek@~SpbG3MiYho5wSq)5wIrLJ@p;~1MZ^*GS@F=RlKl04WoWb7 zJJfWMP$S#L9h_nbIr|8gt=s;C(>wM%J~`=jb^wcv03uT7mIhESy7AcUJ(nr@E`&{fdwnyc1*?=e4wOtG_=G4_7_5#DQY2|$+)s)~GGoPp zE!q4z-o70(DIehdgefo!Xz~=BBz-Cx^7@rd?`YA=X*)+1O-F=EZ;;4!Z?O9-JdZW% z9dY**R>@brLiY~5)tN2J9dxy;(Cf_(NG|NDg*|QbkGG0$cMfd7{M2+hoL=-zdx$yv zwemJDI3~XSKQ@PE)5gqbH_l2`1lYxCeEV_C@4NKt<5F#9XCO&8m|Cuh;l_qJ*x-A* zuSeV*EoODkADoX7#%i!34S#TCQ^x0)lVT#t53P zeEX|dKS{EcLD^OYtnXyXkr1_+dnCJ)h=z= z=>E8ugw=KePj=Ta%;sieKKRx})Q=I<}i>iUsU@V?+SV?XQG z?3Q#q#BT#&8(U_xo9n>C!QKXGG(YzXC}G{~-#qSyb(N-@TgT}Cm$@)0BVxz$v(X(u zfb0B+z$X)Do z>#Gy<1tZJyWIaNaEp~ex2yAKU1%)*eX-<`rZJ|)|+;TT>;Fi7gH=MjDg^p7xh$IS- z07)VEuwNNhsb5+w*fnBy7_>t{L9jjg7>DG`Noc%ULSca_M+dkyW&|gNTohnWJoXcx z-T9c89Sg!etEHXNbG?>mu#yPiS*5eQ`e6@udMd=ReX?Q<5?z*I7xi!71d|@#ishxw z4-0$*J0*+PhGW{|Lt1iDgP@R#mBRP8SH{M#iCi<;j$QW^@jUGgC%T~$$Ati%N4ScB z;PA4sCt*^P_V<<3Qud93B9_QTpVZ#C>v4A44SM&?rzos0PI$;T=$PnrZwrcnHWmbm zm#3ZyT=<>#P>!Z3u$+=?Jq%#$QsQR3W*YV`MxIzD=fj$7k0$S4e(hqGrp@K_+9E~M zOvn<-C~m=NUw=1a+4YfWeQ76;v_NS&Z}*H)6{dY& zU6UnBuat|tX0A5Vcw_!u-^Ms|E!iQB*h9A(J_)QUWZK8qB|v*s{$HQT}oJYD}vGFUif z^^yR`E(wEKTZ7Jc^V`Hqz?m@ykV(N0e27ZBBYjEnV-UuekIs2_v#U!mxewJ*Po`!o zdGMnrf`Qn9CZ1O1`d>P{!*!(Ef-5Ii=#hT`?Ml|Ze5GttzFKux0M7qvMP#EiFn5;M11(AVo_1;vdbzJWVQH>-@Ixin*|yeFvFbb|vPSw*GLV72dbkobG}8 zyoDR(5!8sCaN$k5YwF1upB^#LNDwF}nR}KN_;FPcelQxPgX^#NRgpDJL+}Zg}3k4oYy#ic) zm@xG;E^%7WdsVu;owYR@;z-t#@j+1Zk5W!#jNIwqb?Bz2DZ0J zkeD2D&mP65Lc+rN?g~t=8G%qQ8<^WIhPbyHaR1U#sI=>0HD9fQIQ}f_;NsH%ouqp! zd8SkWGnK>QUv~|Piwq+146&Z)Gj1_js78l(cP6>wSh<4TErGRLhm&Q}=M~`4y(9hPgY8;gx9>5b%E% zwXUV8cL0wGFXu=~jIt%RXzGIDcBnn|^A%^IdL04>&Lpp#5ibuTR*7oKHU{PVZCn(; zk)cs#IEQ4c{8M2?NyJv8D{xi|fA(Vci=PAv=)M)X7B|_nJ$BE6<8smlKEA0gz; z6^+BlUx<0(^WnxT&&rNrIA%VJ5kQF`QSv7;Mmbn{mb?~1M8t*rcQDx-wpyo(_R31i z`C~y*2sC1mQoL|b;KGwz!kL|CYbTS6RXL9slWW(C%PakJjfdKaZo{ntxsMoEtxRECGdDk z1HCQ(eH6+Se7vne4Ebw!_t%%w;FrwKhKi3%@iZVlYBe>2#y9=rBQ7!1O<$l2Wi3mU z(=>orzynH>=j)k+zk}ag$sn=AcVyxl(cgI&j-SG{`r(ViFn40S}3H{&ZKvb3?r`N zpN;o7;4A6gan_x^K=@iLsL*J-$Cktf!a_n>+x)CdCH6yx9es9&cJaM$1eqF+{5%*z ztxRyPX2h9N4RPS@N4I;~+vTP>+s6sTZTP4*5xtAcu82c|A8EWHsti8w#b&;fh&*#a z945(^+Pw*zxaAF;JE5(Wb^8#|DK*Tm#Yyvn-Y(RsT@Qigkbs{hsW?5UN_UUIfw|Ca zv@5jLeBTAiMve|@gwA~^QnFwhqIQ^MEG{=nRxrj)MSIbesZS80x2Q#hyC^u^D z^BnF`&lqS3xhQIlOLdTR?VTW5HL|?{#O>T6uBG-*-kkTKr{?@$N3Sh~qM|nd*=KZz zqLJkh-?s4mOSM>jY-kIi9WqG*tzZmCe<)U`G5I}cpod3G?S6V5i%=$CE281?zKp-; zNUc}M)C&R8ls&Pr*kKpLiql};?Unf&16$0_b1F)8#Z`OaX514IVoa!Nqs_0CldGh< zSIZ5DVws83Y@^6JJl(NK9YwZog3C#LiPWv;k?Icom!U|rR4~Y?&N%znBoL_ zS?MwO5XkZI-u#aX7?tn|Th8|ym7N(eWl{3m6fMJt%^k!v_UvRp!p02j0_1MhKRcHzZR*p-wlOd@>wo9ZP8`XFo>ye0;*t) z486Ks(}+{QzjEq&6DzMCI2b5ZWgnV*U1(XD``&<#L=k<*fF45vM?RoZ+q^6BU!uYC zFzQR(X+6Ncj}+B>MT#{vL?gyTVz^Jul?2Doh9kMIv*_z}x-xqNk{ZM#qZ#zCGIp+|w$=ZbqG`CfRWwr2gRt71Pgl0$cX*cpS^B1I6wZ z@D?oLZ7GM?9sg=ZawcC6-p1KeZz{BX#^27ETaUTl3kz*C4q@x6I6P{%soSsMYXM_S zAh+NT5JiJzQVGr`q%lFLFj4Mhf6&W$wHy9b6b%X{Nhq&^?C*9P%|LzJiOFH^g<(!r z4wUK(98P3-cnXd0pipGgh|SED|7TpL*Jy-W7wVLhmkvg&`$@a2#1FdVP?FwglHn~@ zpwe`AeTO7xqtaMT_qe!;OaEjVBxyPu2`bbrrVk%?!lu^>|Kc9PQd3iDeZ#0+p(BF~ zp>qD~m)a8Znv>|YeNpYn#-EV}@)h4a6R4P6Xw!?^QpI|L_JRYsy?N{gbFfaM-*e zz|kGRhjc59(RfC%@Uj1txL_;YzjA|_FCRdaj;F>o5?igf9c;6s~<8jZbn5|hRm(TNSXjs@kF2sof6r|fv4=5i^hS)rx?~-b5>0t=dd%-;U z3;-$1EgTyUJmFA=H+t@CLzrT_7bIafB_(RC$kYr6`+@M?g8rfLpyOt0>mx`4q$RMM zPa^imWTK{T5X&){Ra{5_Mq1MLw|WH63=wrvk?pYbu`=>=@1|;Mz1l{w$Fvij;+CO* zav~4+zRLiPYk^<4G;wF#b@}#nDO~yG5xa5%jZH7m!OmZDFzak)<#w`a0 zrJk_Heg&}%#}<+0~RC$hq@7nQpgTcyz8o4K9_S&Zrv4 z(>o|Qp=ABGnB8?}52+*I=f~=AoSx#d))NKnva$f-IX1mG{O-*?9ZV)DUk*-4*y82p z**TEW=>OX_ikm4IlF(~XtHync-?N2Coaw1^4~{99lf(;Y%B0F~E0w1ox!-WiRliLr zR-xe2g|>*KffxMt@)4QwNk^fx%sucZ*8EXaLe%iBL1XL1218&K3;b}3u94Ap4OK)EL8;T9p+>|22#2Iw5piPj*}i+ZgAptJ?4qjo;~4vn3^e}~#O{Mt`K1kL>y zc2O|QfR4X^oyhD(8JAH{ElU`gGz-iKMBp~4-Ot z{~Gq5aUayr&1}K{K04&npYgu~lhV%W8yVHjq5!I6V*Z%3W%u<6kU{PHoeIyq#$7hp z>O7j|5;A-Jk3%6s#dXn?w}{ku$mL5^hWRsRS*uQA6xLj>7OayEHTR zS)X4oqy6;dEuAtMDd;qZ7fVLJ-&y@Xrp_@eur_ShGu33fCcCN0wr$(4$>wC& zWZSlF+qP}jx8A+?vG@KrKRas8TF-Oe*L9vR2@R>I2Tp(P0a;#`uvc6{WL~g&MWwE; zdRd9~cLaPQPDYfrk`60}!A4UUaCpIGa|gQsGdj!fzh+*6s3Qy|E8((@xOU}*C%VNO#qq9@T?2H)5X9N=~;LWl7 z>2pW+i?`0ieuUIBp+TGy{rKY{#-j{C2hyb)f$ex`aD6ZgU;2uZQQLI$E<;x7#Uy9o z>wy1tI{C;SQ-aXP;VJuVk2`cS=?sxODnfyk0QPGW<`SLed^9e6dnvM!ItbaiIJ5q!lxisFe%!4nC;uV{1Jfmh9lY~k(LebMgvv6w zVRXIkn6Z^A!-%QsAvxW9zNXD1Ogx2>3*5@^?LK>dw7b4aEynQ+2Dg(aR5IpIUI&dW zav$I183fN>3@zF+Y=&zkSyr2@<$^~JbQ*U5(+yM_u46WwaRIJ74X=b%qW9~MILpzi z52v&4N(2C8Ni=BgKj3X9kg*3YB4eGcDqV46;$Fl;qwNhGbaI3W3R_I&z0K|yKkXiV z3@&tOmtm={&9)1TykVbx+amtY+hTt#x8ClCf@A(NU!rKX4s6E&TI)PMmo+>N*E5Dt zK2Wi`U6K#s624l>&;w%OzM`9n&+9Q$_*GjNYx(>aoFm-K6^a<9KuSB(G9dh|EPa3B zH3tdy2~WUvRWZTj33STsIX#@0F_ZXLB*_65k@&OX}BlJbhl z?|Jw_!~Up%hwC2D=5g6=bM$9DXDS#1UxAyp>xg4Z^7z$vJ}O(WC!{0O54H8Cd*3Qh zj9m&XMqvnf)5Q>27-mr#EpY7s@`c=ep1XGZ5U9GtZ5VfqFf`gQ)a zn)r`Sb0{F~>hb*$0dASJ>XcQu-R~Q{@-PXYnQF=Dydf-qP^%)G&KC3FE%vN{ZTM!e;^?!>w<>7n+!Iv^o|h{uSZ0x%lSi9dt#<5%YaL_)e+0g@+`z| z8-g5g7TS#sU{pVWw_lcq#1Cyr<#YWBVh0ThQLX+jo?*?<9rq6s%uRP_Jv45Bkn+GL5CrCmucj2L^S?EvB}3-&>sPU&Km8>I1JbdFZG=NyVp`UB~)`2mMifBO{bO< zbbt04V*A*wpv;|6h9qZ1bPy*cG&o?8vigfqN2So6#g4oFCA@Vf_`u;X)XumdwxHS$ zNRg*<5}d9Zmt*pv50rf1Y#8+2DvLcl2r@M|9K@ec$XryZ6#&9!l4>;RpV`V~s=zeF zdYu4FGAe*VUKVm#nSd`>7KoV6C#QYNrFF@LS_>G8_>4f4D83nXSo3ADT#`b~$I~jJ zyGte#hu-c1%@(git@vLWQvc=TM(>&WNl69#GiQJ!N0W^xLqjA~oQCC6u4Brqfn(W3 zP%~D1R!k7Hk`GUe{XGdu@-o3q?(fB@G(QGmDBBvs%U)8k>yAjFQ;ds&F}cJ`*-#~R ztQ#esk#QM#KVaEul|A;5yvm<+3;bIu8Q{PGLK|YWL~1BNlCs|!SNXL(o1;UxMR^A-nHBvri+nMF@2E;czD(Ai_6RIDZ5zFe8g4gvVy|4Y#?(rSi6E6LU% z572vja@}m-X&Bfzoj*L_O%%QJfQy3E(1y++$;Zg$N!zplM#ycCz%a3t-r%-4)4X9- ziTt*jj<)Wr`AOiBZzGX)(*S}jg0J<@euqk|yM{ujeHL6t$qO&cQ`c+%Ba<@7qZAhOy)aPyb(8#rZUx||P z_r*nk($GWokk!+j39{SL?X}&_{^*Mrhf~i&+>zNPwBJIe|BKFEfLMt|bVHtCXOEao zYh@W_WJNOAjoxNSg@M{!+1Qk=zK!P&eRa zBcy~2D+(_(7YW?f2#*FXyXCHk4V<1J0Ae$~mizTSC~kD^6pefc*nH!4bQumS6mCwu110M6!KjCSCEy8-8%BMSrIIztE4EoTn;4aA@f!$k)btr*`I(GNW|{RhGYg?7(4#`(V?taAEPBS2&Z6Cm%uE8o)a42f`Bs@t7R2&D3>X(eVp<4wUq+)x2 zVO^=XIE!j!wkYs-`p+YXObG;#v-jP!iXLlOohI-AN(6}PBCTNUj0y=RzR3GyT*#Jl z#3!o=fUvy(uqCx1{fW_`vPDjS&k6jR$p&8F3|XY%dQA%CeChgM10`d29ex6BE;VK+ za0%2G89HE)3WY(R2tcQi2j{lx<*P-kENX=^jbMo;Z7}OLI)mAIe*;z#F(&V*&Z;)E z6u$$=J@fHYs^#DsfJi`kYlO^1>Sv45>4N0br(3OJ-dkiWR*yj~vfHpG zcHQ!$g8i-lkELFF?19dkSZmlPiBeaFYp^QE9r^u|_+}E+j8;2}VP2$CGW>S0BqQ|m ziP9%kC#l$ zqEgwc$mO!7e}`w$xT&kfnstl+OC%C7m;7A9*5#H!_rfnE!OZNIS(0+jza>YMjQVxS zS)R-TBfrV|@AT{2e+H2vv2swg$(UayTxZ}cNI_GK5eBA`=Z>Knv{-W7>HmE&S*lkJ z0)8!&hOvHK}29_RBWm6>RO8o~-{q^NcbnvJe8c#m)&us;2kP$uG zy@Y{6p&b)&lmy9PU!}0KFE^U9mM)cO18GPhLWMz;D6hvf<|k=NVhB5?Oh@x|m7J%; zpQ}YO7u?^TqNYEm9ysu_+W(?hq|&8#fxI~(^z1(WVbhigs8fc&EYc;%Vq$f+5&m2*U|)tpbR4THyoHRyuifGA?tD`y>K23F!mM-bV>@l9{A&dcLi5G)TA?bu2-Af#~Wo393S)O?i^Hswq+41O#3|1Zibwn zH1d-ab0@7ewsx%nDU4(}(rGNoY<3J*e=dZUo`X@&>;W+e1&;Y4JY6yi2J={#KadK{ zI{F>xI&x%n-X26B2d-cGd@V*IBEl~zs0a|=b4eDb)I*e1@r71e^-hwZC?t~q|@P)VDJC;c^8@@Q~1^}j_{gwHP zqrZnZSiVU|8vtykGo$bm_87do?H|u95i2DACMTH_;^8Hp!D7TRh73;BPj5B{jfKST zpJvl+(SRF(gb3+5TC5m$P4%BXrWn_(mm@M;sMG=Vh-f6@r1_3ewbi>1g>rMCs++6d zfX!Cf06)cL-FwylBjS8fFhZaGHFzYYZdiIMpedXRyn2qQ^(v}P+A4%rbp@_g*Mx9; zV1;5>WpleDOZdFuj;fSGa-)fu!ZsT$Nc3n#%rsgtl10Qv{sR&U+O?2dh>N9)jUbPc znn5^{7+h?w;DAB42N;M)iEJ$mggT{Nzo+tAXV4GWM*R}_WAotUm0YPFt)=N=r@-ht z3IxC3ogleZ2zTPFmTQT7xIWy+8n5?5%z&t3X+g9~!`Ut{;RxCx7THdmV&*FP*e!h4GXalsQ5ixsc8obn_BlNNv9yiwoZ;M;yAeoZ?}ETTnp{vu37LO*aR zB8f~A?i(U_j{W^dV=sP~;J(g-)y8lz{l{~q5th>PF0a~LrwEXN*8VSX?Q`Qg0D(?54Q~noVJFzG_ zW*1+C?QzJsL5^gY(Bn36Nf+(cF@0_S8xaX?dKBVb#r~U*%gSvAi>*}gu3J@2Co+OY zp(h@%il?Z$&$#cG+7rCC0aIpExeUYL=x*&QOV`wY0ezeH@}iVd+t)fOA~g5^xzrmuDBzP-}|NB;{A!^gw3_r!7sM#MsQhkxK^J@oIQ_+ zK8*RnLBNRZ;Q;LPsabk+>w3&ue zpI*fi?#vc-h?IhYUrnL$r6Fc{Ls7n6_tKR@j#krh4JvbYFnZ*OQ(1;jz8}TPPaZ&6 zrh-{N5nin86vkh8Og{9HZUA7l#AAgtxCn-YBSa*XO6Nb!4e1WTUH*_VnHPP+Orl@`qlXGr ztG8JOt2+<}%IqlC?dk+jCEJK#I`smJ3untU0)nA<(!emr z5tV3CTcog|Y#gY{pr@N!&bV8)JEAG1b0JAuHmwFw+Bc3&iomg03At-=_2Pl+edpZC zc_=n%I9>iz|6`>F3bOemxFn7Y#ra)=NE>fvdVujM?V8uzZ)U^&m(b{9gen=wil)n4atA~b=+Jath96QJ5R%b`h&eleu*}omeb!vGSUq#)WJej4 z2^_hJGn=U|DR&_jjdll?V@nq5(VhMOnQSf0qhU|U`vS-0TO8GEuC!Z>C=EdHh$%^M zI4n*n6iefmJ5G;Jpj#LctBE;{ynKj^zZH%r!vo*21lxwfO-Zed1iOYXF>{f<*w?|g z)Mz%`q=r^$O|`V-(ay=WksriCuwDoM^<{u@&)Id!T+vLkI0`V^uwc4;+w7j}H^N!& z1l>~9bn9Zae6+ILyzc?pGOlLjGsw8oDS%QFm~RnP;Q0Q-B=EI0XAnofV|yMcn+3?P zj4&a@=h&!l&0BtJhZ&ecZ!DcfWDQ+I;@E;yxb4@+@mMNS$zh4Sjf2}YD7NF{$Vews zs1r2GcZGGyE)ps?GLlVv#St63Z96CJxwlg zEfP{{3a$K(`aLe3KbS^i2S|3O8p0p!dcmZ`j2fps^nQ_{(#y{+$@V7W%JF5pJ-}6* z0X1jZw|-NKi2b5|*RuO_2ncD>-?v^{$A|WAx`5_1s$k%nXB;mz(Zb_#{oOYjpjXj< zHAR_d2|O_rFEzcLDR4NVMSNE2wOFdqgn4`N;u0E#IYP))rXt0L6Qx~mbI}DpFx;_` zaH60J5zByTFi<*;DJF4LNW#0&-1U=MLo5cLXzIE976DJ=S8%511&LM-cktx~s{e~U z01N^Bv-rgoGmF;YL6xaH8czeIosobK7c)CCkd7`e(A;4(qM+8M@-~G;nNcOq1eA!} zKk;I2kq=?9e+7uEC|qb2gpyw_AvunqJNV!dZuVMu>q2loUJS$m5Mmsps1_LXkRf(( z$u&4wb15>S=S|S@GsVT=x`vy38(AEci@F#<~GNB*Xo0 z4H|)A%L05feG?e^Nf$L{{c4_~+j$xfr^pqA+$?xI(IC~Xms~eSstp>A3Z z*^GL}9~dH#-sbP53yak~@%58(Qs=mbA;}f@W;$UaBJ)LMyC+WS52r%W1fC z5as0o(u9%NVyOqKRLKW655mz^s4*xt2Esbz1DkXfjFO~tB&S6Xs-P$lyT^6K0%(@< zIZ+EG*j!NmYWo{xRC2w^(F3@jtVDs4PKE~k!*>9xI8QSr~rcxXOx`4Ur9j}s2wCR*ysS31;xbCIsK1qfTxR_WzMXn`7YXof& z>w{x$H)f&&P)G8~f5*RZ286Y>aqW;|kQ0PuRaIX@-z2?umHJm(307tVU+cK=hZWr# z8Z7t!v9mJ@0$I&F(<#ZaK`EheV~G4^?Sa=52l*UVaV&^B44Q5)kiIHXaDS;=Jpp5a z@5N_Ne>Itws&~E>gd9_}CZdlj0}hxMB+82P8vQ|#cv^XIU7v>^h4AOToH#MFS>5D- zT{AS^=Dz|wJ_eb5t>3~?Eh1Uo2>cK0@)exKCuQRbcDm=!C7J} zHM$H&rtIpD|Ma(edv@D*U-wJtL|UDC|*DzIsxI_06ea@3ar6vqcy_Q zMsNY%*u>hjFR`G)3y;_9c4>$Ixp=u0O|IdZvx02a=ZCIHzGJm$k+pU~=B83KRnyQD zO?lIWFge=h@j@lB)+1idjT;2Z+EcE>n2N+_^dDeB?2K2f-G!#D1aL_j&%OboxnuT? zpo_$f<5{Jf+^Jw+nMP;8ytSO7J2+M9eLPb~o~{%_UbXy%@3HhfaiOOEE6pOJ!$q3O zBA5OE+cH8vPh?<|`EiqopWr&21Rjdydo0 z&MsI{6)PT#w!?DxQ_l4Qe*HHBUu5Oc=i0}-_#zR;)7j>6Ss34P<%(*xP78QoCF@R& z7yfI*n|$S0;e$`jS+}>{FOS@54f1O8sXz)2**EZCDU|rgEC(Yw2C|mrZ5mk$Ls3bs}87~v9kr3@O(2HwVoLGC=(!fS=8>b0!~4%-&Wc{lfSdQD>Jrdf~)f(7BQjh^{1yC(+@>VPTD7*?t4) z@??f3Ip2THsjbhJcM*AS8Jpfa|2eOc@HwbXijtQb(;YP==_n^`jHo4(^}E*w zhzNVw4@Md96%qJmWSL35Dw<^ff~Y3+ED`M*noFU-c~?G`%_Ia8B-)ZESbKtf|4`At zgW_r{mE{^$$B)Mau(ErQ?M)dFk?zP^n{rtQJsp@D+!jyz1%|*u^J_R#v!rT@sU*f; z0gC3-nHMUokrSzWE%CdLS}aNo7{F7~U?9<)#F$LytjlBon_E6boRB%=xQYXn*uN|r z67R@;2Ch<}Q^6)8&kKW2D_}(3U~1eOv542i%Nk>Up)p@zYCwGOo|A{@z54wm;NiE4 zdA3f1MQ-1;TJtu0NIWTnf_?oH@uC5VE~_9eTrvKLSP46R4${uB(cbZ)bTp&Frxp?M zK^;TtJHLMV#91AU*HN+jC#KfubMt$g`?&@h8sHzTy}z4 zK1>P!U71o<)M{*y=Yh`RYNQ`5$sw~w-hJl^2J#58ciE};j1TF1)r0tq3tfO6#QElL z>lIA9zNG6vq~Y>x)fNf?fk$xfO3^C=bb{3=1fl}p4HK>~yoqpj$or5S*&taP*SiPM zF!Z|KakR#@x0}}Rdxp%k9Hr^B$AZR#A^dOtWfF%BoyG83(y9{5&vH_dDRvf)VygkM z6{(SK1x5#@s-p9PF2^Ir8%?47{c+6ukAs>9>n~z>wG|#Rh0b!{4>SvLqJo=MX_6$= z3~W(!UWcG+7xNcUn28TgMWyKX$;9btg7gOVsEajf+tYoT^{%tZ+AfmatjX&k7BW=( z{$sX$67GPuwIAnOO%QKD`J(8Enf+(GnOjmwJSC;LtzApVe*u+gU+L?o4xu~jpnu&E zYKDMsp;i68PG}Uw`94(C+L;989T>y+Bb~1{z`#cx{g7-o8_8wNYii8dl8IGkaebx}8+@M)%s67B;^(87EuZYO z+J$8*l~-hd;FiuWkCYvY_XX$loKVC`Q$5N1F;4rjF* z>m^6N+1!WUZ?8t?G}xJ>Z7MTCRKJ4)A|x&%o-{PxBrWVAy36?#b_sMy&h_YfIjfc6 zPx#WOtS>!VeCG9RZ?JBrd3+hqnKfRk>9=XWw@u`h^Xwz5*J|EJqDM*l;XtjE-KS5FL2a|Mn z_FpF`?tLJq@>GzEqqC~^o+|W*_!o;mZZG|hOGm#Aem@TjjemSJtAaj#2Vn6NX~5BH zr8c>Y-!-Ju|Ac*oZmk-=0V+x9y&1M1B3j^F|7QyR2NG#WJkERwospv!H9AyGQ99tZ zfn}N(h192kC(@G2PcH~vuT!;k86u3hT2+LU`rY{o4bf;N=q&{6Nu$#PKwttL`}Ret zHqel(FAop7G2g}*0VhG_Iy=FRzZ}~+dx*@RcsGNO);D)aRGsU;3%3aZqej|?(>z`~ zhKAAa9zASkojPLa=dhg3HoVOl4%rh7f;FtQH1V%Op0{yEfS1if3%OzLgoy}G|0ttc z?_8*+=+;Fdqm#Brht*7R)W13~H*VYKlBh5$GemYwRbV3e6Jd;lNnqVi2ly=TmJJAv zc3vyu!(@DyyjJvpi8wcbwS3JC>vT{?=&mn1=HfP${p*g;?P%2`;#itgDEl4u?U#_` zW$>GkU;gQn4|z~x9{lP5;1&|U;og0)ZFd9HD)eTPv^d&1TjxT{Rt6IC3EUZ;>FW*b2YojCj&&Ed zU0!i+JwdA1x`k@ryxzsJq%zo1dgWC-tU~& z@O3)Ip2zI=<)~V#4&DuE2D16om%cA(&!0&BPYwU0kdMb|nMlOo5Wd%azbC-WhKhTv zv?Y3Z2aB;s%g{EDZe*kr3^vgn@w~rS_?hW^B#(&}9GBR3qaB`DU(^-6lP9v%n1zow zliB*U{e6ZQK!fkkd;}xJNZz`gJzfaddmaJpD^{0xH*4tXq)T1uvLdNLP!0#X#IxUP zO*@QaDX~(SoOTSo?Oy-zFhLl(z2*xY2;M}^9l9Mf$SI%j3^I10Jw;5La-W71LfJJJ zFo^pOW7AGswy0t0CtNZMwN--`}g8JM|aU z021*Rv31HCW|w?VQDxIbby&_P7b1yuaEPv%hsl-t$Oixbx&+#Kl7~Yod%ydr zMun9G(tTE47AhiBGd?ob%Zm;1_3DkHVt1{NM1oM^6^Uj<)scQ@hCVs3g>N6pu#7p} z>7UyiJ|W4PNsK3@;G2eFuh1G)-odQ5%aeXK@Gv~0lSIQ?ujg+2-X$H3PrgZ<;d}pG zFh`4-?E~$2djJ@ATb>ZX6>Ub*Ek-Q}+Daqg?$zZqL<*~`z;_598>}jgHQ=x7_-XvJ zI7MwMf@g&ln);t+2;Z@e^u|=mJ!9=^&4Pa~gAMsBM zv2W+5aM%VJG4u*}V1$cLH1!M2{fUDCE_H$FfBS)(r8d3GxND44 zu59Y+d2g~|Q}U|tVczGc(0zt_F57e*$STO{8!a$g2r*i14*2B1S$5kBC-6<9RrH5= zfyMt?(9}=XL?gPoAz7i~Cut)t*ua)f!qfTE$XjFH1TYGE)%BQtcU*AB!|Y%Br0{%} zdIr?|dWI+{qy&~fQqwpZEWen6jT{w(j$Z6ZQe>K5mxZrOn=taR5!GAn@|3qJ2Yh@k zeyBtR1B<&6@w&|Kux;qa1W)Zh8PeN#Y@bo7S+4#bgfccuY^4oHctRzP2sN3m7<|^6 zlrWIp9_eP=XUhvqLV%H)cJGv?frT$O8d7D{Wk6a}@%Yhd0t{^lmC^aO60y#>2%{~d z{O2Mrp9e*4A6C#21p64wXGpszd!&=JD7q}8fK92`tSN1q0)lfEIa{)a$FKhM_a^CopGNrdH-`CAT{wtnh6tDGQ!P*hj!lmPF@Fsrz1!;2(vjc1 z(Ht*kV>;{ClmH6NYRtV9@%WZ{f>^e?o*;#2^2OGbs#2K>8t>OSS}+1WC8+|BsJ_9@ za7>bJGy9)a$+onRKn>vb}SSyjsN zYb;)G5R1if->WXmP`I;`>$L8b{pZXQU`0u;_Aj>u-h+RtXxJ0RI)kCHJd&U zh+wJ(-Q?IZnr%Q;ZIEp{CBMyJY_2^5hYh!VSQB_mqU{|<5SX;X-$IEQjDGOxz2!Wh zK!@KH_=v}upFnyehN#b(iuJRTEsGzHq~m}E2O6+|2G$zSRP%#c$zlEa`X?q1;Q~w% zTH)XjFp78x6;w)soEVw^?QHv1qa4w>42yY6JeBHpfG^s%U7!Wv@_;^g3-*gw^Y|i% zNB;aL^8_6o<_G@JClmf~x~L49C_Vy-0M+9uE&T&hwG!m+#39DyDk3EAh%O7)8VYg# z&oWa&oaB39QDP`uZuHXI-|$)#R_ zEoE9tyfE`a-V~?C?AZng5_)r&lgK5cruZH=vw>(z%wyY)YPTq)bL1K3<_Hr=0pA&2 zG7%B6P+2}HA(Hw;Igfws z;EE=>*uK?6LK3F{4g{7wfkZ)unm<3?@ATl8mT-1B=}L3-Ufma8lWX!8#|uNj`F>; zvy^s=ag>l!^GG%{zOs;snd@_&k_;sUxmh80naR2MiiqA*_u1Sx!gCu zCvHSztID|_?s#Xj6waj@$Ijq^suka8-IsFiJEnYY*9RtX)~H>w2b#9Tm}+`1ID0JT zXi&9mhemSEX0JMe`TKNy#a7yQtuJ`|1jvEOpH?J`G^)KxSC9X8&g(gfkOW7QhnADD zzICP%FXg1zN+IaFxs~l>&;ztXy`cb^Hf;)d3%)zvPnJ}t^X&Sk)88cQmqUw#;J8Xc z{hPe?{%0bRD_d65i`jyA=)e=?!>jdRo8+c1niA#Mftld`37tfxDwmuD!Fk#h7xwSv z%!Rjm#qY5-9Iu*DJzVCOfsyR#midH=d6RAnIxkv7)5W(UY0eX`^?<(AiQ{r2oyY96 zs`4$GJwS!@JwuZsN@T<1sn=K;OlK$@tXyuD9 zwwT$ToaPTGBGQJtHWN_O8IB#PgvVdI1$Ac&27bomsUDolypxyK&kZ)Z=3h5sRr*ct z+!H%+%~mUsIu~fUhC?b_yXh<7?1HV<8-f=S&d>0_JA;0sP@ibi^~B~CICyxRZaw07 z@!sC;93Fxhy+~*Pz0pUHe#$StPoka*N)+JPqsoc;h8g znAO;CxZvMASyI7@Hp*XbL2<)T|A>bE$;7VKBP{zWe)Ni?G6wpXM4QWr!g<1}Ad&A0 z*8ud?ycuHbU-@6h9m0`3eXrWQ$N$LiR#eRv?;2L#D zHMV+m8UbMoQ;@7sN`3ZLRag{itUCHc!(I}7xWrdOS%HJ$vWQ#V3*P#9Uyg%s-1w4fW=S59QvQPnn&wto=3W(ktJzwlwfRU;p z8KG~rGSNc;uN@$lB^l2by|^jD`Db?U?E?T!MONz^U@ruYkF3zgDJYU?SON>hfO5(J z@mBIZ$-yjHfH;vsUnCFZN4mGL9N;=~*=%=5<~dV;f^t>K|M9A3T#F2Kzs?oSl@*Z@ zNGFc@78nwL@7LPP#%4x@*~hU_~>J5J>5o5k+86X z>ibEOQ&PkD6P%z@VbHnoV`_7NzmqFiuWY(tt3M32$+%$0sIqR9*oqHiZ`3Nm+~$Ef zk+CZ#10&-An}$?A^`w}1ZG31v`#JjkQlK4+Db~SF-KLq!7C$7rK_oTyS7FNCbhW|{ zH&Zx|i0*j7Ou7z;WC+kf#|V~txBJ!7%?uFg0tKy${9g zPY)CBub~LQfi39zF|w7ArQ|Yq#c4hs?1y9@SP3)ArvyY@3XUY5M~Cy>M_8AFP}D z2=%qm!6{QLim%DhRIN@IH4Au_%T-y-a+J~;JZ{%%0xg1Zd5zB%fw$@ZGo}%BOBog; zcgw;FsgLIy!5e-|@>$)yYZj517z-6~Rsk8gVT$chAD-|cas3n?YXbo26vJ`slG0Ej zBG?dK#%=o4mk`f}2|MEVAHkvV!dJ0AQzKD%PssvTj415vEyG88VYF({Fn1+cMjAyq zV$$AxQ<&7w&N^i_&K)8%Yz8q2Dbz=k=jS+F25W<5sALZGD`%T9Ciz^>DkEa-PAnEG zm3|Td4kTj<&{=e3CA~euC+icg)Csn#s2NGjC+QPC@-OY}fPJATG(I-Qp8WO}pKyDV zI|7SS?F3nPkfFh3jTbit$@ibPuinR zT|nhUbw*XPu{sRcUX&H_;nzUtL9WwbI6^0#7p2 zX7)csj>Io{kx^_0*3hCU;*;?onA4uNbc*m{#PC@GBL>Uh;%p%|!T%T`MUAFC17^7< z7UhP>4|oQS7Ay_U+J%Q(wu3z0Wc37|HlMF~uXe_&G!4FdLF>uv?cNrD+bI~EE?n_g z`o+Cc9lUTgT?%i(XBcI2cz^spH{*fMXt!N4fN4el(FhEG!-f@O({J1v6_6`;|FXo! z)MhTDcoDfct9m}8m?Ht~`BQ9o+ z%!v-By1Konc4(5$Y^#?^WER#ZdrsF`uaGke5_T-=tqYG&f}Ky`vo?ZbWbeG>h7fveV|8Zf8;OH^b~`8B1X z;iH-b#AQxQoByrrdn^|)Yfc|n8ta~{6d|N5vlIbWvrM)qm9G!HtFJ8|y4R!2>oo^d zHw^A-j~trR#ZoGnN1zVr9%8lXLrQGCHx-zkLvPDQB$JMk1rAT6Qzk%qQ!~9VC+KNK zjV%1staR^pj^E#NsGtrZ=`%;N(%)M7not(Tibab-34O)Po(qP5`DS7Xeh_0|`` zZRpxX#BU%E}B%NZluC(4y^AQ2LeLJpl06N+4}3iN6x<~W7( zsR_%TF4vyaRAe*X1IkSlLWfV;9S}uKQ}5@Mk}EUmUf3xnA|O6=_d1_hqy-9$PvZtZvBP-0s;p_Pn0I zYcRj#h(1Kf15=N+TLN&~MZ45!n^dL&9bB)Nnr6GK{bB^ZrS7b1QKT3DP<+;R^NoMw z9YsbNzb3?9SQmdD1t?(|x?p=exP;?RhUi#UJB`Q?%0N@zcIG|r+KNa_YK(kefcVTc z%9m;}lfIhbAJG``<_1Ebq$tE46){&P(fbbPT@Dz8Z&$>Z_fGq$NE96sUM`=t_WvB4 z=9Hl3HtfJ_)m*-Uc9PS)gS&`5&s+-48!8IgCQisVX#^fGS|ZdDmtA*JC09*B5^gZC4Pep(weH7Q>cQ ztp*xSyNk`u(ROcbt2QSoMT=3s(RqjfPF@2L^GYax-0%`2ElbK(zi53fhK1lx_U#TP zDXXiiiFwo&R`DrDq>RoKCcDUM&*Dh{AlDmCmPdgn(zVtJ&)MwRc8D#$68DkD z5E6;0Widf-z1Es6qaAR{DZ0MNBvpk}!8g@SO&o+{^n8+0S8+IBQomVkvEXjExHaib z$Bn5%lL4;}n>@RxY$petM*{IRWFQ*_QIFY+rjC zeO@X~#aK#UY_Z;n(AWBlM^HF{_f6|RK{e?$uc-;1AREiyNohAn@LH{Ge==svy}jBj zz1Q>=NN6`;iBVa(+{P|P`?gdo+Z=yP0@XS7{WgVyD)7b(@?+xzof{)^ExfvH?N4+0 zmhUI7PpqkhPSy9u3GEOZ4wPMMwpn828#)>|UrKgJX&;hDbh5OMP4GL}`QdSal9`kj zvEkvIJ1g*72FCq#jpsLlYg!Gn@2Kdg&^fgPRo{L@XLjA1@-_VPo|>fOBZ_AIjgM6F z5|WSoz>x5^eV!ri76LQOSMEi9X$93im;&%GjDLGyG()?iMD;p#AQ(i85=B`I`|5G6 zzf}tvdlV_)pTh@ik@2o;_`tXztP7t%sEzc#u*65Ci-t%|3h8-bdUkj!@)>;zp=PjQV;B4;S5f4>WST%WydL|x!?I~%=N<56pxgaz8gJ*Ud<*ZZiq z?9_;g#e-$AG+$c6-$k9I$q14B*P67d?fhHVkSkaii~N(5aE5gX+F|}HXI>e+HA>56 zvBHT2h1(s}qSZ18oY0;>#$1oPeQ{F78Kfu;Up;^2LePft%jsDArJ zN^wl+e6bD=_i!?;+lh#Q6HUy`oc!54mG(SN|B=z~>;|)kgzAdh=3?Vpc<6cj6LYsW zFx;TsZAaiQ9MpZrJ_WvuAjv9Kvugldaj*wBcd!r$UUZP#TlQXBM23;dPveS~JTey1{_ zp^bWn0nI)A18kW5LlYElv3Rp;oCcAQ_%f-O*ve7s+%o^L1gbofgi<>7YD~(eE26yi<#hX#&Oxy4SlMOb!)oUG;3$y-+0kEN$E2-dk3N6Z@O6);AFT8aVIxEesYo?s za5j=c1FJH`T0GfH5ycFSG(Oz!VqE7ipp=p(bhFuxXW0V8M&O&2lA^I`gS01AN}77b zYO_cb7IbTX#gsUKlFgx3C|$bH)rj7wfKr(TS^`u(O~KS)R=w!1xhSSg@*@z-UIXiw z_n18aV9~Xn!UIUEUOcCJQ4LyMwzaijv^5SeIM+E`Pk7}g+@Sas)$<_l%DC) zNP~4yLy}}nN*KFqu|6flt}6;vBZ(|ET)xhNd4!K+uc-#Ic^^cBt(10~5xB|G>Z1JM zCPF)q0_(8+Bj}}6r6~&7s!fOr3>+y)6*`4}i|4l+MS6jI&^5QQ1Ik-yGKWUu`PX=4 z-^nE*Y6GQ&p4$v!h0^#csi<)Wc3Sx}0=><7d%=d&e|33URw)T9a$ zz*M_FF&=@h^L|eJT*AV0b?p}Zz`;|PW6b!mRZ=OmcQzq7tI9rskK|Z|OXolj9#TZ%nQ6RM=WIJe*S{g)0 zW!M^T>4(lARvRL4lrgB)?oApe>_zPrgnt2Xb?=Z z<&D5O*1-@=Q;+_z@#$V;v6!Gd2RR;hTvraD=_PwAF0_wcw=yMhi3UO@%HZ!7R<1Yq>Tq2Q8~! zZ@A@9Q>dE=zC$;2wazVBHo#U9u&)x(Qs6uje|5||4rGtS=vP5Zs{>6|$HJHMCDl$B zV4Jrj7oP5VTr{DTATXM8PsDLi4RYcD~Z*T50 z!jxiM%ZC$yym%#iK8T_aw`eWgSkr3%+q7J3HI7HpfxxTy)otnY4w0&}hVeuy=V5$9;Z(;<=f-)|G z=}TNzrBy7wO#N+U$r_raSWGROjPp{Wa1^$mq+if-Bo=tpwA$Efq}E$H1jzIXGyhWc ztvMtjQRJ;zvY||lywvosj*1x+=;dibI&wqdU^K~NXiz1OFcwQDIng25eZLe?6;uTN zXRFQptyam6#^1GB@0o4EE|%IW7y0-(J|`6W^ru&WH9_ikz)b0E#{&knK<>Ub1h1279OrW>_i-n$la|>wd1J#4Yl5pkKRtB9+ zQNuwKCVVugyu0_I{!WsZSu1AX0IPrAY1WG>#O^_`aK-{GFLPKPbTb!$4%MDPOkzr? za=KeA9gk>*Hk}g(QHR$*bVNu-T<-Z29YH^~8X4cE^DJb_rE>m_*9ZB^aq?tdXOLdn zHt~AhaA8R~*;h&gIO&P5B*2eJ+uUM@kzpsEI7kBOHnl@1o7DdT-fdgMa=KVT?4Gys z5kvrtEF8Ds=8m#uT54}6Pd^z@Q4rjE_rF(j1T@<7zP4_S`uu4K5o_5!B(~~G{chO? zrp1E`M_xvum79ez)xRC~ho~}L(r+)6?wqyjTR3}KjY%rI3>JRympLy>1D1~jr3 z3gQv+Q}m)*l3G8<5W%Gd7c#eEj6Ea72#;IH-KbE2B#{6OP+U-mcsJTL8a7rg*b+Qp zOiy4Kr$W--RC+nGET?Z`K)gzdV$wJ(n zWoKmmLJo5wcqJvzeaoqe_l80TZ$t)pX2$-j*`UhkjdW>i&G_>&H3@~Lfi5c}I5V*# z;-rPg=D*yC#Lm-5BVS{*owUHVwokd1w-(4N>{Z)$2p4uDc3*KmoaXB*Vuiy4Ap%y; zbnm10DOm_N_f40PB#NXP-gdI(6%99*N$8iuMT`8?m*vH;^W$sUR-JGdAF{O0q?r*h zhfeUi78sJaKt##~@a-K!v>5wM0j6?!n5@L#Fp*n>#JxMvvz6|BN?wE($YiBLrn=gV zFeSDjn;~|^y(~4YPI|S2I3+9UAw=s1B}79DMGmj8PG_h@#X8-+=jGm90t-cBLVeG&Se;oLVR5Vl94u-xog5WAhMIi&ns| z6NkqNWBqy4x4~|iK>cR?tNp{y{+0@k?8&olTj#Df{&Z>dq14tP3@j3P$+SN(iomy| z@)?=c95aKjimNV{nvfrns<>J_aJ6S`^H8Y6k%RHG{r^R%tA6w~U$d9pt+#@()^O3jL+u!=2p z3gYa*KlplbLp=nNAyopG+YG_S{9KpesZ-HANjpX3U0fMn34$~1RZF3E_O52a%X zFTF@M$=ZddJZSWv`G%73A@SS+sj}MxJcAqo-$k5k(aDrJre;I2b}r=*E?`s%{Iun} z1M@{nfSBL$V2r4+< zeVk_S?sggAn=RASf?IA2dx|j6jlW?##Kn_BImaWI>a4nV;|isS1prKn zjpX_bBojWH3c7$iS39ze{ZHqc_!;asXgSE+Z*`^ucUw@XVG)z$zWelYd+xJ!K408} z;?t5ef#m=aXm7n^0?Dh!lU2ZaR%#qi(KNoP24$@sh1%6s?0uBy))k*)vwp%KD0*PU zHy;~!=ukKiq2wlZ`uQH_0<$c2Fl^(E4SgqN&x{o6ql2r)Z0Av7uuLkH* zi@8l!f;kSMz(U&JoEJR-aoJ`9osYla?-+DxSg_XbF|tci866mL*qHw8<0O(a{ea)b zleuEyCHDLmS+iOfIv!_0%W-kx*Dd~ZPAw)gP;f)W`l)=rT5@dyZ?qoatrW59tS(O! z6P-F+3HXI{zw#%2VJwpiLf~UuqQ1vJ7w)S1aX9aXsXnIi_{c06EVMC}?t-${J_@92Zvg+bOz7aV-CaWL)mBcpM-8p!$9!i8 zmsPIHS>^X^!Rjtb;*1#c8`f(-Q84UOUzOI<0*>k^2XmG;#3zBv7WP4k%EWUf*Xmi=- zCSY|borQ{}y$E_S{?>}T3bsdt4>@q6{%4_WTEJ{#UEi?Wq3 z2nAirWwU$^^m`jpkQtEZ?MAeG-#eWG&P9^mDfo0E&RR8%+`GAn+(mQGT>WM%c4bf@ zDn7v%+XP7)lXAV*FMoebNIqdIJ}G1mu5K0f;D+I&3M|)olo}4gQra+=D*E?Gj&<2Z z@`odR$!bC0j0cyI@X>MRNJCB+p$=5v7!_R9kE*Wxk46M|HS|p1P8syX1{p%wOFQh_ ziMLv>^8lHI0xLv16EL%&5qXQfI-`&P=a#p3l+^Gd*z9(-bvrc+ocZY*@#Gr`u+^1} z6^&UlBiD0Ch9(B&UFfQ~j(3#kqf_NTM|LAg`0tQ}Ie!@l`O*Ur-Zc8p>+$?d4Hc!S z?!Weh^6p&HNSTI@QBT-_on2g=^ZAQg*pGlk`=hGW*I)8C2~gHve<8{j$*A>s^=x?eP0u+3w=s7}et$;^rgIS6@98 zbXUoOj?SY4`n&^qMMO~xIDSULyNfk{A=pXiNUW>g&;@?U-Z2XJQOnxC9e9i9XeSGo zR3b%mYUEUM<@p|pTk-7&ESE#JAGgJK@d9W&`vc-_L!j>%KFd(`Fi7a)0N6UV*63@c zw6=E-DQTK~xGl^VM0^e(0npx??~qp#u&`uj`+hg0IF)C|U%{#u8nfsTvgSXhd(1d^(PNs=6DLwI5i0c+$2Sq`99C<}+;YoEJhB@F}zZexN#RYs{ z^SU)ucrE_Z4+`4%d^_b9i73~pX88Me>`%H9feZF`!M%~vh$O7-p-14HU{zMgp$0pq zf1m`63c1;g^4xX$E_4MSgKMPpK4DtCG?wNj|0oB`yoyow(;mIUrvYNBTc>=g8-2$w zw4-qNL<*H3q<{X=7~3!kTc47X-po~~;toJ-CR7rjI{(F5CL1U~i|vvCw2~ZV?BRoI z(A}!p;R}ZnspfnsJy<{X5xjJy6vX>z#y6V4?zW);l^8D$5L z2j~mJ2ECOSf*T6lj>whjw#^0o4#?}E+nJH%Ma;qE-i!FnaXtzO zZb z)=w1yd}bNDIN473+Xe9)-(93KJHe<9$Ut>38+EUubo#cH9Bj*B$-Z8{?lsf0KJjvICjw{as%qNia6A?;U} zfB*TF-^@PS9R8(L;3LrM0A}h-y>H6X1Weq@&9p?g3PRgFvLRafq7O)%Rb6{MhI;xyKiT<%}|r-JudWNJA&E$ACpBbiN$~{<_+L*=o5vUP^iE*!`Q8C7PSwv396G^qCX4+OCSjpfwb zE1%8FAj}qwGw9)ra&0hhi9d&=_6;hN2A!#}{*Fs7|3&XqejdTk+h z-M!dqoIHY-6tU4K3v|xV@O1bOoX2bx67h)vnL{~51f|R;YQ+UcNF(+ilfuSEt24Ym zi`x6>bU55t;2VLNDvxfPCYWO>is7h9-QS0RCXTf~JuaN9$%*QB0X1#1ZD>@7c6&UF znjJFW6T6@YS=9}aS}Mi2vs;v|TT%o!`X_rvWN-EDph{W~|J?7gyTvQu%wRVxF@^kf zYl*UT-rtF~Qv2tB;UB{26+3Vvx={1PP_s`O8O3|U-t~Ccs79v7-U^0wt|qW?ndntt zKq%E|0fI0Gh=!bm8w*?#Cab+;4|gk751rDqbU9Ulnd1wXNT2xKgteiZ(4F7^Wuztr z6FjBo{0t9ykZg#%M#W4FA1Wcx7F_~XE@=c}1N$Hl-B%;d1QZ+m$h0sJY+N`x>X|0}zW?W>sN2b=E|c^-7%@?!8)bA0 zG}My^*`m}M`+K7IvsKA;?r2{|1osG>!S|%)oLr~8tAT#8AeX)KDLwdJ;sb?O^K zUdhkErYw|iLopRwt9*j)^9>9!be~OYAhhl4LohN^qx<`y*a-L6$r^1$pAqv7+Ngz>iT+-% zdw~Ya+sZ=-3^INcezOm7Tuv1}v?bG!?Jd?`cKIWFhJIdbwFe?9b=(34*N+XtqNt|s zsN4&_wSz+#l^GoVAJOM3^(6Nxn3>?Dkwpz_#573FQ3hDkxUr=*I#8XL2%{+##65cv z2@e1G1ah$O*^|yr!PiU16kR_LKKwQ!vtef!Rd9el=WaLZo!ol3e7*k%aP}7c8zQ7U zvHSd=)%c}q(7O7@Hy7S{_adt*U!v$DamX&OFlPD|d>13RIc<$9+hI|u< z9jtCy0uB#MmB}IxtF5v5WMQML4R39j6>ewZMlO7I`Yl1VGzoUY_Y`6yjVs@N<^})D z0RGQFQE;bUICNpXcBisbI$yOYuY2&s-RqFxMOg6ilf_gX_@@ZWy?SCmI9xtI_0P`c zDghZ3jqx|f=T4C)!$CEQV7{0<+pum0b)sIMbHkp$TE_KzLW;-B+Hi3ysMMbSHokf5-_!Pw-<`kLQ3XsZ730sV-77+ zuW0&hP6Dy0KX|4YRy*J=u03ra+Dmj>`X+PYj7rVx7B<>uD^k?iVAW@U4vg|a&GDf4 zxE#Jf^$lOjC1*)w9O$l5hM}*q{dU)l7R!gM@IoY7RU)E4v)|$Hn{CDWCIm#atQG(` zAnJlW>Djtyn$?NS2cC5e7sMOX+?1&P7#DcR7?1Q_7gG)|ajAYYxkdUu5HOH@w(-4# zWzmnMYcv0OFE5wNq%=&V*9Aovs}7oAM1ev|W%@CR;v-Zi#G=fgALhf0y-*xx$tTjo0fQ?D>X9y-4BIFhch z--TFG5|I$TFEMsKRscf8q|r@c5u~2$ZqP zA;J;t=pOClRdkVIuS)fM(;sn_UU6Xdzd_QN)YoWrGI6a+7EO$9j3qCPhQZe)W*~ zlrL!Rs}I_1XdPDpFRh@apTyUZQ8pJ5>F@RLsf?D~Ffgxlk<@uyBwx&G&+!~DL@lkG6RWApnkd5gP0*bj%n$jq*bQqcMi z5_j3&izVg(VIi2K({JShCKMo_Pd{;qLM!*JC$p*;TPOU~s|DsQ8O5WDk&X5E^7+kp zXwU?<`$>OzJxNi%5be97J5$Q{P?`w{lePIp57YFT z?&5NZC7kkb@h*tbsHKUrRURuHCbL0ZX;o|fJ!U-ZmoH0tZQltX9ri$fljpnw6P1b5 z07L{rz>nAb`ue$^MGGt)4X6oOtg!huCZpqFkIRiED%Dlc(t3O*SjIj+-7yK6ZhQ%97V}K5vhORG)z2SX+Uh-liXRu)RdH57(tODuV3}8^@1j;pu{m9+! zO2{~*_?@9Bc8UsMR-)l$>kTdvfhiKWDmsZ#)GNe&fqiV1F)`_dP!5ZaU;QPQdCQJW zO6>qXRo95{(9-~+*^>h31DzDQTN>?tr9y)|if8EcqbZnqk7kl6Ihi@(FD#ylIFvMR zV2c+&Mm^PO&{gQk6?sM#8GxL=pr-3Ylweogcv7fb`OMT0^dFG&FroKwCOY)-qak4v zPqwv1vP;BGX1ZWVB*yJTq|@3N#0lN3A@r|LKwc>@jha>vRn~_zr`0@HVJljUpXAjB9 ziyrocr^)7;2`%Iaf2vri$@w6M)ms2!b`PWw`1V1k%SjEm)teX=3*ABixz3Y?Nc3&M zI^VW#JirIxZ6SFHq2EWwBSlp`=CJwT+?JC~Ojc0Hl>20EMuGo#u@#)10VGIg^^4tX z0e>)vo*5o&WTaVRfjXj57Q&NEV=|YdB}FDgFE-Lc7K);g*_}v@Z*R$`R9mYFY1Cv< zod40g8-*z)FD2&U!G*=B3yd4q^AI-^NI&IwZ|H`G#y{|?5lM+ZKzpm0{aKD7^N0Ur z=FutS6mo-2ff-;?H{@N#xYinRscAN86DN$TY4ztv|(7GKdVRC{RIOsL?m>uHclkmjj%ED zxcFw>ekNbi4@1?P&@!Y+riIPkpdgtaff{C0ck86LTG)>ey%G4P@(rplV~_Srty=Ux zeOR`=C6T;(den@|BeLK?43QOD5A4Fl^l(OJA8tbzhOfaRtfW71XfD7JIPG1KCBtdU zE(23nha3Gjvb~>55j>XRY#F&~GyD1K$;VVW`($#slZ8O;kgqY~&_jomM?3v&@b4Br zQ{6pYh5AOEPIw&Y{jpZJthf`M@NeL8{HOm?)P&^=tg|To;mzbYp+`NATjePh73Ua7ZO&>zMsnQ!M@&B{_r%Y?;0?&^@S zET1ppU*%$Hs^uwa9BkL-0A5xvs^qNKj-D%D5Km;DtOFq^EJUhvONh|(pmF2`o zYs-#;v*#ktOVR86@s(tax%C=V?zcBTPYd`C2s>@ybyRvmHw?PX=fd_t=?i}cy3UMi z-N33vWvLSH{Y>Ydg&O8slLei&pW&U z!v)2dOk`5E_7b&}cnrcM&ZqkPugrCs%s+1Rem`v2qwU&yatMmqzs58LiA`aqY>_`!ix(E(=; zS9VSPmwKgKMtL9+VzB$SK4Q7>(6{IZq%Ub64V_NQyvE_p2dIh}Ue*>rb3LY2vc^Wh z3mhuskgD&5s~@f>KSWFPO}}|A2DpUgzsGP1mm=K-%yVLtv!dzdtHgoERp8kV1K7;z zk9e%gc4D76K>nAc1FmP0nJKXFvY%-@l9|tlKQoVxKy4r5Z5Z|w3QC?hqa7>1S8y7? zD%C_uwVLEmbdn>y`xE;I?fVWG?&n)GA%B>krdMAps(y<7-Sz8B?eqaEf~;zx&ZDOM zAha_N$bxq;e+S{yi@`5E7yU=U7(8aUh2Q+D$oKnStyj^Bgkw^{-2R4>5RE=IB#ydJ zSO`!so^45_4MQV%58KfRBso5o!c1M{KZ~MzQbpZmc|i0O`wIKb({J963J&!jOf_vD zASRocY(7qAg_M%u*rJV@_s#Nt^T+9{35*27|8X+teEI79{gMh^&doaspVTeYe8uFg zbi^bt13Nm%r%9aO_TCeGWoHI~@YgXBKC-!oJ}TY#ySd4A_jyAxv2z9L3#J>1btT|Z zKVJ9~gO#;pUjzz&zEh>oF47OaL3WW|hnu2<3xAdo4o)xW*E zHc`Y~?*c_{%gD&c+Q5^zlwqOS$Rn_4bNZESuOcg@z%;g6+I-I#^lS6y*C1(}OaN#R z5}e9X6&=Zd6gP6PcYCq`koSHXI-cioIb*5l&W8rhT zp}IOk_&Xk6QEsv}4@_zr_CMe2&rdZP1qvwX|1t)!`&P-r7fZOgnNm)ZNycrSR0GRl z;CH$|@4=Rv^|F&O!6;ciplA#lz$~Jb2TQfYpZUQAEm*;&u#&8% zifK}ZInbIf7#>XWsFnBl6!EaY5r?2Dq^-HvYz9ogTidR65^^sswO~77qYuPmAE=O; z6L66%@!M^*1_N+UJ__?JF5!t(WJToo?Z3E$ylx@cXWX9Wqu7j?C$jGmS^u1HsXryh zB=I(l;%)z%AY{TY8npcbW5Z#KWaa!_wKsG*r)SoJEnB>+6~=M8JRvApNNTx5eRuy2iBju z-a|3bH7tPTsRFR>=P=Fm?b1vSA2}~RX;@DDYNYDX%~ne$R7=+#<`@?WmoKQ4HSg#PAz z34_&(ez28#CR8jEQ!e3@3?f4z&Tv#6?3XQ{pI;PCJ40FcJ^qRLTt0a}`{{AP46-Za z*w*zy)*Iyh2ch4NFD!5E9>wY?c9;DGSZm?yiB1%2$mG0?J^{EoV%|QpKBT2j4C(eF zsVO6!SK(qZfZDDHe4VC2^J6;=lqg-c??nI3(mh>o{3^vu z`o(`SRZ>)s5Y=c4v?8xHpnNJvbINt!D!cgddtTOEXysN78wuqeN{ zYKAx3?ZB9tl?4&~WgsnQPbG=J>kRlr$y{Vk>~r}B@L9A>2nsrw-h*IY?l1M(FOOo9 zd}kd(&wR-ZvKHs>Mj5Ba@7hLfE(+aJG;9WU9}N>o6A^K9Ss#Dy5nLPrK0pm1zP|*5 zpb@>VwmOTuYeDeoDU_|Oqu)|p8&92Y069sE)#jHU%k=cFZiz5Uxy_@Klm6r8uHH=marQq6_ls}jMgpRQdOzsgye`h3nKvcBWx4^BH&&i- z$2;2OF>r9xdYfe34bQN6LM=79sFrV^KXU9ZRtP>Fd&5-S*1@!5_57KG;~dbWo1O)B z`gH88p8>vVwFYmD+j^55a0UNoa4lHCLpb~qcNNj8ZaIt;Tw@_~|e(Nk!vf8qU zChDy%HR&?`BVEiFj`_NC2e7tjB>~l=NYYI?a7+Hg$oDXyIA6~!#!0@(hsO+MgB-!? z|HjC2AWZ64Vj~MrTG8JF8l4naX;I*}F(`@FU8X!g7YzK@Q0*hM@r*^zi;Px88wu+n z=m8@8X7p>__X$qo^kygC!SEe%S&^}JVt68I0{*$d;e!nWhugtqRbrIXQYZTFrZZ8f z;X4zrfrl{W?r>tmo0i(k+iOvMeImDumFOSzooJ^+Ay?g^#bEj8L};o4--LTv*MT{g zw+ZsrUZ;@zlZ0owXPD~D!bpr2+HKBZc)rDbt#*BWX|@D~XM3Dsvh_hv!Gi!P9S&Ow zq^%-Y@_3JpgV)^WHPNepy^o`?Dd_+0-nsj(!oH5?{x@gSl}o!_zc>as+-aa{$*0ZC ztbK|R#*M}rwdyU2QF~`0+cOxp>J4R9nljGZ`%oM2c#F|HmuhNRvNyRVfSh&ArQxvqz{G2+ zm`n!cye$Sgb^DD__j%!vv&*-~U!fH2R->LL$t=of>-)~c=I68XI#E&y2g+$uM}D$C z0npJ{Mk>-gA|A<(<@b8sxATd()FN=wFm;;s{u~PH zFqa&7Rp=Ta+iz%+^B3%(jmgUWAO+1Fc9dGt`Et;=e|U^PjQ^~ygUZyI?&(uDywMGw^+(xTqj<=xBmmx%M7a({ZbDq#QX{KuMNYYdJ0 zvGeLTD%+5`q_clAyIcuWMB&BpC=jnn0@medlgVZ$A)BwoOy&31B+7n|n0IN_;`1FO zA#M77tB&a3?{-)$CQDG~ZI>euK5`gMO{KLvKnYZqfRq)ogJbGbQQZy^W}gw2B9e0b zVgADtVLxenkvOMeK}f{CivsyNHTSB8-g9s+P5It-*5O9^cm3U zvG%3RgTCSGEeWS$rq0Hv19^gvA5lGDv9SJT&@WLPt5yZ6C7MUpo6b(vYjQcXmq({c6-F#RnPWOJ^8CY{YOID#uO6qxhi&N4jMF^ zQt5?E3kHc1M1%eogK)q?KdVo~4n$4=iO`d8TuCD6Rr6aT(WsRGiyu{kfL&{Wh>qjW z+0?8_a>-e1A=yp_l;ApPbkcU9|12cQG@0dhhS=}9+{!pHJkgJHX3n!pp->oW_K&)V zO;fo&zMulyeChnW$Mm!4y$!W9X*m_#eeAMRd8LN3J&; zmDGNiJ8I=Fs5q}^jkx|D2|00(S^;L$2CWF^TZx2XL=$u9|gNAxi;!_dtOUMTm> zss?Y)gLuO^pgL1A_pE@OY5d8pb2lRIZp-54-PVqX+bX0HJ+sk$J79|_OUM0#dr3B{ z`j;he;rt_wn2dTE(z#Kf-I+#@$2dm_fDbH~b;KIoFspf4K%>?I%OsO6>~ZHx4tScA z=Q>Ni;M(`+v_-beEPTT^>%`|5wZv#QU%>vR+;jq69otSmy*K=8z~WU473{QF4;Xh~ zw(*)(4C1gE_%fxqJ<;qQpGMe=ZGm0?^L;pMB2(#WOy${5Oj34x_s*p>#*<*k?ZBDE zg0kZ&5E_U*;j_`?UBsp}pYuDXL9P56OlDMXO}tzVs+W15Qla`y{Bh;1eM&f4y3YNc z$e}TxojCEs%BS!t05USYUM|b2%P)Q{?!C!vb+M>G3OGZiZP34*Rm+GqDr6ZYEc>5L zV~$HIObg(_v}Zq)^AI=RpU&bcgvY6PQ=OK+7GLO$K6u|9y#fz}vzl1iDFCUgYN3)j zNH_zG2-@{!cfcp7c}Dk=psl4{dS99-6WFlGJD`Hh489o~hue1+x_8O0htgJtt~XG$ z|?U%l>uCm2znkHM9GcWKJ|77->$Dy7b5Z>WaOp6u|RDW!f^;RH(wUg(Qa zMg-U51#ifCwm%TNH(}hMr+i=Y<<!ORNuq>2#nt+C7?z#)DBiCe>L_kyq8=&t83iLSbR0JSjYU-45AwgF z5_*jHKm#`S7=Jl}fr;H_? zTOy(yi(1?=cfM{!`xQUuEcswUJaN$fpu=d(ylPOa_U?)Yhens?dPfv{pNtuhXpwT) z#0nVIh~48$k=T?2oPYJp7CMd@Cvv$-Ok4(+1kI zc$xl-9Z|#nCr<9xGW=p5+_(`>h$lvvWmk%2Qcbo}%WwC=-A+I&&Bef}(hNLiFDqo> z)##uD*SQf^Xy<*K0L$UT7cMW5+l*gVHL7Z?i+<_QKv$VbB~zZhjXr!TY0(^(v$8UZ zTb2u;)4}>msVItJG{xqnSniT4J^Af_6HbmNR{i>_H2RXkylw1>aVhqN&f0i z&cviNpwE8p1)Khq2+T^TLt}9g3hK~k#>CrI)r{l0&VRILW;B_s8PyL7`@X@tLwhOgmsV*IE@8mdGkALWXuSalk!oep zgx`ZFCjU7~71mcDwXp-OgbXxO>FsG!mcCG44dXc2b9vWAk%6SjePpPv_fTx+W5V1# z%?tLELgVdPE>%ch5P^SCg&uaw^61Y4H*~1MiqI@lF`03xVJ-2iYaouI4IE@^eEe!X z18>OLT~?nZ9UXp$eJwgUdN+tZKV5k9-SQe!QJ|V{YWm})19ao4w^2LKfWP$DmC{YyCvj~Fw%*FauoXSp%J7@~ym+tgybkRPr z*o0kPGlJTZfaKy|!x1@hJr~iKjzrUOstLeYlevHV6})vC`u*7bF3$)E+F7dDtaW_l zX504e5l&+=tJ}U@+WmUEQHL16jpn%W2eA(pq2K$4V58A4Hj!ig$_J=ZDztxp`_tAB zBHS^pcIAFyqwLdS12%F#r7on!Gvtf7_0xd}K6zF%+6u*agJ|IDKQfsy{ zFe!#&^6GEs4gO|u;hF&reX*9=b}N>VtGypr5fS=5l37oIt(RpbhFM;#=K;q+9Pv9F z_$oEWVZ%Ly0WP2~CHqhnVsJ65wfwbo&1y`d!R}wow4J-vw|rapNK%7yp>J5iT=5QKnwz3@ld~ASOy(#`x%~J& zoo_-!u^Gd}z=U#Am2noYBHIlkiyHa2%(Rt=zqFi5(i zyuNCDEM5~g_wruXw7AoYiaVQ+c$@J%X2W0tCp>S;_`dN*BvqjA)jE7{B^~0#i6+=t zl450*07#E;8|P7nWAj5yb80TdJlV3)skC$9Z$`f7F-a?C$SnNspKEy!XqoT z3LV9|k51pOBU&`Y)pgPe)w7oi?q}z8BObzgq!5ro*U<=<{DC9JuFRBU8$mMTQ#c?N zg(23AuM%iE!oyu%58hbLB%MyNTlF}dgLbo>MFRFnV~*z0iE9YMO}Fxs_lLU9Vc%7n z(s(?$1l|ta0UoAr^jWaN&C8k^N26WVo(yI{@}63_v6BRFo2mqw(YtiqPf_$N#B31Q zu;_u64S>?v0TG&duZ!fxl2ry9)gfhYSVXLONensP2X54|;;hNa53C$tQ*gDpdBxlvy9nR7cvc9w;h>rguGQWq&TzSfW*gBZi%6K?9e$Ml18&2=3lf?}}?;x;61 zB3Gnpol}L5oCp`pZ&kF3HGrMJJ+g*D0&;5t{-E$j1Tp8N)j>&yrfw+kO(R1 zMaT9h45+!(+;4k{l_p@nr__9r`s1+j7v=`2)A zI`{g0yI-0BD2hDt^bp-UAvz<;GiG$9e~EPoT>UnEJdlGu5FG`cGdo*1ZrGB!%V?AB z5CE-(?deHlbB#KEAIk(J8ZLl4WGRpn4WNBmrPSR4Bmca)+1qJcw$LEQmz0##DVq1v z#E@p3L>3OSm=VonW?GX4MtIq44~n0BZG%@-jL15czx}DomzoASL=q&K3G%4;5n*WM zfdE$P)t9Qy(>P5@bGkR%AR4k?fJmJjI(g~vR|zb~{q-6!##`EPMHit^XR`f)M|Z|Z z`2BCy8OIkMIjZ9A&U?|e3DR*+#D`2YSd-I{>tW7UJs}C<3rZoN+Y!7lLKyD*z{9!c zxfb}VN+4s>``|j|zsi}P;!f%;${bb^PQ3bVg~*XDO@m$Lt@CFC!|srhO8{*|zV~l>>y|@L z%+I6Nw5>P4s}q1y0-&7NdTwCA^DEfwB4|^zm|50#vmBiop5Ro=Dx!im2aew?yfrwi z@HCt_zvmzqkh}lNg@{Y=rT=FM<^U$}OSai(BtJRGY6H}fOE)&qs5Jpo<8?!aML`QD zLJz<6zGwF5zz3FfYhJEKc1D2>2;YDRKXZzPI8pKtk6cYmY+zASiYbp#FJ#FE5rP^J z!{?6sbwELtz@=REPZ@PRK)pcC1g;X+3nc8c=t}VafS0O_S!7t7)3FUCrz`5P9=p~9 zA+S zkO2O{0P8nni;!mG&AqQ4>l7qXa|cx-4?~bw?(n;Mj38WO5Q^gn(Q@ISEC;UJh#s49 zOq7%-E)4pQywkzl5#9LnIoxL8emJ3_<#=osErP5wEHE6U{%%4kw#4KAm*Ou`D^z>x zg}PM2f373A2VRofx<*~rOKfKsOY<)~ODiZp0F&y+lla! z50fB5m;CG2xXS3Ad$vq3(zJ7@Psp=%9OuiX;qCxLD_vghypM7Voq;W720AYptBcjT za@BjI1|ye23l$7CZ#nDXY<^+G{mU=jEP`>__SYlUhtiVQ1pwqNvXlRhmy74i(a)81 z8xL`_8`>rP1STyR2pt&sDG#_^@}C94;AsUV!}d06XxYwA(G|BEera#Aj;{;Rsgv!+ z(~8ecwnpCDNfpeT+SXQO7B^>^e(?Ad3_0$=n=hHJGyyzq*hr z{?@|g8?}wok2=3}6dsx6JSg1Wg~a1t(>-KI>kt;1w7M{2@a`Uwbjs9_lp|S<>=au> z>bQm^X5%ih+Z0XnanFa31&0zzJYG80o|gIs@xREVgcy~U#NrnCGGXb9Nsl)eIXG{) zMd4CMts;jR*%Dh9)!G}LwrB#p$@PEs&dbXsLTLpY*<%_CDn=Z=KeBp)5lv4~8m28i z{})3e#DT7S%#Z zv?fD}19%*5TvZE~{m^SXCs)k8j;oz^lJvAk*r-gS0=h491}v7wdY%*vxKsSf7RpKu zn+|dM(ciRWG~-w`SxTo2(ey4hV?2%}Srk)fdAr z@F#;EkV#jG#>7SlNHsgLKzmQO7L$2U0@NxnI|DI2@)OokUQFqi$F>R03-B9GH6P=8 z2SUl}o&{Bzs@y-kzA|iA5Ex2bwAnQt15SYMOiE=u=Z;bon&`4xMS;H;pR6q&+7uiQ z`9|T0RV$<81$>ZAB#=T=Q^T)f>{~9=>7t8x1)5R7TA>kn?_yJm%-V0Ab>R3nqVy{` za4mPkc%7xZ(q3+LZJ6^fKeEC)Fh*u{7Q_dmXh!PjtvXHftPwM(nINOtlw*AVr4RJzEZ%3L#m3C{n&zH@U~;6}W}@u#csjZkc47b2I{d>tGb`Dwno zDL8Wm(X>dCo zzzcm8Ykb^9!d#0~x$_+eRoU{0&ZG;_bHWz6yaMrR6H55;QY8R*yU!?c+^7@bhF##gVhP z*Gi9Q*ycc`4v;C^h4*=Vb${3dfO*XZbDQ>N(Z5gp7F++q8O&M-#2@PN+xCh<>)i_@ zWe?ulEXJtQYx4z09?w^NR`EI)Ln1kLBZR5va-imAQ4a-NjO3sBg#OwJ(&eyA+T;>w z4*8@*v3wLoJ$6N}AC&K>B=(J&IMTCOYgDP2z848*HhN<7dH5&!P$x`w%E|Vp5dW>k zcNMSC|0weQ?LzRO$`(JXfuDBb!1H<_QA`F_e9&WQSh_CqlFI<72=T%=hklS?2cO>79*67EFiX1BwuTLps+ig z(ek`0Frb)5()Re@Z~~LTDg%>|c~dnQhkwrot^-;Pa-BaIqi;FfK=U-J1&!7wvNvN7 zkVjmzn>U*h9#6&z_L-0B@A9^6=QX_nmP_`P0NV9{c1HQO)a{B9q_Qk6S-9jIe~Lh+ zVHOhAI@?fgZ^ND>OSG3J<;O4Ii(OHCdeYyp6c-ucTO5hsyyH!*-cf-aDRzZO!R|=E z#5jfJEbRTkd)VHm#;L|aZog-<&lMEos;IzqU*01Kb`Q6%oAHJH2ThAm)cwiNgP z)hoS~vnbc)B-YE7ZGpEuNWTx*a?z2Ggxq@nG`0(qQ?Y%_JQU@w(Y2Hq>8hF#1waXj ziz^S$e#0*OZ4D0+%ef@VPMd1u-BM?tc-%wp^Ao9Ba03cy=|fB@c4H!Uw(c(jfm8Ce z`AEZHYX=ZmW&wf41Bl2zn9Y>kb5j;Q20hXKASb9y$A?fG}ws4#Vlkcv@aL^J&oltXJCx%al68e-Y zf?3dg5SFf7r>Q^xEM+J@F1#z6w z>~+XQ3g2w?z#YfH{QrXJfC^gaORCH=wR0yb0H=glw4s)sqm|;s^zo@`Qy&gx@NV$q zuJ~Y&Q=f!Z{7d%n?HY1RixYncK|)E$w3k?MV8F_H&3Y4zBm!2qYcw+&Arh^C0Ouir z!x{Z8nP=jyXJk?qxGqBKNYNR0Gk`06Mg(&DI%C!9H#n^)_0o(+&E2<)cqhso{Wn^J z9F7N_%B0c`E|BHAAknlC!#@XDBp;L$h3Hjj=l^{5e$ir}0YjOfCFWKAigh9{K31(j zh#sy7TRP*ChA5if5?_&nuTC*n*Bs$?{LcfC;m{i&&UFa*9CcN9{s;U({&p>}75KxT zcf{w@7yGqD66%f>+D^9YHn+gw#O-LONK@z3oTx6zcpzHwJM|9cJ-lYA4gIWB#m5q+ z;aQ1PdAW5ntqBUq%W2+iOnrjsS(r!l^h2&$nI#y*IMv8?R>WpIXx z#>`(MWUGeA5gJ|7Ni+QK9?}1I{eU6R8Ub1Lj#ylIOMor_84WIz&v1WGek#-r`7>a6 z{(>eZlOPGi0#I&H5{m#?s==U(4V++hTOB1RyYSxBvq$I+Ou-y>l7rPA7Shl5G7@Y_=QI5;vd$_h%Pxx6DoS@rcc*lNbfD$1k_u5Y4x+3s&qw`sk-C}piJBANO8acEE=^@C}xa^-B<%fhE9%Mu&-6asXj*=EX5U&PKDT-NR%Lx*(LP#F~`Hi(-|HYaZjA$-RKc?oR5-%4QUCm2Q{PsuAs?BZ~L}}B_8nR)gLS&N9VOTjlmf< zb&>S{1i^>2EW-2FUlITFWLFjP4h@UB)8NDY6^>3r_8+r9@ZvI`u+OmH!&Ir%5W58n9Oe5zC zJ*1&f&u|f)NxA%^JUEsBPbaHZDr7E1lGD{y8H|zjUo1Dygk*LMX0iYuqd=Pi(ELLa zrgw<~mP_%n*uKKkB;LPgGvhsaN{NjRPcEb~RTMaSKQxy0$5VQPpMnNw37nMfRO989 zAuzGiN+>^(k??iM)A{SG4b4#uE*gP|9rO9y=ngC)4NP;BX_WnxM8w>X?#1I(7fFNB zA@4I#3&)MG*7935+2~BfwGS9$*3e$Zte!Y@aeIMnv0Usn$r)d#XZn)7x35!wk$JF8 zDP<{X>hv5>mOX$al?t)mlTW-gL|O*eGc?u==&zerjsO92Vr;SZjYDSq;V$5siY%=a|G_4Myv z{lwVRt?$tPlH<~0quU#2Vc`jSxG+S^uOH9QZCGQGn9`el$vnaveKwtX2+4b4IZEH= zS-7a~GgbJF!zy;&zxsFi`|`)P?X;n>*BVk;Q#7^FK2`5#lXmtqa*=6Lt~j66;GU)o zFyKv!C8A2uTTiK^lqtkA*q5VHx;gZXPTAB;+wl3JqKJ2C(2&NdpE0OyPoIWE;@9SK zv}O5se?&vvA~*0rPg2?t_lL}ZEwFU8!i$>|01sn~qO=|c@e}>XMy-=VA<54t*YwOK zQF^&!X>rE;{A1WDja55hPBs+;7KI7XsO8h$!A+p&qwU}zZeUG-H@=u%5Xz~htg#7p zOj`9@Mvf1TFeLe@IJ{*|Lnh;Cya9Cr@nq^WDKw>4<5H|VC%#< z1BK1iET##pe>&$(EaI~FVVbTV(c)bCWX-h;tgNgkBxfQmJM2zmU&>F(pPnvvCE#-~ zxOXI$olfK=)ZCg+zg6QxWjGGnEo>#Ny>xZ2eMMo=a?Xvu5o?}3oD3nYo4#(pIfgTF z;Ybl@H)6hzTE^(gLlvA`n?w;PIvFMtWIs8_V=g)aOVeLq> zB#77fO_O(&wY`>NM->Y)t)9kC)t`iFBc??^zhIH+)029Gc6<6JpK{NS-ln>M4TFEJ z5h>`x)+gbAZH+(5RJ;s3D3g-UqfYYsBMS9B&ZPldfCyLhynSO}wWgFFjs35yBKvm_ zF5sdbHgeH7W8A_T7aiGQ0pRn{==Ay+%Sv5hg@dOOZBmr^%*p;{-Av#NdpDngq6&Zy zB6&2(a&BEZPBbcg2SwE}Vs|u&wo9SZ)$g0nb-w_oexud0A;~r>MobmiH&V+wS3pPl zj1`6NtE!m{C&-v;8#xzCUZ@^Yfo(vK)}=}9ANG}mBs;$}B22yZ}N!j+-=0duxSR5ZDK^sN}emK_`=|y`~(FAaUP!IYJF6@#2 zuq|X}Hw^DPCbwIvihXOdhuw%Y_Ru9ad_Loovx)(#4}X}m_6=>*>hCuU1{c^}v?BD$ zgZIEp(gtgnQ%GgyC+)KG_bu6t44}oJmFsNW0gW1$wWE0Uw{c6jSA<$BbasLtq8Yum z2=E_Mvs!yIK6(2~NS=~&?|cA0?#}$xl_PM@?@@`QSBc{o)TyxSWXsJS#BLhDHFz9g ziHu!c4PT~JLDBKu`6HU+;A#!(8-jv@q`6g;5+aO&;-08jCgR z5or>rAIV~3E5tFnh%Bd>HaGJ9*YDEGxLosMw?oHzkKjS6*FGMfS08*p+GGP0UH$22 zf^-{*r)Q>bBUpA)81{YH!a%1Yu_(D`skNhGQ_Ic|r`&fHBV70pE8VD|rDGp10Z|Js zor9=!e7M5rf%Cs0$>wvWl|*p1?x5_b%fptm5tiz7Hc4sx$!d-co8vHbk-AoeR&-rc z`B1g|?X;PLDFd_*3M2~_54H--Z>DdCX~{ix!d+ZpF!P^YknJHiW8hP#73%&fy=KNM z#;|byme6H5`M{4{@CBqdilUx1htKwMpsY`M{WsH_Ldza`+%w{6slR0>~_3mEx7H<%a-JuJ=^G@-b!=nPQ2IrTK>(09ctHjB~Gqk z*>cFT>oS3?BBpLlsRwmVMn=gpGTbH%Q0Xav8P|WG>n_45D}`U)cfubzF(scJ+Ia2t zO~g;OUUq3|yTDeDTZXcOo8O8s~Wu`uQBd)<^JQ;1>Zu<949$dV}t{ zdlk2yT3Mqvk^!YCg4YQK8RKgV<|Yw>cZJl#vHG*$H5rf(+JSaz%FHb9h*WhB z@h|6+-1d;uk4TsRF5h&m-}qVlodslcI36i>$C|KoEJjcyUUu~m>D}YK!NE)sNMX*- zgdY3R`Gi_pS(ejQK~%MTRU#fP2LmH5IZ&FibFYtI92gjg?1#f{`aJ@dors4P?uTQ0 z(U)HX+@=qrU;eqb-B{(lYxp^bY2y6dA_!-hMBb*GwbTRhN+w{SnLT~DnbM%lgg{(ZBr1`iidL~5T=f^PcFum|gY8e9( zX1gUDKi(FH$2%Q-y;Xfn8(T*`&u>B?Ehdl&z0Qb#6(cAcvHd-8gPW}QMjucA1@b^xjO0%b zml1b^{_kJxsT{6TyK%SwG2qF$G%ORAG?%_g|MglLS%KeAq4Ckd(5O)C7OQH%0 z&Kry~e)TaX$)~CCoG)+hHVzwQ_0j!TDik@Y6{@EK`T{lwJGV4c(NsZg!Yd_KLM4r% zYF}Bn0roH$#8l85t_T1Se_B%KpX2iFQ zc{nfLC_gOd&_XwG>jua7QqBzJjM8pI#fIt;lq z{pB?htK^X$Z*1SFjcH5v_O2p4Lm{B^eZKrQTH$p0E#_F8vmywYdL5DnDFR@A$55zM z*itioy2w&UtwoJ>%;A?|G3moSJXb-|h97Ng69$o`qK#eScE6R|XJLjcuDn`wOs%e; zWK{)Q5qcjL({Xxw8)?PXxcahqP)Bha9B;=oXzS4A_eGqcyR+}ZEre%G9EPM%$OjWk zPxrCc6U{3*aK4o1gZ96R0qhYx1rg9TE9>x)DB3Qz9eMBVzcH6A)XK84>kusY&9tISP6l7u76)CA|VIZxNKB@oWbV_!U&@Pe8{r zUWVj7=;h}Iv95ar=Nx{NOJ|tWv6yy9RT3y#@jj-&R20A{%v_o+HY6ACzix^!{U}eD z!7H0HH&ZNk3|AX%-~DmYdTk<=8v{ZZ)D@5Qs(klC};W_oJH~5he3ScP+%1VABI_#rRiyXqb5`~^ZD4qVD9N}By)cuW6jng%tr7e3s7mT3&w@Q`(=57O}eM@*m8Q+mnhmUqLuxuH(1Q8RA>E$99g37Z`useA**_& z^QL8u#CT0hl?#nrO|i}%z3KBPc@j0p?v}DRrX!dT4V$$YV7-j?#M&%dGKx zY7fNxta1KYeIs|ou>!H|1eM2k9m{r{y&FH^)b~nZWajCfNZosnFFgI!s(9ARZTR$UFF2=_UBw>quIW{RozKW=D-9T> z?Mmq0eu3~mlIrWJp5!z%HiPPp@XiV0@A9F_(z74mi+9+)8eAQfL_dcXgL*94F8XyI zduDF0IY_Ie`j3XP?Pcv9-9v%=xFIZH8g)FsKG6DrK=3~5C&!k%1(Zk4VIC(~KTaW> zP@2j5i*&i~>ef8!hB%hqIH)z?^92auRFBr_n2rOyn8u9zmH)B^54u=?_sxW&qXPD{ zzl2#Gc11g^mftL(hl$-hWb>7!+Qi&A%rFee9Tcd|Tib5F5c+qG*)wu`-Rd>IsIk=o zx3D;r<3rK=7$xJgS^*ydfui6TFf8&3mKaQ-0Wl5%XaCaHsi@yUJnp|i&7BfYIa9sG zd=~rX5V7^P+4`D5=b>h20dE}hnjP1O+FUkcSqr!o??2%pi-soE&a&*M<86f(V`9Se=;WuM|1; z3x8f-INu+8f6rt4xtA)~5i56fYkkFoMt$#4Jf5hU4_ee>Ar6etcEZCFj(oOhRYdT) z^~y8avCP)$Q4S9RFb?1p3dCd=tN;D8OMY{hNT+%LNTd?~CA_V`vX3J>GRan5=;Wm2 z!2KcoYs-k3n@e$!S5n>2uPm8P9c1@hQ2u(sX|kBmrqGzd_xV4^mahwjKRTJB-5`&s z`fr~Ih|n2O;9uWMDc~LSOBlY5H65|b-~i6!sA_gqN^PToo`LCI6qhbgL64efP-0l2 zjvL~Ai!ASINxfM)2eCZ3YS4F)lN8DzA&bFN(5XY!w>C~>zvZNz>YLJ0xe7-&5rU{PRxx4g!?AwZTn~9#6|@sLoL+e~m_?otTzuat-N= z1i;`IrBa_`RIr;cZuCglVlY^5h`WhmQDTm%dPRO;J^7M`<~))T#R#(uKF!SbGmV1) zaNDKF>T0m|$K2tXlUQ2Mf7^$)HWLd&L1j9f(zDZiVr!R}TaI;tkf)4ww=<@O2R8BPo8t z-#sMYqWp`pW8TYBQ<#9XRsl3XhGJ8sZ4Vvr<{)YbJ9K;kcJyR}XLAQ5(O!~)UG`s5 znT63TsormgSDRzR$I;HfR?l{>0}1qbc7tlIV-A~p{j&+;P=@{GQ`?;l15NWHTZfkK zx->dkyQiAncEfTVy95lDiveTn-r(&tJ0oJ!S8Y6sO`QS=lYWm^y%ExKRKfCHG3m|c zY^zb#7LsB^XzT-*EfML`2xp?J4|jzl{mJZZu)ZgE#FYFf9(C5jv`rbOTFQs0ZEX3M z&VNMJ$aL)eI=8S3HOpFr^0duJ>MF3h?89nn!Q5}YjCw4MNy{q(By`O(>QXg`D7g`D z%XIqvnOgciL6S(@I$S)X-uCf~8vbMYjRrA+a7r^KJig#K=I^E- z{gS(>a&x2+hQUw!4_Md>y@5ix21G165@!!3H$d8yDR z-`-Z5W&|#P9`nx(T6VM<#OY(Doh982L8pPN8i%(4j*V-)-3T(ILIl zJZcz{E7o2#OQ=?9LMwA5G&`va&1h$_S4~*YEQ>2!z+~ z837_CxtB~zC41XOYh@o45^|^{j|xT{Q2YTC1G?QV42$HhL=P{Kshb`BhEIgaqE7xy z)$#3Jcv+a8E*5a4t!f*$S61=_)#0mPQ}aB-pFa01Y#fK>)|A^$IE*cExc5Ncxw#TE zGN3fqTZ(>Jf)My-4{4Ie`}eyg@R>JAKp|Mn#Fl)=sg_iI{B2Y_2|J_op>htN3G^9S3-QS4v-)mAr515sR3=->F7v0tE0J-q-%>Nz4L9>V7y{M4|4n18-rf=0QI zG2Uw*&P`fS>}HT+#JyrX$8=r-aj&%V1t;}dE<7i3hFiZyE&MEN*xZlAZ@%3~TJt*V z>?h1tEak2Vbc}I3W*36i8o^=0F*emR(=O+)JH#fLKSVsqsOxsEeM=U#CLUJa*42s6 z6C=+&-G5ILh#F{+nKn1t<~w@;I7=r`F0rGnJXCEA>D$8@PZ>PFRP@I>M96<qa*P>jBE=& zNg?sWi7;(Dd6Z2L!-_>X>1O=*=u3X$A%wMnk!}2{F30}oSp4zI>q7yiaZ!sLGY7S> zZ%(szi~o!HNWUx6X!`@I7JWTw^G4-0bgD_@40~N8u@^K@-dQX*;nmpp4T#9u z*{?p*C{~;i^ondIljU|}x{(q^BN}+4i`6xo<-+ZE#rdvdrh|4nBlBD)j9tg5R7IAd%wjI;{%>Ivpb*oDQEn7ardC2s=c_lfk{&7IUoGNP`+PM`&j-$W2g z%`Cd771=*?4=SJ%pb*Q2fUDIaTFfvkIHJ=tq1$S8NQK-(vlvWobQ)d>ki)6aaA8&twGq?tV=eHK{X~ZZg!rpp7B&+$JBYm{O#Ie=gF@k zIoE*AntEusz^lBN85fMt5)Z%qs+`HTcRg)R%(uo!!e>7>gj>>3hrw+8CxGQl!2R7L z!p&!gqyK5~O*|GWyryo7ENkDT@_J!Jrv7rYf?V~=PjCbeBB1Q0T#8ePGxCmw zqn1Vr>39b-Plq(&<+;&dP3vBFc-FHvJR|_Rv}h@Fr|V26#f;w=Po?zVcwH>AP*_aV z?I!^b;efvDN&~~Z7SBRtym&4_c)^cN1BV#`hB1&6} zeQIygH|pa0cDCV`owO!?;QJT0-Xa|X7)hjc1sk(?5GDRSni)p3;5{V)`J%4qrQ*gky7vyD!+A}5Y zAH(D8u5>n>Ip)E7Vp$r9P!1Ot4dMSPv-BOF@>(ozrPZ=-eoy)EnAd+3@({yZDd)^C z7r89BVNC6IZ={Ab8+9$I7ZK2O@N7k<{wj>KVsu5VhTq`@z7)<2~>fIGZbzb~f+FLYZ>vQHnoys-JW6f#D zEe?spKqF^yge0|}gtmL5$>xai>vk%Lz$-G{zbdTEgfiQQEvAZPmSKP`H85GW;9Osi z%-4BcbZUv7YJxDE6W-4MW-2^>yo^yekCjE3d$Vs~kIIxmo9M0mLUL{D*twa1^Vfat z_OFZfFuvU$s*1q3A9*a)6;47|BcTO{fi=v;KF#r&#^8OY*ukW_$h*lNlp=lhE~!}<2<*s|a57qP@Zf(|%RW~&5nij&kZ^Pq zYU*R&fC zFqruzbs!y;L7ri2@m2l(m6M}lM48D-t+EHqAG0HXuKtvD_#36nNV|aN8|okEd2-aU zzefSH!)Rr>ZT}dk;>nQ^n^-7_C31!)7kmFeKBYP56v#KSDDc{G6?O01@2%c_HRV@= zE=Zl=W%;!ePA20SAs3t!o3-% zx?If8VLoX!D$V`G!v9D|MIqCM6~hdHc{rD?+Z~v*td9?M$j!XhsD7*1PUFQv6CcFw z_3uy?fz zd$ng8?$>-Alld_qyvBA=V)1u1cHot6&@<1zOgxTQraniy2r<#i>XtAC_Rdr@SQf=Y z-;)ntwn?{%G={$#Krtas^ws$qX;L!T*p`YFXGFmi`^cbs#aus>Toi~5HG-kPn5?H} z9UW_*yikuZN1jgehv^TRg_0(+6khvN8hW5L3iut-7jbFXI8eU`G3uVg|dm2>13Q5F$lf@u0_U3u~@o2ulILiGWSB&`eChe z1Em{7XDW%__S-Y2tpZ9tME}I0xG3XdBS5kkLG?F~X>)qRrZ3lmxQW+82dFik>|R>N zIJAO#T|wYLOc&`KeS@cA7FiB#N}#v+O*;bNTc2Btfw0K#v1@-XQ)|xO12x3mC`LXo zT=UNr|Cj$v-eOSvJE-UTqeK%fwBLs?#ah(OwgZ1CE>iN%WUQ{nu-X~|fOvO+++3d+ zfF4m*>!-~04js3c?NmKB9yo9E^DsGL%3l9W%;3FPf_-DNV(P9fJB)$%WSRkPOEJYlGCGLos=cBL%v3cat z3+)MBeIKc}H3S-~&4L7;0%Zh#5Y!q+G#Yjo{kI)c<@O&&Ge!k#pE7wQkPw3wnZ0Jp zbRjTczL+0UC4=>eSYV;hrBbPoDAs6ln9)Z@5xs^`(Z3Ygcm@MatQ;2bYD6ql@Wi?t zOcpEe$srJ0;SiJW094Ykw7I~S4{t1!an7eYGGWx}M|%-6HP@%{ z4HRgK6)J36h_2qKELPHO+Bsl|D`T*7t}i$ks+guT7(-`FHTWT?ju7&beW zIBPs{^a9$l@t?NzxDE^(<%$&#X>hz?ZE^&ZLO!s=MbtJIz9_VUA}2b0)3o;Z#~6L$ zTHNIXQxBimwgu;N?mwx%rS+}xA;(hvmX;{u*sio~z4M$u> zwC(8=XKPJgjh}zL=i}V|-UEo6xW9)8WG@Dj{Rq+;zZd4Rg9zT9;h<;Dm@9deDH2kX zGyZgcM1TLx+E(?re&aX%3)68V+v|>G;_kDz!w0IRp~kfW$HSuUn_@3PMbZ-?;H(CmvKzAf@EIaR?R1v+`Ax!{I;hB#$bMr!r)Z|Jpf?hx#h`#X5kC7ZsVf3W8MGhFc zAUg~}4b~A1G;D-x@50qM-!+2uGMDL^QT;1e#ug-+5bx^PiI&S{(MR&;ySx5OrfUnI4Vz*Xn zyT#hjl;Ukc3MXflKsrh}XD$&FcK^*uKS#@}l0dG{0pxn=V~ok6|0>RsscJI=|5pt@ z1Cn>G)8>YHFys=ySFIp^51ddN6{c>HaE=hhhOVjTsy(Z^7lqC7I#H9YH+Cm&nOs(&^NSIVtB~hINK>N!VYDmBc||%BRvuDsfg6Hv+f)iVexk3G)s- zZh*WgBL8C!Ox;P12*Y63qo82&z#?~;(RG{2fVn0urCfTf$wkXaL>mMYRn^0xjeflu z)UoXCrrRD_;PugS4=~BL*@_L>8H(O^aw8Kfv48P3u88z^A}?XlXjlJuy}wAtNjEBv zKDetKyG%i=7TR8kOnsdcCU4^@#q5ZH0M0?E2#|sf=i+)p`#9)c{H}%~{~ehR`8o9C z73XvVmuqLYL<1f-!&|{S9d?_=cUsOTpPe@WS3GV|r227>sqhI#}(b+k2ddAPtGH|B3!Q5U;h%q4Vn;h}F)k_s>e(%?G2xaE$;C7dwEFoTJS zsb_ihzouwh-Ry}u&X6)IS*CAiVrjgdlHqZpF&>#2m+j4%t@LTv8E)iMc_VWY`n49owLnlU<&w;pT zSWh81@u0HluDkWky896Rv5L?9K96K@eTn~C(&O$c0YZ>qOl#BDnc?+F_S4u@gmb6( zci6ila2tR+Wdz*EsVQVk99XB(d;MKd`CQ!T3lojV04-pLh~pP1APFK>z*#jP)=f`K z0!*)(Ur|X4Gw)MVg}wtec}=54y^CNEHIHfJoh%S!vR#%po_Xo*08bjJ4$3;?U+=&y z-RxUnO+31!ReSUs(R--`h32ou$#N+H$~=_7<<*~)ovZkxtXy`!oRveECW4Zi0W)k- zBk6lEGIfM(bZor8={svpZt3?~b0rFj&>qygWkfsSxtsy^i3k*D*k3#@Qs9EQyb+gJ zGo%1JJc7HH99NtSIUm4AGNJb`S7{Z>|CRzk!J{obwGAshKi zH~}F+=- z+CF>Hz;+6aD{UV*%%cv?)eO&ZTDE*_zt#zB(-{$wbbsBF47gIyv)n#RQFa?gaVwL8 z9Nui5+ekwEoh6Vf0yzv7d1d_qet&|}^`wgUpaiz}0wFQf3GvAl!j^Vp;Zu4-ZT`@_ zK-mfVn>gz7bm6*ksMu}-k{?ErF;YPoLBQDbS(-wr?Un%2VMj9I%YY;;tzDtM=v)@? zTx=hc>#G4{UU>2`&*suxE0yC#VD3G|m1Qng$-jkIe^h_z;XFVS2TjkI;9|g3>n%r~ zEmYwKjID82cl|R5ZoF}AXdJwrGa1X$5$}y&st0jWn>BI~n?sBp(|P3(`+|Q4*}I1) z&xJI;u$y#l$yf7ND=0v`P;~8tsH3F|g^9zH9d|k;SX#I4a=wOCJhSsCzY+LD6A?6M zwX0ex+|Ssa_~LPW3iVON$e9N!=xY!P#Vyld6ddkl1`+t_t}>{g@VZU#eZ~d66;PUX z)2Jdt5Lgod8HWO=*`oPK6|Eo_`bXupvU@Du%`jU)LQ5hF%>%2eI9Wo&_i7-L@94eJ ze^DgJXP`&tChily(@sD!*!VisrEUNr{v_&;J&Xc6|l|KcAqKPWW157nig#H2jm z<-ll}D>nNs(x80%DVaP9!{m=qD;AD&)X~HLH-Q#_h{!0oHFFwOi#0LWhE%?vHx&8j zj*%u-{2n`F>Qx^L?n@EDqKvFunaYoi$dn9{;g=z?#5VDOX`%_*JV>DF)<@lBSRVFG zNsP?+4!Xz^vRGq(kg=(d_+gr4w@v}TgTgoLoh8NWJY#Ht0 z;$)@5y3mt*VvJ+O@^}Amdp}=^HoJEoY#3zH>*vaZpv2_kE@(32+@zy~;$>O~FmpH4Z`;2_G{%sCve zZJ=pFn)?AL7C%D4VO_phL2!-B7E8qE0EH;LK+R*M>)77}@VE-K+(U_sL5(v$rpX}e z?cF+(k4(MqIVh$|ZMNud0hozJV7`FvoLDW|3CAfhaqU4C2VpFW15WFvS&QH`Q%n<9 zE`zWmX@UhNAC$Hd9k|z9MKJSlgUi&df>tN1=w$kh9Fr0-&RX&u$Hpv7{9bJOl-jY) z{R(sGz*S9Yk1TEGXG89i3mwJS|} z?>4H3lM0<=m<-VNLmi{!g@R*0=hP*JBP>q*XNxNj1@h1Ld`qO?%4IF@O{ppVWpcYP z0JOo%&Oaw_QxOWcPbzq+0I_SL^tjrd=r0sTOQHms24C>wNd_-6!Cn z4fsbDt;%}CVY3S6Z)9}teYR{HTOaBp68Emx>wG1-T@GQz=Wa1D|8(77_CAQuHCB#= zi?-L69)oVlpiEoCWq$Mtw};?J;x_9EXhP3BV(*`y2wqqtTUNA>R;qFJJQwi@TW|S) zT^~ec0Vb%!8iGenl62pvllDSp?@#0{DH6$4)J&4^7C-6!eekdsLlI-3FtPo-LPBDE zow~qn6SK0r)`pfm(aOR9H12VCaR}Ol&WH%M`MQpITs}S3Pr63!>^G06Zfsp7&_v(5QF|sF28isayPf^?_~nkPQdf?vhMTgqQJVL`tlP2%F9Bv zDRnBif01BJWK#JNklsOr4PxWn)h4G2y7KcyoXs7~`YzMhS14m}2?xgmt5QCAtv=T9 zhC>5h5<|qV+Nxld92Do`<%+j+$m~OTjW95Xoh2zO82$U8($Q+UZeBVMkNN${$q6%I z$uhvx-ki`MKWPA9XkdreV+G4mt~jUbM%Ic}aJ(N>7~)Nc&?)RDQ%5ZiY@p#gXr4;@ zPk3XT`xxIV3{5Ka?`*&f9=H(ipm|Q$p-#lU!z6%-i(1JkUW}3o7wPuYiL#IAN$5T} zGSR_)lGJEdc2J^dPsF3pF=zblKPxN&3HfmWgQZ&T^WUl7uwG}nIs~p}svpveWAk;T zvBYj2-`}3?gm;jgMiK}_s=huGoKC+CYm`0R;E56(w>N)e5S`X^I%hhr{$eurd80e% zk6)k08(j7mJY#_;XdH+j(my?h8altFR1!)u?qoFRv8gpwr%izQKa;JC`-W*~L}a3y zNJh;#*-~it{V+a{2s`B6V_3T%_eE4uKIVtgNk!M z5=-!uBe#s#KDekeOo z`+~ik(AP8G3I}x@J~%Dc zUSyoz9TgUF_20l-lXugjzhC*6x=p$@kekVFjR!b3@CYUc2wv-5nY&I%hsC7ixDr;g zaQbCEmY6F4l5g;C_fzEPnCM-RFJmt>y;Z6Fj8zXa)WpR9FuNz=U{S~%XiPVpoLEV3 zsNL5T?uG%D$lyd8!yk{ImC0k(C2lKK5uk_itBi{=azLppz^`|u2j1JR~!#I2o}l3$JY!{PB{zva_7|79L~)12ZYYw%NRzo!psYM^Ck z-Ri4bOEzfkfn4{ggEca*o0 zb(Gr8XFRP{TTE&;&G;ip?m)~1JuMfj$)HRDvewaZ<=6t|um&&7`V^PPJna9Kapg=@=L1}h?iOiB~tJf6A<`@>Yg1p{h;QPs81ndnBkWW3MRLq;GWn`Y2^so zKv7H;@dW~)KcB|0)5FFYhqp5_Udj>bjX6T{dsd#OK1TVW*4~Vk81zaEj^hdn|NYxP zJ$_ANaR8ia(Vs5#QuP^R^atO z)-@ic=Lq?xhi%a9N3ap=eYx5x z@(Se!5b6p7X~tx{+_0A)>CsKZoG)1P065e87G={7;<707;=MnB4ZyI_AN2PVqNwp@ zbD4#LuWtGNf#()^-wq${mrT;(;e?mkK7;VmYEW>K9Tc3&2x^u}v!+wBIfGd7yp;$D z(NEqD7JmL)qElW5c7OVPi=QwL=>!9SG7RgOlWMY&>VObgZ-FRXbWp4m`apb;VoC>E z;k1Gq4?dKdu_QQ;ePyHTNy8u1283QssPCLJeJApf@IBFU-qI4)!HlCI5QvVY2fg zs602zmZ>(j%N2FBZEv0F;O(%(V`zGZm$wz514$Hy5%e;$7<}1G(a$n5A%xv@qU0Fy zFg=6pF$o1j;Qc9$AI{hmmj{hey#~3mvT|yS=SMsoCQ)j2a^A151y)K4u1r=PI@D=X z9-i$fFM7Eg^`H!o_(yWG$;MPuvs}*<<|_j9z8REU+shseB05ayywhZsuaz+gw2bP^ zGo6zMoWA&BeakcLefdNq^Mp+YII(Zz({(7FkDu}Kz&M1&_7Z;MROoALH3h*K1oe8& z%g5-8KD&)En5KU5ig8C=NaxBfLaUK10XGEelSe{GYLz}U_A-8Fo*(E*m%#!@0qRhM zJxh8*EpZ%5@(nQBDJH>Qeh0$icd;xPO@x8%&(4;5t=c5DjcNR0Gf?|qzc1BU{iGYI zAeR0tC7|~quclsnSd}Ka%16NU@nI;~XJB&EO>79!r_-VwpV?+5VEC8SUQVcehD!VJ zEq)&YjxX&PWeheR;v>S($p>-rVdL_4?oPvYK4zPBzwXos)9E8|V~^eT%}o(62~#q> zdQ0(T%uu^JO8jB3O#Ev}Vq5KI7^|Pu$5(p`*dOsDiCE}h<(8du@EI$nUfwBbmI+c^ zn~1}YoICi5P07`_bN_i)kA@XWifeg(DKGV?v+UAw&v#Y&A#h_akp6DFKQr&SjL(A~ zidske41~%@AgEsnedF7o;0p||P9|SYbafX~Xg=gA*Zw`}?%U*tl~~NfZVkiT$4C6p z{}#%v!0q)Lf=|ac2U^}X3=u>6{kY-!%x-**u+%4(sOs3-3vOgVhl2r5&ePQ>xhuM! z`%-I&1zdUzlkzZ&y~hU)H)l3j&j6M5Lt3Kz#SPx*3)#bE-}pdBpGC=m2HX>K#3cB{ zW1qUN4guK;lM(n2u_N|m51DVMtE&{Ma*=u7IjO#pLZc_spch`b7!B5`M5|<9jy5&+ z3!=cedi+u2<3cX=NPE1kQdAB>tyV4!yWd?gAYnSDxZgCCU|?VzGIIr$!{r9*eoK^L zq$OSQC!8}*8M7N-Xo-x|o762V17{9@1fN}WYo{K5Bt51~9bG~lj3OXmW+GUEyUMU& zKX#L7vcrwZd#+-`wc;Q?PTKO2t??bpE25HXD&!qKkJ#NOKEKfs(P@^v^p=e$vQjED zwZn>1s?ok8pd8uF;`{(dohs|Xk6QSQ^@^KZ{wp#z&u1uhj48D=z+YMo%MtT zf@|}pjMJ2kcTNgsv?`rsKSuP_2s#YX6!K3{H$&RJOJt0wf06lFDv;7`nU;wBm~^?w zers}}=Y0tOkX%ukTNT-A*aye4O2-qJc?f+@aV=(*s~8le#>)IlQf@^L}!5xq=RGU03HuzxV`2UZ1ynSGY7dTp^Cd zgL{(inyN_Y1;HA07l#=FF?tGuOF>DX%b1;(6gSRLAB zcFw8SXO@pKJ0AF|4!a?SoXZm5re79`wlB5|HCwr1KT^G6TN0R=g+MBU)W7HTQl`~= z8xV>fh{K3!@*|#BH4Eo>{O0E*1XTCw`_Z7UaS4@kKl_v*DakJ$KI9V=WNNHetr^SW zrjw122WL1LHwnB`ie*RS_dr1^U+oJN4K!6xXlp)&%@UkPNv>l-%6r=Fs?L|W_vvHi zY{3yWX-07HYrVaOPzg*092{L>AWP_>%e1 z#w3w)gH*pey;{#$KCy7#i#|*4Q%TOv{Vs#R#P({yI?ctQ=NVZ*!$yP51x zw`$*KsvPW_R z1R25Mc@{qM+v|cuq0C7trvmQ{Jn&-v*h;-iOk~V{M=ien24s{mtZ4h)WxNn$B`riI zJc0f1Jvy~EmWp&zz$mLz9wC2NmK79JKxA)!$#lhA_N68MaS5((HAb|5N`#u{tU9Lm zT<_AIgngW=Otet_;=@LIUK}Ug>6=~_q*x^%$I>_c6fe-qXYhpG&^&Ie(T|`oRYh=}Ny5FT9eFNCOf25eW5oBjtO3v00D@kK8)) z$2}s7Ol+bJoVAdoVE>%^omm>xph|(?^$@_OA!HsJ%atpWqP-bz86E34^Q(Z=-%)~H zLh{zL^yZyWgPvGNCn?r)Y0_tIgrDr4H(nmbk=ul}aDL(u%Abe0uWctra8lOhH_V!G z`FvF0ojp&zAP5fW#UIhOzMjA`CVh!Tv1&hNe_AM6ybO=`I6Ci{Cai-?$`YJC`176H zdqn;H!#yb}H=+gIK7O$h#s@fz*xJ8f-J17}m#o$J8V`V|ktSOLCES_*2UTAg6;&Iy zD~+@u-R($8$Iv-+w}41@N_Tg6BSR|P-5}lFAT8Z}_Iti>owd$y{>-pvKhJ&LR}n)Q zby?ILml9lOJtex{=^u!+ zb6NjuJH6Ivf{a52=+4OK?O)|UGkb3G#yqFq+VzBMlA}7Xq`(q4QcdS+)#yTNgtnVP4m{2coZ#xRw zmz=wNt_ivHuu?tztqB9h{3Rp*=jcVrX3~bn4lfMy^6C1c8D3$JK`|aUK9pd{;Ad;V-#%8nZ6z6zl%3maSVfrmEYL3K<0u%b* zjf(?>=SQ_8-H!0JPnZZ@A1)|ryF#DpesH%dI_|1{PoyBMHLsw9*}FHZc;%41>}(YE zYyIH%&jEoLpCF%gEWC*n22@T6Z9{XL+Q{yJZ^}X%&p}*0^f7y|Fn%xqig5nw*LrzY ze5Tml3)Y>iOr&jTY{ZIVJ4zFoW#>VmNQ68{|+%VK1&v$zqY4VItmTyu- zrE@65fPO9XDNwWX-!zAev|<`==4MM=g_AAT;-`Zw?1h{(YQ|9^OL?p@#JZ71{O!)P ze9w!bF)Pg`#7)cw^v7RVOriha&YW!BB#rJnY*wbC9$AOTUR*K1g53yWS@Z@|BxIF< z&=oHO3L!fA+z>E_Ex*?zJj2586dx5~nQ0lrDP_0wPjFV;*7x{vY&rqmre@HrQhzmW zyC5=NgnECm{?sPPlVz=1LjksuT=X_i{2kuo?WcDjb*EIJ!wq)Ziti^vEpM6Fb1Dm*^L$+mP?RLkE?&_)R8=_R2y2ZLGz6!j{8&T6|CXw}tjf&4Avof><3~ z29VJWbO^cG5#54vtBT``Xrbe-$yjXQp=7lX$ihm@$S8?f6QeNlW8hYw1%ziO<^acb zl1u=me^DyUJ9=)P>ZA=*6eG89)E_W&~xPAN(y^ZbV#(vr6^ippTSH<)w*m(7#bhBwtcrT=z#Mp0mI8AtH*z`j~DkPycSG zmy^6dL}ioQX0uSl5Cn1%W4DH&YK4wnxmK=87s+=HSAm)Rme;m7weV_>{--lVxC|Gc zJ|o8BsBY~zA$XExZ`tp`dYuIg5h+^ZUEfagjfPgVjenHS7KVK1W7fm$3Rf+rbsJ)8 zDQ+8o<+nP z(4=GZg2YkpsT;lZEpAwl>ynX9YzMYPk!kj_R=p+ebYj8>XE4^x5=67_Tw>FVb3E37 z&WML+Kk!`r1%}3K&gd=C=fHr3}?j`TE|X?Txc{#*FEq~M}2u?*bAg$q2m2E zZ&RX}N%_t=xlG+qqK759Bl1Z(elqX9kd)HaXd($M-R@tBAl@cnqe=UZy9n&d8v!ff z(Nc?R6z1bOOyf}i%i1%G2C8;I?!<>>a+#zRQ3_vX&E-M`6*E(9pMypT*{~&0KPEBK znaW1RlY9sc>jE^%R$LLR-=tUM$HwBa5(54lm?Jn6c;v?z@a-xtX z&wQ;CFlOO_R$JHzM#~aKVSK*$W&CI&4c|^ocHvHTAPi|LK8Gw|oGN8YS%%~_pdFea zGRmfW4n}x`f-k;qPGfP@fX=Ooh)~X*LVEp@_o40 z-9Z4{ZThHGZl{k_V$oP0t0qz-@@&0*DbmKe>T>U(f+NH!sP11>Qrns$f(qYa zfqLeAO}pY9**V|wXG9HrBzot=`|nBBXP3LZO=RBoCQm-b`fX^QI1F_fgzBC*r|6iC zSAXOt{Cc~^%oCGKFH<+Rs@>_?+ADgdz9TGiSu;wms}D>7i=Voo^W}zE5uuigg&q6zWoMVbshi0wp5&Bio**~JirNmG>ujZ~a zBs-lfH=~XNX$(;f^*>W2;v;j}twNR~_f7~E{r!{> zpvLBGs7IR@TvopYe61!kYAuzL zv0VxeH~xA9ijWdcFE_a7q#~$4fZsXBUJM22Gkpt|N|_h>xEciktqNuTBZ!JUwF7s zHa;Qa^VJJ2$3msrgM`2gAZv6WkQdmEK_0|Olhvspuxc(-|7K|uY;4KSCa3@ds^wTw zD`{bC9G{csh>JjQ~@r|jpkxvzkpULSlY#j6jG-UwAQ-Fhx*zEMmL<=A0Fe_f|> z8T2^At_o}F{RJ#T2QScD4A|Pz%>U9n;d~+FmdJq%Ea!7(oL`n^-Z$j4Kopq3zWn;| z;22l%7s=vFt(HuN9)imF5HRDkJ2@SY?nsFHG>#sw{ZgwhTYOh{@baGCd$0i}(xO&5 z9G_J7V`x!D45P*sc=+syI54ShZFreTy-e+cl>N;id*6IK9w{mVj;dPW3+l}6QmvT~ z5-62POr4J$gR7A12azb8?T&~wNlGS*1gB-OlHszT)jV*R&&jC$(uPCme8MPbz4hBr zm}b|scy7Z(``Y%C(ao_c;5Tc^;Mdo5d=YA1)el%=cLDX>xxU@72w%LXjo~+%fRh@={(HO%0+Q|t=i<}f*^_u!i-G7fbO6XkNJ~;_~xcV{K>Z)mODc8L; z<5KgQP>*64G9lJ?hKJ9*8FyVo=?E~ouOHIUI?!jktv7k1SjyA&Dt8&V(P-e^C|zs zweawttvopF_N`I4ROjM-u1Nx1NP3bgc!h^;bT88Ou(;3QzyG~=xKG)NBC4;l8d3p9 zSyCU*f0Lc6`28trmH7$rmr3-@%m%R)V@@!jwefs!seX)m*A)YM!xb`Pee|H6h@r0D>Yl<0%v4zXp^gu+I$~w+$D}F)Oa&|nS{q2i}}f*TXng^ z>5iG#g$r^hqI0s_vm}UZF&dfQK@-BE%lJZ^3x$@rJ)`AoR*1;jq#QV79B{OrZ362x zVIY_I-GcR4c%~m&{1NA`x^`7x2YkF1|}$l*lDS6R8O%q|zjp>|5Rmt6z$k zEsX4Ee(W>nV8fsKarOF`i~ame{@V^#<@S*J*ygK(s_#mEA_~;{iT$gME6f7yR-L85 zGKUS_%MbFSMsEzB?WG&=qE$P#Jn?H@7oo4qz|C0e#o{h(!|0S)yNo)#LMDeB!D&-g zfA0u)waNz8+)~H2w@=9(VG=4t8{VULkm`1mwU696vHC`h#!SR8ZPc#vIxyDn*qN&*guR{x|Yi*b>K{hi}T!K|Ce zQz`bAoN{o(PU&!kcpy`BCUoPc#q(c>B;RrE88&mqvA&(EPu5>e0|z+hu9n>wG;AD( zEpH+zXvb_EZa;Q);G=atlnFOk=Uvin1hze{Htv_PZ!7YAYhO3@{{()u#>nI)#{H6z z|L0wqc85UQTc%%9-9-5dQkDIsPMw_%UH2>|)0)CWz;ctP=u+(R&bQu5}5t+|3}Ni@_W%ST0nC-ssxo1}5RqAun!(-EK6yz~xMP zJ=Gk$qy1Y^+&g9RHAe$ya$AWb(JIw^!zwE*d!p!NPd!j)OQ_!{Tpo?`ekL zr1bLX0pRX#xBL4K8WsA$9ti5g&d4U}JidH$Y=Ehv;ye1DJ|gv#e}u_Mx2W$2l|a!C zN1h0lJM=%ly~37=?8N&eabO@#UkoT_l=vmxY~VnKj5uGo?}lMjUZ?aQmvTCvF(zz0 z257nv~OC+y}zE;QF>B@=O%jQ_2&@v%aopF-!Z0;$=WX57Tws04F3t$p11FM)2B%(U-F|A3pM0uZ(M1#N&NAmsCVBA zcDf~*o#i~tx#_pgM&R-3mdexa7*87{zY{RBPdqb#mDgZd!|-3KNhW~2yaZkBRQYb` zLnj;4GzER~1-UD|m?duH1X~m0<0FFZvBag;g};uqEs$K1gEL4CJ@d1CM>$Zjtr#ZSvC#tK}`b zeDRQW!lfKeV#47go3XHG>gBxyUpxI)SWHX!;sG&4zJuZ2Zm~~j?sO;N(gJa0Umx_& zvAq%jWX2A0*M|YM-YE+YxBRHGJRyP#x%uVg0oo8%PYjjuKQJn{q+z0rDZ`q5D2R-) zIb!D+;87)*^f_tqa;;|ULl6iV^L=o~dVr?LaFM)(QsIo}6D&l#{&R|xvl_N_e)nBh z`e)Q%ctYj8@~;luL8n3iOWuh2=dK$CmSaR!I?bY4IA=5B>UyeTSy+IU3hfs#D`%vI zJ>8v(h{>wfh^jGbqok{VG6F+{lfupovR z_6$y>@Ik!~Qcn=I?jD+?;nYBw@R56{c;D0o-k$yH7$V5x>1V$r3PigkjL~C|H%Gi} z21F2*;m1bPBQwQ&JR?|LX9uenOZoCOWITGpvpWFc3=?YnEurEKAufR$W9lmYL^dVQ z>)}!cFVVeDfD2KYCXe?L!wx@A#fHvyo!0OVZFWZ^L!`vfqT2JyuW2hvcVnbbG%{JCtjgO+CiE5(d2Ago{Q~4-nA|t} z!y3CB&k*DD;|@ks55{bp@iFIGE!eKvzy7T88;N1-e17~@*Q=zTf}^4EjSXP7e34bA zUFba;-N2nzEPhEbvv*2cCv9;fCy*i;T(`rmU0VAuXA|*;+-IvXgXwLv(!uP)S8l^0 zS_`r)F|}XY%}LrtcP@iR?Dbn(mToJS}>AXhHSKitkA-12x|4MVR`R(O_m`w z7bO`g9&=J2dltfUoR30SjEJ>36ux)}mX0vwnL94QchBbSzsLBx!YFV{0%eRs_{S*G z!tC!%b3DWrEWI%0eniM9igq%xq;juwpK-r@9TFXHwQHr%?@|M+GR?Y|SP_X4D~uu# zm^@-b!yr3ub_dMd6M*W$gN#p1Dqd1BoW`SqPSy0MSLyU8g}dxPT#4n@usze0a=#?n zyz&CE30|}zhl?-lTq6A_xF6##ccYScMP!!8&r+I`X+Nv~`posN9Htk`=R9o@k@4UG z1u_&FQ|C+2p7|Ve**bj2*mxyLQJ9QdrdC0E!vsL%7Zi3oCT8}O@;!J3>O z1r90fN{+h@sogSUflCA8!6(R)&^KVAsHKz@6Ikl4U$;?Z%Skj}Uh0>W9lGbAWT(V) zFC%KncPdG{`S_v*FHTFz@et4G;W%a!f6}YTS3&Xw`k63usH>1|P zR*{}|izPBO?AKAbh$T*c{_@mOsIn1{FLJwsPXz8r``PlBrGbP_ zU@5;h_j|0xTp6LusTQ>r3)V;etz^!0Ol`s-)v9}rRrKqCkVoUB&)QjgDkgcQrNN$* zl-I3|3~vDMnnnBU1Itw@5W3~Pu$gKqd0)CN`n=vlkSJL(*u7RP1Opjz$_<4mqIQSe zq?k`P!E_Yzs@3uiR8yZ1 zI0U=n!AU*Y-0#G?z^d2Tt|7L%p2b(+dMEiJffznf>~je zy;&ZkcZGIwT}S`Sbt?Y0@%B*{8)?^3>pRG4E0Tfh=dohBMB*2o!=E*9IZ#&$VkmUO zplZoaZh6C@8bN2Fw9*ey$96AFC|dV<-kyjh=4Mcn*9-j=Gh3}%3mv3itCn^mJ4&ex zI261lob-9i&oc()c?Z8R9c zZq1c-ab3E7&T9;5=q>IdbSCB9LTh+3T_SiRggQ&MZs2;dfaN^O*QvN#ULFVTt0ir` z5?RPYVo3adEmUm17a-T=^9dY?h9@H0C`5vFB@qo7LHMnlNqszrAWi=sQaY`uZ7=B} zDf2i^bB|f6T%*CPDmjnjzQ&TUp4?257k+}`%%UypP?hd^cWTJD<_QC`@)eC!sSoM0 zxnjN70=u3j83DIMXXoO#x9W5HOm(M^9hz+&V}yLXJS>&fNM|le!o#|Tn^8&J4WxiQ zK}@MiNjYic4HxfK1&&q6m!W}Z2gaQc^j6*hoFlh}X$i~x+$ONIEiB^r%Vr7_2Oa^$Z&=eS`& zo_8trq|C(=ITE4c!^hgU1)=h~fmj~nf%@{@HgdI->e z*W4Aq1`3$?P?alXI4|fkW@}kaCwU&v`-1;fXS@U^RX;**we<4UEatQXm=~%uY+Tti zq{Jt`YNc&GmwG3YO)d3PYLTDZOwckgL6M_f>{^lB>s!X5# zUz!zun%l6ffo$!qDo*$iX|#cIEjed|b2-#I$Pru&yzEie4oTnkr3aZK-V`S1!C`#P zgoIgRNgKqsTSHeH?suOlXroaV#wq^T;_Lx|>@p^@od77U&?e5Tzlm4S*&%!CxjhJl zg_0sbSKHplmKZeS<{iM0#kwhsJ2_3kX;ysOtjfR7c+5%D17*E7xVh;Y z8LweGvYLO=yp}!C`8e!AE5IVtLJGrt$mqukd4_T9-1>3D7NNzAH)=|r_Kgly`^xbC zV5&*-h4{{Cbz`p5z+eFG6scM0uTT8bpmm(%t@WXh_P)LEV_V%rz;{(OUT>!ix&myS-S~TEqd-ZrMuVm#W8_Jp>PoU zqBV9$2mCZn$K-C7wiWdfuMd~Coh}agBv#jbuy*)di+NWX6KE4DC#?0di6IY{THZ

    lv<9MR&#& zy@t{D7L2Q{&tm?i&T<$@hpyac?E9l)Adh+41F&)?uh^bIu2^+rt=Q9sZ>s0g&#{Tc zDyz=lk>Q+Kw(kFnB4t8gmx#vi#&-B_aAwT%%`dP&X*MuA|EMcee4Gt_g6hqNHd-zv zoGvxaE<6X5x=6w2{q@9qi}%+<# zbOjl}LJl3K$W`eXMG9H~3bgY5qG2m)>CoK)H$U3IzQ=};@oq`+v)sc{$Z9LBRH%1V zwKm2|`HK0pt2UkMnF>|US?|yxMT#~{cM-bA`K9wfpjwB%M<~0HAWs=%+2GV2PW1WH zg8kofnBQ84fn%9A0NH#fBrtO51dL*=wwrgR&SyZW)Nc)RhA8=XpMA0(7Crxt z7P{eN&{YqO0h@~L3Y|8CSWjEAlA_?5+`414~KM#P6zDo zAJ=hvp6L0-6Gpe^%Ac}2{a{rpbi!xpV;zLJ5RaCf5Ym3DRPg`aJEp#oq&W5b8Zgi| zlrWahX443DQDNQ?PRpd{7*G&aw(Mj>6^;2VHi%x}G@r${jsdrb2CM)5^lT`YNc%7v<=@_nq>;!@;fHb1(_;p@34 ze|SeXvF=@>c^l%SHv$|4UqO|=TwDalNLynplJE900|&}~5@r+9aIi6?@^e0Y^lL2E zpjelDOJ(EN1N~olQd|jTY+A3>kRjz`Tar|77lNhl!e$K5bK@j{_@-9?$uiL=wN^gn z>s^n#_wO>cj!SS4A-$^JIQ45)s(7^#oORR-?b7Y_&stdJ{{~cjLMWPjuNXIYag->u ztRGc2cd;3W^S%G{?k}aMXC2nmOSJgwFd}H_eE@a9)awi13%cE4!5=h?!F*2Q$+Bwf z?6!$K|MSaE#*?Nj-e~5vkA6(QPyp5otAdA@`s!9-v2xvSUOov@)Bbvj5?jEG{bAMc zNukc7kmQ%)xum4r@KW=30~2Ezs$heB-821(|40Mim_J>!U)OUu;PF`1rb=`I zyHl8uK>&oXM2!SwN>93AKHBQP1G!qKTEnRDTpp7WsoG8PjO^IV^Ng(AZ^S`NPGyC_ zc2yF^2Fb3K?k66Lg=RtY#r!3T{Gl%cTv}f|ur;EH!elK4;!6h=NV8$Q`(fZ8*Wlw+ zN$@X!{_a#+QkL2!aMrfr*t^Mz74m85JCBjw{Nhtqg9%2cR>gdKPN1H$5|I%@7@s|6 zS6n8!UBF(P5yaLLkji}CAQ#%^dl@+k=0W)(x%X4V&HQIL4TDwR(jt||984L~hfeEk zalKGMy;{E{y#u=?3kYugOLD_i*=(xFu%rp_U({jJV&)Q)d3VT>JMuYO13p=rT&HTi z)rWX1r(=>tNZMJi@Uwc=>@W%llZ=9o8ADc1PN~$<i7Jk*wr)XqpT!teRG^%=k96j1Zk!*N%?d-rxNEiR(= zIpcc%8Spm9C1=GurW74i67c0d;1uW4WGiY$GC(#0yDTRt1YW-s~Kw2k%i%f(S`B!N7?E293dmTpDYj5fW26zm$pQ= zb{=)&6xcj*64wu_*^l_>xwt=W08UF5)qPHQDAv7N@$HEUIA^@2-J}j7e)t5#8y`1JuO0%hDYqPDM|TtqLmOg?zj_ zQrn0MER7#Fl|#huCV zRr%ZK}`H;uWHL3JOXXT!Ff1^^L8A%CET4{5NdogQ=Rvp;mA;u!#kf#>a45F-T@}v>Yf3_ z&}R4~jC!!*j+-&36C^o@ zx7nB*#hNZMERYKeHBQY#14ONh_E_&}za3O|e!fj_WQvbh=BS)4dQyih6S6@uEAFdp zBJ`rCFD!&*9u;!>H@iBb&0lvg%g#y!t!)~I**(a2+W`p{;eRS+3sjtGnjs+73%QM< z`$xn_RTJpnAF{x{XE1HL9rJR31i*bGnwt*d9JVc<-~Yzt|3~KP{HPj9TesREK3~bC zrZ#yAvDf-e>GTZ-q}!0}*{fj5?1JHZoM1n_uKb$W__o%D%dAx)QgnsD!^|OZynOR9 zuEs#9C2S;8(mv6B_aP(!Plj~%#gsG?BV+I}Dn%j6 zIj_uy3>W0V%G1qeEz(SIp?aeaMQFa_mtRtW)Yj3-yP+V9Rx^Ae4hu$%k{!=$k-QgH zW4EsZL^cB2sVPxOM9@$zp~6BCA&5a8`=$677x5G~ES4`A8S%P2`LjN($#8P-cU4uI zqC%>K>wjNcxU<@m0@t290eNhGkffA|tR0z5e2Av-us;gKJ-l!F#`9XKlG1sDb#atU zICtamyM1fLB99|UPxKyKN(;^QfSCXOqHS5t-R>N|6~+_&3K#x$dT6IlbsU9*6rG&% z?Ur1^R|^9c7|@L)5{UPh=48TUHoDhLR(B6>e|vM$;yj%fF<(b4p3ArEYR6Qp;yP-P zY-j>vy>bm+dKLU!-_PabPyMtVKBe`ZF;#qnd_}x>K0BVm$zl)n;Le%sY6Z?CJE?P| zHoU{zdnGEV0_Zx6tO=Pd)JAR6`K?j&m8n5E6EPYJz+PF;``-=y?5U`WzZwbTEuK|{ zhbx*oIFTUQ>If<>C&A!`r}N1}G!2Cr;hUOWrIK%yC9!0oRjT{(+owqEH@qcEMQAb~ znGoBhXRwZs!ri!84ivLK6GqWs@gNC6eEq@}3CzdUg>TE$%f3C-nEcOWdMGTTtLvV- zUQ|`QJ#exzd0)I&Q?y?1Zk46eg5BgMK`2|fhVaZ=^2ll2V$h?iiOJ9B4TGtWBegu_ z|=(nJsUxU5~SH`%kK_R)9>8 zN6rbqB@|7h0|WYZV}q)E&l4?P>g1bQHEGDqe2@K+&LWX@_d|56LuLo=`dvDhG#9Rr z9h&Z~;GC7kx&P2%WgW@$Os}4L$BUJ_+wAobc6GubU!}NepbK6mt)tkrw$S&Ay$k))6Y=Bse_$ zL_)+M2&?R*73iWU>|kY6{2J6chUjc{Ra^I_n@H}kx*CFLshHKE6^t?FlW>yl`+#1d z>nfYORp%(M%=M&9`0MQ+bsc=0sL>MQJ&;`1E@%}9tJuEbKp0ZK;7uYqJYX@3 zcW%X1VJD;FU=!ug?CuHfGjonkM_}ur`fzX7(0;IRM;(0GwZDtyuh?u@Q;wp3`Ai6sp0M3$mmQDKpU8rV0H!X_k^#%xH3x@y&t4Bt ztdrdT(G2q-cN8CH$4LA2He{O@de}WC&Od9$C7enDd9HCn8?I^7zFz`jaztBFg{=3+ z#nkm@pih((wC$K#JRvxpNx#0nFEl?b7IOQzeMc_wuY-D$m;>-B00`l9wHdinVrM;3 zj^faNyS4Y6rjnXX>2M3Pk1eA3vjeE^N@vpejGfD;WV!g zLx;vR?6Bezm|CsVM{Jn(#O4@3eaSv5>{5!#1+XlYt+pcRAQ%^BJO97I1rk8#&3SJk#9_fYa z1Os{JB>SKL)el!x1)i5EQ%{vB!)2h|`US3O@O%7;!QprIS}m#gn%rN*ao#h1sOmxh zc1e>%M4S|#TVLNQ4?Y{&m3;@A$QFq8f04@90>ay>8U~i<<{{GC!4R_f1jqI>@*1|$ z5;RPQ^J|_MLUy`5LiGE!mLG$+Ct%}*H|Ajam|C+5(V3#?sb(inZ6(F1{ZAjfMxn7e z$qD%M$wq@aHD`q8=5o{`Q&D}Q_|~gU(&8iFWZ)Ns=RLw8jLbennf>6Zf`TEfRq+y%&BSL&$M|WyX>WiI5+0QzvCL~(t5gU1p#7WOD9~Oeg2%v-D-v)6H6|`@2lJh{ zw*auV+3^eK7jYFg8mcpR5h*>K{zyDAcYXMxmqhcol6xN7*7xAunyZr@2?+G1AmCI) z(<(-sAEeol_0IpF+@mRl3GWbDyzo9qp&9iC!GlpYV9LIOY+I~1&R&Qlg|4VXx%?4z zqQa$IN6WTDZJd(%TJXP6(K4F%!)zwszL|fD*WcORsgB!{bD1nWi9|z(uQ2}O7$2Vx zec11uT9}tuZCC0GT@CGSdq|K7o)Gh$673u4r}Pghr97GVU?ju`h$sQY3o?Z9r}{ji0uDaR-0DY;5geUz-4r`< zz{AqSPQm9rT2x*hK+RCQ5+3%g1lT>2`k3fq-v*O7N77U95~$0l%jw_(P&S+ZfO%mE zn{+wN@Z$OhO4g8#qcPZEId%Ejn4*xt0C&go=smaizt)I2Qkd^v@(#Q#_Owz>iQYm% z7k6(?H$oB<+Cz|LNh`J^&Cd+p-_QI5H@zR&c)SKhJX-;s2Y`gFS0vGVUVJPt&*Z`J z?iXwrz%Bf%MaKD35a0`rYjR;#as-2!KLhBu=E80jSZnqyv5=c~y>^Mz*%s6-MJ``2 z)}a39-TGFKZBz4Z#HF*_XwK9)=Qd~uTei-oglGNw`2@4cZk1BGn`A6?#>IrPUh3|2 z_9RQhRE8+)mRz`XUWTia%-6NQU$rj#v4I*1V{4x)Vn?ZwY|TMrx2gNf8Y&@3-F|b* zNa~7BMP&$>e}Dz7-TsS3YiMyXrNe2SGX6(3Y|eIU^}i$CxYHFQ?15d6CVnUWBk#EO=YeH_zyVo#2B6L z8K{t|WhEUs)yz#$OEE4bqi*{=mWF#7LCP};n=ooRTpCI5CC zB|InvY{a>+rI*G)YjeUJ9fHRf!?&BXJH(|4VzZ;f!T)0g@b6XrDNG5de_#eABjX7^ zgdc2TjpnjzPB}vL@QztNzToz*Nbj(!0mSZm3*Kqvte03$Oe;V^0Ss5=A7vLlQP3X# zVv~sIwqG@-;c2D1Js>=Y&GAzSj)Y(l!y&Rri?w4wjolI&FV5DHp)}F-Kqu)sQ`)aU zt?3`{q14)nDU{w!e9_m$x!_y|15^VXgG@3#uz{7R77w24lL_G;s8hC(BgxO$EXm=a zNUhS+$QE^j*`ENt^?T`aoGJBKc|ksih!;eKLIj@qQa~vbEZyP4P#z9CVGDY1`f6WghMl* zjR>WujO&~rQr=)S4|6X7Ly~u@IH`<=qZHo^Y2B< z4{HegON@_iPvF25F*Nd&a__G@fqV|-LO<%j%$ylD4?h}at{}ixT78UTW1-$9#&^M3 zY59?9T=?d{cS%xybKfru`?q>78~@T#*Ze6ix!}2`pY78tjE3Ek|F~Xjf%Z&M8n02y zLDocmRpNSw_tRvprX7d*EIjpqV#rQ9Dy;vdcVq#`7`Wsq8Cb}!s(A|ziq?>(3S131 zET#!?fEwsbnJZwgt@-~1%st2cHY=ZFETf4R1~pc2haV%GM7&Vq5 ziRER^%Z;W~HEp}iR(+`Z)!qz$J&l{U>+B!@^Uj_IMzSA5>cBhkA99`SW-?zXYW`}F zNGES1pK`)?R?r6cd1l=Z#y^R9$Qdywv{yi?RL2}}Xn8E>rh%2x<+(PzjSwK}+wczM z1Nz=}dive|?Z##z#eOqh$bpUHu$?7n<+ACXX%fG0))#*3@a|6mO5nVC2$+50h>+I$bnTgF`y*RPxxoyRs<|DEJE3Hnc@UVobxn86HV#A~BBXNi4$@%CR-EEp~ zf%FUFAE^^r$zk-*agGfbM;gDQ&aU?u2G%k3sdhbY zemICT4*=m=hKs?s2$W=x{F(5;BP3_$J@l2B=(Qo)R zFm`_tD!GjYRLj8Gf+%(?vN;-`M6#R)F-c>DG06e-Vjod$VTlM|I9xO29pStpnu?CD zbe!(|VGA^{K>Al-?u}n}pT%WG63Booa!f8hEg=fh!tGRFuTHW1)*F@drX*_g3In}v zM)*qCEl%`h_dw#l0*vt_f#d)=!y3=b6`ss+f@rnl$BzEMeZuQ?K)u{-`uYoI4F?f~ z?zuJ0*suR+1-5$6?`pkm+@E8)PWa{c(jzXxCf8c3kSlQ?y-ROw%RW~Sqg86cZ|I#3 z;lv6m;w9#Jxj<0Eh^L)3^fiIt+AV7-F;r)YoOtfhAlG1}*X%@tAAA_oj+)Yj{GatAofyLVgR5-jepqB`&TLeCu|5;h z>`HEmir%*ne3I#rp%aGQ6nNi7abPtj0$SFn5OpWW%%I}s#Qng5G@VsY2n+i4{h{W6 z^u%vGkOw1%EVf#h)fa!PX+N~cKDsUKZ~SBiVZx8`o)8{4{12XXl;7WQX-Id37p_Sz zVf8w72)|$RM$qG9QtvehQ~=Qvs#Y$BfMS?2qXy5kc7EqRA{&Kf$VUK8`VssF2n*-5 z{XwN;p|%Rh;O|Hqq)(g1?QkRe)CWa`t=WwtIUJ<`qPEa5uiZ^_MkCj)3MFlWMk3AcSo_X8{RBKwDZJ_ z-P9YzO}ow{Mjm%!f=8M}bET2l(8C=4_UMsN45M!gr$0$`=gTXNq5QiruG29~gdk$> zUWb`o?A6V>2NY-X=K|}q)oPrtvD07~qFIXtvO1emW4wQ2C+@9TJ+*(d{;gEKAA>MB zoab&8NNz8W5xdE~%%_w$kaJPfMoX0*V$y^aXB36NS8sjevZ}+U=-okqJ;`S6Ff(}X zG2_R9^7PW788`jl9(S9Q&DT5g0RJEGTy4W0lWs)?EDr?F7J^;N@wI|n&;E7P(Nabh zS!kOV2SY}*)F#=>*y82`ix^9A3;$VFolyBvBKvGy?V^a;B(|`DhNO6dHAgvw*2TV58jT51KH>E$$c!vZc%iJ zY9sUIj3>LvwHCZyOVst#hbmSsiMfbmadIom2?V5$&36QJ8Yw`gg_xxD=H@i5tf=cM zO;GD3KNTY$M7lTwaY)!b_lxRlx)m!~=qi^w>b6#_?@Syb7g^gC%s~h zu>y_97O#+4$*sQeEBM{X_Ty@bU8U?lIhy2WWjo^D6T5jREf|k%p=nASvTm zgDe%z>(rNC?HI07l?L=|jaMLpozXJHBtKJ_9J1Bvp@S5PKXfd-*LexaJGlFor;EP# zFK;BBzM$ZX+3DAhOd4uIv@G9iW@y3Mrh!SgpSzsHSrc})Ke)dM*46Jp@S;#~En1s- z6I4{O2yxI!u?_nHf}&V4u|G2YBXQ^_KE-Tar+(ff7}+8I^n|ES*k4+B{iMh9(iniI zOL~h|U|W<8(_3RQ++`jQgZ=&c2OKgO0qg<(4@QF;L$;y;yp+7sr|Qu}oIu=5{qbzK zBQY^w=*K=Ga%bcZP2B`Mt?f;0#SNJ)2hcXzjRElRpOBn70q1*E$h z_VoK3|M%So`-B6BLloCqpE;lBy02R(+fOR#EG5&jme|h0Qm0NAYJ(yllN9^?#}wm% zZ;PWo!&bdhcdUVf(M`O>jWEF9+WgK#1eVw|Ntp?GWkNG!sw3S7HV*5?V8#EQd(!IMk&& zkuYa34HVPo?QSEM|6 zg4(OD*MQ+YTnWJ$@xjW+;OBIGxc#HPEkm|7*4g8+!ey9s@snJH-EQbL0HtouPetF= zZ`xntwG?+Xmw`WRzPic&j*U&~&k{dR&ehlvWJJ4s@n?@QC7Zl^Xn_1|w`rx0cgVp0 z`(FZ^a1sU#bx&ZLGUlyq(s6zoVm_W2yhaFnV`V`+3Wu4 zYR*G6h8j%fpx~3V3C-_e>ou6VE>m%c2Z5%v86^oTfZ0JjIEjFe1ocd$;qzOqjzZ8s)Pd7e3uIvEfOYZ;B34d7lA z-Gz6d()dPu5@ncsn6YVdzE3y~9&nuStTpyYy%kt74%Q z<H;9T{Vj^icfU1n`y9Tc;zolb)C!h+#Z8mFYJoB{`-*(>;6 z`hOgKwGt4wBF90<>!se{FnqLRmIh(mB@@-e zGtqMKr>!9{tagP3fdb0AdEW1`8PVZ=%4F2_7Xa7F4B!!u6QA2CE>(!rC#+KI_-qMh z=`nuDkEiF65xeh5fb*s>V#JR)m9uV#f{{X#$a@VB0y#Pq=v@Mf)*Jil&zynqaFkKU z&7Ac;4RMySY#d*426bN1fm7kH6*7UGo7Lb;&-ygZFDz>=cq`3>kCr#F;!Oo#!J}+d zeo*v|1xB}sLi_PAY7#I~ZxWb=5r*>aqUOiF`P%{u$>4qS)8%ACPnw>qtWLORkrQmt zhO5Bq9u2wEMrKc=_|p??=K*nxV-I;sZ4Kt2N>2g2| zckd6SXcgLMNBpEGTr26SMwgPAksy(XGQHdNJ1liK=5-e4q1pTUn>RT9*_aSRm+}z6 z{^*#5iAU|PA5?ZiA^3c7lCCChmtE&XdJ2m8lKV+4Eg!op@T|vnB-=_~;M^O$j5-ob zSZ%A;g0DLpu{8{algq0uZmg@P+G5VNg{3D23c_(jcxMebV&nzwabA}pnR)9#bcMgZ zS0&*x|2Ew~=t*GpSi~VU%Hb0BZL?V`2Ib44zfI>eK~(xnsFugg#$K(- zh9?m0>cTo6X;}{HO`9v$=kw$*3}PoseAmx6|!mt$b;{dq{S{d487u!4kl{ zfhWPlAPVrt<1!@&X#{N^$wjoNqA7RiRfE|v^rWEj*^|qfo_(da{VF~fTBw-h^5ED% zs9!}158a_ECJRwd@+K!3QyhI~_;k&u505ar<+S1MRPEdYZz$j%=-ah~$8Sc_G5qp@ zK;=jH(IU#(-$aB*?&I!1YtOyhj?3HS8eviCYhfAa*_9)bsVEsg%7%f7+&?GaBFbgoO|uyE%`5qLWfG-!sks+FS$fyRpa+s@!dlfVwOUlI&BlwiT!4C2xer#y~P%i?`5? z!RycWD3UdGUF-q5V^Hk^>2sw?R>{1F*}+ea<3}zIpLg{Ui;$G!s&lgAt>TZe>na-o z(WnDQr)(ve9vm*46fl^Xw|3#(_7Ud$;|*`j-Oydz&5$jXNSe>)*+v*p;lT)NSZ}Nr zGDxa5;K-v%7{3UfJh@_A$qGsD%ez^H41@o@iQL+nhUo$~h9)Krn$5(7FwR8|OU5T@ z(#c`LgT~DTGxhN6Qz>5-k|j5R zA766)=eZZ)zZ zNG3yHXlRojQzUJD;SC?oh(`bk*`Y1Zv1MC;FQ<#0hX{**C3>WjVzpz#rY~tU;#Mcr>Zzbblayrog4=%;OOk$G$xATlYSmOahcQDdv zcXbuo;DHeoW}|)^I$7wXZFF#7Q@(oSJ>?BZ%x$$MH$5O0C2om~tc5UNtj64^uDyj! z&*JLLdw68_;x@dxs;y^G{^?$Rx1nll@c_2RRM3)K^cN7qhY~UWdZEk(=|a>a?*fCj+MnGNb6~w8mN;g@0G9VJ_H) z_oolN4ag1Zh#>It!XPPi!H{Kg)--s*l;v|K5IKkJrrm$Ro>g?_`JR_r9jo&+&AH@L zQ0_-h#tmY*aM@rhcFTM^t+FOlo9q1k5ln(d5`QLS*IUFU*_Wdsi?C-QQPilIy3BxF zcF8>_VrvzPiPob^ds%R*X-nKGN}075>vZlM!duF@lUHl71M_Ojg{QY<1z5+C!7;6R z-*XEh;tPgDv$+unw%o>cz8oH+uivaC<|vP7H1^$RJ{Vcam#v?C*E;L;O$_JEAbGmt z$K!T-hm8CF9g>ryt5(K6L|&^&ezDmA;ah_)KZ%#i5x;K8%4?+GLpO){0f~-lxBmlP zRap%$dHyng!A#m)Vy77CTBNV^eEhVgqRs@^D(}CgR`~{~F zkCB6u)59e8JcB2eA$qMq8oNkX;(;BCF5!>!=1yMSZIRdciA@zh94p7xtYcKwYX)EXk_9$B2w8QD zzNObhoRLmZ7LqPvM_e((s3&;>4_*~nwMwmkx*~Z@f;UkM4m;z4rL;eiD_@n~-AlH= z4t*d=$r03x7tJj1UUI@EyE;c(cDeHHpGOMsd5`o_28I%{+#XUjJeR}j)M6NiDY4Ak zB6-yuUgdB+P9%Rcel1Nc^Hcwk143jke2ea3dPNeL=O|CFTnX=hrA9}^NTILK67tUL zP*gqcSeZ+55XGL4>W6gfABcxOpDOO)95m*h7ye>GA-!BPRl{eg(06h3;gJzR(OD$n zDU5WZjqe;BV;!$>@;obqOwKv_)Kf;+=9f{!KnyeQ$>|D=@qkf}I(*6*%WWf3=2Hl} z{HRW`e8Phwt;|@Dq!tR2sx{em{Kw0}lEDp!1!QbpQ*-}Y1T!qXf%smBsFcVQ@C;Y; z6U7?KFj8D2Ly%1EdF_(x2PK_E7cl8a5-~mfddCIrETwXItgZa(0^2w@+wak@X1a!# zTfT{?KM5E`)zcmU{8&I!VY7guNYHh))Ri>cMU9ob(;nx({N^m=1LlF`3!fYL-n^KA zfCE7)i3B#~w=D9b8TYW`s1sJhCf=VubKZWGs^&Jm<}0%EdWI4C!!CdJkDubJ6(fu0 zVr0Iy%=ssBn`JYMLVv!WT00&okX_B{wPO1+xN};qEA9RK^)A!(&>h;0Ml;TJnz$NW zFjVkw2;PHCd{n&favN>P$rlIz#Ko!cVsWEX%IlK}LcsyK4Rup0^s=Q;9MIu}rV;?( zy)>pe_;qjBH~Gh5+|%`6fMFVvH!%~g=S1cRFcE)L=iWWy9Xc_9!!huz!*H-I?7CsKM z6dX(=AHNW_sh`Y30Y0genD(j57aq;Wuan++>Q_^@) z8+uWYVN(-+TRmFVg*r` zHW5djMW~l_j3%{k7BAx5_vpLTJEKy4^B^z`aarm=8Zqe_kIB;N2C$CYYN8&}$`-4v zKa^>ihfTMVhn{x&HJjH`<)`5IL)FaFooEoY!Ohz#tpoaV%_=)Zdm5UeBEM%jQVCr=KEyKUoL1`I<2jHn zRPZF|EvZkLrmk-br}lIn@FX)P9q5wHs1=O7jkV7*HJqJo`!%rG>>8Iho|+5HnWIvE z!Yo==8+ zhD>Kg*iI&Az|C|UH_s!(LJrvx^;(JJ_Fbhdk&_})-bxsw5nBa{NswRZwZJlA#m0~B zLc)>=Hu6= zak8zaaZ|?H<$&nU(p>AA^YN%KJN@sz@o|t+@Bi zMO<>hqYIJmv7C&Y3rH**=$FTS!l|B```=$U+fGVFk_+w+*9VSz0*6C zCA=8^h?U#O1K!7llKc#lqBZXUUJn}gy-hpE9g@0-l7jgHkDC(&=A;qN&P-x&;Ci*( z6Y;p|o2lJ7qY@x!7*@>_K3e>Pnz~6NFaD=p*HFMK929(g0C1%5XEi)UGeJ{fz7mQ( zn%T`}6Nur6)%lix;-&4UO3i@T&z#k8wmC%$UI@ePFQxc&nR<=8uh2(7`l1v{yl%Va z(4Qj_-w1y%S~@*7pef z-+zC{Ji*#}>J~IMMkfcbA-$&H3~mA<09ZL#{@yMk~=OZ{0$k z+#l**Jd8KEIkf~ooEw8%u_7ogkmIQ>tzqwedTR65?2N}2$V)|Y8ebUMC6 zpt8>xE3_XpFURQ;JzqbMZfsnA3Eo#+J-x8iP~j(%bl8&3hEgQ|79C1pcK;$FG(>aB zKUyZXhC9jj>V9k>j&h+X-Y(m--_~Zo49x8 zDl1gbl~^jIl5AlC1}JWN3>x2Dx=3V;IH;#$bbfh>`ixN!9)tGqcbS*mTTlbXTKMK8 z{T`9$4+QqxJ5gX-urs zP&;J!CX$46+44ERwJLepa=wqn898TOlz4JuSAKaI1RIl0yOF0~Wm9P&`YWW&*T>>7+Bj1=u0x zoUsvt?4ks_sQ_{qqQqi8s#--A5S2i~<$ka)13Iq^8q}iSm^@$l%~#wcn}`E<51_`g zcxC?ZYMV50XhznJ+XiZOgoAe;J(7j_lv=wT49N$N@TH%^cq!O9q1zmu)}GOx6`rG> z$0HgIT~M>pj$IooWc)7*58?> z)CbGiEkLX2wk3<~CGWQ7K=;Gsd?86BE+?y6izOP3e3r?~;?jzkPr*y~U&#tkWqG{L z$y!{LB6&E?rvnR;X279oXG}*ZTkr`o@uZe(#2V1oXO+!NdmwhR?xq%){-K7-Fz^QCDRQlxa_5ZouaaXm(V1Ct=#?<9}eQk z7jXI^dYm*BSh$KvEOGJ3pUQAQ9ma@Qo!Y+58x*Ry->UWuhM$bi5}|!6|5gbFa#Cqs zMH8Ze9P|K_jldRD=1ZP&bb@5r4|s)aVpS*O%9MUBg=avKf%NxnJ_Gq`aj6Xb{SZfW z{nx2a;ib6U(OJR$<8c(R^r|J$C~uS?;^LHt*TkkjEOI2z^s&mpoAe<8aqS4PydwZu z;)r?5_cE!V2D_+Cigfd7QHF7aq_HyMR{}HbX~sm)!%#vU`H%o+AM4zN*Uj0^z zZZMR}4?GD=6lrzJU_ZZ;m6!~>2<7uc=Ias9clG;3tJ566;VEucD7xpv{=c)h3 zq!CQOsWSv{*Kjc>eS55pUE&#?rc++YRCdaLT2}7&S`b>CsMOFV1C!S$o^syXR*(Ep zbZ<7OMUY;sl6#I`JYw1SkXul$bfD>OCK}x{1F2>-NT|G5&iQoapK=Tw-lP)>ilePA>GGRAbe&_O!Z zRO`{v>&i@BVmiad|L9xQfd}!Tv-Jd^9mCp@peTKtwl{`+E*vnRJE1#f{5qQHE&AmN zh-Z`9=467>qxDrn=igU!fNKPy#cT#iw|he_$%Q->twU9OJs4*q-_g@JQ-w|__xim+ zG6)TwZI(2_NYxIQ_31OlJoRqY`>=zqgH}usF8oE$Uk~gV zgDgA4J6GgCctWZT)LaCSILl-^p_+Wx%;8Bsh4eId1Kt2h%1wl*peIjk4DLed&nuJ(_xSni0 znj(_|MMi(@?D~-n92imSf3HT(>|FQ!KouBF&cy?JzsMJlUBh8U7tklZ@$1r_ECcM> z_(87u&-`*l8k($6rm|wZk6M(G~oylt(1yRmi8C&vdPyZQ$`IeeiIs!PU?+Q38}ND+8c+|lCWe7 zYGly_)GmJe7TQJ_Xy`6y94uZed31W++`@;&NOvck#uNBNDOqA4Ihn?eu-=xL>7T4V zOi|{W_!Rt$Ki&N=Bjav6II<`E23H_Me!EJ#KfD(jLcq`!<7+dI+Nb?|G)BUMnkz>W zx4n;cpziRc-ccQit1n^+6om|7$GgSD=#`-1g<}@IaTPjgj|%4cG2!ylIcH*XAgs3X zt@f|WHgT}i;#eS;bw8`w_)18CL{$V`g5mp}YEte7Q)Df4q8O=I^9L&OBsZ_uI14O) z%b)c-nRymfTr{Zz?<+51j$JP3Dd#O93Ram9HZ(KyOPj&otx&qruHv_o!{J|$zNZtC z)+XCBSPPR4@@wX7_o)B7+~RT|?XcU3AR#EKr@>=_XZ@u!D`TmWm`}ekzt#})_TXGX z`}Xe;%7CXOJY7n~w-~-Fsep;*YNdnMlWJfC_5XR7GQu2z!;Sa0`BI5CqPL&#d3Jo; zya4@~uonB;V~moV36wBoUtK`cp$KJOk|2yr+3`@TZw^lpf&Vmx7qGIFlX2#Y3Vo6= zd>*;5b%w4Ze;-Fe9FbEx$7=Kl3pYwe{A=_i#$eNK>H$j}oiNx2f3#Q&q4js!>Ga1} z-Uvh!ofm8R3FgX`2g(!wW;etEq97v&M!W%=!Uy&2ldtG zBEn;o*c8tROq$QJG`ZeWp^|&6vKlPI+TE5Zw{p!^XrzEg>K52dZF7`=I znlPL9B>3Ztie-G}x4Qmjr-rTqLY@{&w8fHRr4y(p&~YIJr%2KrQ~uUuK9{)l&pwHV zz}aO;`LjMpv7+p#$z$RmA}aEy{>=fkTIX_TMI3rq&Z`9~B??hhz?7t3lJ1df)h~p0 z*b$zAIl}k+C`HIm9^V7~$^5qCn?Aq9n0XI2lH5^{E3jvj#%3n>%QBv7d`GrLjU@v) zQ9}qm<_|Wi#mSvxZfC8MrLiR7f{lZBIQ`v^Om~1z?)k(kTFw`WV0?kyI@h+A72u%H zeUMvo=K1qlsUbyCcJ3hNv{}R!q?a@L<%70zc%fx0NGqb32q+D88sheEQg4{Stx?bc zN9Nw9p|-~B@_)q}Py$7zY>EWcD=qA7xM)IYcc0wFuMss|zSW2dvgUbRK0_A$vM_p* zJ+o{sJm*ITb1b=`Oz{hiY}xEb^m-R8#fB!SvDA)B)@hA&pt$aME*&b4wr031#GjyQ ze*&%^Zvtnls#O@m`QS378E!|J*f~zLx zKeQ6jZLoGQZ>k&Ff3-iweZ|?*U>cxgOdrdZ>O><&<*wp!!>emMrf{2oMmkQ&hYuuX zT?r0Zzj)lV9=$29wUWB_Hd@y$Fm4r$e6ksH*tki9m!b7hO)$CCD47|% z31xT8V@PD;VWY!lQ=P}oxG-Z@2YRMA>%b|=ERSvjXy0^;y1v@kBuy@hB`OoJJaOus zzuO?cAr-8{_*nXK?|HlM<2h^nx2buGSI1jlzzbymOt|34b}qX3^K{_}mgXZ+Kzg_A zyCC9`FZArme94WfXwS6`vO3a9)!G2|h}&+hcX|Qc4?x8=DGlSJ>X&#$&}AJ*_b>JK zaDKHb{shzbvQ*i*aZB!g4S6lU#Glv0jC?ws>Sa*FrbxnjP6Rnz!d*>KV=&Suz3K#n z55!r`W2RUU&H|V+UgHD_Rm$Lfv<$pu;=El)m_LRHMpta^j}YSVJ$?vCY&R{tzQ!qc z8~+Ifwlfq~_nh!AGx*P~N2k39^bO2x;ypiRehRzx%VnHmNtO_lnv9AfR#s261q7t4 zP!Rv}bE`KgCf^r-g>?+uW4r2URK}!26r4~*xgLp-C*LIO0XEf?PrV1flfUtS@nR(A zPVIMI*YB{jKh#4UIRg^qNj9%nTJgBu;`2)PGH#b|iS9HJUKZ3RW*pHFh=~8D;b9r6 zU2aw1lL`<06!~)?eIl!mOyqndTuPcgyk_s~(^>lb`_W*oqrDQeQ{mGU$%$d^tvR;H z|62qy9UP}o_LFT)yHV-1!6u~c>pR3b>9ug5k>41tsM}OD+)|_z9<|hRVtOCFJB?lS zz5V(}g(b@Da;>exbu|44#vkvXh81Z4Zr?hIucX~aO(^bDnw#mK94d3g)KG%pHT$9> z2;j^ocQSGLEdLXf?fi}cvTf5dJ{Q`j?I~~tVykSE$~~d`KZmEjjZMs&w-Qmj#^W@s zhLx(PeypPUpY$h(cv>j z4x}oDjF+02q%C^|@Hy@4FVt+Ysr#hZgU!?B$w$n)b1#YR9Qx$6J<4aWoLXTf`kCYR z;cUg_PI7rV7B!;{T$r|uV$W5vCDkiQfI%-NghL%28SQ7Cx;eDaETa<8$H!z#V8SGL z!4LT_g3h%VZ(7lts(&3T)u82KY7&!(C=&mN^A9C{hUsmxr$qj4V$Gb7)@(ybjMQr; zDqEL_4Hu}f-scpb(1~6b3692-k!^jJh48jgBnJNYb^@ZpQg2)ijD};A6C=m}cziFf zs4#>W#@g>&LP%VCo?s+$S8b{olU2z5OvN6G3L$^IcfCx1r&8)t@iTvu%kXZ+zNImXp(Ro3r~q5%n>T&U$(d&A0@cM}`&_~VafXF3 zYQBvSkCw>Tlr)$9-l$2H zKxGm~^w^k$C&9b8T>j)Z#!Em| zhil{fnK^R~p~SN8$_@lS&WaI^C;076WH9s4wT1hemCjoQ{#<{U1|rCBnR~an$?;bZ z(x`Gli$vNA1RO@;h%_f4h+tQAcVAxsZOKhGAH(eW+zLqvh1%OppYPv>93GDU$lS=8 zE*fDz&8z0SfFNl8wi+)`Uc$Zqr0B=rz+s2d#;xC2r$wNA9u9j|rW7E~*5 z(>%+Udar9|2~bf{@B|cPbGXN=+LNTbB!U@M8AlWCtvvcwaq;9lT*gDr09{;ifKK>d z;#%D|@siv19+m3(D`Dcs=edcn3T}o7Ur~Pm|HW{oPi&eP2{+l7?6ctM`DzeWkgp2~ zP+a$u|GGx+o@>Km0u-?5;~ zo?f~#2DZo<%=$$rmxf?O$8^(rO`;^07Yw6p=Eru|A|SNMI0v7|g;9wH6^O&@L>kTR z^_EXKB*fJB<)(vJScoQc2;JoExL)J<~(W_)(H9!=8$u+fm3-kt{8xm7$j3$-1ljp*)Ae>g5&#j{H9mOY+I3ipOc7r!x zsYX=l)D$Kj%g+A!azOg-{rkX>5EK58&R2?)4@uH#tNyDDP;`3&oOK+paj^WSd#NUu zwu?U>RlQjt^h@L#R;V{dtB(79%=s#}l{i-Xr22}A^Xq$cf}jZO0D$)!8oR}2MQ@d= zhC^){Zt1AdKYK=%_~@?2aG+96&58JwBeK<)jO0t;hQ)hd?$SC?Ne5c zPg3z7{mJKMALSvzx@P`A)=p8pSV&s%dS(7Ap88^rai)9CtF?%28+C_cd98Vz4(}B{ z4r_?0j`iA}&7mD%^t^oC=^!VKq*=2uB>{(GoqAEU&xC+Rs{GFXJAQQzenQE=K+$V4 zEc|M4a3R5nmCX3XBfMCrO+bUJBdgaTI?rM2cd#VdNvj`y-V+`|mKGoK>%nyXQw%%F znx1!k5Bgg8-=GJITr7pc3?%08b1-k+)u2^sbfAwSHU-6P_HQhmbck&Jn5nkNBG1Y? z_gxDn-mSC`-a14_mth3)o_CH``STx^UTy*AraG8$_jfYMbgj4q8qiUGD5$*?SA2ZD zd7KDi`A3!y7gtx|)Du5+Xk-a!XN;_*UrTd&4mEErluw4f3Gd9dl|`&-fex^9UC zz;4|tE^%cA=XSOGKt=XdCzxHW(y#{~Li0&-rw!GlD#7fPmkYAw-T%loK0vnB0kZAr zAKCWX`BuO*8w?wDyayXtvv^(5eVi36zh|b^ckv$7+rLB;Xyj{s=wo_MRuB^dZ9>Ec z!eBaHQMsKjL8a-=n}c*N^P^h6@JT;F%J*b-FqplDU2!{*U2ROcjJ72u_8M`gX ziSa;bHdBX4^6tB+DACpXIe#&J^D9#NH94e~>jju#3RevNt2KD*xYdj1Ai^bd-A~!% zv}(n)LtZb~sEbJ=8R7_C<~StQJb}7PONa}uNMR~muEs2%kpu=}Gkx83SOiivjF#RZ zB(opFy{M1*4Vr1;N*PNxl&%6L6R;;r^M5epM@ZRxa`nm7zF7Yt0;fpeoVPQs0NqBd z!6dc|kFi{j_V$5VBpSMDsCLLq!fQ(UsFW>6P+I^BG{a)nZN2m7))9LIh^SC=PHl}m za8o|1^mzN0fFb?z_cfL}DdoRoH~O8E4|CVHwF|E@&brM?Id{j~3M@}1e-LZBdEiJc zR8?Ox5dEGpd2$xWf4X7fh&vM#8j{np^Ewa|D13GqCi*a4`UUB(Bs-JCir}BFGWLEg zsWb~PhDG{30Rzq?F~BwUq1`fFFZo0=`9&IRe86yA9)NK#nCUq}iI?qNQI}{@2{H05 z29#cuQ5Lhw&Mgg=5lY*T2t3GVg;^FQQpit#y~38O)1x^Zy9y1ekSZR*dVMk?B|1GAGlUW=Z`o7*lKA=UrMm>z^|ugj^x#(t}QxvVMeQQH^+kNx1*?94I}wEf%~afb!sjeN z4m@;&VId6)q|E>7+r`=LU$Nyy??1ef>iIa%OlpxuMIY=^>n8t!bUtMGbhyl7@1oj| z8Mt!^uP~OMZHW2)G!3Z+dP&xJ%FUlp4s!=T(ap>IFRgnIEiGx>mwP>2OZoIYEzm&G zmHa1|bePp$?sWJ_Dmg&-QP2PKWx3*ZXUgsDmc{Yc7yOu2LdjHzvUl$RIj$2Xezn#V zQJ0UNInssv47BiRPgu6?gvCXci zpMY(84r{iWK*ENR#G3f>kVtbi>?ah=cHH9To^wMsIqCS4rcz4xf7gqh$i&UUl3dA& z4O*F#aC9$=Wg4&jZZAdf5>_6IW!wmKH{;G&uo)A+P_5Ygg_50w{<>o_-&vj8LSy%n=neXSsA#Pzz&1u^TvbVe zH|@*$O{#J_mnotKy9`7JP3RY|OK}uFvww6g1!Hyv`39xqnqKi0abUM2x^n4Pzxs77 z2pl}+^b$rbjoc@_#ZLOn^rT~Gh<1nHLj}o~B4?g0Pi!nf6-V@v#sIsQNo>>4nR0LH zC;;#7%?C<6$t**NIo#2OCQdpejIiKwN>#|N1}Ovk`aU^iF@?u|F=RDlmB6L*o_p=R zit=sqT^?<{PT1(c^5mz;UT8&gv#@cjSo=LiyZ*?~FcrT{wEM~7s?ds>;LsA-PaKYJl~Qk@@(3&JOy zTeL+MKRyqk-4V*P2Nf$6>;vN&FqNe}65z%8<~eMllB3`fh81sweuyX>6vB9 z7Wp%(Bx&0gEIL3KTHQ(!Jfrp?6Y6q~BSJ_xR}*5$G5Ua?lz2lb{4)x#z z5Ai%tESww^a^=9xd<7BAyR#9c0l1YZXFOkL;YBGC1zbMoEh=P4B$_U(qtohS)qVl+ z<0+*`eE*8AgaOYAvR2*80&>7J$Ln1MGO1vSHF(J1&j~-c0ysi?d>0=B7KtRSj!A&^ zR_ei_&cT}r>+aF(1MbY4!xFUgbC`roAvwqhFRzkB&l=lWe;Gej*Lj&O0gUo&F3sLSs_$w7NJzK`Rr~4JX2zBleF)?E!KPp zcZ?qGrK8pU&Y?j)X$d*Ql6oT^*DyWvp!P4{4C?Ckg01FB^gWuY@)eYEmp@oneBi&Si#U9t=vb3-T$7b7 zO{rAG?~}6FyCe+%rG+lZw~IQZ@CJ-69ns_Je9i+9SZZrT`-YN?gdLea3?NQg02ozQ ze5_qRwFX*flw9@~!N)D(w0UTII64PVU(2snvv=xY%xVWupThY5!Qyk4wEH-22{*JJ zuRLkeo;LRS(e&t3RTXHju>x1)wVUrN`J|s3iAB?%q|{=vqkm9K=h~j>e@V}$PE{un z80tFb1f1_bmEhqjE_vMxOo&odY8iN?1|jQRj_aNB3t!~+a=^t$hEjXI{j|8gcmXF5 zG=!1K-Bnv7n7xPm(D|=76C)GB*;WD+st5?!;}9AbgV(f;`hW8%@TKkC=KnAGyS_$; zFkq*PZi-(S9x2Z1#0p<+R%n5iC{nW12AV>7!hn;`7o58VcmWZ>cXwFb#S0C^#`2Gk zXGH4vb;j+e|4BDCol-+!A2{lL%`Yz?xy5OAY_$+YzfJkoJ6Rso$rxO`lX*N1h|g`- zXsobx@_0C7p$prYK#_t;6!wE&)87arZ}N&b`Xt~!uK9Vk{lB(yx89xlOIIS^Hsa2g zRq2XKvEl40n-`MBXK!hHd*tLvO&V2DK7#%uVSD3B@M>Zc)A=4+QGmJUbHesL2ky?H zn>%HH2MI*L6*Ube7_78G(6hQq)ZLK7ze2Mw(QdO(orRy$D3YFX>-06)%#YIBKSG1j z0kN00=h*62-sqsbub8PQZ znDa}#;XhGyvx;n?GT6eKfR86wD3@d1!``s?zI?r_w0XG!FZ(T=M+}nwKXO{s28I_Z zUe?_ry zs#cfa~WsOGV)WplgCT8$OL0RMDGvp;7YT6y8cyk<71a%AhgCynIqv}g&($6*kn z3s1My?R3Y7-&%1XG zcxgG>N#?(Fs$Tt9icNmgn{B$4#tBaT3;&y2y}W|d)q8=4@TXJX?Z2oBtLRVyUxj|D zlfHwdvN#Zrax>M;Pp<26=t&WlMn^ZbvW+MRE#{x_vHM0V zDKao4jO8kj@L!+otPKC&kFKP{gsu)!UN7&Cx1HJCfR$(rd2aWaM^{PXkbm)_yLYPT zRS9@6$uDtplpOD;xM=V~a(!{f9m~c*xz(%``tguDRfG~lGcJ2du4(AH2)CG+cZ}PW zgg0wx3T1#=Qt+eA^_e~?NyUF9f3CHHzh=kBI`8KrRU!uk&j22j9WsI?2SN6ZN=j1=XFxc4jPKJ> z$9`NQdX&rhITea`_^n-zjpl-2>eocRUBV?;-vj+yLu9%VsNTXHIisT7N}DPER{Ad7 z^X3DG2Zm@b69N^fz29JQ82Eb^*2TxM@xWHQ_~#at1YtRzNKX;T&yBxY52NqA{%(lG z71YB~$s@kwIwYd5^EaDUm%*gKv)2Z8z`DRiA8|yhL&+}@1@JE(SH_Mu74r~tu16@G z11=QF5;fXZ zvD)~F)gl_nT*W4WbpJWL4_*ZV_jUY;hH<&kddZyOAk1^@TiqSL1_qCJ&6Q|}4RZA- zgTTg*_h|U!JIe8ONzc%IF% zU!yDYs+uZMb4`KcH8g)dq;877@I2`~wyLy}uxWOZrV~eKf*x@s-N6McMw$^(&=*pLD7u0c93A{FJVBC9ey0cCMEleO3PL4J<4f&D*(S*YJa>t-S_E6+ z*aI6NVQIUJ%_=db`~1s}R=o*vX!2P+0*8Fvn@6ALNwB^LCEUo&r{_!wQ~rUbsp+Sp ztW1^zs6uj&okTnvlnRxR?)~JvOs$~YVo1k0nHmC$!Q+p8;*JFd!@CEjdyp-EmG>gobkTfF0wj}&Y34R;Zzs>9jiEeanP@wl#C=Rb=$6K5~*x?<|v~mlKHdC-)K@nZoREvfQ&X#((fC6or zCE}YS35~^3tEeU>Q%Au^{-vuh*`4fb2P()O1kXH3B6u7-?NZ> zA5qQlnP(03o@N8FRCB zKwXl%M|p6CA@bkdG>4GLx4v@zYva%Vg>r6K>JU&xsvqH!{%}K(5-9R82Nyppp#T{O z7bTkBCjw?V3M>WTo3|tVOX{_8#*$P=n0*nk7u!ZK3H{UP7Eu^L`)bTah1NfzWUwh! zG$B|plq9xCA*RRTjJ1(DMt(f6{-4wig5;)P`x>D;*Ljrzfa*v?vDzC3yK&ok#6$O! zp^pC#SML~ISNp~7Hny6^c4OPNZQE{a+xAYxvY1#MrNMp%^_Agx=HDVQQQ8eqK_v2WO>wz2OMh|LRr!>*o3stwQ8XR@i< zp@}14V6H-*{+?I8s0Y~^_cg+HbK<%lc7aJ{0g&#gj~&DuiE~}W-0QDWI8iVOMBT6| zfH^0TAmXa;7}2h*LKc;_L{JM`{xi0?s;`fI4qz!3bBE@o5Hf=d06WPx5<~ ztPZr(E}_X09y4w&ICTX<3p&)LN1v!Ar=8NAn5Z`lP$+RSzI^~mXGg>Tf6??G8U0x? zdRJ<_gT3Bsj>Y_4eBa^rf7k$csfxDbHRLM*`5lc8xk#;A7Txp&xUc~@!|Io#0LIkF zzdo5I{78Rf_fmY)KTj>J*0o@4c0IS-Qd-wcDH!-Vv#ws2BWl;GT%-CmbYwB#qN zbRQYoj%t@0H_G^=6pOiEMN+ACzitM6Vo=KCh8A`riZ`r>9P08V?|BdsRW{mUXPDu8 zS|q9jG3hkhg@;5uRQf+&gn>nuvJKY=yXFDmk}RE{24(p0Sf%Zk<5{qsXo0EIPMJ9L znD*+$MkGf_cp+Lo8NhN*NO%eC#9@_2HDr$0i_~KejnGc2uxQff>!otJjk_J2R`75S zr_xxAbd;`ZCL0RUd9tU192RD|NJ zUpOe?t3oH)$rHC#0(MNXn;?xkh5Q7uxi^o9l;(J$PcD+BomN7ur6L-t8KmHaPEOpGmt{;UZ%J;POZWr6hmTwBS7PP?J z@$A>nHJl$JG!{hLr(A?7qcnt_+-F4q^Jt|_%P)%+=#W7C)YbcB`#){=(jO%j^_vf- zQlFtui~o^G2rQL7S9%%qapT3puMt66r)2)i-eR>LUspLS(fqo86yMM-mA%(F(F)R5 z+P&s)2Aw$0Kfn&wE(P)GUeW^W8I5I1R0_dUzMLbU%F0VKF#f7%H^W1Bk?fi8Yq~v< zmNlBDzaiP@>nhA>KAN-QTp75X9k zSY=Q*s=quRyC$c*>%6Y+o~HanyjBfQdVBf8^OsF<<) z=G86g;dc}jn24zz!ednh8d75OKciZ8 zwK0;zYfiSegt5=3j(e{!cx=VjR)=L1KV)={|8r%l-P6(s*dceG^CsaGKtAqL&D4~z zK))}1c;X8RUy`NG-F>(zJ*U4ZS^(iQ7D7Q0;<(k_ayd+`df_yi`hSM8RQZ}t?yUsj zAOFOgcN1!KOnO7Q!Bt)iL@s;$_S?W!2#YL5D1g-&3F7@CQLD+7q?RU$d(ZAbsu|~{ z9&dWwg$ml;nWZRnvDL;Qb09ANi|n{F0KaM(CG}nUSimW9WfniP#Y`I8=9F~%f?o^< zQbbo2;*VASfbCRnGX=on9HZnbw)Dt3kl&@O0RJ|_ecgV|{gN_Cuom#8=Q(}oq~qSX zZ(hgu4`1t<#FR&G)cV$;;L7fj^uGRe*ItOQXn5Dv_>WwonG#Ol$Cf?FCX=||JiJ8ReuqG-1jhbD)KD&o>S1i2wO ziFOkTrRZAyfNb2IDIcFmfj1CvG!g?Aw1UTayW`~ z^ZeS+XSd$?s{61!=1poS$=6-nz8-_3-XtW`;!<$a6-)Sz5!j*h8$46;o#i$BKQq}8 z&R*J7>CgNTOU<*hv+L8kXoy?I2|Ux&^eI?S^J=u<3T=OncGCfy^EePM00DFGUg2(U zcH>(hx8r;EBUiv3mfy#%*!2|`pmYTNx^H|0nxdziLZJYfN8QMwWvdB{n$dtOI0(X< z*s#Y@`In750L_jry3QLt6&iIvy<#GR5Prl4ctrO< zP{jm|BwZT?(50Rf1}kw z#GqAA9Z$)vU}2%ufyBXsUv9Iru>sc8LFaTzIPdEkJvt>5puu%cQr2oS3c?=2 zso#^Ma)yIz{4pYU+d?O~_L)IUA>TtGikToveo|UC*UX#UBl+10yK0WI4xpmbL#cS@ zCUIE4CPn0$&jgG-;e1zaYrDHN^>*vK=cf(AqYCO%C1*g1xsGo_ZWLz!p<8J7b9_{0 z821UIaiTnM+6lXU+K?0?*-*Xz4(*T zm4E{t=DvQ;;SV=L?WuigK|FtkT>9I6SHFx(mP!HcOVZ1s_jOJuN69ZHXMRb}=^J<- z?-^!hpGx=eei59LB)eVwc39Rs83ox=n`~u1hI-45lEr4{hGx+PC+w&Y2A`WeeLivY z`FU=`!Vs*@)r(3%`R4yF+G3f1*GIBakmoYU^a5nxbUM|A7_nl~j%InV5*vLS^_DxZ zfH0hK?;v=MIoKWE-N_~jp}@w@-<_?!P!9W5sT^=EZ!c38)|BRHAo&Nbn?Ql?bb8VKKF=gJ zCtI!bZglO=bcg`ALg>{+U=a8KKbx}TJdF>eeS6sJDI@X7EW9G3B$=zSU}ebFyi;4B zYgWul6+Zsbb7-D;qfPqm0G1NckvEPF+fkTplf>`(0_2W&hj{0_I3vyrz%MklYR2W- zVDrh`{3*O4hyY>($voy1AV{if=8q{w_0{HXb_yg^_m)>~%I5oZ9OFAJ%=+ZiPIqog zT!xq8s|Il`qaEwVKZa>SQ_BNmpWWS9O*%s;Ojh9b^v*pNDbuS>^Fp8NR!Diu zY%orv{8g4pK@xtVs^r`NLdE8U^nQukxeZvdV^v9K0JzdI-Bg86ggTI0wbN6KBIX^Akis;&r6tq z9ibAYM!auAHaPcji2-6W2xh?;onwZN8DKqCTF8(vrHtAD*au1QAdpYPkC9|7VVMrj z>L#!4RtNn*+;o8bO?v8kd@Thq^tx`Nrb%oBt$qHicOp;1#F~)6?c%aFB$(|>il<6+ zTf-L~4_;I_Xc+J0JPewdYbIVuBebQ$HA=fRY25wM(+m{%e6O))kVxEu)va@OC26Zh3 zWzqewrGw!`!snYPD55qxE2qMvxa>+#ub0f7n?|9tuQ?t!q}AoNg*4s0VbUd)6ZvsK z=J!WpE@?-%&IIoF!T6!(fWN;>emIR1aGbdA8(@MwBs@=OkayeUOs#z@WrkI{iAuSU zn5DdEv8DV9%{ZjJVAtRz5xZq2OsPs({0sr-ARwHI|MBCEk7IoH|CV*s)Fbs}hX$P* z^bMk($ICDeRQiJd@e*{vsOi&7Eb=;@(tk-RdMKzJOc!+2)BCQk{Ia?e6+I#Ak$8>Q z2ePUc8Cn^~>XY{`0!F|ws#CoY_#*iIN_4pQV^H~@FHfCsm&oHs40WaKhsoIXfTUE( zCq?{%y$O0wPSFSBvcDyfQf~c+VaTg!I@ZWcyBSW`5i;;o}?=wcNZ8DB0QE z@Z-AD0Y(x(+^X9m-Bv;_XORXd^R#lsf_Bqyy}VeFAm&3z^_V#Hm_saGdMG?sZg5Tf4iUgvSMCR@)Me|6=eTfY62RkLOwV zc^P1_nv5S?6X?3p*5*P6EP9ZcdcVd8{1!KdG3zu;e^0V^uwyk{P2Wu#uzDYx+Cg3Z z1Qa}-4mn)V7g>&>lTL^BA5{j+L+c`ucc|&b5o@O$9mG<`EbFDpt#@$z}S^ z6XcBGQkb~`f!eVs?bZ!-+y&S@x%%Q6tW}XGQ%be9hZ`N<0VaQa_YVl2NAn%98q5`dC=yZ(fU?&(U?H8f4sfNFQ8xR)OyC4>;q50M6{R`QP zW-l!veO9zqjy>##FF|YTFtL#&_=O#k_)-TefP=t%)x+c)dElYjdw~K=)n<>dza6cf znZD#zJS&~+qz_(gz6Mu;29nLZG^>1;9=hKP_fnwT>adH=0+Uy_V?*aB0S)6nXS0Bw zM{j~Z9HSg#iE$fkwmw+ORcDFD)e=)-5Hs#&0ZqXWAG)Ov6q!bxV9ciWEwfF%;XXMG z2tS_z==r+=#u5WCYV$t@57$zEbpOS%C9-)6C5Yd&5r|BWq4c>}Cb-k~M@AcgyW5VQ zhfOm$`f8>4G#aUK-8!li9`pw72aOzva<4qaQUIqn)Wf6gG<1*0dG$1cZrAV&<9pn8 zb3iaF(DVV?m7h?6?r-W+)uYHKd`WCeCa`hg?=(z830p6kQu5FkpeDCwsCdK&*=3=F ze90$3v$_4rlMNQ#FHaXabDA zx6UFG))n|*PL~9OggZ0*Fh6=1{?AbD0Zz~)LcO^=z>*fO%%Rf?!9@QI!;r9cjqvsK zYV!@Cy1kBZ^F3A>FC}dccj^WYep3DmJ80=r)VR9KJ^XOl-<GA0-Q{Y*&G9$K8GknH!#kG-T!& z)l}zCN2$CH_Pl}21f8TrevfhfcHwzGk6YCDwA$W*uOW8)Lhpf{5xgDlmkjSXQ_oFr z{7Vt!V~2YIUqNQ)Qt!T7>%2f^(xKSminTRDjiR^Sj3*lKDa#@O99X+(wgL8KQNw&98{mhho6C=_q_Z>F>MwMCdQ~5|uK^v`zoS9gP@vrE za5hWM&_v`s=}XkpSs=a#+x#4PF>(IIy!xQw8cJV&%Hb*!t=K1Lu{s0-K|XbBv#Pbw zlGfbd(e-uH`HbscoG;wxNA&-&d5iqTs+vzXI)v{v178uERm=%2@xnKU@=WHI*r!nA zsx*M6nX{=gs}R8ZeTzQS=5x5|qCIj*e6n4w)&U}CU-4T$l^SZuiTGjAXP4|;jaLz1 z4&ywzqO^Fi8Yb%FBmn6G0-C~$4tz)|AxM}Tog$$CQT+ycRGa#__Z=b#(R|JQ_D<+h z7*u+kpo#D=^$jUl)66bJ2}?R$@;^9!=LrPB{d0 z(l)M#C35XI-_;u-Eh;7k39=u3k;77o->)TovKU+K9uYXsc!-5cv<5cO;LQNLRxOqigrifMf-EK$& zLkeQsq~gkP&9ljWV$dZ24};Io;r}xD1f!xcE2V;wP8NrTkTd`oYStM@6BAL;O&zoT zXlbh0`Kr&N*`bDxa=u2F=ycH$73`B?9}s9M=JQ0=u78U-S@}h4`phBc;)aImqlqjz`yC(J?yrklNl8Z%-a+bP3e&COQM0{%)#lLE^-Hy9K& zc0BUwA>8naQ@|EfaWyp38Z9O+$@(W|@Ko+_ELsV9w(LDkvtJb4>}5Fd+9J^luFiMO zvRFM`4f_{SvZa-3F>fhs5#Kr?`x`X?(ue(TH1*HTF#rQWR6)iL?kBgaoNd=``raTI zHGLid+&U<4?5uvV=*77^Duu38r~v+HxBoAp4|s7_9HduOt$dce7?&rB zb|)TAuXW}*B5|vlYf$bae?5K*=2d9VT@THN5}3>hW`}KN4rIh~+LfPiY#JteWKRl_M_V_=n7A2VaqURm% zgTfh2YA&g36o^69~T~R`Gv1^uXxfNPNJ6SZ{Fj14| z_lKf>aJb>0_$`j;WS5B!k;|h)fVXErX}Z=#s^ao~N^Srjl^j*OMkVJmF5sg~qUsXx zhPP{lvrB_Fzw9*jUSPE=ZQ73@%_hL2$P3r5GI`y2|DJ-%$kA9JQdC92aJl>?gaU!d z^ug||UMnOtB}3`Q5Jo5F(e~}*h9(+yK*Vsz`FDZ+-~rj#3smZW7bL=}*5bi!mUucA zp&S$e6~q$3PiW6dLy_}b9pk8Y`<~v@by~4ee~2UYt;pm;Cw$#bxXsGOoV%kr7|kv| z4AN45bZQLKDpYFzZ^5PK*SnsPsp~Y6sgHzp#3~=dk*VT;Ak`ZKt+EXvL`JbK)Wt?` z3AwDTuCc^MYehhB%?F?LrMIu&^{%MuyU+`V(V9QSiax%Ba%zS8v;86sePE^2HKZD} zXp_5K$oy(W%SKeb(cx8b6*_T?*Z3%ceeYeV#@ilSZZ58)HObfq^Ev{*-uGT}ZfGEa>CFNOtDzY+`bI9(fgYDM;7# zj!TTYJxadc?L(wNoatc>n;labOE2+wABMGqkdhS8Mjn!|a6o6Zb2AyX-}sYK#0d&) zfV5fF{7>n}aRqSEGW3%6@xCAs=(AO9en~riv5X~1fCcu7s;Rk9*-^Til zr!_eco8B+vxSZBR+t=e^BCBrOfed}+d=rxOUN&*}F-H6AySqLUeS*8wMFO4MvYlBd zyni1Ef@x8Y=VN@*+x(P&?8z}2W)_Wq`O!zIP*lM%#+jz}HrFwaZM@Ef? zcFJ~VdpOm%BOb;Ow*5GOS6I<63*eMtj16`iQ8nSRJHX5!q4a4iSla-mKYcy~T&GkkX0;mMuc zEIvj4{kwY-o709loAV=Tp~0}wF(GQHW1E;E_hGP<)xfFQli`skKQ-66jr7rTbOQ?7 z`}E2*C*LigVC!_M)3jkyf{XC6^c7(sj5TO~Ge-try?;}2ML(qETwRaH4??-w%NZl_;0r9J z`(+6#we@>vn3K`(>zJ#}Mwo$B_he!;5s==`gyOl{tK~LyzknBge4JI^4=B3@N3_nA zv3${^w-l4Qw;FxkT$N??sp;$^&a^z`$kYtR82cEA6~8eLb)JpKM~|xwAILufA4`^2 z-kuVp#FJ9mp*5>56_KfqCOdm0uu+jhgaoaP8(xA*$qSpbm?xyq7N{!V4$>`%&QIiP zt!_7NAg*?@i)z+piCB!YwR&mO%$jDUzc}+3Y{=EJZAIcKWlKh8!ChBYkOn=$GZ4_* z?k713x~<{&AAUwC2%CLh5b-(GoS*Fe;YPIDNx~UJaYm>fZ8W4Tt@*#a$#PY%$0k>S zarIimd_2jdB_&6t*1~UJ4pK|LUENN(bXi8kI|(|&|Cxc~YO|*8c><-0fbcmG{Xah3 zHokT^+WHPo*2@7OEAsDLbx{46Q%*+_;79@R9?1C7kttY-$0rb~{b5KpUm3{dD&*U^ z1xR|nHL=9|QAeiY-%;|TM^fq?VpT&90{AurFedgSmuaUkDeZQ63t(qty1SX9TSACB z`k=a=YmLtt8vTghpxp*(-e>o-{)vh`DS5L9xHXm&}OB7-ih2t*BL#jB*1_wv-38F8n4JpP; ziU^eNhbE7IkK4Gc@!u>_Br81vN(m^{87^N?#bjFilI#7lS%XhJB(vMOMOxRz65UBn z7I>w~fL?!pOTZk;{A4kMOu$SpLVBF&LUfo3)_!cN+^Af0vqbu}2}N7(k{CA`rJ<?s z_M2fXn>0Sr1lBzrX5EVMt2N7!mp_P-SXEe(ZdTYwkbiwn3uhUI<}&+YJJhG}cL%gy zu0^2;yeZ|L(MG0Xh>c>U0|!A<$f4^pzh+wKH{$Pl%eHhm!l%_aEE(Q2*>O>+x1*@k zy&uSy+_cqW?8I^O!ZxJ)D>qT8!iQEH>b~q;t0b?kV&}c_fBiU7{1K&=SV?`|Z1O`DTQlFx6lq!f$0wnpzS z_~@UNxvqz3;_m}ynv?k1zr|!8TDR?r0v1u$H1!frTnoarVMv^0xr$MqNG`$SpdL28 zGd*bbW=g9a=hM)?I~QH=NcbHRiS1H-y_Qrk=76y?uNHI7e0~f2`_K{3sJ z)O|x}Tq^H9mK5-h2<)MpsnUnBOX6}_qaYxB9p+)~H6)z48|4*6%~!C;TJ(odTKDF^ z0Rnw1g&n)MUQ}q6SD!8>;QqM@%p$*$nx|OQ&$0>?Eh0S;G!AUV>ytChVHNm?hlCQ~ z+_s~8oGlAqD?SIkE8!DDBqbk^ZRT)7HtAfhi(@e|)rSpb7L}N=_OtGBZcGM4o-Dy>{m_`@ZgR};wSz13x)uo z72;ZHi#+mprWbNUta@{V6oSrhWP~(y0{R6ZVL_MvcjN7P>fqm;S`PIVhStSK7vge$ zd*|0at%&3E!=|ZtM7I1^kG#RdKzK-_>WC$L|!AberzvD^^GAAMl z_o|F<4DC{v2662=M;o4B*svIW0~?VfY>ksogrjo4vKiXzw~TcrG5ss-c=|Z?QrZMM8r1 z;~w&NigCF@^sZ-(&cC8wwbOPI6(0R&@r=o6QUCiB_J$u(8z~ej4$HA?#Ui0%5+>db2%Elzce4<_(YcX$FWob)t z7|dIIJ|*ONM!r11=c77i35i^tj!itgjJG{JWiqt2y|cF13_z>1%c81N9#N^ezfSLE z{cYrrh+H3Ls(SpQ2Bh8Difma6*io_$>xgI5zc_x9B)=Cq&rvdQvWr@%u#h^ghYyWs ziPYQ87m|!4#wDU<1pYMQQTUQf;DCpA#GF?fG#=v+S$sN!&!)GsZTA(QI)iMh(UsgX z$4H1mOa@#_u0B>;u5S%$<)_S6g>YQ97PB+YtRgSj&_ygjNc_TO*~-MiF3b}|Kj~e> z5lbT`W9r<~Jw?4ZjcR>-97(pN!*LoFl!T_jeJbtpwHfQQ7&?f1lFypS0bWIso8;pO zP~H%c9p9ZU)J2$kdjpnM2@xr5w}WwUd7E;D?v80rHehbcNy09UugzU$M!>OkLMP@T zmijg{-UmC8LxXH>(;g!wy{MFZ43belipvk&OTkLoa{`NzjH6O=r<#*iacMN;rOr9t z14_~x8$ki>hG>g2yXsK=f^ zQ#qXJ!srRg7T$u_7B+p?; zFRs+hW_<#YPJA6m=3L$byz}AP$uyUiY$Cq4qDwurM;77vm*+O9 zn}X?ZIu-#%s69dg60ef)13WS#`w(a4cnWYK>qMd`*K;y!*v^Yhbz93zD6k;iuLR1e zy54wZGKsAf49-+Di#Z)9!JhXQ+vgfo%H>F@I$}IsUEjw%pqZIKSUPO4E}`|`h!Z#x z;Ylfo4n00n#76OT1S2HoIuj2T#x|P&J}Sl5XZRgq*PJtY?UM6Lp7$!}hrs~*Hb^<0 zzOmqWwUtLF{DU*0C8bTKsFK=KO!^FFGVxmkjr@rRE4s;Xi3Fi8vCZEs(L9u9p7o_X zWl}HTN%vEzR8)LqKfXy4ctFbNb?3bNMW4Y_Kp0WSS9J3aNu>TnOG+LJRnUETC;C25 z6tbtTi`K9#&yq@6M~ZLGg(of>K}#<4j3nuQc)PZ05XRS>`jz^1cO}%2`RV=S#{Z{Y zDP_8RZYe4?N*~k^d|Lim3c4*0sUvvzlQ9piKYmbbL z6Sr_+7}w+vl(IG^nY570%w;#HAq5XkOiP3W$gFJED{6M+tS>0Sq3<|myj~_4mig7H zSZO~AHtvvn-SL*GE9%)=6C=~{VQu&7x37xsDd4UDWM)aWvBuVi6xjHyi^p|~6?AQn z%eWk5JIkU2ml-4tMW(CGbcf%azbs{UN5g+MOw^$?S}x1cR} z)i8m+lN+DeTQ765*Y9*IWN&VK@LLGWV_0Tbu8#WpCAQ?^g2(#}8F<}nydEFP!|sO; zEl5j$kN&b+5^JdNQ^cMa3TN^a_o@tY`<(sukG{8=f|(i9AYXyY^@V9oj{udxOMW?k zS~?Li>G5KW&sta+@TNQe9fg%tDn!}7KnQ)$bB;OKS<~~E<3#~dam-adf)iryXK4E^Pz=)-oq(W5TB0CX~xP%dD*vi%**WB?;%In4uu}!h?&K< zGx9feMwi+C%tH>l2acbYZeX!MuILmute|JMuP`6;?vWrBT50iOCor4S} zY4!ADgyCRP!-VH%|G~8JLuAC*vg^^BLBj;I^G)jLhUdYV_KPPs4)yujRn4ftYOAj2 zkAmLF9gy1DY8OU<|6N0(NO&A>RL<|@+2tiRpj-~gUGBr3IwNUxnmXWljt z=@yf8>JLm%#2Iskt7q3o@8|aJlt9>h(EB5=Z_gtbGG10mXnpYN1OL&-TpfH;#0XAA zd?7hE(xLs?)$mlbeyiUwa@)}!xi`=DQ6!PSdig!0%N{Uev^ggqmss!3Sj?d>_JqmUkSAg1 zfw7}s`p`c;%See>PD z!XUMT*PK@lix9H=bBcyumY`(&1K_8A#A^_m)1AbbXV)(d504{7{v$ko(%==WGYlq*U-~_uq8#DS>6Wp?dEMcoVsc}OZV9N_P+T9ul_gWPl(&;h@Vu4d+Bw5=3o}YSI8ttT50i) z$FxC$xNNrYKk%kz!-Hhwm(XSLcnPf=0WnzmojeT4D~9^4cE-?ku5k^B;ta-;$D2fXi%%6jp&XPjYs{n6*B6fxJk zbbCoS=nC%}IvIoQ6inn=4S>q<_dgm^^FTpp6{3$z_3SF$fN0TG&th_F3;z=vqX1Ra^E)YEEMC6=UFRD0MG*M;kzZl8g}S@UlcWkyqF z^j7cNl0VWG6bB>|feRtba&R+8DPq+bK~5TQ~mEFjvS zg%k)A6A?%5#$RJM*rqIKKLpI9QVZCuV(D2Aqw4gNUXk?pFQW&LEuGi?A!rWlqUNcS z?{QG(rnO?-l2gPx1i^WrS+_UKwZ+9KWH@JSP_+h!m?6FLrDi@s>bczZZ>JnO%`T(& zfLMDThD%u;Lflc)lq35x>Do3Uu|)Q1#t^1+y}syVM>M!5P&tH_j~Gzuc6kMy*)Y9Y z@$O6!O-%15sMoLjz-gCjqgQ=uFZ;~5*>;r}8r<%Rfk951RA~3!l`tzli=dpY_gmSG zof>=DZ@|n>g{wRL;8MJl(8R(DjR;%6B-2#Rmnrge5ce{Ou2jtLba{J%b1VI0P@}_c z?fgjgaK8MLROMzbQRgCjJLOw;*!~)Y__Y&PPsSHNNuBxme#hQle++cmG*GEOdvXN> z&;Y#EK#rq^c$K2S?-ll;zj7!=y-o#X2o3c=151ts2!K|m?}eF;?~?J-bk9%CI^>n+ zXAKVe#>S>2$Iq_%-g&E*Yf^>_isb- zIKeN?sP8*5jBw4<*s{BA5TC_sq9FX6F(UY+oA-3BP6q-P)+SUDV$0Fy3UI=W(m&Vw zIMhD(8L%`Hcx;2X6VCuq2C4|=ocWiep_=zQQkYb`2fYidU1)f?$%-7MnTBxFihF%F zCk;@%z5JCviqCcuOlpJzqoLPd9!D&9oj77eO@mG304B#(N39|tKBEL2KbWE$UB?pX zb?^F2ZWwJ#J#zSwYA{jRgdD{=p|TQmQk1+tJDUjANlwbZzstBRtSqQFgkW*YO&FvU zj<`CRWFcQn)%57t4s446ezlcaH>KRLJci}UPd|_8vzq3)dTVqFGKyd51Ozpx)XRm^ zUuKv7!s3ijM@GdCu6f;p@}TD@;WxV6+aj?$9=+W1Jkh9^pE)=lfE!s~`iu(%h=P(N zeI-lKldw{gb~jaaD>i7=_xu?EP2%OkHJ4J7lQPiga6s8k`zS`Hno^S7KY0zJ2hJ#$ z&eg5fgQYtkNb@*~xO{cPWKhd>+4O>Wk-bY4IVTqzHX;cU^eC$lJ$Hpho0LgT&Zq1! zQPOL<1is2@5hF<*kl%sHk&(3HAQ$;+o_O*(4jrL@hEN(R^>OyU_%=rTB$mLDC3ASo zk}iS@uIzjlG}IVYO<*lun6W4a()S(B{fetOB3^_5Ji`WfQV*87ZC`e!S!e1!^ws=d z<>ijn(KsK_v>te2*1DvoZlwP_m9SJ-NP8!}I_xYwpfdMBIU=M*TH!aumt-|4rI4c^3{92f@@8MXr;$H(5)WVX3g|`)lc_7$lk8#2Dm9(ho#9l(2?vvL ztcOMFbDqE$QgExmnJRj!omx!BU1D_e=xJg7d;3`&8MKAftuyT(rWae?HpgX(VMXm~ z$>GayD#bdXQrsSNwa?E{Xb+h+@)e@-sFZbjXj_h^rmmbxD(-snnR_k3%X(raD{6sxOr#z;p-Uykt=S1yd)yP%MlpC2@;O^j&RAFP;X}sJGrm2)S!XX-P-)JL){ejd?1fyu-%k(PJxB4n)=};{ z#<%j8fpN#266gC-t9O6e>YUEZE0S$~9Z_4^rOD(2g?TEo#t0o6%HRPyaNU?N|@dT?l3Qii1pug7G+Y0#GF7xoD@+B_bt4h z$P{eIB^K#|RHc$xH*0qaDoJI(c@QtaTd!a;M=W5Dg0Bpf&ejy}or9Z!P`bhSM&Ai{ z#H8{zAu`oXFK!dEeC8U`A;JyR>)XC1PbtUpVTM2Y!!2t=<#)Nw+fauWaZ;nTDt1oh zUq6rv0R+0~yzM;dKY&Jtf*;>z8ZSjAXU^rpa)MS{Yfx2Y1|J7NU2)%sB41)&Q(o0$ z>iz7@zRezIYhq&;gAtW~YbfZb?d;2AzYJrCM#_&YvA(q&IFysS~g?21V$I*=&+ zZsuSTJ>>glKw8?)oz3PDJgOFeNstg3FQMVUK(|mUoZ`7DZaz6a+s#P&utNO$BwkHw zx7@tI`%~=~yoJ>`re*cnxSUq49?&D$^v5F`jtff=tg&yuk|@jQ4K~$Ya*oV%1Xf+0 z>3R2tXgw-Jo7IkTvI}QAC$1lC>x^w$H-MKoKzWJV`2EHG>E*Oexl=*^j(`RH0ZT6o z_OHf(*h%&c$4Y+Y&&X7}qVBxRsQrV}tB;6+?Fr7xLeILMW@Pn+izy&ZyEZy1vrzfV zrZ=Rv`*jTZ`)N!a|1pjkXpbs%iXbUHf_=66dR?)1i@-agQ53>7KKjNDVS<2BUU~gS zRu7xi;tNHTh|!*3{>{U;g7j~D;!)o)2It(bbKW-7u=1pEuyVrlbQw&wHj$prPxqg8 zb;;a52f+DPYQz>dCuW{C(cRvbvCjkaf&>G!NDAH@1vd6MRoyI}@1>)nWaRdBI_B8# zty%%-%;}|t`N`UA=Z#qVhJ*dY{)X05=bC8NEuTKMPk@D+;mND&se%6C#wNd(zpZH? zk-(zl<3m-w@x3|u;6526BMe?^t>jn&kr1d_A119z{m&V9bGU^IG)z|EFAIJhUDuWn zNm7-C8kjMhuBl`4*IW_MUTkVycI2(k?{?a&R)NrMg3f5wN>pn1jFO{ZUSEz&kxili z^kA7BqMnGjygbNBH)>Nd(;R|TtoHhKMf-rR7%6Mp7{}gs4LcQpWchF{!A!8(8bBoQ zex};>aAJ$i;fC$7(I$Ku&1bjX2zA+NS78Rq|NBlLaJNFM}5i zp9{E(bS^i-`h&s4a!CMT^Uj#3?#|U$vWA-Wn$`FV`_$MR!S@edd?_NkI)Q*~@Q?2a zxz0Cx1f=_(g1`N`F`_H-LOP(Iil2Re&AMZrN|q*gZF+c!MwUCtrr+_c${ zz)Z_P0OW2&w8=NZ-n8Z89 z5k@-Ga*VwZ|DZ+LlHzJm$Y{lRxg9S;qk_;@E%{m_JjN@vi%4?D@fB7U`bX8NoJHsHf9xe~#1XN0|1~_Yf&ln7Knn%=vnMj^M}%sHU*~pK}T;S?YK{%%FSB0@N&lwjPnHt328@ z(xNmeG~yE{_hMvhQo8EiMkTv0_*H`S>c5 zJ~tzI9N7;~*J5H%&*({|p?OG3MVy6*y0%>z9m z?QaS+uK6wjh9sXNXB7op+(BeA40EoYN%^O;_>tU^cYf#}yvQadhlD$~D{Wq^TGiTd zXKVPO;-Q;iFdX(9a${%UkdV;ugj>&%i+f!hj4?1zeoB}qS1ShRlD}>JfAtO{h=M?m z{JoFQ?^EY4sJSAuFfe~`#)W@KDaKx7pE4hqo(*FsPK{+IHjrdAy55taQ;$vl^YK6r ze^;xgGu0VtiFL*~WjRofOl^Wh5IoVF=xDdCJ@_IHnse$enq#-fpsZeIGOa}g3-KWA z#~%9k>2vR(=Xbx7*Zxvf=M;HpGaH0gGuH8VOrJFn@K%q3kEl6{zt{2`9%6;2LmA=I zLo0&eYNJEW=JdSom4I|Z+yjicSC1xbhueQ*LjcOVW zfEf7E`X8_(Wb1SJ5aewZB+^d)6>=LQFoRGOP))5&NI!;t(Wq-grgB&&TDjsPL?npE z0V~&;DG!0hksFei%s^T_8SWc~>}Gm;EFE;#sPBn@=cNbS-Yto*uUMF{G=+PKGo%vh|PTQj$zP{B#Ik?Od@ zHoNN8tx$h`%@}#tXBU_sFqEU4?GavvGo0iY2bhP`b*s3D=f99w7YxPh5Ep+ugt?~= zU09Uz&{99<7o`6j);4i~JL-+T!T;ucsF8YD`txP4op!PIxv#$;d#)@#EU5^&wk@}C zgd_W-pRr@mg%hy=B6TFT4aIqc>g>W#7%@Nwf z8d4S@37y`5Jl74r^;>MzaCM}(4JxSkm&DNDZi1jh+Wbrw@7TZs&(nqBl`PjwRBE5Q zKdW+?cC(PScj+XD-l!ssT&5?}Xg|fak`n3+93pddw_)9H``S+fn ziC-Fd-O4A#^uh7oyn!Jfy-vT)2I+_kPR}7Wz@jWfr9NE;UjN->uSR3C|A(u0aI5qI z|8*y8a!uCClWp5gHYVHFWMhTNHEF8JwkO-J$+o@U@7}+2&c4oHP*-d9z7Out{bqj;d5xzGXF1{ZkhAHV7SPj;xJb ziKtw*otHI5LyH4V732vRW|0u6mmvVMi3G1(tbBK(Q1i+6lIv#y@sTv#G2>neh@mjI z<6h5B6jpm^vVoni`-)khWlH>ZRX95KhJk&aT1n)&GIau2m->X`lX&h) zo2eX5D2_ksQu=~|HYh6Vm7pBgN;dmM+pu}cUu`ifGGxD!goTwXY8b5-Dk-4_0cH#g zbYY$^##?iE7?JggZ{AwgMn86ATniJ@raLyJ3T>A$%IFy=>8LCir{@i$bli@Aaq5R} zB;!fD--#wh<9t!dVa#-HI9ceyfXrZ`06VX+NB&dQQ91HLiiGrqhi1i9!=&}vNkp!y zTnwb+3Mu!YCO4xTBVxv4fr}&WJ12~x9upRe>()EEh)jOXCjaTAAwBv6$=H!r!2v%>uV01cc`u(_Z&U=wQNM|XQ-6z494D_7sj zyh?t}6{&Wh;JxGi#MK!fQCQezNPPv!jsEbuSn@xNvp5{1dYDc%8bsL$`)jVl8iu4x)_f z{iK>nYLa$}%iZ&Kn4&P_{_EB3=_}WpE754rYym80PEotp6zC;b_`8(FN0_?)a-L*k zWE&z9&=w#-!G--&~4r9 zqEK-UMWe-er=1|f`yD2&Rfk%0VvF8%o|bUW4e4?Hhe343M8=>6EVwAc`4{r7CaMpC zFXghBTdV{m*JXJfAubzNQaNh_vGQ&imKVS{SW}af;{JFssy02fj3E7S0sOAN4Wwoq zJf@p?uLUen73$Xl)o#>>SQjMTYaSM&peds>x z7-a6a5C*0J+_I2cgaWaL>w^?%C>F@J(vMT(K4h$w8{)6n4)lv@)L_vk{eqwIn>LZi z&tXID`|-FIsAB|dB0aXT2z4xARz8B7NCZT4@|fFAYL!kX0c)vjFO?pLi(j0^U`jS~ z@~2~DiYL9)3*YiD^M>+wNr${irJcm{nihZ5cIs;%E9eygN?YcR=Z0cO&W~KICzAs~ zisgU$w|)M(JWg)aqKW*_{7m38$jBJ+D>OF)zNEeH|Fv(bLIXT4WaDr6pAk-2-76K} zxB{N#{~C0}v47|?>r}(vA&hDQgGL?I-((Aes7J28FtK<8*YhPp`wUZ(pYOU%|FCl< zIrV5OAH)&T;R^Nj;SBIsT7GtBrX*9rFrPGnGnl6;7cI=9>&awwaWS&C_YarmiH}o# zNe_i#*0rO>BPyF(n#W}$25a(zz1VQ~d84PB8KnX%qLwoUx5@*lxSs^WdsEe(O#-0xikot&v)Nc0JKokt=MYM4NKq$52B&32 z6P;%7kPPOQOZ2`XBO!Wnc0k;0Ym9_IS59HeLf`_Oq5%y6pB9R2uBidz1RasW*QQXr z*5b*WKFD=STrM{%`33v1lRMqo^g;ZClEOW^S^*hj8zI#I(ZpKgR&SEd_gbdo#b=a0 zII9SOCoh^){$B78w^YW#CE8g^s9j1D?~kO;$7h}>Td#+EiqJY^=UfRhtnRHKq}!ha zQM1E3-o-51`T6|oqAr7Ss%lNIGuS~1e|pov4=SGVG5VazZ?YR*rY#;0S2A)U1{8?S zYP)NnkfApj?PDoZk{&(iUet%STcqePEN^%W2I22SeDUDm#U-Z8aNWorj39n8w4csS-Nw3DyhrJNZMUbj? z$`!(}{6021J}{tQGhN#rlRSIyYnji@DpnV!u2^1TuHj^;nJ5ff?iN~i{#V0$Z(c!y z=jp8wxr+NmFqdHf5kn@|;7>D2noH!6kE>bSje;g_oW}QmVy7%k;@|*y-#8+v&HL=j zk&libPD4K!f)j!IU(#WtS4oGj;omQkVv_&-gSR-WOO{@4Vaw9j@3*(HaR>;PUalf( zuhLIyn(GvUyE?)#{MYc&5oO%*vb`TVN&_E1prMM?zF7`b@LBwLWLbe%BI2?$!%^BU z;M3i>8?8C8!HGnI2&q7z1b9TJOo_5u(2L|8xIwS* zes`S|l->V46V73Q9T^dN(GrcjRMxb8`Ls32ZQF@Yxd^${c=DkVsvmb3e9tBTocz*V$O?yspY^N0?_MQ%0#&KdRG%^b zS?)QqGfC54!?vib0&H#{NWUX7WGE;B2_bvgqM}RN&<8D-lAVN*te0A+Gf=gxxOJPj{nmQDz|~!ecuF+zNqeer<&A;gUh%3vr|IFe{HP0F^C{D06!=K zsrbM1+>`K=+Au3+!Kd+;Rh5p}X{DL|hg{5ujMn zaABdZ*l>d&b();rZx$3Xk&cRPs=9VgHEc*j-sn;iMHX#MYcTFgP&VbNay!FTHQ2Dh z*BJlyN*;--Kf;Q$x6Aspsk9G07t*7*d5G@Obso2f1=%GL}xo*vDN4&)N2<{8jp~0TGxm7 zHCT!4YK}$A(taRU2tRsrLSx-d+6+Yb@>I<<1pwme&%6Kj2$==mOPU@HYbMqAX{oQ8 zzB)6`rWP$uzWupH_{jDP;imCV%!X^odvv_&DncF2D!zTdzP8L?Ad7zX`nBVgsz|%jrO{vlfEd*;UO-^4@DSuW=OjjR`5S3aBN4F&9c@?{s3 z6XDmxIF0uQu)G-sC>meW{u}f>k*-f4eB!bb(tt#og~UW?;D@ilKu8R73$upp<`xSY zZK;r;{krT>bV1t#hV3YBY7L`_!9b@d00xYur6oo3pZ=eA(m>|q=#@WYRx4dlN)-S~ zD}#|$*%KTBAVhQ@jK^}bft|1xuXOLc1*4Hs6Y;j#y;&wFx2Xl)sWdiL)qm-NMn z&?uQr6}I2J=Y3b9oK*mMr|5pEeV_j{UO%+W20kC8qMQ)WRq;Cfv(0UQ99)&+HsDh| z(vjGibIl!1wZ-y9;y^)zIR0g%j=+M+;s09oCI0*w@sE_`(KB1Ddwf(n<{?!?<@(E9Jko+EY<|;A8D35yYJuOMf)Jpi7*4(Ujs;5QZ@A=BYT>$PlGO% zHBHT{>(WGr)TLwp>p7G89!9;DWL3_&zVc>0*NuU0Hj|TC#YZ;Z|7JqN>H=fwh8rBR z#bp9A&bYLh0JIG%=12QCSS>!A9MQrxm(Qwg4?U}DU0%^1HDR&oGPLl3m3MA9sUN+{ zYyDYEKo^MzXARx^FU0KgXS8iIHptAf7D0jVX|lYj`Du}LUJnb1r_+uHV~zk8XG<2s z&dqZO;4-FX)qBb7K&ItPIW4{XI6z^AM0AsmW$+@W(5Xu%NyZx4TZW7+d5Xirn%u{v zZ`&?4-}5mSyR%LjiWH91Qo0~C%$8WGhDr~IaY1t7>&Q|8AwnK=R#vMkl7>Opj1Jhf z-2b8xg#8VY$n53XNCQb0pq&`D@23;ek|OSFcEbOx`hmUO;9n2`o9&Nhg<87`v`1Rh zfA1#(6-_{@m1#rW9RX)*i^&N3uX8*+op8vdm5oAADh+0#wxK#cQ1bQo*ppZsKPRq4)pLfi1?_f8n+PXtj@@(!-KuDc@pcT%Lf3-Nm*x%YMxk z!@-&t*-1?x#~d$wQ-wVpc;c zPnLi(Xy2(DkGaS`okfK#UQYT$bLrElxG6P0+->>I{>U9ON@+;D>cmn>X{^S$F0iNQ z>N8n)$I5l?*^+>L{N4ZZedu@=Kx7#C3MkkJr0!28GOIc@J{PRF^}XdM5ek{vgvSMJ z5ShsXd)I+~_!Vwmp*~{+zvsw`gQT^A=G8b7xX=`7*XEHYEGdu@!tUKPqc?(A!)*jH zS!Gd0Ga+JODQ}p+lLIu7c0k&K$NJq0c*8v?1}GF_6_dJ~|BDHEQ!Ww0e;O^0!lKU< z&aF3RpN7Ny+SlYsYU=aLwDj|;x5AKnR*y0v832u9WM{7WiV(>X16%Rr$Yk=obyc{o zcgwh*v!8uok;$jGedtT6LWtnRW5&H_flwOlaxQV{Bze@<+hv!j5K2(_w`?56z1=cais~VyFt%r$< zl+ONKyT_L!mq}kHF=-KeSQcb|?*Mn|5y7?gM01rY*m9DwQrE-L($3AKn@_kOc30PCA$Kz& zzQYt_0rG`<;@q((vjQhcmADQIrc#!@xiMmR@r(pq+LyFDYDCsTIp=pk>@g%MDKRNQ zcG04HDdxGD_szdEjB49H9K^u;CG2@Lc_*ae0F@|oY3Cq8a%tW2n0}7hPpwQ3Mu&V5 zbiWJJ`$@C<`v^UI$u~LXR^Yw6=}B15%mQ}m5lPygNTbCSBBw$bnDYl{90W{_K0A74yp5^WCQG!3GSigstUGodT=|$W~K%N4~KKl}~-rN{94q(J)aA2A z)m1X*{tg^y*bu=6?k&!D#RD-ZR`XKA@H)h6;~M)jA`ozjGh)di+BRHHi$fhs;bcyX z(%k@`pAew#K`*t`^c?k5x7n?=z+J{`@keMFnj3ltQ|}r6(L$Mq8??!))0olC?5eLW zEGZ#sy&F^mmUHde5|Sf>%282K=y<6Sbe-?qC;rIx?|IEBX|&VI=~$2S`T4a)$qY$( z!!24}d;Gi~rQubA8DZ&|i+!`Pj#DnmQPDC?2r`ZjNN61`8_lu59&auFG%e}zGG%9S z={pQkZFvi$y~6i}hK7+QZO~!w9ev5*Gswtq-Aiq*Zi47)@XQT;pi7BKhVk0FU=XyT z9hcS~@=-d?xVjjLRxBI?T4Pr={MSl}tqc#EUVAB0PS($wS?$KZe9*GcuWlY(ua4TH zFK=*0S;{AM$~hZ)_HNFbk51r(NDMsaDYq_PjK0WPxau@ z$A!`<_|177)g{1xW2^ZH6GbVWS&MIDpk1IRt%e%<#n(AOM_!DB5A$T9qSuF{zCBl( z$AvLtzJe)G)dH0ulx8q`=obfF63ofGzm&8gl9g@E>;|wBcp&U6tn9d?s?gNMXk4mw zCql$f{dchyx)lr?t!jOuQBgf;4Hv~)`_H8x+3)2Roxna5RVXmFl(Hhes?Thc(e+)i z#^-(E92E#Ez5p9^_oL4ijeRptt2={oQ2&722Yy(fy`JF5+fk;g6}peU>icXbmrWL| z=0~HGAWF|J5%Tt{d)+i{w-G~Nx`>+SXn%diT!^->&l>xibqrAze!O zxWgDenPw@U$%E;TRH@5u7lv&9WvGC|@>emJBq~YZ;-)7N36~wpN&o1#LvQTPHdGU% zHk7D7>LfPzGZ7hQ{58c~u?Ljw-FN@*tK_R+kKWHmq?hxc7wTDG#>Qr%> zb9v&wTOa(pCwk1kD^9#}zO2r>ym`Q(7A_NLyP7Vp=!bB#UCe6}SB?fU+oTxeH7Bd^0)3e`JWHNi)vdlvNs_F_D10UH_Pt&%j9c~yxAFr=8xUChv z^Trbme4P`MOxDCAazn z!UQm8G(7$1HCinDkH_q@D_P#nh{NcbN9b#8$spGQ=BluIh^asEVV%*DB}ICr#BNx0 z#JUerdK?6e(-oZM`ln50pk-%M`4f@MPQqiLeBbonx8pQIE)^Tpw(jKP`_REk0>q1e zL8+brFK90>7!f@4fbWfb$OJt!x#>f~_Y*%q;sKxMS2wm$|G8J<0)s8o-cvFZ)>H=i zatk64MZ{%xAEj+NCtL*qM@qwYfOm0RIOi7DlGJBCG)N`L%r}3NbY{};dV#FemB$8| zbqk2gHk3pfxwc={k~=eHVL}qWq%a#Z-P9)~&@EGkM5f@?`~UoPWDWT{L;flMG1POa z1B}(OME;h=&}$plZFVAPJGUI>Rj?r9vF*L2dn!7DM{u=>E|g0U7a{oH9pOX@IEBr; z2UIqd+7j1ch}r(Z@aGVO1j41PH{Z0Sk)HFE6NUnx_X$7I+*gmvNj%Br;_!f6k1cw{ zY^(LLH_d&1>}%A}I}cQ{bhk{!N$Fb%L-8|Y;p3VU8vY$}mlEjjjDSF3PD+NBpU$1Z{LadT_cS620UZ#aBo=dO+F7Dn8|C&7E+WC zrGeu==sf-Yl-S+N2`?*8u>pz*-pm{=0`O7rzK4o#OIAf2|5B>Q4aVg^bT z#d-1ft7!O@P7)Jd*Zz~L&aimhcDR!wNe@T2)%!=1^^??1Kc8^vqn+6ExdT?srl&6` za46GRNhnQh2h6`ba@(GP+9nvbZ#C4>Km*10o+SC7(yK1Y#%|vd__qF_+rNI_D>DxD zVhZN{1qf|cn{BDo+s>#3$=AeEm>!VrF69L8h2R03dtM~8q^ywJc0$~Y%r_2j?N^{n zgCk#ghIfoz_(Q9WSXpQz3^|@l7}GtjnXWq7qMA)gs!2AvE>}oDF73sKB26dEs!(>N z#-t(P=(DoR*}&WqOPM1R(w^ptg49N9G1EYqWW6I}*v>_{_>tx|@M0^^Yq~#B;Ymqu zwmDHYz30J3Z@B-d>WBEU(k}1Sld!8K83?o1k&YW8l?nR$+X!14pC8k0eSGf~cZJyu zN%qclGonS|7-=?T&BUQjZt?`NMzWJe%-?p5-O|dMeEwC@i?yihb}aQ=ey-31o+9Q? zyP6=raSDk3t3L`o#U#_};`zgpBOb{#ar_To4CLQtuUXM(y$Y0+R#DbhbiR-MQdn~E z`{Fd+RH)>_N)ArMeO9C7VSye-Xxd{F;P~RjvrLn3ER_bs!y~~8{$0FBlb9p+@$Ms& zx|uL#VJ`W_xlR?FoAhI?9M`mPT7W8an&)Y4&k)!G3Kp+9K(0H;es*@LO@5&q9;f|% z@&WgdDbh7OBIRvPe9aT2Ar69Y3-GL{>zxwf9m;Q(2oBTYb0Kyn%A(MJ!J6QI8p!la zjb~#~Q7AlJ&?Qr8w2kD*c=lqZ-Wt;e&XnKG z8FY;9RvLzeM zfPzn36;hI}KcZhTHVZk3O`bFV8ITx~CRI`&AIezXlLUPQ9$jadIzUxFCuouR@AFS& zo4Jsy5UU}_=7=`IoF`IQhzMqqbA zuG0BHtbr~puOKg~VoT_%j?&ToZFE_7Nrp4hzb%c6R$bU-c)Op;Tylg~^=|@GXdszX zv56QRQm_bYsP&d*^+w=7Oa5CgL)>TSfncRpeb;b_A5;IS6P8*D`V|eh%N=4IHeQ6u0H?qwR1y+x zLlPcuEI{M;?Q;P@oUhNS#^v(5=;ROl^n3V;K?_W^@6KvW1_74kf7W}~Fcd2OS1^s* z+Ic^mPzY!oBxrBScxzk7s&b<066#O|3U2wG=lG~pDz!rI4a4_(qs-y!q!!kNjYMk2J zPolu3(vWPeM^%Q0oCBe+K#P3$Y*{c`MTJ`dQrX`~0<#+3JEozeMT?w$W^TE`AS4vP zM$myuXJ4g>K^Uu%+-)J8(c7=y{*h2O;=Eu9}TbxjZ)b@t6pcBkR6%7T!NS5+Wl5 zo?=pR9+=;1xsh#&VBo2z#)E_au9d~Myrgp1;%2p4yBsJ3>IIP5rpWg;BLdh})}`M) zjmTsw&h=}jwrRRr5y&CIvf~+oQ0QcNL$Y$=z`;Pvnf7$i!qa6#TiRv7W}~q*&?{2L z?Wz7~KwwJJ^=WjtZVI3=5y8%{+yG?r)rGD08p2SW3KK=E`-U!>*D(-jJ{qtw;U#63 zE2GmI{-;VRQvu=rpcU4pXx|#rM&3~)@SFs#Fv_u3bTl{hsa)4wR5SfnTnZ->;ObHP zps;`LpEAsG`)2#@aa0j;J3t}X`fgFgweh%1Q(JSJ%~dl>*S=ptqf|V#cJ+UPeMN=b zs1l*He>(bT5-sX2w7>fLx5fo|V&RwpFZed;RVH5W^}DDI1_c@WBNb;=!{BA7&DF^w z?BhPU!0UZ18CC4s=e9l^a5lQkohPg^d_4xmeT^Kw@|e%m=|DfD$VVkpO0Q3g%%4V? z5rGR;tQMhXEdA@T=Zh#32GT~j)b3YrgbC$E<`izeq=ko{Upz1TfLh@NfC0v=-1@YDW)_jOjh+8DMKeoQs z>S{Z~@{!yVaRZMGAh2e8tMK=Jx7HhpH{dPXC~@=MHeA&LK)u;40M!+rdkEXAKq=9x z3Fo7R*$p7BYHH%{JLhx!lMDs*uLjQI@$ZjgP~su9W$m?XCV+;hDZ4l3YD%<1vSa;qOt)QmY@!aHTS)Td`xeGiB{1r}8Xjt{rOEDf%gQ)Iq*&S6CSmT0e) z`UM^qg5~b#f29)DcLQ)&YY2ioh|pJGp7C7F44>_K)kO-l6TveI zp~kgGUVCH2w&Z5ph2BbuHH0R-1I`Aw9+RKM39APQy(J%)fn*32@Rw7!S;&-^olbKR z0?#BwCcpilG_&P?kWs2Ri9l9oJ$)0&%?{PVYkTLm*M%W>PD(<%mHqkomp36{MJgI| z|AvMvvyaHB-2(=#4@1JQPryF~2+=KFy0kWx@hFl`b^D43#MqXBIV7PMGGYBZb&K3rQ3>7!(mkojCYvpwskd0Sg(PVo! zk?y2abOTiU8Y4G+DiOV0j}+c!G&$a1XeN<~Mp>rB%lAY=Y;UA?-yI8A4W)*)y&Lrp z)AudbhY9Xs_a8g%4zAxHi_R__`Q2nU>JB_fPCU#=eOTr!i3>8b?q* zIYwH%XPIt^RSsB7bUq8YQVC?M$MU#s`Rx6;C26vbwRY%=+#>Q1Or4hnhK)qai-To^ zhPkz%PIwCIE)peOvg?`vr4l#h-tyoC+XJ$}Ik)8x@mGJX5u2N?uf!Cm4laG)q?0mn z?8T~t#;0yj*~%9;qMDNif1KQUP^moUB-5wR9oT}qP|v>y>W`KuxKC*Ke+-F_%-AU3 zLHU{IcF<7B-j7GFd5FPRs)WC}G!qAC-T_;Mj*1 zU`U9}+Hs~D?9$uN7zj89GTH5^?vBF~-rR@CV(fSnpcK?Z^f|mx*?IAgO0Ad+ISlk? zFkjG-z%v>Wg@l5z9F`24ZTQ9)BIIa(2|0t^@EMB zMG2fSg3SD=RIEuC!5gJLEHu(%lJuCPX)(oA%>v|GwlVUK(Iy{nK^Rzq;kvwD5|q-z zszH(04bEYGJ{LPq@R+pA--lj8A-<(+HEke3wN^|6rENRs0EPyFiIao>CXf$$B%|*Q!&?eNlQ)GLW%#^!OJ2*t+49?NfYB z`TR^0^VE|Hc~6?^ONk?yG{?J*k+rl#g>4ohzjs~s4_Lvh8I?t^5fklc-=C=W_DEGE zMU-BkdEZSV?YpXaU(?%aT4em6)dHZrBddb8s zW8#SBfd0Zer=I5FB;suW=V+x}?&4vor_6Qi41D2%I=^bX7o~rj$p$9?_GJ0wcolr{ z#U|!-3NY@>h`OG|vSCD%>cXs^Sseu7lu!H2aF~wQ|O*A<4sfLGMMF@dVyKhG~$MBDEi|qB`*_v9t_tc zKsgnzu4+L(`pyr!Uow(>=mZM#{V)pw>@CB`hZ;H7u=N_a(-BTqI*v{jWi5(31((FJ1_+H!?x@)L&>T?ezaH z?!8JNed{n+Smx$T%L`QFBKfQD9DKhNS$ov~Nirf?O-PUjfmf|-j&>1PY8@R(OUnTK zF!U=oX8wD<_W!6owYZI0tHFNeyz`Nz=;3EQbbDxmgWB4^*2Dj_1Q%Ac82r|9OoF_Yhsj4_Iy@tk;IvWhJda~&*%cq>6F){Mes<`+?9Wex|Gm)zFz+uh291|1Na zzH0#Yf^vu&Uj{tCYD_E(Kw|gDvjpcG2F_X8PQ31wq$&V_Uc4_C^WNeel>)ZRq#ONs z7sTaiBaVqza1zw+WmwKVsK;SCbseUQh1lfP{JSyZG6Wvbf3ec%O1F4n8V?a-n`g#b z|54}#^7%4La+(eZ*7IIcoUK3QbK*=jnJ8L3jajymR$K)dN-Fy64$v0b0!=YGv@=Q+ z9&5fdRLN;6#tssvOIuazU79PnhY$;C118XEvsnuyL9;9Xm^|hh*tA)w=zAgYb=2Hk zS1Q!=hpRiHM?1}u)Y6Mc4k^XcWg9Rr&))Y(mUP)K5Ih22Pkt5tVrXrPUyj0wYQFD6 zvzO=)%^zkQFwEsSfk}J76y%h#NMtJ3<4HUGjWJd+PWP$NbzsN7`{gbziF3~B)U@!3pxD8X-&~DqBoS40@?Cu7PQ2;NWi(CGbhW30r#s04eeL z)cZgv*7ydfQMKDpHY#s9B~kD{=g!s>Zyz3)E{E8dzyqsV@5~&5343R{Am#yue!SEx zdqRiM|NL5pTl4YXoA&KYHw<^t!rEzR!Mb%(Ie28udBS8=lD~0!m&x(l+&xW3b#St3 zAEa#AFOc|0MR^O{s8VaacVgF|pWzI<@|%jQ+5jDsVvU}vSLospJ@(!(&i}LSYE%P8 z44bOH7}wm9$s;eyck!&0EP@b%#GQZ`vzM4os+_Z?ryXzM@Ts`Pw2+X)NI-FkS>y+s z|8*w~-#>~ZiqeoSAx0TBgn*BSWr-9O>HO0gy6fhur*9#X6`f&&J41gvwndu`q{m@P zpShwJ4$CyE#G~ObXbGn99~j2Y`QX33*n)d{6bF<#fPD5h6ySxRfE$+?%@y@=ZO_`N*P0d--#Qr9D7RSl-;!FjXS7z9lH!bYnn-iE^*v_k?)h%_F0 zTzfAh8J06ArM)2o061m!0@<~6JgrvWbZ8!ilgnXlwdhOQ3qMW@{_bY-6L;=jgm8HR z4$1rA7A8*HRm3A6;=`aPb+fU@*lqta zlalL2DYQsiw6qrxTvO)hYy5b^(c^cG`BHzyUE{*#|9DOJN-}@3+=RO3CrvUp(PJWY z)xT&cr`+4K?$diQ27?tQ)n`3#?R)$Fvi*a%(L)HDL@1cQ>O`x{h$UlI%Q$=1ua z99E$Wvj~G;d4rhzcFz+MNFoGQu~SuDRZ_>hcU|(oFJ6*R9oLLnPln2+tXc_jWy_Eo zlTR?WC(B)y)S=PgjqxA-+Zi{9k~DHIFc}~Z@CknAF(Df9p_VTN>CibYSI}8NsLYX@VR?g{rjwk%V&^OMmrZaVuE#FvukI4lgyKhm{%O0vDfuhAO+i8K=*? zlNep=VBxXN-AN}lQSyIYSe}3(I6jZv-ZS=LS&GEuqcxT$E+Vve2k-XyZVcrN&2 zWj8|)=l4S=kY=D-jZ^!;&imHP~iT? zI5$_EfC*u3T)cxF4hKW?i4Su~HsFKg9-Fo$Kf?64+S8S(VLbrq!#SNj)*;Xz*o%}y z9UWK!pTr@*LF161k4OYKFq0gulMk3}jBHv76kSi!I=d&^=C3hjHK_R3qZpe1?*|W zM;JRyb8A?P>7vbG0mfW7EgbcC9ARwJp};7_4^F%FDBlbZ$e`e_tSW-^fT)!;J-+kt zSdNh2S=YA)K)53{>V%bn~*`*KrVy;)8& z-jpQ>j!G)#^G3mU1k}KYpgp0llXPI#7WtUQ`efU37QRpvp-N$#i~ug395EG8Y`TTo ze*w+Ci~r9NN%+NGPP%xQ37sZN%*psvATwA=H?4a(GoOWqc3aaaz3I|~h6xHhvq+60 z=XN@E?t|(3GOXeXn&PQ{(1|&0QZ&`dZJ3k~d(e;n2E}Q7`>O(1z>!Y!ep7sqb81tq zcauaz?M~`;RoKqH@Sbz{Aj1DdZt|gg@BL-{HU8Yg@#5}q-3=M`Rx7+;)xFP!kAaiP zfRecx&ZNyXFqt?0B%q1q!kQO}C3z-hB!7bNki;T?D&H3Ahg^O9#+?F2%74(b6j`xq+A9@0l zth>P2wr^^NVydbD2pG|}_US+12(cAr{D=*jrh2xbbOfC%LwF|ZNoQo=q~n=+!7wZ) zjr%Y3=D->r8)cg~6r@snWV+&+%NL}L1UgEU3|yohR!$al9i;kx?bK}U*q`(gI%=Q4 z2a7QWG{XMTte_GX5Hm3;oc8Odq%`h~L;15<66o!A+%4?EqC#GP?m-8=lHsCUpyM`Y zW}z=8R`?rpN}XpjO4YujRmSQMKesDu`y|R5a}HZuDhxpMYB7C*%B^W9(jSA2ALcL zHBDki`Xq22l+Pt<`d$WMjURZOZHupfhB-9W&MY(zyCqBfy@*Y;dS^07uDIWE5d8#n z|40tVgH%q}|Nf#L5MY5^nc_M0fA1TZZ`%dnU6hWq!?$9*_s0!f*jY|c?>Ri0rz}gg zftzs6i4)6Ds_a_y?ZSpmY<8*g{unZwYQJIkP|snC;ZJ|6MvR`O@MvY42>lrMnh8Wb zV1Z0=nrY&0r>^dG~KxaKlluSc>b|7OhowZr*! ziFgCkb_<&V^(6Dh&9W*)k%^sJwkWn*N6!ZX-<$qa00!(E>;P^dO2rYj@R1jVhZ739 zwSvegNr2J(*RVUB4C&dJ@+lh`W~6#j%DTsypLg8M?9DKW zGNipY5|#;NL)yj&N$CxM4>bLkpN;o9&;@P!MHjrF{sM5r^9juN+*q|>&Ic({84R!D{rzKNir2`YdOjDQk9_4WyIxWWieI>qK4aaeMasKs+f zgG<4QmM%>ot{TThILqOEyn}Q3Y}G{AC|_tAPVA1jU7mc~Vf2l}^!Qe6Zmp-8*@{s9 zd9p8gLaw^7iE}~$zsj_F_kQWyArLt$0?1mvBmx{a3~DSY71)ayiNnSd3@82L62j(p zKobU>*V<#srBF2J;VVFI&0diIa-v+$rRhkRfSa~l092iqJ!ns@5 z-iSfTcTaxLW(0pHaO%#)ri1R1zyul}0Eei9&PdCU{YN+;F>0kSIrykw z@P$mpevJnAT5#+hSg0dp%5)PvuO>brB@hxvQY2D_pxUr?{!zh>mQq41PxZY9-AXEn z+wl%))AM8&;m4O=t%_mN9uea?EKm^rPjGA*q~B8k=;?#L#I+zjF>a7cR0N z3%Okq3@pUdm>}>KOAPi}J~_`(j~T>PXK8GbMXXzd-1W`z%KCh zlSY_2^7#w?-?Z0HAT9Fb6Qv}Y<0?5amrTDzc-;*gFRxa1NreW^es_k|QR_2%tSG)d zr$E5E*Zl?i9mrH=Nqkc%iZ4!Ow9urW&9u8RlmjqCDF${Ta`1tf&TNV9&RxgTvAX9& z@J~@|U;6((3LbaX8M_Hm^DY5)E5C9edi=iy|8a)GLlb>z;s;+TRdiCxRN@GNHGxP~ zMP^fX&K?!bbt^<}htyP4#rDwBex`#_or6#*B@|;^@pMbJDB5d<-725>NHh+G7$wQY z+`bRD!&)E)m*EnARc{lCKk!4~P<}MU#{*D%6KbyiJ)VKjo%&}2W;Cv&WkzY~0gX`( z&yV#lp1}(Tn8orU(x%YYQHaJ8i@HFP?1ELL7HExNWR;fyA1kK|N^MpLnj*I;{#H=a zQKzo&r68xR1;`8mQcR55`<1(Y>*fvBsKXc&F-c|XwXAayB`>F_tt^^%0>U(*XS zqQ0+DgER>VhZWV|iJAgQ@}&d(>ut=MjWNRUOm=jj5n;3F^b{W<2Vi9=xa~i=x$REw zB>X=#wm-ihKEH3EFlzV&5|Bkz%x59`J4*kh?^Pz zOQYtg^Q*4ajgT!Hg9+1Jj-ae`qR@v?AowhG>ox=-N@2D+SoF8=skrPHUPcj!4>SVz zsD7OgTU6o83Ebo00vat&gXy~R$V>TRtp&}t^g5CNnmzApRwreF~ z<{^31%K6y64OKy-#PrOTsD12;XU(lP{N^?p=NZ<`{18h^R*rIqC16w3Vx*t zMf(6%6h8U%V5jn$$SWcF?!hSU=#n4^E~hz`^b^{dwt13|y?_3Fj~CHo;6rrb_XxqMZ71a~!vbj! zV}jKY004*|%;c2DK#u`*_wqwa2`nHJiGdhjffzD8x!QNn&gVg3f>k^N+*>H57#sD` zoaG)Wi6yUPZ0@nmfW==Zr_@SkVKX^^8=o)F1cn~!tzJ#QH=tT8^7XGNG`c+zn{P-^ znb0HJ0s$9Y^U59~EUSBA=WFd=IJc>hRubaN{C<=q|5>Gm|a7jsRd@p{{Go)Ji@8{NI`sS33^T z%z3sYo>JOOrbi$CAZ^*7>BR+r{|9q`P$;sN8y+5o5;)5EkaBSYvU!^+Q8CH!2LJzF zVJ+proh`8w2b*g4?rMK_hYn~ASpP}JRKJJc>O`Xm;pOd24zN3==jrNVJnvpiDvss; zO7`eH5E*G(kV=~9%G`P&iJ5-K!Ly=610+t}24K*`i70F!`4E6+y@9e8{%~EYkev&M z6sMH65P0c2nWR;6W$#-pT?Q{B^znU#Q`@sZuv4rB$ zBuXnDG--YxIdk~QjT5BI!09i!2VzW;@RpnXVJFz1w(J@NA#&Ny%TMkt5Hm@M=mdB$ z43Jp!CH`-)u2~34>39(e5re*v*7FF}^JTg?VWU6E7-$ikzD=hoov9VOAJ1!|Q?bpU zr>1>6W1VQqiro<`)`Mgk#oeW(00mY`S-krKdau*&KT3C$s+8F#Tlo?me0vx)rbb$V z;93@q@wDJMO!*N$sC8o#<^RRhIlomNwp)CrnlQO0O}5=++fA5kOs>hU$+m6Vwr$(C z+u?lYeb2eB^AGgH-tFgk?t88ES)~eCMEN5EPK;bf3aM}`$=uKSNR+dBCi-En;oozp z!bgYG-Fm!MdAsHj&(^}Fv?U7=$X^ToV)50|tacIc;_&Wogz!ca zGd^l^C}7b$NS^8LGf;1?tNc*`z0~Q=zt6EX@TI@YwX*t1Kw)8M1d1pTC+|j!e&P3ru1I zvyuC#s7i)G<+M%2R}E=74Nv4gfuV6ka(I=TH)9>j32VOhzqutv&lf6{*^gDx&i)Hc z<)v*t8VI=)D}hC|d2x$_{a1eZK7Ia#3-UU`BTLF=(Wo-mTm=#H-CG8n}bJ=6h| zy=3@Z(sBCx)3@=@6?bbo@cDs{1%RxwBtxjcYEHGsGT_!00a(dCTSFHnBX#H-<7r>g zlaKZO-(!A>0P}`!OjPwEA5eKB72inR(<*?vvM-?=u`pU(2Ce$ERArJS#vMRa)_-mP9Z$O9_3_sFasecI z%*I6Dy5AIB-Mt%h&sk2aiCq&;*{uA`tlQzsfs@wU?)!0s>`vZ#mZ;3XaNcdvrU7(q z;p~sfEJw`IO_{v0ztznt;?D_&_d&d8f8hc{8PeIc0P)wwMOxHf3^1L}#{F?1ZgCul z`rZyjVAhGtbUxW@@yfzdqOEvhKDHP$7Ry~Qu2S(W6%xuRg~x!52q5^yC(sGxr6BPh z>MGVMC6m`i==|-EQ`=$CPEL=5gcInSjveAXrD{NHs0LQ!WD0myLJtz;swf%O>W#b` zp1bg2uerqT3sx!zOHE2yTX?pgps1k3TZHn$4lG1~m&Tx$b9juFs!yM$(e8!Ia+ksO zSV%JBR@junWimRi2f{J!F~>~m3+I!YnKlfq9JM2FrcrkDZa!s+hNr2NgamI0tm03l zu5KNd%`3G_t(y*`Z(4}JLSW>fGxt}gwD3sKm0=P#N6zUbfabD9LpbN~ZA+sdA@$QA zT|u=UL&=4*>bf{DM8dF3_3VZibe?vT7jW^ow%(uMwYMQGk2fiMYgGt~*`aA!fcUjK z=~}kY%(rYz@6rZ3JBi6iAv51Opxp$jqZ}{ffuryPNf?*YI}8=0%4cB#@RJ(=`{+Rc z%lVQ@I4{BH0>e0n(|-(5K^;!JZ4Wf}(i{bbJT;_qfc7iE^qzq48APkmm_xvZ_|Epm z=za%)O_!Un9!yTNkHVy|O;vYP6*PI;rhF-zM@@q-W6fzL1K^ z<$~fPBp9U<6?yNs>(Y56um?Bb^6Q>FSb8(fO(M@l2p}lCQTkudsvf z^()5c_}|+fUCf{U%-#9AB?D! z_yp1&u~~*%QReK}|BAQ_-H?3BP(`dX)?@hX0SVw|jp3*HOQHsj{YSIZX&%dWj67-4 zkyBFYm(&XK{VygYX}g z0uJ|w0&pC9V1O`ag|1zkoUIx}D|I|EJpO!I#J#nbxA#66FCrnR{K@zy-`J)OzJD?h zJU>(EiyeHnOT6UgV}sENToV~Prp8`S;Ys`UjDjWviO6Sf7J9d8ok`rx@E9gus7MmC z4@8s)WQl4gv8M`tm+BD52B}#BJcuGhOo~rqlJ1C{2OOd zsKv~3fHRpw4Ie@*N#?icny^cmhvm>9p1n|jq#MNh2RFGe?OP_*uPE0C@DT!wUQhl; zr>U2KlY^^PvGdY8=Gx6mx4qbZmWeFzSPHo#x5Xun3+6Z=Oqh?Bca{gmP)8jkZXLHmt} z6ev*jy7FLn0G6vL|NLH<(bYr-q8)exQNZip-yQ6+@1mMuUY-L+Wv8u)yK03N z@%z%R4QJF8AOmwy1wJFE`FGpTRNoGnXnzO@&vz5c@0Lr}B$lq;J?v~w(mk&!s~J&35*i`#0mqV0k!VtgJ%6r0W72NRi9CU3 z(R*hu1NHKZg%1QE7)0%^B%y%@KokodK>7Q?vEGHT{mj zZWl7!QTpJf|K75dp+(WSO=4>sAYr<}kUSiPHtKkRk1tagHGy$7_9DCXqbiC;p#NNM zlTa*U&DzzIhrVwKF-0ZY0UUf&XShIc`ss@>D&{uJrI$or9;b9tnK0~af%MGmywJiA zFJVpF{xCga`Q$FK*n{!7usE0IprD9>vgKL;d8bkXZizr-pnvht;!Vo6Fk{!fjLmW| z2DlKFjFJp{@_;e~giy%-X*Yj8LH_fDLEC$-OuCPh z^ea~79AzUQy-f?wWjV5=9x;u(h+p4}`849&7f6eZm;90sGxgO6;Av+%rCG!9 z&$`TtUk3axC|YbnE}5xiY!+gBvL?zJNsXuT90?%hN@Obbt#zz&-c$-JldfvRl^G&} zlJ8*4;;~~r`N^k}ZNuz4phppI9x=3MzknQC5FU8Yb+Ody%&H%$0nTf&!~q_x?DTKU z=n*AB^Ono8Ehe(FQ64emLhQqB4)HVC5N~k8AV$TGV;3??@s*-%R+ZH?dwsxFf-BZcgfDKCgEhU_3Q5-wdwXj|n@VrO zV@n1`l{qm|g_0K^hmq(b)y-g5Z4}0+`;6@)nn8|~td%Df*S8biJcBQ?h0zk1(ii0K zmOYI0B=R~n*$LtPC%0?&Aiz8#M4(lukZF{0$G@%Sy78Rs)GF(t z9U#6e4)`~jF!2^W`VqIQ(rG)Ml?kN*+Z3?Ecx9_^n*(%xiN_3{{y*<;hdMoup=gpl zIX3+d5Ux`x_wgU?IY1lJn2eBnp=A z5J=&80~?PJ$&Q}CAs3IY4{{9PF(zlDmFBfnw%)d1=LYh zzcbmPNYyU*OZvY@$HillfU$E4e>;l&5j%Qa7dXz*({AP&gH!v+_d`5 zx8{c{h0YKCm_zc(x5az$P{UOk5(;s3pH0%k4G~H_dCoq}GPKdzJCYMi31*yGxa7a+ zMy2506`X9MFYi2hHYVC<1+uIeI%L+#D7<4dV`41ZL^8Cr|A+&{KH|^N!je{jRXPgl zR0&f*<_gU9EE-rG^^j0SL*{c?`G7q0QA^<9T#a_Ma9Z>?`r; zjszhgQ0~5Q1dup16b(eg`>_;lqAqyBanZ>lf_Y<}T^G2O_ZddpwSbmDJ)(p5f6k_g zHJ6^G>v50)772?A8g*ZbPn&UVpjs3Lse)z_|Dqk=b;M?7J<)?e_7YfaTF(=K+w>_h6b~8^Mp)Z1ZjO>NqP3FmJ)FV= zOJZ}GBTSu3_4uN8uOA(cjoV~(Cz*n*0xD&x?)KEQIQLG_UT$GrS4Mnk4qf>y{Gkcq z`Y0l!?8OugGu|(%Uoq0>39yL-hb4T16Pi7F0z%gZ*w$I3dZDzxspXN7!9PYTV$!#N zkr}g?M)2GwW*x;byDz9^Uph&O2C?#eK%^>$l#ln1f~UJtba#1z9^BGa+@coxgW2u2 z7(7AOMC+LOmKvB7elSMpQ&M`+#jyV^PPkCfxtT_FiZJy)j)gsUGfH)u7fCm zmGAcK(l-}EWaze|u<19*oqH9X{=ssMZr4jZ3G{8ZTS&-~G`)`)@!Ub7XP%1)pM zDZpPcVB$WNwPOj`YYtw8n^k6cEnYOazHo=+>{PkEk<|edH*wLCOE2%xXGrnCVvDC; zB0Z*I^J_4Z(@Us@^F!>A7Lr@dbvOjPXvl|J48~^=b0zYqKcErqbTg*3#4-o~&l8`~ zYN6l)Kt)G=VgIBx1}WB8=ET3&V(>37U%ffK$&Q+7$ge4UXattIbjGR3rB&RH=hXPd z9l9jdI%<5^Ccw^X6|*Es3pv*PpvP>Z|4Q;Sj^mx??~&iJcqcz~Qhjv|?2tcyivMe4 z*GD)0a3VaK9s|!f>I`!~OfmgqRTyBl4V2?%$JEE1O6c-8IGw)Ao>$?<-@oHez1@j$Z6J8L+PXFH5y=afOe!@D(_Bap)%5to0@=N z$_wCu>d+OI1d7u+H=yCVrlN_B!XMQ}5vw-s(L$`(d=3dU?#vbi?yjE!YgAx0M+k{8 z^LQ+!AEAp^bOx62R05*n2Chm7}uGJ3Pv z!(+}9@q-jU<`Cd6x#nIzCr$+6qk#^qGUZOLsdsjJJvnJ!(>13TbIZTDhJt`zokh678}WGwmM2bz7ml`|U>2*{1DKXoDk;~T)Rz2lct z_k{);x#_~-v51+J4abHSi2RG$dsM0;p!Um7Ss0oZ5AIJ#FYB_!M z&{l4UxgcxH>yeLX&GiEf_Olgg)rs+m&)_YOZKab>9f8w~m%ewr&3JIwqwprPCG|(e z){Pfe@_K_jr>r?Y?n8kLz*^0DE&-FW1tD!}C-voqqL0?NaHsXQ`=uS%8P9BcKxe7d-UsCER zI{s7R1bi5c)x5lBQ_!b{W(1FUs7QjAM)5_otwDv9zaBJdLM~RkT+~telNlvYY^8n% zV5q7)Yu0e5=AN~rL@~RT8nE;Z~Xt*XbQl{ zcn!=t92`NR!2{)hoeT6F^VFS*zWMGsDS7l-athsq_qQum5Bh76$RAy9AMl-Hw>4rZ zhR~PCcX{YGo6w7E(PNj9&WJ)%FPl!1{q@OBNnIQ;9~5YuKH`8CL4KCcBdJ%*y8hee zoV;MsGmwgI(>7o_09dl;d%6EVgHq8srSv&p692x2iR^h7m`2PX_dj9?dlLT$G(` ziND2?;U_UNJ@z-!hG{mUb?2sISnP1WHr+L9D&nE@Vub_v0qiSMOKbSgK}T~ z7hEPmCJfBmAh@GC44S6hxK_>mxY3EmmX;!c^SN?m+|dCUfskBO6xp=Ei7LSDP|S3~ zQiSLMn46FoWW}Z1fKH=x8m$Jn;WyPl3 zksHf=FJQ&;q$P#b9hCv2MQYMoG1qJ3*=IT(#h^I2Psvl=B#4kf%Xiubm(mr62Bn33 z{F5$my)Gt^IH8<#eDS3!y?M#Z$e1WZm-}r;tI&0u;GJ3tLEH^rniC`Vq2p*SsPs2_ zzhXa*e8t@zGO?&rgpR)mTJgfoUJz)qbkHCw`X`vqp;mk?mdmk^F7gCreq*h1P2<0g z-_?hf;WY9+1tIjf7NZ@6sfJ<+Ep(X;J2F;CHb}7ML9!Da#-vA)1Ul9e@EiN=EB+#p za7YIp$RiGG(|lt5qwRlW#p9--UWE_WFf9JYyBfhSTYNJl#((3wtwYrZpl}KX;567q z%c?`j7k!wj|Ij1!!s}3G>!2#{c?30MdZL4=U%@w+URPwcaxb4Sc#f>^&Oi_wa(Ou1 z(0Cmov15AJsS4yl{}DK1J_-^NQ^b!QzX0`g3P8ra-se0do+6v$ZX`kL=-OPP_`~HE zeTh{O_6xa*JH)hmNR zYb(7wm?W~uF~c>!CG8(Y%wM_Ar;M|$tb>d2IGh+JBzTc8Clg5%hZ#JLd)TP}S zF2PI{{+K)4R$VdX<$TxoK|W8EfRrMXC}CDO;h~9En8&yqmZePNsL@?^1_B|-#xxTY zSgjKX$z(5gjou;Pq3pWT*3XKCWZ~xPYp0ivhhLg%IhN(STrPw>Rx% z1`IYN1qIO0F}_Ey21NTroD=sFPNU+DVRBf7Oxodmo(NdRT2-Qo*q3akjw+jP#K60Y zFCkK~^Lw=~2G@C&(5wY~-v)w+s|AkoPcC?qG$rCO9_u5DJ|u0a&OcwM3&x0Obfj`- zC$zG{llmZFLc&0PpFVxuNeBxl6&PAr1q6gcqbe8sZ~(QpoIvfZ{*JDh3CUn-+cP=~ z6oOFPIHh5Rga0v{3`>ckN>JkWGaSz=b|zqTwd`kVLV`t8Dj;NzM`Vx_4U`wzjs7xO zD@zvkP6zVZM3y+w4)0&bjK@V#9hnLrjPLRO2CWZ98M(>+tE>+nnJ>{FC`%4%!u2_u zV<}T_Mv;M;tSvVZoqPxGqfKXU;#J4LlP8vS2n8;2wVW6wZ6=D$aa~`mI_fa9f6$AC z8*kBYCS=7 z!gQznX@X#ED4TVCILo}W2uT9 zrQMI~B;7(nGR1-^_TX4IH&%lm>JDDh_OxfN4qh&E*RF+A*0#sZVG;%P_1Myd<|7$I zm-4bl3#Y(4Jsz+T_mW4uFCn-1ie;ng1-AIj!rdnl@^GRvb~k2ocoZ1gTMM4rNEs;Z z^^p_py~s%GlY<&J1V;nHt3|{Rzo=Ane^l`VIGs{X`2WZ9BG_L}< ziM+g=OD+092zX6EOoSJQje|>AFIzD0^@+GmV3E1n4c`xk!<(C24qzXlqI~K+n7_FK zR6F2ab23bC3cB)WNLncE-1>_i{@tMWRm2{T9^#_0|44TInp5j?FtZ`xK7$7!MR#@% zi7(5D>PyjY^ll?th>Z$Hxs4t3F zos4dK@vY`Be6z+H{Z=hO;HlLz5v#9}OLD&+W_(0EqDt2B2~&i&+4YFvK&E=GiT$b^ ze-K`c;S)JK0C_|}E*)@D(bOkCpu16^s@cIELaRmIkH_elsj6p!58E_MZQ(`(BL}Di z7|!|7<}vr%!N^cf>*C8YDXABM2!8ESNvw)x^qcqVzM>!ZFzXQz)iBf%c(K$I<4=_s zVtG(8->PI*XxU9$=B#@Y96p)59?Y~cE&VaB$fj%D0lDchC+Va7aI%Pz@%o$;m?;t|EOYl&~@GUB_AUWa>liKQt*mrw}|nShz%&xHWE zXel@ibZ~%bSP#2{VZYoBV5s0cEDL%~kId)dB);t7D5ierI_Ut>AHTbx)=wgM99B=f zE0o#mbyEi#-2q|9Skz3v_fGZT03;HL z#W0{`bSK^717yp|dgsUkvmU3}0XhCBcrU~LzFv#u&)*kH=|RKlB|QEAu`U6ti4LGr z66m;-(&p!sfo+ zV2KF%>e5(=`QfcPdpE(ZZrabccVyhwW4(C2yb~^K*&_68zZgGu{g()&&nITZQrCDV{+HZe`b7S`IqDVN{I$CW|h4kBvJ`9y_}F zUB4qC@+>Y}?vsUAYNCAF-+xl=EoC&2bTt~$)mSn(Zuj88oCT9C)-cfvAS9uOMEv~p z(Lb}^+t@+c7Mlja!S|kFjr=Y~q8uOpvLzxax&g=lO^mDpqLNfOJw4fs<_+w8H#W0q z14Dbe+sIRCTq-q!z>jUm-jH2W_)ZUM?3hisMjSeNW=%S*&*I60AllYDL|m;M2sg=7JGxacOGLh{5RuD-{EctZ_efWqMqsPCiHJXxZifop7MZ^to(vw~l-&edC-cX~` z6FWi?*c(@2>iatvn^pEay*&AW0x}WFP?@jeBde=ZbKyiUTD_xi8xuR=n-rPU{EkrNIz5tRPK3=n#4zybZC z94_xPD^3?A=T~+G8(n`M9|SJ5i3x;9N0<=+36l%fkPT%$A* zxb|WVs}CT-|_ zW7mrE>xjhQtP9|aC6Q1Xj&eERMTo13E^$bq9cSF*H$GrmokOF7QFB3{ox;a-!UW5e zThDZ&q-#l?1X?_b_5H@kOHGDmterDG<(ng)EG!yyu%2c^)mBp-B$aw0T-1d`p(#UZ zS%%tn6O7i!2+FCg^K>MWN2P4M>}`@=_y4vKbd^+`oV?X#GGJW`vxtnhJ5Gbz!vMTJ z#Q^tG1U=y^8GE=PED<8J$T z$!au)&kC_CdgNEqEf}+uwNpocWNO>>bHAxqBuOR-sH%}T7Zw$hV4whO3P2DB1Sd=~ zKgsEUbuh~^m1o5Rq^d>Eg>iU-%b4k^k|YN?h)IDQh1!bcroQlMID&UH{#8oR36+X#PROllo#sr$4Zul@lS>3}kELE8uFR*Rbq%xQoC*>+N;cIcgi{VNve@YvFr<|pp zsvKvmXma6f8gi1Lv!W1{86I|Ll1K7|kd_phLv4GcLr=gW`K_;zT;zG0=!;AB(1c`O zy(txwiPgmDXrbxDv`!H{S~L^UmjtrH>C#>*xRZ{rt88iff@_v9u&sL(eZ3l=*{UtJ zKi7RsWBR(54Q${RMn{hzOq|u^Mw#FjQh>G@hsJ}&ev5|ahNq=z+GM!TDQIf?rJWh( zkp&WPFn+=^ZH&&u&R=ozg50g&nt0paA3zqBB;t+>C@Zrde0nSGF-Qm3{~}7%dq5*S zC@XN=at~lnA2*uXRYdaAo<9-o3j?*oC93SUI4I|ngwIbLM+o=;;{%)ZZ+3BT*`dMC zZWf2JEG0*;?Y-IF-aZit5l-xH>A}=^s%+A9x6!g^3if?CuTpT#jSg7tx6M+Mw+pwW zS`)00iRNzO*dIWBO({EZ!}Ggab?psaB<$)?ixaR&$#f4;O#2*mlBuRA@$n8+1w9-g z7&lVa@K)D14mMJOEH*dVfs3x{%a^4_H$+~`^%sQbn*$k}fOWI_f3CQD>9ZNd2jg3l zbnEjU*4*mlXk4lbv{vr zk?BR(aMvvq&~-TgPxwdueC?Uvb^zEEovi?x*vtat=Id2^Sm!(6dq63+Q_fKxtRuZ@ z2!MPSPxbKnK&8WW`h>^!Y4Vf!?~&2FjeQ=8jmSL|v_kfZn+(G=6lijvjA)Kf^c>}O zV57xusocmb7c8!({0%z|MYGfwVt(gxnHn89&rE^90eY%wK{X!W*cA8Z_hb?0<#1ld zT~%;7=PS%STze)mJirJiHm;RO_k!j&E z=Xs6gf__aSdpu;%jM?$NqyCon0y8C+K$0QBQ|$2Yuf4tyZRPn_N}ajgIKM&A&W2!I z*uTC|+M0~nv9Uh95{M^rv3rv+@hH*w3XJL6nk-`6+{t>ozp-M#4)Hhu2(wIf{LO_a zAEtBOO6zy6d%MBr*r*9{o6u-y1Ae0~Rtpsm|>$X7b&MjKJ!h-k7$`q;9Vn(UNaG zz5Kjr&QN=LcuCKQcTB0h8#z$%K~aDBt$_EvMUaxt`C}I^;tt5p+HJCwoBkgz_+BOx zrz*q4ZE28PS1~VWWs^K`lg;QP;UA|yrD&k-Nou)OYRV4pG!09srqZ?eHD7h}w{+_i4u~7!xfrs_Ya)Oy&jd^84VH_h zr8M>kR=#(FrD$J)=PBzD=vBKYiUymjCajHW>eq6_?5#62i{wUVfJ)V!TG{C>fzxLC zD1Uw5kj5?LQ&;0vSMcE8SM(sgywJmn(a?T`fE#X@>J}X5kS$~0d1Qhx@~glQc+G{$ z1D*>tT4jUVmL2=aR`(+YD&#P1izxK<9~(5K*wA2~cuCk5WXv zp>I5H^VGUM>SfejX`@P6I3lAk*+r*h2uzAX-rU+6S@0o}QdfSGr%Z+pkrMhN2-!1x zv%ex@{J1vR868NdaI>kVZ|D8AroR{Wjkq&v1M4%gs(~YluUkd`(C1X$`eB%9`H=J- zwYaf0Fkv!dA_h9N?%=x$DK92YH&sepV>N&Au_1x)0H?|o3S;kZxV18e`|bZ>efKAr zhapwTPK{#nrto)+?Do|}NA`*;B=e*X<~Mbo{BPuRsOYJpW9GX-Dk17mUTc-o=EgpR zr}E1dN<#s18c%J~RC|**xvjhzJ$@oqnT1b>`<^bSPcU(DaA4t|uT*Q#r-R}^fRhy{ z-%Kg~K2*&UI0d9(;?d{hpV(2!3dckv#??4@%f&W1*kIfcPoqW8BN_jXbR{#CS zR2ERFGLsZ&UwInj@bGuxNuo$O|Lch&Nc7er%8>`D+MegOP!?lHn+MD|jf!vljcO-t zjlpXSLW%sZLgWe?0~1Qmo`-C+Xn?p;;PxH~APPd7&46l-Yol%Zp)qf(J%{ym#*)`<}M7TF1RoqOn`~f7J$|@Ws@l+OtljD@bBH% zeodZvgGds7ZUP!Mc^+R~hW& zg3Q>kVGm(t139?MZc>N(#+9<$Xsx1q-3NcgcNG^DS0)tkF1D#Cr-`h97puy_NgyU3 z+o@w@d%-x(i2cD{qaMwXwLfp8RgM_?SZ|$vZ%?mX>(Zo`S`F(s}YOTJG;sD z3e)xVy0Gr{c`#EqwHoWIkD%#thR~>^`l2W~MUSiicc-nrLx!7N3|{4oQ+(#;Fzn%U zN2sk1PV^-OcK)$z22QjBuBp1r9!QZ1%T`&^QF1#h$mZ07M98S;#6uh?!2A~K5c8v& z->dAz>3q*gazNNI<0tBu%uDgpe1K!j%Jg|A3)adME`M}kz?v_`pbI}>iO(!W@UP)u zHo0J)(rKn=>1`={_8&vg(mgp6z;;5O2iQ*hLD8_D3Wj=ae}o@^?vuRaRN`SjXrxFLl`=dWw>9#A(=Cipx&{1G8NOjsj1BfT*Kmh4f z(i!CGM(;@-IyD*J zcyyB~C6T-{W@tvxS(KF>B=vx-0CE7n)57pb4dzM4H2C;A0f*h zaHkcXark|3(6u&$5}x`a-to60S2TuJw8J?5!Aj_q--@2b5O8cEZJ$NZwBUt`NJ&Oc zVzPZkwohMf?5Q?O2HGx7i6+OwHvXYU3r%xO(_2u&oeVEMuYk;o7ml9F{RTeQRta$> z*Nplld-*I7PWq5lIIDy?E}mjz7RYr<-92B_E(M6XyFHG}D9?QKdUMeRvBmT|HzT5g zv|h325?{px_DzaxI7kuerfybFmcNNuYtFguGI$T$Q@nFbW1~{m;$>wR7d8T%vj4;9 zlCs>P>vgoN)~-N<9xVmCWQ>=E;@UIyjqqKVE#+FOy4q(h6mG-gcDkdeH@atrl1 zf8PM+9^H~?<&hk2A@RAf|Sw_^<>qw0Rr?R~xPe zVOjMpq46s!(Y}gK_wQ9%0wQ!e)`z3l!ja#D!Xx_!TUpx1N4JfUKxN#BHqZ9iJ|*=x zjltL_<+SfQ0-Lg0TOK!XA-OwM*5{D!FWq9!=l6>Y7IWfja9@Q5Y@%bL0V?nnWO*t1 z18xw~Aned>GYmDjf&0Vux@;vPi2@Uzp{9g0D;*`WdMNtFu((7Map;xU6CSn^{v!Y< z^{JWxH#IVE!3BKeZgiwTMCc4Qcc@* zg7$K+Tnb!uI`Ipo3t4~aqZE6+8lALlJIuu0vT_6K*PCWd2=V;b@q5_S!YVNS0$NaKV0AjZ_%j<5h_tReg2 zXON5Z$u5mY=g6av5vt$X-~)TZs7*l!&>(C})2~lEGgcfKR!5Nh($^r|iP58$+>j~M zJgME%25U9;9ibc#_WBm_KNXd9GI)=E!Et5yCA|;7({=VX%jC!uY^OSd#w*0Nn_+;Q zwLY7SrlriwW(du$2*8wzyIcE(TpgUS=;^;kZ-#9GRV`%KFbs5LVl9TOKPS0Q{+RnY zP(5Ky(y8cy@H*%QR#HtXEcwpn!Ali=lY04QSl^eua`hN%xAjp;c*Of{+vU83`v!!F z*Jo@rgas^NfOxf6gt!ZcmF%i1+XdwNd%Svm4(tnIbd${kQNY6q@giuMyu8Y5_qV!l zfA8!yoU6kH(aTfYFY~i1%}}BZgR!Aq6Ywth9&G8?Ee;m%g9*$OV<8hO_^-K_b+VIV zLITb#4O1@aURm6V>8+0aYV+E*rY_%s!9xx_c5o@PPYNb$;+@1y2L&Nz!jqzyTr)c7 z#!hz=>cJZ+6Q#aW4wwY#Z@2-!*fP)ZV2FpCPh-ILL4Zm0R(bZ0b-x<2NT=qb%i{Gd z&52FLL!!d-#oBe^O@aaUL%)h-#+Q=Hm+|z5C$8aK0M*74^XcT-4;Q@QqPM647ma8? zQAhW&8+^yeBYvavZTZpnx@%GAAIbq(!_7vr3#cZ;{wF4##z7_1m(T_h&(|c4?7#)_IQygx^0oU?) zlFjbbODc;>qch?HdIAMW+QF$aI(Vz&;Kxx$hs7;C!ZJ>*o9*ob;4QF!9hB?*+xt>} zH_`H?(L;*I98ZQ}hWc$hPi7$*2Wd<(Lv>UF zxI{Ah`CbU^YJH_uJDTM zSQhqWaCm8Z|>Y`nHH2uArR>1{vIwea^JJt~_q9t@Rm19AY-8A;#(5SX z;B|6;Vld7kqb3}vj^90p2SK&(3|{u`^)LZm7E*e=t5Z__sBJL!Eu3^(*x;<%srqq4 zM82#@KAKDhiR-J+60*RTejil|FduPw*UNU_u8&j>H~O6JK9qPi+l(>0@fxSgi?d}O z)6 z&{RUcCddrwE|Hen-zY>W;KhwXl0$8lTnM|mgX#AYRb@0TmiUY2VT_AVM>(F;>C>-w z1(?>#*V>}7hp)2;h_t-3dspv~)Y*2<6*2bm`0PRhuoy>7eD{QZ{F9l|LFBSk0e)2E0kJ}75UMi_l_f|bted*(wWf6 z3-_kf%ctKp89v)Sfo!tCe^k7Wc<1Bjj=;vfS_g1p7L8>+%0MQ=T^n+8e--`4yuwyU@7;f4vY!j z+X{=&N8)!e9gW_Y4bucJ``ul&zh15hRul6Srd=mfkB^mK9AO>^<9!H1|&3B>YKy=Al2Ni4A!I`At;jc|E%=Bh0vvxyj=WQ8N>{KEj zn$0e|y-Im|x-baNOC!G!26u~3aQ2F6QRk6i9q9x+Rul}6Ob8q|N&T?-_|M))hh|o! zL5NVSZ**Ve{_rC~p}$4`jU(+5;4-tv3M=$Va5?s zp%G4?;)Sa3tP#yyKogDSSLB)LhOvQDOhw+QCz!qr6FU);w-{av`Fnb9w)CSV`g$i! z-N#W3=s9t-BuWLzr3z;g10m*=9ITps)MJiNEZU!Ei6!V_l2{nG$=eWDXs@WeM^Ve@ z8!n$Pz2$f8G>@ZYgzV=Ce0OPCgZHax!%$CBl)a-e^-$@W)12W74Q8W&zjG-p){vGw z>tCZ{8anC3haXbcWI?g#Y%3YkgD3=~%c%|}%Du`J5C%@L!-m+FnYGaOCG-52HN=e; zn*n`!Y(V|#N4kCF60Z?g{Q3OT)=xbz?BkPc%T(6M^;WM&qJ7uCnfK#ltf?H{*`$|< zPn3glB4f*=;+=a0K8@Im#nc4r^|Qvr0TvHor;kRwe;$gV65JfPLcp#qQHB`5A#!CP*; z=+(V(bT;@ zw^72+so?dkBq?+?4xXr$job@LDr=z7Y;DrWwAkz0FXI%2oh*RxP=$58s*RWHV&B_ ze(1uvy20I3b(6iw&1T!L(JVD#-ET}5R{Vhn9c>E~{3F{2NMqh^iPqgF{&0C^uVCU5 zAht4j*!q*Gw<;S{lwP8L46*W4wk(8Id6vz&sSW?TG@QPuw^~uiL71qSC=00+l|a8h zJ;w1=DJlgj8xcwJCwwknEZ>0OtT}{o_1(S#T%x0&f8#nIzko-rdmeoB&NHc_VcXue=DcvF6-1Gb2 zdtc9+ne%z(JbSP8U3(9UOSq@7Kqj5aOHTdKAaT@TKK3_#IDI?CRQ~&SKMAMjTO_Ez zXGtY2(WgUJ!f5ty#F?p7vP97RgMf57M>oO8kF`(rRPB!&%4}(3ByU&62bERA_k%+A z=7ZumYa(=?3E&L+f*eAahYiD$N|QMVprL1shRSZ|v<4TsyIH&Tt{^e_L3)wRA!N?q zKlrJPeV>KeU)Cc6!g-dLWu1A|$meUG;wz{4q`CHoy7u1Rdpzron9hQqtaU)Q!Xc(+$emNI#?x@B#cGb*+Tc%I>}zdpw1}iUaEoh`iAY7IPjwh z3L{D!pY3uK2)|v>*d8Szllp6MUGKpCFzHj-`U$}LKyT4rZ?dt5^V5mDS@bFyoae;tz9tt+;e(Nt(CSU|a7fxnC@!Hfl-SN1 zcPH_ehkcv#3X&eCny2;t%!7)Jq8|6goCri#>DxdALdRZlk`_|4C3c!e4YHiT5GH=h z@}ZxyktJ~0wk#IDdsn+g*vx5w2#bI47$}EYb^9fRJ~W&KUFZ9Y88Sf4vTDvmDAMQ@ zT*NYqM;V`#v~-j|YyC5*EWZ=V*=%!@8wU1qKQtB0Wl!CwSaM`}@)BW&V(f2W#Ibq-g%Aq`<>?E-x&e5kl;kW6h zEP>57Miu>%)zCo)7TO7mf|=8c^NWQfM#1u>l)P!wvTqzP!Cvi1aNjcEGuG-qgG&qH z=K6_tO7-kM&u4k#hu|Q%=cS00ar2}Slj>c6_T>eJE(Eoh!?2)_W z7v^hO;?Rb%KAcR|We?MB{7ae#wa$%$9|5OaN@p!n4f&nY=!Y>mtey~|o__uq--8~q-Cz^TQzN|dj82Cntghy~S7iuP)56s%h5~+&cFca?r zRiy1B*kzd@si6DvQBKxEJXy4bH;DZ!`;H+dvOQ(rn2~(enP<@6zlqyQ8KnoTy%YRl7^L z$LCX{ww#=L>`eU3&Rr8x6+B_H?e5JFdMT}c5p#?PIQidxg+(Fim6>JlA433%^n*Pc(B z-@upa9$Qu51+uhnNAZWg#V2Htu@EN;_OOgZW2>CXU|}-^@(73!@7q8ewS`p?JcG~c zk2`Q6!}?PG{F9Kpz07-hP#29J*;}L!?D#jloDnBB-lCAb%z}K2aajRlQYwcjEG*}u zEZM0mapJk3Ka9l78=&HM-aNfmlUsD`87I3PA995%5F+!WW(<))g>86X#ia#s>7xZB z;v`wws-%1ew)B``f3;JREUi(AylQ2{O~*Q0J*Uoh*?~JO!1&@=ptiG%F9SC7NF580 zsh(#cgNA6eD8&%9+HYU8x7#z~)U!=W$y$>V4t2f(UM6sZW-L8ddxBltsxRHsR#!)mqAXWGP4>FOyPBT;4J*ie~H-`prpI*^Ktknax7rLPvtbH?54C z*onW)y#q@!=_HMF&Bw+Zu_Y+V{fO&9Bcf2G?7VBBwXpq_Z7}(*ARKMdD<|&SSRD-< zyI40-B+qU=Y8=J8q#s$=9EF=?nayOVBf|}D)buAOD{}gVIWPH;iUMo|!5UtvRm5s9 zGp0ExE(QZ@1$|w4HTi8{{qUw3e-@dU0rIiop>T#G+to+k4;)kqfv_cWT8ldJd9JUB ziHZ`SRI2P26~*P<$(wQ%IQ+DoH1a_LACf4pSAhgy&SyN4`J=0ov0*=Jevp7SQVKm5Q^w*mWj&k@RlnLb>o3jOh-?& zX1PX61~bay%Q3*`njkRbr!fPWj;>-V+nnw^s26Tv#<9l%Sx(Gr1%9`5{9VIjk3pb8PG)q z(2+wYV_M$r`$87b$-YxE@UK?P;|_cF>TjUS1N4Tcf_Kd)I3r~Td-rbo>t_@KPISxj z>k4MRs9-%rh(9lFzO9eP!B2i|ZC+3S)~<*LmvbXbHU1$R_iDwIoYOEpolB{{bLp3| z6(ygDJb(1>dhDoN?0?x-qcq^HVLiF-9Gs5N!d&X)W-^TURCTO#6()b7T^oX@Bycu% zN}RXYIHrOS$;6O^lJ?h5K4r4+KWv#WCt#*`i9HIbb^3%Y73Nw$m=l0CohiLu$$6z5 zyT(=jrOHa?sWMwe!#Qljc0vEuU5o=hUkQZO#)gE{<0)j`V=){FeXFE5qSFoIS>Tyx zLAArBe~MFx+Yw%9J0sDXpK_~XY>Q@rxsE-PTpE?jt$HY$cR5j#4g|@pD^Sypvtc3b zU;;O5++au?curGpg7`l39;H(=$!PJC!@Xel$%Hhv&Hs!`EcTARKN5Ac;OaoeDj~P% zfJD`OC9Nt61>S%Cv%cL375EbyTNWm-8j-`<>&-fS_^DUIHd%thPDn=(M4f}v1A-Z0^0Z0 zX04Di2UZ7uoXRfD3$es8*DgWJ*D85MMi5jQqq4VuAdU>uDVIe-|Dqu=3oKT=&@TIM zHNu%AXo*BOY9wF(WJvmsB~PwLe&dIR=J!V&xIu9!v8!QniB@0f-Niac--9eh5*%3Wo~;b2>tck8iK}Qz;dNxevxVx8VH66)`Bsh}3E7~^cHh?bZriFz_9Ac_=%s+^VTWJq<1yherclT( z8Q2D>nO@yvk4cg8t4IcRa9;A2zW17@W=nU%DEwVc&(8wxg!RceZHT{D89Lv1@-j^5 z4n2n^5ykoW@J-wwTIOb5ok~dFCK@&Tqx5{>*W&%UjKo(m-Pygsb2b>_XA0;O-`_+$ z{@%M`diQyd1zoP`U!}NJ+;f{hKoa=|ok-ktD%K>u`<-0&^#kiL>%Bf`Ys@!MT8&iF zc(Blklkp8kq%_)soiKLF2n)pjTUZ{^E8mnii$c+L3PvA&%jvea^>c+qD|)7jbx3|L ztb!Hgxfc-hhwM%CL*{yU-HYF5?5uMoBcKE4(U|n-2#;wbuRdCLVPn6GE;mBC! zTAT50PWj=nOcq`SBh>l!{jymH^Pl{MwR`LbEt>$mFTS)F1X+u<$P)Yxj6uLe{jT^B zlNuMtt?{=BvO6P6$(7barig(%6Rlm-N8b?)Z#}jlOkhP}Q+@k^tR-k7G_rBeTEj4e zb+2Y6x5b>Xdhi)z*Ui8P-x6AFs81 ziqsBfEo_F>xjUm!rE=|kn5#i^Df_T;E`EY03LZ`|v+Hsf5RqO+bP6mpCh-`I{-$~y&tcD7=65n?LtuO|2l9|Nv z>^c&6^omu;=PAi`POQIM)=ba2pBQEQ<#4j9PSrd8@_p5$hXvieUWHSJb-40Umwv}h zo?szmL1xNG{X_In8GpGF40EMvzS0kKap|f`fl@oaGCx@@lv}ek6!@ zPuua714M+UGpCsS8J$la@TRq@I{goOe_4WHsMSI5*^$pKQ(qVOh^5R@H*JfdN^gE} zyyA#SWzCaG+PU4TQRi|6tTX6USt1iUNN6?!QK`^_@{pb+&gJhsfd_>~8|P5JYHyZf zj7*?YW29H4@G-sVM_DAd)sEJIA237+3(jOnwWXCUNXIFM?i zEXp|A1lQkC8krQvhZGO0RI7yjxXpY)p*B%>_J6?kvG-CYt)3OIdUO;pC_3P;P>1Mh9uge3p|s`n zF3wyeH4tVlQ_ViapP!KuRLLb|Gd9STw|;OGY+CKaHkK}zI$B-jeZDn^3^L}|t}u*l zEV4F{sbOdD|59DHZN_)FQztbnhyAZlC;7~8YUll0C9b+n=|83xd&ueYn52@Pv6p@6 z$-*1=>Mt3#4(jl#w#)xD8Bc!^pJL}uOF4D(C~he3e|9^xt$Phph6xy2(B_+qjM4&A ztBM0JO?)pg7JYAyd7$ULs9S@xSVGi4=iYg#@-T5L-Rko4@=XNZ%Ve-v1j3R_BKgeX zDq`^h5Z`U}qDa;L>C^u$hblSbRP*?f85CF}aB}2@21$vTfinXJ3s5{7O06>?vG{0W4oQTon)C6|43TK#7DfI} zd~=E;0TIw_pRN_C52!X^qWFoU>Apx@eyen8oPKfSGbPemTBv#pLxc2fX_Ss#XYI|T z0=)g(d~JE%z)U5ithdQ@h-{K)bn7PEDb}Hn6sn7h6Wi-Mn;B4@v~;$EE|#M zql~Bb@~aEOG7XAdW4$9R?={`tCNT{SBd`m9MgIjIlkv#0cfPmTJF$e`nBc z8zvT>_Fkx&;>4WI!YX{iSp4^uQuKl1Nfq^ovHj%#NSa)h#@~}!CIa15m1ngx7WX4&nYvC< z{b@SJueEiHO1HQ`rB9SRo^3D2H9xY=NxMD&t?1l>vDSK)5>3RN?$GHyoq=e!poe&I zV$NKvhS{FOViX&vgsh;j*-U9uky4W8YI%dxfBeNO#ieA+QK`BLmOQ;^;_;=n2QqMz z5gL%TWUsDpMq4iW$geAAUtMG{35(0CF+%-u68}nWuG(_Pz=^Wlnoe>!-Bva!0j@GY zK+Cv)AOwZz-2wG)S?nb+dWxafjEXGXz^mHn>3)2wY_mSFbb(@HJHP z>%cBo(xrLW{J#w&)664zZ6|e+Ks7b8Xz92tKQmFci+_n>4{!fn0{WS3HL4=k3WbBb zfykS)@Zm|KBCRrEa^5eY8K2U?L%$`ZmAEzQVMqWE4H1JAHNmzHAQAtQQoMhD)C}Q8s==~{5Xip@y^G}OJ)a*jvy&8r4xWho zGHi09Lf-ej6GVcGzKBaHc@c?Y&L>$tg)2GSKO(Dp{FZKxBYqvDM(5e&%0nsIY}59@R43muXh_ z&G2QRGwH4QN~}`CAF&Px)uM&`F!%WdWQo%I>khMp7iPcia!UWUBQbCEGD|&2C%{w3;O{9&?{p1a5NVq7$R0V||C?z2u(lmBiGoP#smT z;OR}z%KQ3WWM(4$$Q@5yC!HUgK;V?_A&3)4vp!cYsh|k*>owtk>g)E@jzAdpPS4bw z0>Ah0Vl*wIPF|~8mWPm^)PM{YC%J`e^>vKj~V43LX zV^4jdI`;bhaY)YqTj;9F=%=11#l~?GE0E<=vYXv0M9_!*Ft&zq?c%bJD>`ZZt2qkA zAvOkn-oMh^wmEsT0fi~^)W?bX3-i;b%OpbEsq&S%_d=$$v&Q0-0J2QGfZ@9lEQg&> z8~O6kp3QJn`EY}TM`lQ@1)_3jq+`3}Qnm10Nt=t`{GdsxEPb;b8_LS>{O$vy^F?>nk|X+` z=#uD%g|dN)%9_;2_Z3szgJ@N}BJqr7sV4GSKi(UZp&N^Mm_PKZqt+UOD`YxgY!H&V1t zN-?;}Sq!UuIqYTP<9Dvlkr>sdXDc^?RZAFQ>eA~rcGzKEiSeaN@l+;lYr3U3f*IoR zIc~8UJkvCEk#@&NBE@hzbiPb=i=(&lz1|DJ$?H*3lwFo#x6OW zVvPOH{Qeg6ti_80Rcc)@_g6?g`=^bOiuUeYi;-ThT_W+YUrh^ZAux0OlX=B!@`aCX zUUuq=WT4gO$cwZ7d&ZF=qhH}n<_-sm8HrcpH0$t-?T=R3=c&fIZcF??Kd2eW+$Hs$ z9y|O=9||!N>gh2o1}l0WEd0Vg!lRU`q7h4FBHmj&)^W-N%FDZ0yW@)7h~H}>&FTTm z5_Vy9YqaSzOCh7j?LCEA0pXyj!u5nP1tiGgQl1XY(3n`Mae$z{u{_s;v9^NBMBPWL zoHmG^sjdH}& zDw(tkow}N6MBfnPX4J1+&TmE%I7Z3_eY4?KvsKIJ!{Rn*ps=-tzwe#-w3WS|qSu;Y z%%1gW^P8#tpRUpW8Ike03NCXR%MrA3s+}&x$6Y=@#q{Ud)L3@kVE)O9ivH|;r29XO zEX4upye7T{dBMQKP6m9j#+7m}o}nv9}Tnx$W1-3o}4&3Uc)lk>wf?<%G|kMF)Som6)|`;x23m}z|Wpy(f&&6e2= zKmdKTe#CW0Zxs@%FN@RwxifmraYz zU%FT6?8f}xYw2O-N6dk1&!jfF*B;wQF|#yXmEyv5Oc6Q5uHg)1xj2ksQ{pE4yEzrU zw=3Fk!emh)vKVC3QE&P)>!Kp?(0dD1@Es?{p>BadIAhZ}!$5&kI^ki;1MiLD$tENq z0F~-uE$jo0=SS$|5v3(QdHC4A*ixpgLbTY!2h!J=oZf3H*;UDFtm!y?!{N44-nfEm z9zHMrF=myQ$P!>qR;${0oW1jQf2*H%$>sc)wsCPDi(=`#)5P_z zT}+(OPbEzlb+!5*SX}$!uJtweS49NVpR}m0Uxy^@a_J8;|Fu4U`1zJ*ZOv-R{xo~B zLla$jJc3H;7#E&)$b~(X%wij6EL6xv0g24*?b5$=Q7Mi6s{5PFN_vq5ilwDD%!G@mih8`il`qqKEVY<7Ff2iDTAMAg9pk6)jhr`SKcU<3{u? zMTY_Sb^|ja0xadywF5FB?UWL%eg-9e&{9DVL1M=62S)6Hf1(BIsS1r|ju?3XNs_~A zYqfnp?9$#jH{$E$(UboER=k&%<~N1ba!tj72ogdnDy{qO!3g+Q_{}L^Bib7;;!g(Y zOUq3zp;_6YwLFFDn}wr^V@2#@G(P)6_v5sTQ>-^dYwBry>gjVj#(qIm#Xq5`S>d^b zufak^h5Yi@b3F-9ai>&pULkM7wR$`H(Xvf-LlftnU<8n!6%7`AizQRw#K&aIbHAzL zQ$9C8Fu-Et9n0c_gDXDECoh|7AIJ&0`hn$GW>_56QWBRqIX}s7Xx0ceMAVfbwaNOH zLk_|ElEq|ibUmC%b-Ey0ajW-Rl8K!iJtfFtN*oQE$ZRo^%9*El6wfO}5&34|hMtuk z_S}7Q`NZ+Q=Kb$?xcG{Vr_RYt+t~j;rgD&SnG(j)G`vcBY_Ksh%G7Q9glX99+B^RC z$=Z=u@{2R=Q&<4cahp!QIfCB(vAL(0u%w=skpJ@?GY%Oyp+bBbM&X8jU7?&L64j=v zX$>XgS z*n5WuZ&^X81VK`|b-t*ol*LhBaJ)aYK!1D>9nAjxZo(ap-?o40>MAVg##e4wc-*j2 znyptpqlqC1cG&{3T+`FCz)ry2Occ{USaMF3c+E3!%_2z}^UO@M*-Au*7}bufLZT`1B3QZf_IX(AqU>?~+iL0$9Nwd8yy zbCNysv9jT*ya&im=IM4&vhp$1?P{qO3!X1CWg%#MU!?uIxZ#Q7oBOvcQ@fFD?{U+3 zQzVtR1Dnpx6+hUA+<(xrfiu`!O){}|^l=N}sV=zhs)+#J6*B~JI%aIlIt^gAlu0gMx3 zm+{h&-F}V)iE;tu@A~K@_#84#g0qf(#|f^-pKYqkYV(ca4C2|jV>mM^m7{z*e>yKe zeL*!*U=wLw;h=j6&l{IG%#h$IUzKU!#Lc-8y*KyrzF~?A12u zG~IWVLh{cXD|shW!rk&#_+n=G>(0GMd0RhBmi(jh^xd-q>!Y!B_jzZXnOacpZ_KLo zJT)!|{%i|@BO6k`(fJtNVIC6rSpBJi2Fv5OWYn{` z1{v>0Wl|#vKDf`qw3Yo7buX)QA>uIR0jt}@E-~JS%XTob4RNL|IQ~UvA9+Ry7 z!)FfY+M|{IkkZQGaaVO>5qCOTUOy2Emj z%jDS{uP3ykPi5qUsq6~9n+WDp|8QMhCxS`FI-o3CDVUJaEOd1Tax3Kqz%0`FMZT?i zzg0YL#CvidLBGCeSj3@4Nc#@ml#_yoI;YSUupH#Nv!OcZguJGVAz-8XiZ==v&K5q!Y$GZM3-V`j3|!^yxd^6u1$Fz^~)@U4k4eAA9Y@TtitYSMy2(-^m4wJhn!DGY=2yQ z!4St?p54*X?UTn)>Uh%>{(FHX*0PSsvshS1=}4<`f~1l^w#D0bokUTrJ2_uwDn68b zaw|3X#qc>}&W?r%OqP003HJIupR$wa3{7OjLk*i4{Eere>54!}Wsu!A^*Y*$sz2*c zePhSXYHLSf!oSr&J&>-qJsC`EA%2cU_qo;>S5;r>(4gby5clEd+@3?l999-FUANe4 zjtE01??baNrRIHLXEnem_owoXUz`Rw8BSm4*ac7B!z;dV z+Tkm}Ef_M4LvL?J7%p7}rPbsj55dipk)jI5oqf?ealDw9Sg#J9gt2w9wc5~%H1Am1 z3~DjD)H7+B*{S4xuCpx;EBb`9C+8J`^LNJ$O|&IcLrDW)8*(q;15BndKJJ(4;C@p% z_(z(^Dwj_3Gxlvu0IrM)$Cb3W(R-DYA zv}^5_35^r&iP?>rwBWzTBKE5SiolBQ6L@@l8o0R}ZD#+WyRZ_ES04Llv+5a)M@04d z^CyE!VClw}H*Cg@V1{11C)KZ%f}T(gdKz^kq_cP;P{1>0$}9=+vdm*NHXZ|-tkFrV zJ~BPWM%#$zHj8K7>$TOSyNC)Sx%f zm3_ilHUE2dAHc-y^(W;>pgeP1ZH&4?ooT{WJd3HPnn_YAS#`UHF8?f}dd-y~s$Tu| zx9#)kRC;5(UuXMOW07xiXRxTN$PP{fQ-Bn#} zXUMbs{s|TQ`GLC@$owwbB*XWrA_oFY==eE- zJ9O>%t?%v52{D0Ooy+1hj-1U8#|{?~GkNg+-t@WxrHJFJFoU3K*UhSnpe(|*i!;n0 zh({|q{Y0Ri4UNwM`2DQ2&n|Mqywz{JsS(5l_C0kF1oRO#+CM@Q<%lJ^hgyZ68P+tS zoIcaWZc=d{ohntm8py)Hc>f^0;)6CPy8lFnAVsnNGNOz#j7__p>DF(GLpiIj9|)r? z4EbC=&|MzgnEw9o1{JbM8*$Qt0E!RodihL9trG})i5oQY06S395V`CSbhBq-v~g<= z`RPf*S~(A|wJurLPVFR>E?mBgnTJ-cFE-dCM<0{FYkfd4Dk$X2@d!Yyb~~P+(oW?V zmK=TnWS^2inClZVx}q81|8GsY$i);LO6L;9{38xcT&ksXDozU>ZjR#sV`gT_cwzky7id3wK$mg50a{XoLWCVic;Jp zJlki61n0U~#Lk#=E)ALb87Nv=Xah6%De>bn(9&B!w~ZhG942jh$#}~e57c=?&Gq)4 zV#2`^hXV6uYW6=2&n(%_da5-nlFV4tlui0K8N3y>$D(AS)d*QL&%efUk*yahK3TCA z(m$C@=cPq8IW)WPlHdzy>1r9VpR`JH?8_GC1+5Ts?QBZTeoiunX7bQ@TcJb$DQ?on z=Xl*selk;|hDw{+%hDO9j24nB<;}I1sq|t^%Nk% z&Br(@w36$HFUlEG{9#szDj-iZIn(_Ew<7Jlg0p-!!)o2%Bf~Nx>VEqI-Y=D-q*$WX z{+}e{yD8M%{q^Rqna+!889R{4a9po3yGz4H&bD=uH5$~|i;C@~K6%qsD*`rL9C>#9 zH~N^*?pqv_khM5euMEJkadF{sJ(4z3V8>f#ryN&+GlxX;g#0F{nc4&5XRO?WmpZ!4_I2Pwz6#S*=ZgsI>r7bps z$Dd@UG;kDr&&MU3MrE}7B8|j^5AiW|RK>;J6Sw`bOe=%AW&`6~%TMd=r>Icp8P-4; zuNN_`1+eYa^$fw;dV_|XAkMc@pKEGXX<5cyJQS$NPuO%Sr=TFCho6mfX{kJJOsAZm|YR)g^F;7c0(>EJcCR040&uK!O7qVBH<=7Ymij0sC z%;)os+$A4TeToK$_pyPbi43V1(5lRq;LjW{B>>KU=yzS))kZ~>=GnrB-UYYBeUGLz z3}E}-gTejkQz5|HsLdA6k4Bds3ea+}kpFU3JD~JczAw4cBC`FAytqR?S!Dc$%_u0` z{Jl2w6Gx6!?-~q8%fZ{Bz+CaHT6AyvDgi-GcE@71e~dw=oDCUn&`E0%NC}5m{W+!f zo0AU)t<`<3V>4k+j;KR;nygrs*#b$R{0OD(6f`i`#uj;&MexT#h$@CvY{2iwApj5r z^i5Bs1@X(V>p;bWqq0uB{oBp|loSq&HW-7;pxw}^-zUUb;LXV-AQ^1`{&KXOa{3^V zbNE7(Af$$UYABbM!9%BZkDXD&lk(vHttLgHuRBP^V82~>1;JiK3>hSb1XVCQ$l?1r z-mdj^9p&Sm2!g!=+XvSHdQ1%T24m&r?^f|^eG&Wp3 zifZMrb0=Y*_cg(T$CoSIxbj8XVpLjKzqV23RzITjQKUuVrg&_FldX*CTDd`UOc3(( zs{sNckOiVD;Ntpzdk<$Gsm&~`SJ9Nk5j%)Rs1Zc@&K!x_u!k%lyDgi5X@F;j1wNAl zZQjx-86kig;+G3y7FJJEDO)q(4^wa7$r*d$A}cYup&c_ohHeD)-NV zM|NxsOtV*EKr+@Axqju=kelN{A{paL@!ZLKc49e@;eR*MIFn!o@Dt|~)c|69HUTmG30 zYw*2UTg~(T9GgZu#;Y8+qyiuta&TGFkW=T_gaS!a?MSh`BCTHFsjjK~+8~}*3nkW1 z1~MhJ`M|wQnNwpOh60&9E!S%y)>tPPgtr&v<%bna<1biMe$pe;xNP|WBue;rWv5cu zHIAb>tNzQ6wAezu?uai_N~L*>TFs%(8@#fg7U@QwIWAKw0AauzO&g>lk8+$P_i)Gw zk-b=S(?~8?*6_lmD>Am)-R}ClW>jmvLat@~`y-_o^?Gf`yCqZC*1=W(mRl5grdi5jr`WtKk>Uu0~Ho=>_g6VxuNx}rnm7G zjVR9UKGom{Y9HLBy%bp8_;q9MWx?5`q-AI_UPPQ%oRjZ}7$6VGZzt;wh*M;zccx)p0aiLr9^7IHon zv92%wk0IOWQ#MynuJ@VC66x;t&9Z$8TSKG4gX7?};^%8&Ym`{Jr5#Rp9n5?M8nXcF z^`LL>$7=_^2cdoEoqVNmvq&c{O8QO-C6kF(f*!4}sniT_T`{~P6~DerA`Ee*?!5(! zK<#HDd&VoZ0OedE+*6t-?cl;zyo}h=EywTFiy>>x?lLRnSMQyU7?zvmudzhL8;KCZ zn!+rUjowCK+bAqDOR&>?qyB~=Oog)c)P5$h7f(9{Xe1GX47Y8{MMoYXD#-tC2hDD& zuHR$<$J|#fXF#TJcTKTU?_F?Q*kt=#UUlD?)~~!BtuihO_-P{E;sl3IKr1t>+h~p% z91@a{#IBX=plBvkfy@${ar+u@5uprZ=~DdaRMj-(nk9&_3(>NSci(wZE5lC|`P7CN ziy3je{J6!C-vTmNtLv}ZKm4(2ou0>*go4doYu>o=NFCYw-+)H+vU&avf>LqKW$B zQ+*W;Te=sAqwH-7(oa^||0P`QuTWec)v&U>_U{l!W_bAv%?jueqc{6Sw(GRg1i}>Llnxu=@w3%|>d#bo z{M*?duO(hkN56~e1@j1dgm3@gc{e%|aVW+dL&>3Kyg$^gaIKa2Oi4*K@q$m5&h;6A z(qWmfKCCPj*L#q~BaT`G?M7@FwZ&t0@^yyA-(lDg4FOp3sX!;d<~RBE6sIG(iTrh8}MDDi$8v=SlbZZ zR1DgQ{tHR zF8$01JwJ5#EM;cBUiNS%?2Por+Lj3L#o=3_qj#u|?Jgue=JoUgHkyD4hY0d2ecr^c zYLkecxqNxlu5WS26??c!mK-+r4>|PzNFZU_WQc7zsLg^18q)SwxXKj4WT3BwQ$PJzQxRuoGE9#Jh2o%u@XQs z2MF*7NbpNRN1RP>@T+4FL;r63O|xxeI8I!*^LA{JVFUG-@wy7#b`??d?HoP5424sp zj%e}8?bH)NbEj(>so{A_o2OGZ295^ZQXek1I|ku54|t;Z5N|YwZ&$MRIFROhRI?L+ zCsMlq@}Yx6Q>%eah!K&@CQPt-P4CvXFlIGklSvJ%zUk1K1#~~rn*$S9R+ce)O@~wX z17#faIh29022pC0bAYV6)Ux2Un#WBIg^-{m;3dI zHb7n7925O8l<`8epHb2*|3t+8ledqW4|gk6?(KaJXFW@WT!{BoZ{1M7*&+XX!v-Ru z`UV33?oS9wT6>hAcqfuxp zTbYXh(yt4RvwbOQ6fD(i&_)6+eAtp)?m!0Z-+rB|khx0Z8*eo7vo6z-Y94#j+vsJo zl4xN{_h*Sur$XlbDI(I%wd-C88y*Ey{RupKGW{wbIa6u#QSt0pImDTd531BLlKci0 zJQTP0sV=A8ln-JkN)WIsuDQcWtoQkUd>Z)yaA&js)cAQ_p^g@Ra8L*ScdgJJqA=g8 zqCqNoMZw3A_kn7NY(F1)zx@2BuC6EG;Dsm6i9t#kR$cXw#04p#Sm@`mmNA z9PXN)R_oWZVG-GK`mHv?e_XeL05EX03!fXzH#BdJtC?k>OckxxKO}c3Bz)E{k5EIA z-}1^2XOu2a?k)Hd2EX$@;g8FRxz{RIq}@IzI>UvMeG01$C_+Dj#*NUfK8^fk@jMk( zHnah4hYq_rN}l&UAaQK6xjm9OY=7&;rZ)AtCn~g(%zyNG)XU_at%9L}92POG4sWHr zNyp7d#6-{uEPJB+b@EHyF7HfdhCPrV)#aj`kpWiYKB*Z&>UavB4gs}+!2U4cU2moH z=Ak<8=hgj&6U)|&T)VYo)=}u6W_Jous$p1WlLND^*sHVmoRpm**Jc^1mo|yjl1f&_ z_e*A53oBhYT89(uUaaev8l?Qe?WWPW*%-Pf5;5fx?u2orPBP(L$CE^a5ptW4h-R*b zl1nx(T$@CWFF_HGuNI9vj1VD{*H}=9bLoqE5UiTEn7Z~wPJdDDL8N6R01fgykIDal zhpgWH*S8;w{y`b<{3JuT$MW-g{*~8fi#+|7O*~p_l-SlxY5s{56Nfx3g4OC9LUwz{ zzUe*97hpsLN?&XI>}N&ynBcMEAGu$)JMbaHS1JSRfvm~?bgv?VWpVhT$y{g3HfzG(eh6W8s{1=o9`!<@+kqnD7^W-Es1SNyGx<>#xU#F&^z(Iajcdwrs6h3Hp~TnC}IJ%1>KzY63k zKB3XQv;C9H7}@-S@WWjHz;>DKukkfmr2}1*?cMJ})bSN7244asI1mR+U@lGY`p0xh z49X>YvgbRPQgR`oJ+H^EZhg#A@M{;^&;F9Hq|0YXw}3x8mEP zd%c-psEH90fz4jl2ph>FS-GFjV@nLac>3+NsCw#A+M^bzMuV{ z(k`5(k-fB(youL0qC;KWKV-Ch(2OWU0Ijtl>eETpdWfO3|?gh9q6@f!G>}%@2D{KS&}TDb7kV_wfr+v z?icUdHLS(vjX*6ns{E;Z&S0@gceU zJXg49J`D8B=-1%+h;)4bziGOer%y$KA< z`A;imAO2DxiZY3uU|!uQbX7;eMkbI7nCNInoA^cy_dNRldF>z!flPHMC(iywR*gtja8e*e9 zcbye_&Bi2{oh=k*<;v`i3YvJSA%UKJbKZL;p3S&FRMD5le~&_;SDQ_JU)!;DdN|dF zex#mBaIt+@IGUr5KSU$E1&oRI*kFr#lKJe?N!sCh5Tj1&dpM(04Bn?L0WTa-Acm>X z@ZkBUR^$ZQF4Bwej@Rp9``*py=+?SoN=v^W!lzfmwrVx2!Uqn$+=dFaB>SmGwCVb0 z-Z*)jn29YA*j6}JzeR*3QoW(3irudMJglTHfeSGfcv%blJ7aLqM!x(cU zAc-P)eKe(y(o&5-zCKEInW{xZcDr=yC~5(E9lJ=)ClfzvD65q+fwk$lb`gXE$&`p6 zl>qX5LoasF#A~;d1!^5C``lEVPO8}^igdS|y!jK3Qcd?<)+>BO$mn;xih<|XV6T%> zKz4a1ynjYyzL=6^Som7%>Sgv2`(~|jWrsxN4J1wsTDM#XY%vWy-^iDyNe=~Fje$`_ z@tjM%6!(Wp+Wh4T-q(<7_VTDfqUZa_moXjvU`;U@$3%|s>G_6U@hR8}I@H!t_c6BQ z8S{H$#|Xs8w*Y8P8oK4sAl?<}VSMxr*&g^}Y*fv-Hz}jYn*WEYbMUJy?!SGuYqG7$ zwmWgMCS$6}wryjwYpTiSOtx(|+1B0jJooSPKQDe=Fn7Nl=0Lk=tl6M zF0!klrhBGcCuq%mpL7^fHHhHQ(>uqX77pi3WDN@IdFwI55`^!!4C?&*c;<$Y#i|J2#cfyUzi~m$*FIe}zsRBC7ZMVM zLq^Nf0+@~+YJr{(jC4O=dTgUYfm>FVJ<~nSo~nx z6b+<5-o2ZoJQQR~7Kh+|Vg*|4)ApAth@mUY;$6Ct*O&(~!qpr9TggP1QJC{@r4G9D zSW+i(Tfa*vc=BD5xbJgCDnECU$W$w*RpvE6v%uW1g*ZUE99@(Qb<4GFm8XzV>bqW= z$2%@t`6pM(EkMd_3&PM6N$Sd6OLFJeQxb4Xms$>2Vl<3Avq4f}s-So)D<=D`KyF1~ArW>Cyy3gBw%-Uc$ zvpj8>+6?L$%)hLw?F}YV(Us7wd#j9AP$EP}JVZ=msjTK$ypU{~QTKYb`3|hfHvN-t zk3^mgZwAhvId4x_rI#`&ydFYMj&5kFUj}9jN!q+$-q?W^_e1F|vJ)br_eU@4W8eYe z2QV_wQn)w3toymFp)c%4wsSu75@&7ERJ>gBZ8gKjx~Y-3#98pD1m@`APIQFC(6>h{ zU1y`KE1hySrVL~$xhS!!x4zDjIIxB@c$^lP%+V!SL;VIF+WWkP?o1(ApM&LjA8;68 zLfhch6A`mIo!`Is7=6oXvgZD{%AeW%RWJC!pB3R7Dd?_^lcfWG4Rs<$bYtNW_cQt$)cs6B86gH6D8x}_d^{8Ci z4=uqbNuBln6>ZP|<%8^tezaqEeSn7%`^1pCTTz}eL|vwMv#9`5%x^W2B}2ho>)*;* z`Daz4k{1U(jGDT`qRg!^O!S&0C9>3p4BsHgC|7f%7;DwRn zoCu7Hthk1DROp2Ypgi<#XCcO!$ShdA=ni)}naZb#CFVrOZ2XVw$YcLl{q~#&`p2T3 z8bNzQ^N@%v@jKhdzhxFYBbGR(t!{JQrBNbgsaZv}rC9mH0%`HCO-49s0>r4*daSaw!(CsCKz<{eFWC7Ewo;Ggp?8~%l(tLgSU!+&%-NJ?z?)J!c3TYM?_XQ-!08px56k@TtgEI-cfcN2bM_W>sjZ3VzxW5P(DMr^4 z9((1V&H?`_TkDR%crqhqsPzGCTGQ6(q^FqUPZdR#0uu(P6`D7V2;J5Qx?kC}%=d~) zr{Oz1BoUj?4hGs44_Kt>l$u%C_s-|3L)nyOU34RpIQ68`LV7$ZAQ3Zgqr7p**K0ODCV+Hdvr+t~WTu!S+jOVzha0wl62#%Ix_@hR? zyHP4c?4He8IfQ$|_-kb}L8o^SB`CoSQ`uzw%DsUXcUX#%R{^frA8K46ZTS}o_nBH- zFx0AeGD`;1kp~2o5hB@JJJ)hY$-a)S+I0n$ox`NVoy#9Vj)man%(7dHz4x%-p752uVpJL-JWhPfsZM2ENnbT=bYIP zs_2H@D}0561@Puq_D-&%%8E>klR1)$25s=AlP#Msk+LcMiuyd&B|)|}-GBl&0@HqT zR+VS`)J}JBD<62~fL((ylFI!nGR~RBYrz{dh*wsp=4|5(XDO>5i?rzGvvfjdP8>y3 zu29s@t$fRr>v{XDuJiW=4C%CLN$_zTbTOwx@8y|qT+4{M35h{LOJoeNbWgCV_0Xms zl8bPV?Vw3ujqJ;TPmcS zZ3Cm@eq%x;-^t6%pK<786}DGGi-JQcZ~_>74%l3=8tC96s<35$LJzXZTS_@Vt=D=1 z$!tdJLwTwTmODASaI9B2VE+ujP#OKC*Hc8wPxbDrP;`&QlzGL`yXr%m;R0JRE)xN^ zZ%~wDf3y?AvY~1+EG$B;$C2kY4->nNJTU)A&lvz)9_2j9NZ!`UA0Rw z0T+6i)Xb9q4AAgddXd|eZlVD^wbI7nl4Uk0A&fIyvi)P5)AaU#Ks7XVW3G#(SSWD) z67iJNv1jLBcF-z`Z{1d{7>P^Y>bnu|phu@_q(0A8suB=zRgfxT2j8p!f9*bInA()U zhiLhjRrC)iE+S|FMV;f@YTUhfrf{hBW%L^1>CB>d(l><=g|ZG~s)Af7@}T?0=_!e% z5(VY6ifW1b1=>ujp^j~+>|%hR@JtQ)<1{l|HeC9fFR^pXJ>Ui(RFv2|iW+L@1kCFV zew@#GC`wUCD5C$MYR)dx9cFU&jXCNj)SypvHblAVMZo$jn~i*DH~y^w3J{)=AI09Sc;5xmkH1_U}$b%+Th@d{2IJUka3aPuCAEakaE)JSZ>+sAU3Tvvsy z(v&+B(xk7>a0L^gWXsg}S2*O`ErXB*=DEMOHXB)8{^}DhTg3VAf`dBHLbO(dqwi7q z?+!40`LNK<#Vm6$k^C?XS}t6|q5=>FP~FLY3xI8#_n(W)bGX{Jz5E%QnF(%!{HbWt^~6F zbl)`p(?tP$mbTA~Zr=+Zb7*vBNGSZy=&Is^bk9!BKGE6n7kn5Fhw;YW?pc`1H+A4x zHzH5(w%=obhKHeA0ow+l`DC`2MnWA{*3~97-Ng;Vg-3kdD6{>7aJX?@b7fOmz7+#d z6wI`zIp0h4h~zzVUwT?c%QzjP`@#b<4zILSHjYA1OFc&=)lG z1N#FxD#JNk!g6r7Jq7E;aZF;xTbs!JTFEqbvy zwiYxojNtIzDhL!)l8WSxs@z*2hp}r^l{ylayYOF+onb<$Nb4{(8&$HK%bb4!-=oJ5cZPHU0z=92u7pcJ$4|2N0gf20Jw)sw7u|ba)sUtC{7M;2v7K`xc)!z{i)0lq#hroaet3oUkT-l*3 z(LX3^`NpS4mfcjj2qsmrK=zQz5ICXV(=&}wcH5t3u~9R)a16IzP=FMvDY!%x;*APQ0pscK8HIyx@ShbZuGo-6#PTW`bG!=|ZQp}YBmJFzhb#xZ0K@b!PA_gg`rskgMah9oG zpL8-TTKujTC{mY+;^JJA(x5){@QQt@`wA{qaV4m+{(fgg9}!%BK(7@nsBz$Nw^@%I zCR}v1RMB}INjX#>*jKrAp@8TXgW8d=ZP&4$;rZX8Sj{^_P+DclX|m&ZuC)3dC35c* z=v7i9rJqKDb>wkeB|&SBfMs-{O%|Bo!5BrpN$fT{U&%W?sqE8(sn1i25A1l^?Z zJ&E|$6HRV`&mf7Bk>b6GD@w=O8f$=w@Dj1b15xFWE2FCql(~r$62;euP4mv@yMA?T zu^wQ!h!n5FrSWiBn=xR|<#1Z1D>|v)EoX8!m2cmRO@-Hh6jQN zXXwHP{iGqNuoUXyVqcxG{{Ku)ti@EMX1j|}bO7yPTrXHpP+KEG1%O8I&$PC;wRaPP zWES824;-yev}ak{T+*HhJ#PaKeO0kmHhUwGSEur|<-Da1SxgofA7|}wOuzkc{{U|w z;|InLb9Q1mQ2*r0p{A%#Y~|n8D~w}yWc#2(!W)%i&iJSDe0}DA>Jfakj7=jX2j3v?=*9iVv_~wB7!LP9s1N5Vx z)GYteO-#t9bYhU5u=Ub&K^XpGFppB$Y5V6Bk9S`yRMFgYE6T@E^dW|tdx#Vu=T2cB zrY?&-TjfsmPkwRR{3AkKanz2aB4jqyq_*q9`1YWv1JoMR`o+^XYSSZfN$W|Di#&$y zn#^>hPlvg_eXO&BB)CO;{>!>pI0L=Ufq2h%DS^%WZtp)$3+}njOuzse?D~L2hz#K3 z=kh(DLx+8^q+k~ee(y0$npM(LYC7Lc0U74wW^CE-3P{BehJO)$f!dtvlgTCH&Zz8G za4Lr1A3Lg7YW^nZ{Q3@iy08&ZlumPtqrapmE~efq#NL$rpu{x%l zt5C-w>UshE0?(kG5!X76EQ9ERj)J=4JY0?kDRh^of@FEDmubPhu_W$`SxI2$5x3<# z^osXyvV32)9KM#x1jp+IFE^-&6<}w;ZrFNEMY)ylkE@7tq&p34g!`_Nq?H`nCt#F zlN%T0mvz9XTg{2w@VVr7n|`XR+7N|0>;B%O_EW_yD0GUVmn*4BI;S0}*dd8Bz7Fs- zO$Q4nA$5cJn&*+EW*m6jmD3=t&MwGDjuABCa>-@yxoxH)_&S8|Sh;BTW7hyJlUWKq zG^kbiAKwA_7xZYntrsHeVYT6Uuw|L@Pd0pRJ8JqbCFW-2Tx7&2+}7^(dAhDV73UJ) zjWKo#3ThqRJm(6|knv|+SA^UW^|g=l#wpaTlH}$DLs4gPd79 zA(c(9o*R%%N7oZE8oK2NQ>3Pv1kgs)MnYr4<6=d>tJF<>4H}41KB=Hz;&I^HnOGs8 zf99Jr|BQur$9eQf@R!HI6t|?fNK9N$Z!PSYv)m+N2jMY{#al6kOonEnVVBxWXTk@( zVDIBg!O_JooP@H${${zsuQoVWy#_J#_W;ou0QocI*cqwzf;rUXfghrXG|4(Asging zs28=?+Bd`3f-7QqAjt(waHOu z>l-%@{&h`om5su~?17b+_usvSd%P_OVdZ6@r)6`cbRKlu^K%X^oSvBx1U)lg)zy6$ zx+=xWwbsHIK@_4z`P1>`)NPCcNN+_>57SQDqCM0@=9mUg4$9v(ovdc_%A zr7Ri%Ic`aT5nzokw|q77!_dcat>Z;RBnk8lhqzH714(-N_30i`cR4>MfT&2`d)@a0 zoFVfXN+lKBgA^LJ87Z)AqS>rw$asAIMNFPJiZq&|bDjJ#Fo+BHc^3>BC3st;AaNJY zDz2WdRB=xt=-Bkz-v0bMH?Q zowyHG@(Z`(;M<9}#GpDJNd6OnwIu~LShN&y36WKim4^^gW|TzLZ9s|(vZRKj)3eC( z!4kcxpt)q_k9z8r8WxeQ+q}Jv|G~6uX|?bR^Ij`S$0e`Ro)?h!p?`6W#b5rWa6FH5 zf!_)je{Bd2EW2j+RzJ`I{D>pJf;Dh@Rv3>q@Pa6P>U~;G79i#*_)Af;QJKrB;wKV! zG4o^xe|~ugoV@!bGC~yzYIBGD2@n^B+*t7!;+L(R$GBnW8XIH-Jr<_#(;sqQz!s|XgVMu6rc=BZ1-l&EXARwKce#ICv`kISMF&O7)rN)OQT7fTJJJ#4sH8D3{f;kge(z7i*NT#U(=9m?J0Ew$($#lyE%iO(Kt&aCo3o{AKN?$R@7;Cf)weJMar08UQ_&-mXw} zb#+*iO&9udU|2MM6yoAVc)#NPRF_>m8$i6iwu7blCZ@iKW&p(ELU2nZd?w3v^7}i` zbtw*uS|I*H(zjMS`J0T#<%%9dc&dj6aZ>iF8Lwz=-7flK<%9NM5b^_8 zps;qg(6UbM-GbnS#q%N6`VF8=qYsY4gYdB2Nh&m$@_41xGt%Y)6K9Tr5+izm)GWsj z8-r=!x6CGDxzmKPny?^zk*)_iD(Vy2xjdz@qBQBPJ6z9~VDfjyWha_m2k}K@0`r{T zQ~G@ALe?a)wl#xECjVUdk}qKtd+sb^W1G5<4_c3#;M8BXyoO-oPy_-ujfA0QE`o_s zCCi}UeL^pN&EP%+r`gcyH0WCFoJkO2nZ=4fDxyDLu0L3;{y;rG)?P#{3VG})h!`o4 zd13krfhpc+_}}2f?xdY#G(3n8qOb@%WHoi0jpmuN(Bk8n(f~OI2R9v3t@e+&P$a_4 z3urM|DbsbL6tx?J5h}oqx>if%a=a)GSqI7C{(0h^$=JHeWPI*NL8REtGqPcebt7m8 z-`F;yUtB65W87%P`-3Pt?ngmh8QeQKIC)w8p&pU1MI7e01KJ|qn$mWDENXeQ8-)91 zorwGT7{$H9HhPxu<K9I0K}l->8<#w++PQ_w~zN>0M7Mg>_}*68wn-v6%fzvVg<)x zJwdm8cZ2RU^*u4_zV*KeFo95NEO=i?Sws+e!NR0g2uy2-MR0O7au`E8>;4eE)jZEt zWL{y(vS;GJC$~t!oCuljK5|o%aHC2YD3tya&SML`Uz?;9W__naS%F1OaXTh?3LoUR}kN$w9Tf|A*m;(Q#Co><-Sof+lT3b2H}%}{Cn1RSe!l-Iy`*vEr>SvMj_ zD1Krjy9R}l$jOl>)RQ-W@FX?l?XgmG;mS!!NqIfVC(kagcM+V9=sXQjV7#O?8La)J z0Axk|xSk(vH!sb3V5O5;qJz1r_33c{AcV z{`I%%E*BP0OV7g+p8Sb091xb_lO^PVAjvW4WXFXEU9vHs|gFAw~H8Bo@ z{dIvTtClQ6&*!v(xf)GKx~JBusRhZPZz=^1(}I4Xqv(3SUtqTU2rgT6=+CzV5eGp- ziTO^(ma(GnoTwsO2}={qN zXni&f*Us~QmC%h?l|&!xO~I4{8?|yPDq1%qJS@b~7T!r`i>2CiCqh@1`j|{nQnk@T zbLcVX0|8k2^HQo>e!CUeCoeI5=%R)v29V6;+pdXY{5597#T+`e0P(c$YSh6SCJ#Jn zCrNvgEn>wleA=#aB=fp}&^O9Y5XbY~Bvm8El7Pax8=UUt0cRI8&Okta*dz*i#h*#|gAwpuVS0E8{GL4}OivQ(`q03SJBW{YizB6qn>VGX|26i1ny$|nSktd& zgA2kTzlcaa#oUdIn8nUl10d+Z@4O(HHeR=5j>wzqZO?}s5FgXP_qK0OT4%EN8+|eW z|85SIcuF@GvX7U#^1nd63!i^9%n&yLd*cdx2}xPLFg&Mky_=IH&>SfEBzypOUbg|4 zO!Uvt@L1sX`8ozL>O0M7v_elpi*s(1LeAKA*v5u0S_|9@hN4t4Snf~<+|CNE4lC9Y zUvKI^Nld0!V-+%qjI?wICKECfWQzGc{2}Uu1XjU=Bd<)GF6R($fP?M;6d@^x2x%*T zXvmzc3F6SGT=&;;Ii4Y`&H$qe3LJq2d29#HJCW{hW16E&BbYFgoJ3bsF{K4_U3OE< zAfVxt1&uPfv1yJ>A*fFIa~Ca0}5>iXh3S(d5O|=&L$_-Yc{FAM_re z_~?dJdG>r3)s!S*hn?tIS*|ej+a@HU$$IZGA{nNokEM$6up?hrC7w{V@7)FrS}70* zng238d@|w>MzTKHEr|t*8C9A@@0+BW>?YsUa0vui9E$7-D2kNW5he;!Y*Z9^NJnf= zTt@uqjbd7A{sT5D^|^Q8DAV33j?-AzBpxR{EQ^L0V1IXyb8o?$U^V)&a0Dj)!-I}@8|=8wquVfS2~$U{fLZjbb(-2>yBsa zOFSAHBf38+#7t0>j3?)He=e!$ODtAMUhCb6K|fnmYj)xI%ij_Ni=AmlS$G zk(j$uO)RUKd4?EP)Z~qtqv+=FrPDM90}uOkqG*70{|r_om2cv41Vz*K^#=%s%zRx& z9(HFI&1Dsu;E);nApm@Hze0nIhXV=7zA)jYA)H-{46?%6J;)&fH3`%4stXQ-y`iyC z@u$j~Lz(2C@p2QzdrvFyz+f^7h+G%H^t7fpE`QR^XB(f8F|EY3r}9hNS0K{;NOhlT zbzdR7n2;0@aFF6rMP9_4ZGV7cbj{c)PkN?;vc_Xy z=rmtU{S%35beY592K&7 zQ?8v><iFNouDAJ5VL^b$#%IG%SK+?gK5YPqv+3HH9 zP-i-|ulUb+(h*kW+Eoa}#?8^CKYV&d%oMe5kcpT#bmtP6=c`^%_`4sRwCzJ~d(Xj= z11TT)1XmL-?-r^<*159~mu5AHwD=G*8o!zpAfyNo6(5%SA4Gvl98w3TE%3kaj`C^x zq2#fq$5Q#D+VVc#azFcda#dTeh6J3AvV-Ulp)l|k@Cpc#l+hE|we8-;p&E)#EXe=- z<=A=9As@a>hx3$~2RL2pF9`+RI6qeSm1>-bef(OmlK%|S`J6s{ChVvWstOa@UHF3W zV+K`GJ#c%ElO?A~)<3ao6fnuoLe);16yrIU6HHf^GL^jLha%pjhX2l+QD^Yc9QTHd z2hQ7>C^#)V5lB7q^&Vr<|z)BNPINlp}jbXvshu~{rMq`lxY zuSuq-Ngx9w4aA6v*t5N5ve!mkIH-dyG{TAO?522P?~xWC=x(Khr&;FJ@`AyAfg#7- zHgO}mp;16@vQX8ZT5Z!?@|wSbtC({Y(Wmb{zRji`NYc~E`L|J z7^=h|)^qp5e3gp5l)GJt=1O)ijaLbP75^}b=7v8djayNhyO^E>C;kkp)r=c=JThTg zayUob5oZI(CQ?z++v4;)tF!Hq>4{Z>;2NNp{Fh^9g~KE|25Dz1fHF{}@KwUVghj<; z7Y0A_ZXETNulVq7CyJa()O!xs$n%1{1x%?j=6}+7W5`COk2;=_H1ltivv^^*V<(30eUW;{SA&@t{O&$|Q^=Ai zp&s|*`JIZ1-8X8zSd(sUVnZ77$h}+%o?vXGrdE_yUk0c}(tzpZ)3;wh>1`8TP&AG zMoF3ce(!nKjiTa+p-d?td0&Vm(0~+NlE^8Ax)c*3j$HdODF;{MCFWF)Yq}OE)w6D& zfha|~N`d{eO1Z`4JfP8OY`VDuh8mT#M<$y9xWTC(np?iAJC#}~Ra5Wp~{pBI9RKwvNOL@J=@LE6s={R5TFvfGq$O^#MIF)JzlB0Ekj zSL|`=(eS+`gz9GXN;PaZAN3{A{VYj39tw->zB2;w9sZ7x$l`TF+jNVkSK|I?ql(S= zF?HW;{li%SQ2LL5hCa1u5c@}Xd5{Hmx1V)CcY`m3<6A9|Wn0JK;-FUMKehR@TM&E{W1ybAW7rPCR`?>0StL$}z& z=9OVCd}I~x_FU-MW39FvKu_3wKtvJ|bwH0zPY34KfUvf~lvRwpC*k3iPJVoBs#di$ z@x5oJLD|H@W-Z#(PPjRAFMe5lbR7o zuJB0zs(L#v>WfSaGl^^|(tJ<{DiOXAmu-kqTyIxWyr zdyRhoFmirV)?r8&d7C<^6GRB=G0Ha&zu#;?e@kH~;qz#@nWbt>MuN{W^@=&;dUwOO zDRcsdrJy!Pc=_D(Dv&JwMIxJiUZQSU-RXerc0mx{HI=j5UxZP&u_P-nS)2^RY1+JN z1tY

    CfNf%O7>K-?0TeghHr?%v@dcOj6cFs zdJUk9_D+mHGAoVA--KV1#N{E-40HTjIuEbZT&kwvH8167=S36qf1*_#{{XP9U@4NT zrJ!d|MRStOA!j=8+_^N1uQ_excfx{{c!Zz2Cim816RZsxUnj2SaGpM#I2S;hNe_bg z!a@sHx2G@g zNByo)OA6^-_8C|l4W3SO;Yf>U=jNvVbYYl?R=HB3xn<43bo9fBdCTuOz$K)MDSrJC z|H`-!_CbvO02sMem~7@O*W`6a!_W1$tSbScZ`>#rv6;%C zgV|pswgRmdyY3>TPrswoJ;fMDMq&(k@GsO7#aT%V7@dcJY5I)&sB+Opja2>()ngW4 z6z2Dm0^@zrltS|N!vkMWVS`qYLmxac9LD^{>-g}KA2$Fir&__)=gWn@rq!Pj9vv-QZX!z*l7702~7cohw)dQarMZs$*R!|zDD#uSBvfYqpkr@@orx_a%8 zNzyD(!d|4@-@Z34wHqwO>fdv-8r|Yml{$zDOr)3LTIw2!fi0hpw|% z*w4epa=@Xz2voTDMqg)V2JnGM54^eMkcv_kjYIo0WRTiu_IJ9{#d>+B0Bw+_2kz*bP; zn>Gn=sBPUNd&nR0ZI3Gi1Q`+CVk}I;^^Lube{_ui+3$-b%O$T`UeB_JBg0pDoPt{ zG%%0$aQ)bKAyzJ~g{$RNNf|KZ)6-ux+TPr0WaV8sB*8@OM<&G7&(5i4u2FG-7c_A0 z+|SeNvX0U3q}&t(25_On%T7D^Ew#myi{xKc2NwV0rAM=CY_P03$^DLhwQRV!7aq}1 z3<|s0j<3aZcCI$L(q%__RzUP~UhL~x9<1?dh8+9izO{#EN66_ih@#GbgkOVzd~z#R zN+KmYrbuWEj}=>rM-uofKh6SHdhnUt2482X7BtmVUT*DdjDuSKARfI5`)crV=_0n> z(^LzOXLmY58cvWX5;O{0p$WW4_WK!}5S$ZHWFkd6K#z;p6sP3ei`*g?x&`?Gz#h5- z$Zr7e%%)*=KAEFsBF4^1T(Mkg9+30+m=WFANv?YGIXM36Q6=8dk`92S>hEFgQ6xv# z>|1F7f+mmr>w+NK-7XhTJktF*;hwoAT;88YaHvRu1*hqshiceKA($uBrcKpm{*G_hQ zja-={APz;tWwU?;;Mn5P)BMZ)Nh?cs)vD<~rw=3dXu{IDNY+roYFDk-=;P|d5O4%9 zxT+UP_mhXlcH8dLE3b62o>jNXAs#trX}LzvP`;!DIS$*e*Jwj?p3F)0;y31X8RDq` zyUp`!g@o-8@ZT^B_;RFXo_sq;-m-y?1YExrkzI^W`SJl~3XIP9iIJUQ=2vy1+8B(aI>|`un4FunaRU4BdsN>;M^T zy5oRm6Efxy?j;Io)E;Z6#;|FAgqxZn3s>UA$*hY*N#Xq5Ci&0J{e!*VujzC!_eUY; zo6Ub>J(AF@Es+E|z#r{NTgL((x{CY$P-3oVoLbXl`SEhw!4GQOT9O3+fDN~H$%=@n zU~rrt>G5)e#fdm=Cy{TVFV6f7C^ryGEebjt?aLgc`1ZBEY8^AsC%*q6yK8HFY~N>M zk0vP!^IdPuYkDQ^v6+DUavE@X@_V;p$k|;E(DnKji;iHvyF2m_zQYy*uhHgD3rTBa zqkQ)b7^`(v{E>_S+t^>wycWLlwDD$U+xLxhKd1ma+P~=l1;15l@|oy&!oV_VM)%$) z3T7(gFcdtWLw9RZ&%SmDRfgF+rJ0?2+|O4)0@l&L+5t z8^26E@A`dalW1LU0y?LSkj}}*1NM4Qnt>GyL=htn>HfM3so9qmU%*|_wmukM+hKSK z@9DirjN^tkrL_%x*n5CGUElooaGl>dIA(%aC7e48^@owE+s|CLi}e#c(9w3RvNs`L zt|EtlfafI|f<;$2#Iuzaq2OZIOv^}09a~-Qq(z#7tAuF*Zd`TQv7uoY(~euavO!aU zc~Et;u11k*UUU$-g#C!Uw*Lr^{k#kc%E*)krif18_6wlml&-NP?THn@3Sj2VI4Qm; zyY(PrDXiS|MC}0=xE%%;`9Z&n-s*}#h|P?qJ)efM4#OF8cd5nt6$;Fu=?+NVEts_P zOlK28UbsmGZGJ2QdZt8uwdZ`pLkK(&Uf>}14>5@Ge}@~6$L5ZZ+?yhbvhA^?=Q#tI zA&d_NDu0A*c|_>9f;dORR5(ME^++N8fle>Oh z^4sXeYJJSclllH{e7=EW8(sh^`1DDyoIe2zJ78|eqHNZVv*;pnkNb9=hwrcffG0I> z0Db5gp&CK&>Jedsvx|fZ<@r~u(g_KqY=P^r0L`GJp3U_bFeK}E- zGT9&#aH`2pQWm#mRxfx{{h#&Y>eG$JV{1k7 z)yJyc*WMz+faEBgaWvN>8lbnLl1l}a_wSTE)@64Q&? z1qNT^Q{)d*LZZ`I(x+Y<%+m~%yT3!q6Y}D5TM|>l$k{rN-l*P;CYK&L8`Z&8hSQ*H zb&CBs-`6bYR{X&RgGy3oCyTwJMi^HLJ(sqT1X!hoY2bO>7I3rq{g@{zY}#E}1YME( zdsJ^if2DV2DlTFHbC%v4(*5vSI3WjcUd{907W+C4d%R5J5eD!@$-nqHN%=*BPCIW~ zp!$MCWvo0oa_tmvoO}Bj62!alj9pQpVB?bijdU$tk)WLw-v5XKLtjK^iziM+>qU|L z8*%rHY;+;LZMo-t9If2?BB6N5(o7UwKG1dJ-BTydDYJfoS5DLk4s+p`$;~Uj+*guN zB$80CDkd?L(_Q+XpkdPe4K|SxUZ<^xy;`_XLB~B1aIykDGd94G_rN2isupF^6uWM0 z2eta5@()eyd`AOV@^PX7l23fN#Mn!^EA`3EeqdBo7PuC$-ZKIffO4otzyYKG$FhB= z3jxJ`m&Co`3Q+p4HUnr9I?I*czHeLZ^ZI{>Q#YmsO}DoGvYOa$o_X*D#eL+$(!HPt z-=HIU<_q_z2SGyk8`n55U2faEcEs$Q5^81hMpdo1MfkD@Jm;qk(8ILM9*loz( zYC^Y>D4yHC22aa{=`;G84m!-EDxQzr6Rg@^4+yEk(D{fBxpsi`L;t zkZ0l4Gg?mJV^~DZDk*i(&=H{Z!1-};J2|~2>vw8CqWLvCF#G0cvp%7;3xcj46~PZn zQ&XOeCBaZsv8H4O5h4(ibl=_q=_vhT*zXwv)au!XeS4fA_Y~x|wXpM)=%?yab{Qd6 zor%;A9aUpCMm<*8eUVed_*&{sm}cgb&tZH*;Gb*~Us4)3RVY;8X}%_8HM7npLqd6q zxnE>43=WFAy{smYewezzmuY|iIn*s2SRY2w$LedJP*G`g}jcV}J z*s|Bz@{k?(sME&e2GSdNN2E67PUi>?DNKr>#TX$TPU5`f5#13aL53;Cr-B>QN#gPt zZ|V$cY=Z0u)?w{SS>4C%X86oXZS?@C&|N9{@`^FfvK@y|l*=G)9q$s($c>im>z@cw zF#|w8Vl}_88iW076}0P-YvAxvMojbq18@rJ+=Ef6>UiK3gC|H0|D0LTwm2#Dyhc|lD?)Xb|Ko4pshte6~%U#9x#RQw!fp8-MG5i>q9xc*bf5I0^5E$d%>#qt!sI9AAbBw~ zYkWpyH{8D|kR6q(SAq+xtA7>W-vGDSUH?iX7~N;UmPE*blsoHHBPh6vZOuR9)gNU& z2oDJp@xV9;u5B|bQQL``WvR$l83lwY19>BF!gl|t_vl4?wHaNLzVLDdx zz8v4cb{z5g$vROur4T)K0cKa_Be^kc766S3Xs{UtHD>QhbUSLKizxuZj6AQt}>|U8J^wA`R^lz(953c7_I=}ySep0 z9kEHunT5FL4ir?>Cu*|2o=J zC(t9BP?iKtn7$_{47aVcn6Vd7{2<83CjOFy6szhd@)7I76+80JAeUVv8}Mtj(WjJ@ zN*r*@g#ev4wKGCCQ}xMZ2CkoDh{)3?fmns#va#^h>Ix8anoOc<4UCG9klbHaffB4a z*T``~MHiBLfxrN<{qu5nk}lNJCQ9f?C?YQR99r-5vGFOIxIAI&LyGB4nNK>0Cka;Q z*`KKmzP~s1_|LBDy+h!h=_U4PP9?;DDu)Ns+3vxlkmq`tiMY1HG)7sxe{&J?+JBPC zVlh}yNvz*GzzAH|(X6o_P_9%Jfc}~pb{l=I-Q7+dkSu*gbjQ4)U78C}IF$gBiZUi( zwlhIe;{x*RNy`79#x86A?qRbS>>#(znbv@`c%Jq4i~{dI+d_$x6?k?HiuVWiDf(GI zvC^2Jn-hgNU(oIqOBRyGQPSW){kYJKpQMVY4+x~>Nv!3!T*IBK(nrkZcMh07?*x7E zS$o$@3 zu!Cu&bAEr+2*1X>p&MfO8$NCJ3o)k|CX&u7@k!AZC_5Sz10r!Y0 zmY`-rVsy2JY4F*yjek}&QZNjx2`_=Nb5`mdzF}NJ9gpH|NW~JLc|JhUpDfkob3LW4 z6WFUsK*y#=ViEd==$dtWL>7gV0XP%~&rZW;kY+Nw1 zCyUci-PAyv1lbAA5brSz01#|y08E|Q%Z?m}%`!w=D9ljaW=z2wo}mfkPat;7%7YGs zhY7jgXeC$Iay~OBAtj4oOio_w+UQKk#n{-;n4lPHChtwSFie=&@fP#16I`wFVE?}G zlY*YId{QrZZWJZFzK#BOH%7dQ9O6$l@n%ZeW?!FE(=cl>atvc*OR)}Rs5Um1pXDW? z$+sMolvGAHsh@lD_4sJhvRgjrfKRFZ{fUj&N}u$N^gWA61)!4qY+!&tI~I?`upg40 z@3<7{w<6z3j!HsLWvEve^)@Vrw;RR*Vaii^Z%;yiwIq^Z>qDz)X^51nmxu|q_?RfG zI2MQgY15i1HK-caHA_LTB)LCIP3iU7c#wkGRuZ3p55ud6XTDcv)P%`P{a*UKGpYktf4ewhuq&_V+OT^ z>O-;LW$$w)#OfX_Hi&I3!#Hsncjb{HXBRBmXh)_;f z!^Y+~j7EQ{YWRMuPZ(DMdO&gzUHvAH@+9_#;_6b@T7G`4XAFLUVoLdvfD0E!Wi{E; zj6&Ije)#kJcMvdq!~^mHGFE^c=4Ty4oaI#+x?#{bC=5v6BYV?OX!}C2@Jn1*jbkP; zF<{d21{fou!|U z`8|=n-|n8G)zE-RF60Y+iwe~XdAXl9Jdg>XoZ2+h;R)L|nk*qX_&tT{cU=)VRZudL zR^qSfJ^%^C_|iW0wF`fofCEePpu)nd&x7Ao&n@s>c0chfa+ii;Cku#-@Cz!IwNM67 zF;h>p^wpy7&REDPtt@NZsGr@@pXw)BD?SX}i8%^uX9?^JuI~6^>fJ12NAZ@N^tBoa z9Iz~xO{FDC|6C)enNI*!$w%?Pn|X5=@rw7X4r3WR$kJywR_nK!ot+99TBho&eh5y~ zQ*%&~Eb#p&qw$CTL`=d)`ji2J)*x8ayf@U%D3MzsKO~?6D4l8bvxrwQ-!$@m>5bcY zyBqX>uSpp%WmtK!Dth_faRAn{PCMlTF$9T%YdEQgu_Fs;coy>Sqboar&Ae!@*@C)9 zOyKfR!_51|=BxL^`UA)2N-W4De%h)b4yLFG8#66b&~uU1GY_0Pmc@S#oicD2 zF1MH z@E_POH%{?sBmpMhLLVEYaR75D<}Qsw-T|T1^b+3`9o~ zOwhfrAsj8vEgHVBGXy3l`jDUE)e$Yl?#SLAX={^dYf-YP1trtP8)u0etY zcXtV{!5Rn<+}+*X-CdHP0UCn4HWu81ySuwPoc(_1{O5|kU@+*>J$BVowbq=uK+X3! z1q~>5c;LrK$B6^t4(UwyQ$ybaHsspc&G$hBPV-SfNo>ySWZc7zt?v@N|7P<2faS3o za{Kaeu9gZ>fraHWP>P=W<6y9`p7`Q7@34mbpQ8+wAk+t~>3h}>bi%vk+Rn5_#+#!U z1ZjNT%ia<@f`^*j`G+ijkEg7~3!fKg(Btk8O|AgpuOuR3`rh#0;u0dk^}fC>Tov;l zv{BN@7mpZ}%TH=Ta#|s3rBR|%0227*d!vWv>0$0hEU^BOTs)q7u!&d)|5@a_aKHZx zzWl_ru)CjYBs1S96bvIEU5lRR7bWB$l07O3Tvp!Q9kAZFCJPhozHKsUOo;FC?2#=2 z5m@230H9$89E4NfGiOZy=y2HfxsSLeF@X})Q=6BrI$x3lETim>>1rGe5LZk+GaEQ8 z|8s;YO4{$9BOh@YN-fvh3IWGtCN!;=q--V4&<4V@>}xPw{gW;hK!=p>$fH0?0JH_% z=FGK28Wn0%mZqV$(j|{dojS$EstRJVxcCor8}&xX=nkAA$)A{h<`s~?_@0QwE!%|I zj^1uixt%m^^%^iGqsze$ApMP#JUjNjynmx!R2WbvCjTuB=;|eFTdV?#k$z8^uYIB_ z|MB?sOZb#OrBlEo5gpn)R(rs7PbsF(rD30~6A@qN<2|s>bT+dbdM{{bRJ-IAPYpqg z42U&OcY6JxlUHU`0*g*sw`HSI;ovjFqpfqZQWdV!oVSD@FGXmKh=q?yPY^~`3|MY@ zhqg5pgUoB7i(&;`@vLrgaD=~ydr006H_a@Lh{|Te96YC>f=^zsD$mwfgAW}Dh^0Dn z3y(BH0NHKReP-k-p4;7qhQir(K(67pDt||wM$x1K0R@M7?4aXZzbE{nk0fa8So=zj z128m%O?i4L=KrU;5WCSgv>df;f&%n>z>cph4FiXmIViQ&9oPZ`M@j$&tkE(IW|*NH zkHBpWO?I0GI4=Lsu;jt&Y{i0iLnR7L-&r2&zTOyuL_6~P`nHg7lqoB@SwDuJNA(zcreJcfP?%>5LqzN=KO92AS44|z2}EA*=2 zXzKdPZQ1={Yg&=5!~nhd*MW@8G~e95cnH8dHBsQ@{+jc!yebtK;FOLo87n(uWq=9O ztA@|F7o74(q+R-*1;>XR%KDt#Jrm( z*C+5v#erj=tDn@kaoY7 zOpVjYD%Eczrvk|$n_Go)zz4VvjFvSSwv(R{YwtgJW@dFy>)ac4I0Lpn!O}B-VZ$vzz~VX@}mdYyddq zeO}R82LKZAdE2jqIop$-5YHXnP$Ci5=o80J3<^?xG9`0#jFjP(%tG`s z<$f{$ZPmtpM(m8Bf!aJ_GXIQXvJblva$~bs=_X3mhjMw3`Sx;#vn{2MdTN%W>wM=1 z$vp8kn9(8+75Rbo`TYhhXUf+<>mi`_cVO*fWZ$ZmH(X@M+1g)r(l~jE3L;hQhZZKT zl4c|2R&$YeY+7B!{1f$*Wv8&zb{t{;5TkA@(f-7&^hceNNxK@_zH)7_0E_Xr37E=) zlAV0JUB+Ar3OP5qBscY=OC9IItjmXvmwbNzqd5e41jWCs6fjDMq8NI=9X$D=w`+me zEy8)CY8LNtl-h`bkwk!yl9IE8h)^s^{qwBpZz>abLcc2#Al_t3{(SNd#>SV!rR)HSrQX0+|` zX*}(bc%|A23zbxW#KN{JrWEY!elC#5d@mMGw@B%GziGmxtjqij&{wgwKTu| zUFv)#T3tWmBnNl`++|Vwr}G(hJf9H~@s$HX9=>WCz_9SsgP!^qN6c1V_d!*sEAIYW zBM_K(eW?B!+a~|~S#&t~;m=@mW>~Mv5QvvJqW5?bZr9 zlrVkh-FV}Zwq#k3I`k_tDePv*;;H_cr9$D|DqT#7w2AfCJy4Vz8NQN!l+# zOjiHf)`r?rr`mMULY??WKCw+ix7)v0E!UxW6Up&)79;NbV=%iQ+<~K{ZE65_HedM4>Fk0b z2|sDA6*g3K)5^aDl*MjclajK-4jy>v9R35}h;o;#e`w{lEqcQV{LI5zu2=newoFyh zWGV{xG*{u-rEs{Z)IMKwc}O%)PPR83z>wCt+i{hvAsjKPQ_l8bIW_6kIIU4A$s~RJ ztdzvT2?I!pzb`^?8jfagKOB#JIZoUcz5>V7UIGRvtMl1QtrNekux3hC@fo)NkiYFng0=O7p8mmEelMW0zNBD0q#av? zDIrPtt>A3~>SP3Dp09DO+tFMatd`}1_MSNm=$F*z4YkvS+{ zEu3E5GP6JcNhrZNk%JwIu*t6Q8Hk=9o06Fk+$NkJWfUvRdFvOwUJA4^#{%C3yHx4K zWMah=RrwB2173BLaW53nIL>ft{R@m`{7+0!47=;witbErglN?osX%5Xv~KpCK`VZ~ z_dR!(tLLH1`{20@cP5=!Vh~QQM)4r*8oHKC(EL|7@7~$o02^&i ztH1yTyknEjC&$OBSM*8@)^*46mhCv8F>4SwO!!@p2c2vrgd9PBeA3mq!}d}oYqh%8 zfH!tDGs5z{gbh(88PDD z=tPH{h40f4?e_x_4EsM7-*>PO;jVOJ`@ugAm>JQfU0obKm_xqJ&u zg^y6O^}xG3diuPgHcUi~=iWS;&4Z5aM-ufn8ikwtp%B#&xMcUiwNe?^^$*8DI3Vq%LRg>ecYP(FRcSO$FIsG02%AJz?db9p0@ z{HTfD-w!PoE}XiJH=H*=N!`ASGo87ZFBJSyh_r^vhm!!IWK^!b8v$EZY$_Ofo_BH% zEsKLRnmTfGBVm7>EK(ag)A|x-Alc?X$>& zgP=7OBl;h1KVK%0nUxqaXeBC6HbxE3YEnXL@eYP@meJy8AQC%&$3bWfC7c$N)X;en z)~Ld+CPf%*^U-@f2U)G6;X=~HQw|~g`OwtBgP2Ceom8|tCxyb0d1wRCnQMN^fBq~Svt6mXxG5;8sRq|tP$wK<{%p`75bB(D^Iek}K1Hc*f!9 zbE@pr1&2)TS58n#K?t~B6>M&XOxR40@Xz@wvu^}re>09Nmv%z4RZKQor4^TZg56|$ z4HsM<+F(LM(cevgzkga|b4S5dqI6tER@m4Gf=~fjf8k}nUvQ5Tizb0Zu4e%4@?T3N znJ6jjKSPI;uc+i_HR%6~-EJTHL+g%ag>k;z(tH@g&;~>nEE{S1l~DJ{!S|1Dg{auo0m?5EZ)dHJqVNe zqdWlk#DYvP?i-cQZ6lt1x@G*}9pGimqQ2|vN6`YtnNfPG$EKy}KP?M&(&+2;_AF0N ziIW9}KKnVERD0=?-TD{z)F&;8^R-c9!y(~8fTucu=y}vZuWcVZE4MsvNIDbAplRJnXncHr z+}P+6((F{g42QS&-0;_H3e)Q44kz#{97ozNHh*uN^N*lLj=T)v|4wLli53R>>ydi}UPZ z&?{CTSIt&8aBFjq@#!cb_$%5`@*T7B@2fA8kRMh+X=KHvu}>kcLbJn~?~YVB@b84^ z)ADh{$&0OSrx%>HK$Bri^rx{LcByYSlusz{3eg{&MvgSjWj+1$-dZ~n&NeZGq%*ng zde_>Y!KU^OoST~)H%(CV`#=F&p|{qgwVEf{N})6D1h-M13OHibjw$fE&-i$(R3YW2 z)U}$pH^Ao~gxGQ#+c#|l zH%T~|O*!MlDTS*fzLpL|Wm*5f$4x{N(tD&tQ)TF9*>$)b%$bw}7jpY7-FQW8rk_c4 z>&2D6!z!F|cPH2_1H6}$#S0Wqir}{dB2LNW^NzkBxdB0dr=DVGPH5*5p+{ARl(;jc zG;}!3&ZxpmF41=mm)_#E+GLn$hFa$*48BLA-K`XvndpZ-M>eJ&d;`E3FlI0K5i#W6 z%;y^c|JMgdtLrhcT*ioFg5&MQ-@zIaDg)ymxketnsC`{Yj=cT^uK{Ufu|eVyeAFteU(&LKy1}we2sc zU?N^veXc6z8}y*CJNtTv*HO;Isq3H#puv$%lKgSM_tzhNbg*s{Zk|$7Epg$U2%K`X zY*YxD?;i#~t7S*1mck=^3Zro(a^1A#GFTe?jPwUZ7p{4v-1HrRU0C-Mantuhz+L(b zBlkMiNsTJNaRAD7I;M?cNF6YzGk#a4CZgbDw+RcR&9yIFS0jdE?bFiy;>+lNp2r$E z3=|>F_Q$+8Z7BU5`xF#N2E0KlCQA7sw#+zCX~?7;;>DT%c*3f8+w9nbZ&RKH)A>_> zb{J1-4|R3NJIgbj>3!qCig!V^EQjk}N74DE7M~8kPZhR+ya&CF-vytg77>^`SkE;A z_F?>RyzoIKejof=2TOHdmxwh+6(XBMGjS~@sv>T3h*w(C?Q?}$8x6R)`>JX$E&q{* zY}mUYNhXLpX7m@tulv(>;hW%W`1D}H-H`B1uEx1@pv zrdg}y)vIguE;vp(GZUZwFMsrMFD6THjdt=KuVbQEpz?c$kfLh5&0;xztm>>}?oLOG z)QU9$E!ReLD%U=L1u&?3d5pw-rko=NKuqIVpZ-Q!3U}Sa zPG*J86Jsqhs+V5s{uCQdeE$p@x}>>kM#c;Qkc_DE<`XYjDTV*R&vS`-l1;bOuN;)v zt6hEJ0JdOU-<55U-&T$6Es^<0P-}zUhhYr>hZFx3xKB=0`8{`BEFI8 z^08lG;~hj|;9&7p{@FQy(ee&>+UdOZZxabnRJ}2MO?mp6O7%O9gD1Aku$S&gseDG*Q|ek+qaMH+U6kqFm;| zF!Io?w(V!~mE0cTVb&8HGBp4NDWugiM4DF9^c z?IXy_ER0336?xfpDsl6ou_gGADQBk5;pVe?MHsVyMHjRn5}FjrC>|OSKULf;mp`B| zm$@@ueY#a@l9E5stU;!?N5?!r3A(+;&4aHJ;&Fi2o6=I(uLNmAjCW=8GOJ{Gfb$Fm z>eY$%ldD&BUJKX6lYPWyZM|NQmhGs6h(}my{ z3biK+kXpu}k@p3{qB~T`gYZC#9LQO8{@vO%!7%p51zLlK%^)SJg008Jj6ONc?Xxh~ z^$(Wi>!I+b((@%+C^|SAxu}|-2t3PbaLZDJ(e7T1 zDM9!n4JR*4<9IXa6-hDY)JN?ij6h9M@<#bVvGBd+! zd~zUfIqQm{&2^N(-ZN)7KXzTs=0xt)Hwn|^WZJpylVSoydw1A*E?b8g?+OMKrze`n z&L3K~v6=MRevG~1qT#O(ti5^|8F~UbPLy{Y#rL9SDQ0;eOKW|cTy5x(v?2>s7lMt*mgz9jt=v^pJIbPWqX zxuBHM4l1K+Lfp51Uh382uQ7v$&OhBF68r5n1sv-`9#^Vs_;VJ3!9=F!=|N9F6;d3Vk;KH~rH&!@4 zB-*z?(T`_;k($pfERU_wY3k|N6WrPJ3Z{3)n>|k0;B``czyqU9tQxAaoeFRh z9U({XgS}!LwZ8oIi?{n>PMx6tP7J9{`AG(1rgIw6&5un*~-iOgs z0AQ5VGsw&!0aB$(uhfhmHvQ(enh$q(bf`iqCl#4Mn$D~VlIqjwrrSEvKlz6Z_%Gy6 z=Eu>hu#-8Ex|^{>VI^7r&DSCTzaYUJq>kv&!8!I zO`pTp7ymryR0mUqTmbt~5)S8D zO!)zgwR$C3IqniQgx2(OiT;nYy}E7j4Jqc4$aHa;U$DB;4}alDCNU4zhyw={vdmyJ zcF?cTj|>dOT4lHd$t1H)Z&5%pu@^4PaQo;>vE+c&-!6!D2B`2lK~W}rxKTv1NFN^7 zW6U5L`&j_UIR*~jv=qxr>A&{J{g%b5!hX`Lgst@&F#yDgQ6o}2?_7Y*Bj$r1gmgS8 z6|0CGa43LtntlNC_8aR-C+^Diz~)3YuvDKYQ0gp+MmqF>IsA2-Ew%i`y*QtIl?N?Y zkHcH=MNtPP$Q02T|Ed0;ML1cLuXiAR`A&4IhY)p7qPD88Ej|vtNn%bCl)RlDL`42_ z_fM|jS+)PgW^`(TeR^kfs`DSL*Gyt<)MqERPTzrJVBGv{`iDW|-9)Bkhk;KGAO5E8 zqRr&C&!p2PNkGT13i*eNRQv&7X{D* zOXCX@I$2lOj2$K|KNU=3f63_mEYI$K(taO~jS_8)07m9MY1a^CT9Bj<4%Wnw@IIFp zg?(H9m*s? zhMu?DrAeY_4=y9eZmG*}nq>!~-_un%GLc^ct!e#o!^pzA9;iDY=g8IgHDWK!6tF!Y z&)ctI3`F(QEgmY+6{H8g^X%?`w~%WD+Z)v8cp)aLbCv3ma> z+#$Xrp9hH^KLZLt`qp*5^~`5?8g*xqdAH>Wd1Cx@vEgii z#Iy?Ssh!Ka6Em#?QSjBW4;T@Ie@}`)Kl}B*S3!{KWaHX!64429m@A7So-L~|c~dr= zA!Oc%%(($(Dt$~X{?}{F*1=UICr0k|7yj1bI$4$@1}$p5o2)Q2t}$mRK=y~9Z;HXO z{2SrxAN0SAmnMcw7W_1B841+w=FyxO;=jbE;fL??0iQD9Kc7p!j$=wPpw`Jwbm=J9NQ&u9T zQ~bs~L1>;wGQ$+ohC-h1`7E)Q+6E9$j?Ae@@)OHzWwX#@%MPEOkk}hNWudjVU(Y?a zlwy`B#L{Y}T%FiF<_Fmq3RzbLJ!U)V4f#G%us@m{jioFGk^hg9Fk*2# z^KtPbI1dRTff#(42pI___`O(ax-lW|J~j~F@ge^*K(#BqX=;m2t?#VeXhzJf&FNQA zowgtgzbSjz_|7y%`x-IU^F02`;x{zCj3Oroc6%}OsR3}{cLU*t_){FtK`ST6Yg9_e z9DF2-r;FeO@p~ajna~zkFJ94U)Ii_t9Z)9cz=-_8gQoYn5$|OY022wrrC@I7qA5># zb>|l(X8eMxGfOYliWyTW<#H{uq=*V!bWhDpO0gYg-CK@XxA()l0a&HL)XrCWv~5QUzqC5#9Cwu)jrYU>VkZ~pz}|Y} z#AIZ7dQ<(&T3LlNzoYB)VuQ}~o7>J-kG>kYv7^v7XjP8i_xxcYS1wWie!J`1$3b!# z8}k&1t9)kQW}2zP5pNX>6JdXjDgPtCw-A`W$Zc@0t%!aQcptq zJ?0?x@F!@YTnlXf_!hpIhy$OmUooIVUN$`T(MI1Xl5#Fj(1aKH&@o8y;#=P|oCfCM zk~Y^|-@B$X40t4ly6w8%cFyUC@(@v4V_H4Vrz~KVRTdjg;E>0O4ZZ+Z9iOX8F0_d( z6ft-K`L1T>FG?X{B@O}PETw!{0@bRhTW|P5h$mcI5hqxM$4S=iO3UvgtM2pSAHA+$ zOFj*6YBkiaGX#y&@gTJYmZh2$V@i|E?CqhHT0IWsw@PSwo%-&=FPVLNBixng2L2Vi zU+#{=$|~&#TYki^>NIX;_Q&-hd0bTIp^FVy=uBK3OFbO4-?PVO6WlLRUY8ScAG1nK z!y61f&Qg%=9>_$BctRr~5Z5?P$PI$L1{AXtL|y>SYpE8(@&N>$#q+PvHOY<5bF1m= z#tA8cB};ekba~JoPMI zN7pk>fpj)vQ_Fi+$--zG0#mXBQ%is$8~npYep=GdG0|GOu$xzTWE)x;;{JZ-yc3&M@3T6vBkJdYCvov}SD&!{F4}MX{)#r(yb+JH#Hn;Y&G4yM&ZpnC#seoLwGUwD z!q9g{#nk2=B&(Mg2;Nhv0^a)86xv) zGO^L++$mqOezFLWe-ga+i({D~A^Lk8ab9CKUKv4Fh#nWgCA`fMS2795@m^g^flpts z))AY^WKA07+wrM|MVk6E@3V@;Strde=vN-N$O{iNs-=110(w6&OVnx zk-$_!R8@R>hl%x9t9buc-e6nw?r%C!G!d?P@IE7_gOD3RvR$oE7pdWoVq8BU%ytyd z#mD7qx$pApDnzWqz%)!cFtC4bko3Avt<*&A=j=W<=(mOl7RDCKT~SBXaGtnkqLn^$ z$+}gAzTQ7hU}ZXT!GWb0um%+^11z7vQ{B+_TUZ!7#}P8y{ftkd?N6Gm&NyeZe>S+| zl6us(mA0h}Oa_WZIi&YnymNDec7>K-B1j!A-~D%{9(J8&a1t{bo2;h34UwE4#c__z z=V!}mJe9f7>N?WH)6bf?C5aA)AY88AuQQssov&SVoWvv0TP-yD!w~pg7OtQpAY8Q{ zzn}aEQ;M-Hr)f`u>I!rDn23QHb?_VG-^`?>)?As42bY2Qqwjye^ZLwa@}*()ZPh1n zrE2`m1li7r!+T#<@V=^t!L7m1^pS4 z9Q~YRE3f@EAy7B&#t@K*Guj+hgHmSy{M3wt zE5b+V&>noMT=($FX8K~~MnMMU%+LQaBOZz^dzCXUd=va^7}Honv|z%?SK`-Np)eCa zlyluna2)0mfzt+5tCmH-1#_ee59Xa`HC!nr);eWF7RrTSv~CnYj_7~aT!Ma{e*O@{ z$nF^3QAHyT>udVq$_%Nhsm6_)LkJ;)$o{L%mA&ih>L=oWFXHH9%?n<9Pp(?@_;_FV znR?DE@bb-4tSl!r@lFG>(BnFpCKKM~s*V|MPTQdUJm2T3{0=*wJX9)|TUM3pAN{$t zeJer?Ix@rJJMl-_?+c%g!~@P}t@t3XHK^JCoIfC+|J8(?vXgqQ%l|#CRvl74JjA>I zw&g~vAAcJM3Q3Wsi;YtNWxBntLc_b9ZXRHNSg*zol_(?^6vTI8FKPbaPRh4vd+Vtg z483L8xI+i2=LAI7Y6~rWaP&jtV;zDvQF5|fajoQzElvm;FFhNinX<2B8o#C9m~2PS z)nuvkc!K?fjfQ?9#zuyVjQWWOha{%{B!ehAdA)|Mwe;=E)0bLY_2anbm_;03?T&0V zHCEKv(8|NYf_M7zdk1h0^&99=EDi@p}g*yJrA1P>l|TgQ8YlvXC4mt9Uv1jZkmkxQhK$ZAwy-NPm%SVR}4@6hRz zL(fnpUxGYC&(Q{;0B-t9K8oy=>D{pdq2c1NBnWgn+P5G;MbvkDYFPy`)TD@zm` z*84!uhb4n=YM7i>mTwgE2K<}9cey}_~ zimWrLh)y$PS3)VFWhKAaU_ZDaAsYjhrQqq)KZ#p-xFl%A#KcO8BvABLtxS+wj^604 zsX`EWAD1=8D#9-u;6i2?XF`L|}uTBdGDjxG!V_Ty9Lr7Lsjc;Lev2qa+Zo=n? zP)Z976Q55{;6YWoJb3!FgL*~hVKcL&w83{^p^k6%uEP)?)`e~uG47#GZmIK)N}iyF zV_Q1I{&e_=AD=;X+8Yy5w}(#_JvM$ia{5nU;zjTV2-4l{q2@`M7ZuCC7NXvEA_cgI zk3)$6su@TgZifxMgryn`frdH<{q_p3>DXDMIlAXt=VGGPJuQ^RG~vG53x8l*z7PzaUS>C*f3V9e>k$~V|%g@ z(ADoGZc)(Kv}_?D_E-Ha(NNuGw{wBn?0(DOTt~8T5d>qo_|>VL4xTdYAPVxd% z4Y-0=KXLLW2uLdP%p8W*0!w5SV`yG2hJMNvUmg~S`4P-MqH-^JCqJ?p zpJVT z3LN6AGiUVH{>Fqm{g$S-zX=h+0duh@`N)CYd8qXCM28h+MXS~^B#8NgzylyjcDCp#gk;8Gj+71rqLv!;(Ikgy-s3!2sI9&+AKR*74bAo4!CEh}-Ji6tD(3KbZ$eq_=5bh}diwT=j58T^X7_%{89Az4Ug0!j zhN6?@o7SyP+TjjTrb%~LkiDMBRZ3cO5aNPtQyo(D?cU-N2(10eFTE1bASn&CO);A% z@b;?7l((-hC+9Hzk*4+Vv{jZ`{*Nsw3&EDtn`?_3Z%$q-T6;|$P~JDwHw$?RwmUIn zkBllBOLR8;pyJLL^~_&kMV$}A5jE=$Om`@urhl&2W>LAMjiZS=wVFOU zwuED)m-Uutiw?8sZEU$J#qYXsy`TA5%?rOib;+fvnGT%kS+0Pivg$O(^&LArWxUc7 zg@bIsCywyUNTDi`ZvfHoBRoC22%55T_VtfoiRK;A0U1j8R@0ppgR?5!WvqiAt?>CvSFQIw~uUClRX8$R_o2! z>8cX02dEbd6{6GKnzr&Uuk)2zT|AXhX<&5P#?}9T-Y$i~pW^g`?e{~vqDq`H{o-%4 zq`Sswx75^wq?TB~xj&YdZQWi9Q`J;5cY2b*zac&Qlq)q(a&d8$dTBZS=4bg-fjsv6 z-T^RcoUgMIeCZyj_p^;)xmjL}h%MN!G0x{cQZFqJOy%cCL_z&3YfF^Q!YekBJvn^0TP4ETsKi^&m zLq*^u*6Ijwq8@jAgD&6%;qN&j?(rW_9BQbb{y9Yjyf}%XKTia}5R&N?if=rA`+&4-qUeqr5H3vN?pgDNk1sTEeEo zS8m^4L^v?`GID=UQ2IXqo_u`K>x|_oFfbK;qZ_K^@Rf{gS3};hdmSvl4=^X-kp4v$Iu% zbm|(P-IUI^YF*UaIr_untr}!|hn`D40^6y4&rMywN?u0FRX6LC{QvW*nu1?4}0k_n@ z=S?an2ToLWNjP+Dv(BrE!(V<{I)tEs>iFRy#}Cu-f^KTOrAu2{@zZkZ8yMF08C9ZJ zAAxE)JACrOsaP4p#GwAB>f5nxVvHeY_hrDa@_|BwK?%9e`$qZ!?djt>s%pP1i>krc z&nV6xX>R@1mVKZ5F^FktF%z9{#S?4YiEXEH!kMIL!JbloyC^?Ov1nC8o<5)n-)|8A zL|p$3yMip+>-O|k+si}o@on6>UFngcdalnp?MR;bv(bj!?vT%_7Q*e zgPA$hfVXK+jelJ*76KPg9nFV@_0$J%|1pnmsB0ee z7~;Hthkh}kq6C7tA>QTvXLgmK?USOaayobU4xY0LdMc!Pnd~qQLFVV0h7JwpO{%<- zMZ^M|fk{8?Is2D46MB}astJLn<~DlC+iz>lTf1&Jd*=N=+5CA?fBXv`p+?X$Rnkuy zZ$iAK2-ejP{gx_8!#aLlUC-6u8--=PaW6;FqL1SF^@LkPHVQ6Agc5;`B5ExhT zSE^d67G68f#Yw0Y$&OhMq711qsD^IV{{pr76HaPWi3bd;rqY^KB4=CF6rp|6t)kf5 zGCN2hNkDdePb*XFqfm2QYC;BseLf+qma2Wv`=)iEm=pc^TnE&=8_~yUQ84@`O(`d* zNw~qHegucg+q37p9G=>+28Nl#+>2MFtgI--EzFSp*KuIY@MckRssTZyWi!L@OXo_X zI7od%kxm7=EoO0*?x>bc-GiJW#D-fL5~qG)YlkzJg!W0G)c-;NnooD@2=t51h1~8n z6YlY~xDMwm@M`f2KOA>BJ|#dXx`K8h36tab7#*p5Qhk{ShaRrg@P}uVRTDWbQbCnv zFn7$W3`(c|&rT&LSjGY0MTfV6DMt;SpBvkm>kD^&ZB62)4Dfonnp`b*MAc(W`py3# z`lRQt*>IHHlzOtHl3T~X(Jf0fWW9wHlhSr+2xUrt#Xf5{MNE}jweF&mPx<%f^4ZtZ zUrR~L*_HQPiM3J4;FD#9vo(MugMh}nAOcIj{El@0+Bn!w92vrBJF%5nWV|u;aO|Kf z{OvWg-*JFhU~*Ff?oI`Ta7F5lG}4ClkOvDlu7{Ajz--!Kcfp>3z)=k!6IgqJ+Im`G zYW=F1TaKK4B}3v>faR+^CvUlS#7gFy<5~^6p1+;+>&yEDL{e_SVKr#w;&bx?vE+}t z0MNUG_U@-v6$Mq19+6Ul>Pw z1DC9`pmVMsua@@pu-(=RxHtTH?pd9BjRQFrSFkVDkE!_mZlc>~IwCMzo)GiZ7iHnU zyMt6HnwnC!u@Sf$rq#NRcTz0i=xt_$7i-NxqmYD)2i}9RoI=>P5`b{3rAXPHwEryU zT2vsFR(i#vB3H2FW>mmOToR#3Vf=^L{N|*}6l|JpcP2>nye0|Wcl(#chGZs#P}aXJ z!vmZUeCUCRhDZWg~e4(qZ?lmpJPEI!a< z8G#^N$Ai1F>A`D*HIWce{_sq^SS~lE246{PO6u$s&RFN~L?--qzeHtKR3nS&{fNacyzW*CgL+l7`w-MW&KU%$sRsVjqnJ*4fZu_jT zEH2odg!>tJL{iSuM}D!A&pgYv6Av4{j9N-oO4ePUfE~Gug{0ZznWY?$Ns4$W7tcH5 z_6%jbfYwSkuF#45+pl%!3Fvh)5bWZMr0B5fhWo`fnOTA`;-|zZB%313yv;wmm!0Tq z13&cOjjrApHKjy$aW(^6NZX$r+J)I~p~^$jY*-y-Bz#7xA1+kfH{MC5P|sk_ZAeOo zg#wU};s;8$d1`>RQKNlxq@IpmwMFZ)iWzIvsW2-MpC_@-T<$=ql9c7jhJ$Lw!l%v# z{IC{yfoiWz1oxB6{-TOA&Ca3SFVT#RXE(hHkh{_^`|PffYTZj@m!}TJbHS zz6+6Dq`9f2=chLjgH5G>+2rK$*54y@FJ$!`nGMv~_bCyr_`$Dfv?XQ`ef6oiR;y2@ zS63snQ#$m(iJcDT6z>z2_iW^NEj-%I~c4`SwCNfTOmfWnL^c486Ff zT1YpZ?4jho{qC4+NFAYld}yk{A}`=*p+97Nj^VRdZ=X?7-8Cl`mS5pCgxuQG$iY2;6aBe_w#c=rU$zF7PHh+}D)Lqm1MsY1n z4u1fe-TRApb3M%~cx7vE-Hwh?W;)gvu771hF5opkvd)5V7ShqhD2-|Oqy zmuv5@q#ZVsy1{VHijJ+$aC$zLbd9|ZodY#)nMrcDysdUTOHHaL;{mm=>SpJo=oW2X z$acT5-W;w2*R!4W!QzbbvV@*XqyrNm3qn{L)E zO$|c7mR-Kx^1=BsEU&7qmQdPuZeO~Zgco4)n9|~qS2*U!v(wfwepyFBMxZ|gZe^FV zY2$kz;!!LEQ1}!$)Ho!pK=-X14iG8D(t8Q;PZmr8x_MUfM}?YMCvMpwiba-xV&gO1 zif>#8v00CPeK{Et=g4ch%Taa#Sy4&LQt>!C^vebY6ig5Opf2H=kH5lIU|DwEO`mD+ z3qsAxlI#V=Ylt}$ORH-Agp+4)sBbg#fs{qlKvdl@{~SI+0`OlYYz?Rnd*?}2>0f-0 zllDO){W^W#`Qv{0cO^mdp(n1^Ti1fAQ5Y)$*LMzO4p55|I>*VFVIM3r99VU+WMM7M zxd%@UK*To4=H~l`A#Z6`HR6{7)-1CBl59NcnR`IrFHzr@D0xcRs9}ZR_6Y9-eThFe z;lb)H8H$lp4KhgPwa9+wN|;_H>$*G~go7RB5%|kFeI+#WAJ-RO~1= z6*yNUX!-(}u~UT^%UvPHc55?R?154F8Z`bp=}%`w#?@XvMC zA{ft>k)$ZkhShD~3~+&D1ces$p9=8^gKvEzz;?@L-zvUuk#4am;tq+|_e0T=4IJ3i za7qkLxi%nSGxf{XIcIep^$Am>Qnppc`8nbWcpXuXHs)xwLof=hGP1ziNoYGkH@&er zAZTp-K4tw!l*r@yjnHwo%wod*5AOW!M?qiVJCh{8+5F1oWttV-sfd%pFa)j?H$>(OK;+m){=w_R?)inGkQAa9tCBx&E2<9FX<4p?B)41W4zlLy-{ zU|K58HoN~zOg3ZsADF$2sY)n78-I`UHp%^!JD9cEF#16&Ak=$C!*-4VvW+DsYn|y2 zQ(^}o?<+siJCA4y>9MNGBoS5uUolep5gR&>gzu_JmJokKC7eqKO|UK(2|5s*_D|R@aYlAVo(IZblZvQv!{0z5O6H?n+H_H~q8K)U(X6PENrV&q?;u0jr^o z|A(o!;EJp3qBVoNy99R#?k)ieNr1vVxCD21cXxO9K!D%`0))anxVyXcdA~dQcK-v$ zpw8ZVt@+G3N0w-o>Yw zy~$ETsd|ocmj_Jnyx$$ZvlU**rQlqihiG;SsFBqJ-eU?gqtmjMJ_W0gE%2b^`M<5J zGTb>X&uw{QV-~w-y};q!XYK`89?I!(<~hs!G1f!vg$0dzDA zwEg|IhGK)m^uI0?b^y`Sx#?`%&k1_Ec)*d3DugB_gl^_ND}*Zcj5=17tH>k)FF!1& z#3o74lvY^W+-8q!%$L}TjAn;|nHA--aO&c+8(K4c`{$8o5-tMUzX{aRw1~nt?AQ~8 z*?NQG9aGuq2!hamq;6{{RG|YTXPT_r#9xX8OeA?3%_j8tw`eTTH~x@WSb?+1OJ2Hy z36qZ&s$x^UoD(;F@Ss}h*;R@$Cu)84fcD$I-2}Z^Y?EeK3*^; zxuNCPj0iBT0usB`$do=+>Zwk0c>ykoj#w>pbmdH1Edq1GSI9%X$PPOZcM^(qi=}E{{@j<)$u^JiR+6mxk0FsRI%?jd6HZ z&6xK`9Q6T9PTyq{5>wJrA!GTyWz-3%=TKEIRK%6i(Rspe0*j{N0zO&89Fgj@PZ-6G z$%!sk*hQoear+I26lN80lPw}pY?v66Qf0|j(@Pka8S)v-S`?ob`j(K$pmbXc{=tMl zVHgmS;4laaD`Ds^$dmy6_rp5XT+tCQ;}8=UasK!onp9dSX2Wevnw#J-L=-*zMJCm0 z_jg9Um@39pU>^uII-s!6N3HPs`cB*Bt>S^7CJEa1=j;O|aPU(y2@=aOGRB*iycFa3 z*ev$$6$hl;qwo*m!ZHfMuQeSw1H7J39YW}@QrQJr2Y~g^~g9ft|zNs(mj{Z zs+op*_pUrRg=v7?VpGxe7lShSLT+rR4TEX%_c*SP)c0i{(*Mzs9>y2;MszJ;weHhx zow6~*>sW1TegnO*MG$Z=k4=K$`PT80ADEG2Z8gsrs8k|K{9th9U zU3^qH!FPu+?&>a@BcMu7)7z7Iv@-%W%6kKN^t0t)e6bgb6&??xsJ3#8{>um$0F|;# z;fO2ZZPHcJTXDr~KjU*)97O)q^P&^eyy|rqaJ*}r&_r0`GeSYTs!0ZV9Gh_9ugd|} z1V>W8as%bUyPgxXbCCCEEK>d^yX4Iq`onm0eShlmRA{+ntwK$%U4DTUQa4v1h-;Gd zO$q#~0d&vV-5UtRA0IX!%r_?IloP#*@}4aRvZNZJ_)*>{>!ltvoWp`D@!k3hym9yO z-Es4ne4G@F*-E-F<{ywc(T8~Tp`_a^l-wwNEil;le?;x#HX zQ=H@~Z4tk$u@X;ad0>u^ufxg-0(9tA~xRy>XvKwFzr;6_WY_m6_p)Nad`!W67wX8obz||5Y@1cN|tYS z9fO#RQ;iYm=)bY2Ijq&{DbHDcfMiB1xe~11iLp9QIf^GJQg#dYQ>!QSlo3YuS1~R+}VocROK zdbYBbMey!p2)mGMNuFci60ZITscM<2+yN?SR0DN+g4aCWo~ny-^Hw>-7s0}~G<-?l z^9ZzHTOym8jcdypPs!7-RHr#4EG=clZmCra(y!oX2#(VY>NKlCU}+sTPg>h|bV90? zbNvqJ>ceK0avj%{mmM6-yN?kY1N08(H6%6VUuAQZstaIW)52njktV7&Q+Y}Vr!}om zQ!N`sp(YT)chZw&-cXFTbl;04N}C?mpWnmTI?)GHVDg~3>SAD7UZ7@H>XrWb1nwG* z{vh~CTlhLl`%nN@_qpkNXEz4f|NHs%`jLPI^3|Q)f1dK@xC2b}3w>5Aw6JRdS1#;c zKOF0I-b8sq{b13JLx*?1zs*qbbM~Is!DSm9{JlYRq3^=jeu2SvgKE^n=C5@k1;b1) zciY0;RYFjoh?V+Sa?vh+Nn%`~F`UF;eLeSH_}=C)z01U^-viUb;H6o!U=X1lY&BRCjUH|<PtBj+o`){N*h+`86M}#I!fT2mt4uo0iNf0$$%U z70_3&MULtn|D+1yxs5L{9z97<+SBKqDeb=8aU#dgu)%`kvV*GdnB>3x6`&rXx_j^( z>9=IJL1ju~iDlwe+W(OStbSjJ7zdC?K%O-TF)4tKdW#Z}l9M>y4U9;$kGqUZ|Cw zvF{$43D@%Y1XMcHMqtE$mNdo1PeNCZyzTUPDj_S|Is%-0HfdOu4)rmtGm5uq`OmQv2k*VW0^m3=JnRU z?J%?g12&K%@7TW=^&lTV)F#)liP9^tzWCRIA|>R8#n1TP9NA2-$6xI&L-&=RRGK6; zw{s?5tk3LJW2ZxJfKtkt+y0{ThD5ZDKxhCgbh_9@0(f^)YpA;X>`?aSvU?q9iVkyA zBzqcJyx1CJTMBYh-ht=xY8z>ZTJ)%!{Q6Ssa3) z24tT72^Eus06}X^n_V1gTa99Md*2@^DP5u|+cah8Z<7D3F?=lqKFKlZeiJn%C-RCT z!D$*aqW5?Vh{vnvFJcKoK)8}30j2S+BW%69?9C_)h%1x%mf#}3e&RN%qp>#gJW@=1 z5q(iLK(6(iLviF3yW_5?Whq#CfU@s!(ICtHWQ9i0zluPr?5Ri2n>IUJjs({BCmrv- z%XX%5IKf6*JeH8iJ)f(0_l_H*wT%4S6HdKC(AO8~KJ!I=8a6Mu&aVKMzm;thFvIBqy4anjm@K|%{dk8furRZxwQTUaXxGp)F?Msy_yNoc6wVHf)ScocaMofbOrIbD zeZ1E#-%A5hT2aAQ?dOxu?WGU#CHcFGIhw!MX?)lYONMhx*R~A7i(Pn_Yw`E{+YcV1 z7*Zi8_tdsyr`LZ)ncgWk_gTAz?zX7ue9cxqTA|#nj$F@e`l|b$rnbti@gN7JeB>Dv z`!R3fYSiu{a5m+E`}utR<*8T43uCqX@}RZ8{s0Dp3w#F1CfR(r2}x^wo=?5Mt2x`w zZ<4o;`vFygkPWBeB<2vg<$Iaw`Fr)8($5C-<_Dq0Y^}mM&Dh=7!QQs#ROYKSCV0ko zqnfjIL!xRcgNE2WgxGGYAPl)-vP(^ihorb%&v`UnL9YtK(yW@mFY{SCE(u@}jcY+W z8&vb737I8iyg~OxpMJHH++QIZujm>5e)HrEe)BofkCha=8xq(d`<+i{jsAs^H+XzU z%=+r0++vMN7;R{WZg%N8Q*BE5vUx-c-WMBvKaVOG|1HwjNJj&^-yh~h5~)WI8F~8; zNH~}q$Kfu-O0|{bvt@p&PsQ*;wGM;-H#9IjZ!WmLQ%p-4ak^H#v+n*u@|y|OKy=?KT(Mu=K_9D8z%&Yv6&o(5hXvX3p7%qKx1Axe=$u|BFREt9v0);gqJ4q?aAt`ORt2>-91| zl3cm}=^PrAneClVR~PvQ5?Rv6pqH2v;jh%B-M_;{&lh)gA;8J`X0i#Dz7qM2k}F29 zgU^&|Ss!}SsL47?MQbR=mrcM(ZWyvF`l9&CpXyia2PiZDeerY~!EX<`sQI_m3%&on z#T@@3L9JjI8`IM!n|8sYH8}Cya)6_t&mK&$zvKx>K`~1ML`A+v-<6yov=sbV)9;-+ zU{NH9aY{}`#yT_&FkSSlRRB%KAU8Z;sf4^zl?s&H$bviwx#bEOrK8YD)IM23v#n6~ z7xMTL%cp*dUdChUGd{sBArpvJ7L0eh7iD3(6Oh+-YNm_)S8(`2w$#5?h8BRefblfD# zOkqZ=MoIhIVaJ0eX$#wT5$g#itnh`N){MiG<1}Ap}7Cj3iX4)r6Ags1Nb|^urVGQB+?XUxC%>KTgHHV<@0O&VQBPYHQJXYo7MLk^OM!2` z^3zWP_`@*m4@Qu9l2a>8q|M(_O%tS%# z-FG5#!k?Ym&Q^E*vV2!iN%-s~(^!nB$hnZ$UOzvxW%W!&Js>P%3+dgAXcUPAVDko#L4Gwlky_}F#bW0~5~H+X z(`!Y|<9W1wVM$=sZ-Ww!9^Tr^Uj;o)wFr~8wu}@{4P#rISs{XtsuJ>ZZc|GhLKb<7 z3c-x#XU1VoT(;cG{Wizn8KM0?EMF$BvV&Nyd0<3wmQo&rcn55eZ<@hEk z3%zx*o7tKHbe72T$ep+B#DH}Y`cXvj3jJisGg6tK@5Cilji>i-C6yuL$ z$F@Vv`VQ(gvjF>bnP$&-czk_%-&o0s=&FH*JYQklQ+mQ8mmgs_*=*s3Fz|hcFSMrB z_~o2j&Iwsbmuj0e+DjNIa9tS(xTI_DaH=x)1BPPR8*TVNx$kmmu4iHi*O>iHR~H=j z+Ya2OIsgU%IRjdObvc6Qu5 zwp#5yB*XkOYGz`AB}SKEwmqcF*5DMQLZk+6x0K7W@7}65TCSfdyz@>cNx}Zvd41HIfM=`9qxGHeO8}i; z5Wt~1S16TCi8##9hVQ@K)A+w`9k$(^9RF2fIf;4u3s7H$R*)=7=YPTpNiIJGf@LVu z_VO=j;9lXgJgEgrNl`N>gH`?P# z4%qEhZac;U31E_2a!;$ny3CCi^P@R>hFNkxiorK}`6h~lpAPt|ygpH6J~>~6egE0a zLz>8)=4Aer)_KcbjbK^ntoWO_$Q$1(0ZhrP2C6D2Xr$qAbv!U>E-6rrmYsY>qg~{R z{M``u_PV5FN5taI9ivigpaiIFKh5tu7Qs~NQ9);?@7sfI*?oN!hFiI!l~)lTb?ez2 ztW3Uo_C+mGt8GI9RqDLY94w=erRO9{orj4CxfL-EIJWpIKcgdqJRu*m&4DrS$1!3K zuSPN73kI2VSTcf!;)3kz5f9*6iZ5JkChrFhwBL8QX>o+{)&NCx{yPWm8mqdA)+xc& z?;Q|j&-pdT+fxhYD_+Ik(u_1F<_dgzlJqe*y%LmYcp2s5Qd9Ob{$8j{~tep^Szzcaa1>vL4wuL#a#O5jw6Vr+XHX`M~} zS`VM1`h4f{QR;8xz+$5Z7u}71oTG0|qu-g#<-~|X(esY@VcsyNsW;|-Xl-p5QJAYC zU>&vn>3{?So$PogOm(-`n~Nr~<=!tbU5YmQ zMi;u8uQTh%*z%vH^xBhuQCKQeUUyoQZJEqI3)chk^3t61mFh5~88#XtVoDw@O(Ln0KRlj3{R>DgMeCTc4>=yB*ww%T5!vnsqB)}* zIke#&%&uYUzw3n=d`vGcmw$L3!wh5eT#df1?dw|j_BeX|lPrND1iGF_wQTKAchH$sxoy^|z?)hUV5)%F!nJgYwz(pSPIiB7MQKYmJ zn2x8Y__hD~tB*nUrOS~VEjK^ev1aZ-Mjr{g=d6a!x(AZ``5?tBSu+b8GtTZdEwvEA zWhSyPBc@5G%*58!Ilk9EemY-iMcxRBDp_~NqFhKgDw&`M6tf1t zCN5$ufiALch^K4+!ms>33N!vyl%61RHsMTT&%7(vfD}@F?({HT;yqBmdjIkNYq^v>;r@8woB|X^hG=qT&mJ+&66?CAzl?P*3arKnckXScFMz~8MqX) z1ZsDJ*(A{8uzj#}^fTQD;V3AK2x3W$&bX+@f;6I5pbP zL69neoSi{L(eY6%X|xlqLZNl+5i%$AD zuq8pQQmRG-SE9#HJ~c}gX;nF$r+y&N(8Tw>-}JNorOejt3VTjncoiNqqqd^?gbePj zEH4eAinm6o+wR{I9H5jeen5^QYc}MWTLwR8>dM#*Q;Ph?Tlg6LA*r7*KdPa6ErZ95 ziD{-Qz0glM_X*}6#SLO$Y7@knnzBBY(6hYp6qni?+Z-?v`kv;P8j5DbB+kBlqCHYU z=(&;gnapJJ(^En@ux)nbxU7g44|~a_Zn=CjHr;h@s6e%6lQ!T3M71m@U+juF)F%$b z(Nl-_7slUOe`M5MKYIE@Aad&hCiLg$3eU19*BZSFcB-jZy!BttlN`F*WBcsgMFGxkt zjf^mFFL_-yKA14q>`d@eC{M;tjTGH(ISPN>mwtuE@_nqu-_C=Z)css4d>z*U`3E9s zsgSF#b}a~Cu^c@h*ex~dz(wGFA9Rd|!SQ){+uE|g=ZmE@{?&*DacHR9M@vKR`h=y>(YN5s}-}% zhDOf|s?gk{z6WLc6GQ(0)IlFIO$>~YrcrV8=6YhI;!wP%AS;$Hg^%7IHQ2x7&R`g= z9`V#m9X5-^rD8#=O0U9Gr`NmYA?~$IWQU=vI_dac6OM+x zDdAV3O#$h)7%jq}G5=}K$dO%vFYoKK>T|_1Cx)n*GEe<#Ug2pJEO>3`AQFeB0^``# z9B7}PWBahl-Ddt83$p{LdY&i=PJDz@e7=pQccjZ2`fEJaRyUq7i!8h{OyiH#diBOb zA#za=8Nt-c3rCQs2XtbIF63m2+)V5Vb`EsU)ykgEu#{LL)M$6X82@NJ@ zmDsgbR|(9U$>G4k+;$;CV%2Q)Xu@YoX9jq1G$=;7`t1N|n_$a_-vsf(ox{LD37mJn z(@P-PuSF)YieElkFv~7XXY&&!<}D|2KJwlykt%yw3(f3mqX4XrVy$1MQ@M3WQ@-eWW$`- zbJ^hbLjNnHL z``&Q0blj%ZP+5g5^*Oz$&DQBje^B@mD~h<}hj?$JG+>=WcQpCvd8z08zyO=?JS4(K zu5~<-teE->QPiea+#|2lQ;X?!(xV0~N_j}f-~_eoob=}g*-Bug1GlnU7~2Q1-_?|C z#$*@5*_m)ejjA0#yFZ>_>p|@DkM~~p9xJ2Xme&m9!@$aUgACKNu*fn8* z3^xq+qDSv9_dDc$jM-Zvkn=EPlI4zDrtsBhfQcYSXgB`gPygu!U=ATw=E2yRLzdCuzoBMZ8=4gJ zfC57?8j2}0QkYruQq4H;iQBG6^!3q_Q13Q0O^#d;JjYL zo(#ah$|w!X_-HTA&Etq$M18h3E#Q8#?1cS2UFchCsR(b4D029~*Pq+Y3g6_{(l^I~ z_C1>_fZ37_;H4XPgi%1+`g={wT~E|DZ6e^4uO^JA`3PWi!m!0I^oVtZYw9P1#D#89 zJm>U`Ea$PSoo1nsdCuQ~@0#^c$DYv%U$5T-PyqSSGU5XznrLW-tO&B2hGz+aM3$mvnQ{z%YYyK`FBo>CEtq=-H)5zJ{LXj0~@?O+w)nce*~S- zNy-#u2S(>ER7S7~%S$5z{y3OU`&eAZokDk9JkDKVmMAg_f~>3V$a(sAc!a`>;jMbaQ`*-(I zW}y|?;mLWWmER5Ah}L~eVU|p{*!{gcDR6Jd^@eEDaJkHLn~vYK*&t8kzObmsXcPn!LgpkY(gqpjwsy*qZ z+^7srdvjCbQL>U{@OtI&_ovZ+G*Hkb2@DLZ3QoCl3rx{ZKmbRbri8R|QKVZoqBhR! z%C$!NMhCVp?h3&Y?wmv)%FLu(vvZfD|GlHSt9!YMq1e5t!)T))KMRh=ku`drWYiK; zCixC(ow|?S4x?}*&UKa`FVWSe^k~NLX&VOgK%~ZGAWcrUDHSH=>zC!v6#WF2!T#!M_c;q<2TSr@sa}k)13E{4wHZt*3>!Y>+7=kInd@?p zC#N^w;I;ORK{I|Nz1UWgPf&=x^Y7o@z}k6$H;$i^=YFk9gtl-w56m7`qCR%}!25}3 zn1I^=*n)d7ys))XZrdSh5Pp(g6(ogyqQ3l%wBm=z2xK?8EG~vq>=waAl-{$%E5W1e zjXi7H6e^?vOH*#qYkvJjt=D;=OhzMeLK~@7dOC+XWRI+ph=^U+Jo4y=b&<^oID^L= zx=6o{;_wJfYdkaF>qp8-to38n+kS(#X++C+QAB5_;JZmI=*#(9Lk#Bm#R~CJikMt} zDDs(~Gf z!6ijbNBh;E-H;;RN_fD8zA`S>2Zg?}XdMVWn>%QX@(Z~G=xL!6#+*CGAZa(#I#IcaBqqm{t9ftj_*=@_^T&j ziRpV@aG7qRU*Os5Bck2OTDY%5+bD+*Oa3gOOFs{1Le4%W{>xz75# z-E+4LCvUSwb!?{-!=L=#;hO#?=DG~CY9Tr22ykh->(BCx5>>)+APLqS;>5tjTXYQ7_f;&&)y=L!5 zF5tsBTac!3qcg_%c(J4TJ7tUNW5>%J0I0L;%WXePFOm=z&+QvB0h#e}XA8eRyZ`h4 zCYDvX)&o3=#A4=F+KN@ShaM7^tv<)EU5I$1XNtZxXg7qotm$x)A%Wz!v5p68NC*Iw zVo1?CyJ>f1Khvgf2NA@60W`z zSG%8h5Eg*Yz<#$pcWiAEXSv^ger%ClxbtT)xy2ZFw7GU8a{q@;F0l@gXLtJH&_xc# zczQY+6ns3h?)5qal@}fl?m->=8og^deW7s3Ck0}=74U@uuxTxO(;#?Kmf$?#2|G)6 z*uKU#tI?@dM2{OvV;MOACfcTMAW9eBq3sq??b zaa$RcW-PAyOotCPx;NN0mmA>s(q42xyp+j$R(rA@uMQpm3y(5E0HYsNBv&nN< z7=WCzi8i;pCh^go5*NL8(g>K&)kdRR&qb~LM5X88yo=k&lKa(at6M;v|0!Ji|G6W3 zi?+_3P0R`-2`b18T|9HKSw9f_PmJ$v76ddtz>NtdCvpm5ExoXiE9;6PmY@Inc6UA! zTmx_q<!^C~VCim8m;-h#@)yz%3;+>u`$vM`4loUhV1VQnocQB6K86=8 zmF`O=Oq=t3K|h=MCy1T3tOHy{;BRK$F1Rh`kX~vc#*Yxn6uO&b?#BHZw3>^iYa=Gc zutFCMXz=tK53@#4dl@SjVkooN*gQ$Zt(Zgfos(Ta8lloc57XQoyWBWXN5|0m}w!15{@(IESU?rspxmqE>~d6Ty@{#>}SW(*x1m#?(P6@ zb!N@5sb7yE~+)PsvHL~{Gr1`$jT!_rLQSI+U{&RRXtjs-#__{3|ndd>&ty* zz0k+q!x!}`jo-#nQb3m|RT$)*<$n_&I>?HmfB~jBS}_gKJCi2Qxd=JDKPEVAz~W<& z-CD}X3o22GU;rUL@4ybvc)kED!ihh4Fqy!J-yFLtjhXFR^%|+~$OoXbsu4>hyb5~b zD&l5vkq5F^XMiDU*~E zzj0fUgLuP@E|cH>1SM)DWd}ke3g?FWwA#=_WIN}F77(h<2$KH$wFHnWDk{897j-5x z5e2SE)-rpw;Dkcr0vh=0)j37<3DV0Td$4`rj0$Gb#yKUgEgs$YlPOHZ>ihGZ$BJ+1 z-j<_DG~VGE^cughR(U-g*C%8*Mh}znuV;{df`YY;u`RiSty@`aYrB2?@Rt%aW1EfS zHjugRP5SX^;m%>bi9{eBc$!z@W${_F$TZ}gz6U(t`Tn{685wLoZ#nfqvQ#(KD#B{N z9IChQ-J!)G7`@idZ)X({BG>gDY{z+b1O>M*^ajEy%<|V9y1b-Ov05Lg{{EjaE*co) z4nV$uVXHp7w{MmhNNG^u&7P_(Z7NvqIIVY32AWwq4Q0~LR(FJJbz4GS$&U6%Djft| z;q~8+D8l|}%*vj`O`k_13t{XArpzLoR!m%a>KzILOCPc<-#Y*kjuWGJ;;`CxVleAZ>Ze(0mdp~`l?VOeG#Nu*xNJP;L}G&Yh=Om@ z7S+mRb7N`1HzP%l)xJW;<&Exv2-eX-ETHeRUjrv__T=3GeJxccW>w$etcwMJOjSAx zL?lWhE#cu0sfRCkmj1rM?ZBqR4})2w)=LoBo&Y{tuuwE4EeY_<@K_g+9(s65$xvK9 z2+-uZh63e@6&l?^!snScr2o;JZu7U=`xdMDwjb#5@hen1TVWS6c^w$~IC$hxj@zp8io|p zEfD^49fYg@it|>APTL zna@MG{Cvn+(uVfrsvjwun{IMbfY!h*8s}W@OQT~AQYL+QEix;tXV@xFT4|$leAVEt z`xg_qa!dHrLv~<^89Kc#ObmBoftlbi>njc9<~7Ql#%}5r4sP<9iViJPc;5>K$THRp~Wg@!#dP<=k4GyxlaJR zpu&SFh_T3wasFvGt2j2o~88-M+3R9saJ zm2J;6RGbE{F}2W-zwov_j~X@>{>`~JFK|2NYvHSb!In$E+86(L-5$nPGg&OIBHS?p zNb>~n7v^w*k3_N(Id2$=fTUrgT$Vr=s`(x*Llc$bU~B$utc0YaPZXtbt)^x5hV8^Q zEw>4tLwMan=*EMFxqaHg#5}L?D{kjtQc+(!Mv7g8^X*PAG>316NKR~ef!RO+we4f_ z;y=H)+S7rU6bqnxM|C6ST^KY1gq@5Wckn&2|CIEtc)SSV(9*CY&Xj#g zzWXKwE2PXgUd}R)NCSQ zC?p03%}4u&1g8Z$Dj<*0y!{rijGmp>gNdV!Rog`tcfqd}NRy|61i|lGsLckdn_Q&? z4GnxvwO_DWFPYA4(4+>BiUB@C<4iy3;PRE=XTETu7m~{pJsArr9YrZ%SE_4?g$6T8 zoRCR|yi2RBh%SXK$yGKBThN+VHMKKJE*MB|3>`-pw38nWaiw%q41-DJRt;5O4e>#q zvruAJPo%aBmaFh&$E}q6zYy;Ja($keINJ6lCPzRci^(=vNJz~J`|^EZGa4QZ4ooU! zj{5TYl8{)ocyKgd&(L?w#hnRA?K z_4+7gVyuDx1z|3q(Imwg2F%SKR%mvauQ{7ORzWQO!!KF@{KD;mu*Lh1U?b9ZQe&}3 z23~(S|7m5%Cyf5MG8Jk1vTp9QNaZ?*YN6G#{NM4(fE1d=sDqkU$n#dvRZj@1~z| z^byXdH$Ha-IF@Ti&r#1el%g{n@P2r=LXKy$Z`NW@B$uPpv)qOA-O7l+&6{(Vyvq81 zbU=z{ttu;Ej(tF(eXl^`H4+O8G{;cQ^?Kg(clIW-wpDmf~T+(;TaE`rJ> z`#nJ=4c3G|$HrC9yFWPI-e^3DzFV-Nl({{ivc5ph+^bad1z7oSO9#a)3_B#Y&WL2OP0M|C^sC!MzLGvL$UkI6Bzu^ zx_ixE;Xq=iK>(n;but`foeSt+qsz!vBO^@>#R6tg(BSzhI0aq`x+ypCw;%kfW=otE z`j@I&F$e0)&hGZMXYGC|gCbUa;I8AwhJYv9~DI7Pj>e{mA? zzwA&{v|zGR!?@OH)ibb(BXlfPD9wa+IvOMzpPGXgUBzfKLwcW4X{B1N^i)O$q$r0k zuIvxVA#fYr?deka?@9wi4sRg8>o!yB6bA<@64p9hS^>Y<>4$ZNaueH851>1l?=WsYZ4v~^$W93ah} zO&W3Jbtrdrx}8z&18hh)n?P^wDYl!%~qcyd`Bnt~?O$WQyNP-#S zD;)q*zwla=uqZBO2Iq?IpbLRTr9hR}#t_NH3Najz+4-|m;KSy32q;a$EpFso zxr86KvUGzdPXZwHQM`@{laa#!e`&6S{6JA)in(w3*c||Q#p^5H>Q%UXqbhv1$Vj0M zk*yb|KxYGDLo4FU{{Xpqjxk2Vl-+!F%jDr@`cjeTWIdJKuHC~?v5OT+!Gl17>#(n zu)*;;(hmj*2X`N7pq#ALo^Z>6XwGf|-wpn>m@JA!$w&QSe1qO5ZQI~kQ|a{W0y;Cf z@(P1G=$K(e$*V||h!)quzi(2?cgffl8qM%Aauy(}T-qcT$*CnRK9k&9;9o`zl+0A$ z@~!!!pOQ=MD}la3BfM4Wg|@2d9vqKLx_H2Xv9TNbmx<9xczt%3Ua5gmYvOdg&k7)1 z_ch}3^2rYM6Q4;FOudnZPBx?k$;8expC(|y(_N)y3`C5_voe{0Ij1G>6{~wc;zr;s znWut0mDLcvgUjNNu`5&V@ZVWAK=v0F?C3x&zAgcbaqXd@{O8F3wMXAUw-;3Y#bOR6*3_s0kvIeqV*9^O;-OmR~L_e;Xa}o}k<&3kI@4 zI0qjdJdp`p*=IpJ=FQodXmM<6mfyy^WXUgGMA7eb7I_TIg;BL~sG*_-3a%8Gq~REW zD{Yl&PPytck|hRd6npp#P>>Q;n*2lMhcRsmn?Ag=Oq_JhHr!zTFT`LD9OcA?!T%=O^Y|+E{~%Oh6jcd zzV&bWc_LS)BKCT+^-ur~*5oI(2;oZmkO|TF{y%+dEQFG||8Jx77*odOAPA#!Etc3n);61W8_37_wJI`M-;?Be z**QV7)oZM}(`2%rReSJM484a4s%%nk%2l^z^Tp1&%!g%^1=J9k*aqJLI@9v9zOgwp zU$46qZ~M0a4E`2-F*IrIi(e;kg~9po;5^(9Q2;7=N&mBE1sT20~ylZsR|P`dleKn!%trlOGy2 ztK{)T`}@%>;E-csK%Hc~tNW!Gl4GRO^NFx-hb4saSP%2p8?te_y=+(_D;WzhU9Z{v zRwK4D3q}Rb^RaWk3jpekCCh%3X%l!jCg8Gk=Li^1*=%|Zn^*pOR2Pu)Yt?Ftq4YHN zP&>or1HRkuOh*&;ssYIsE89S;+*=1TWB=tf6fIti#_w@peLdQ3I)s0!M>Wt_$er|9 z)+AQxJ#blyO2oe4?3T1k55@Aa!z|jn?F)Id|HXv zuANt4@87s3yYbDnN&n_-gE0QRhv0)%zO(^uiHlRx>D|rS>m>mm=y9h0LaXPaz*o%% zi#)8X`P%qGpMuut$gXQ0bi{quRO>qrkngQxu_ZWP8>X3HOKP5pY|Q^k zEHhe!d=#V%f+?S3XSQYx{?N!tN^-arxCHOYk$`SN~EW7a9o*eVB1v9>_dN@2Y2dKtL6v5*s(;A`^#F!h#ERkmH&E{$||cM3>%#{vbU zyJLZLcc*lNbS$J>kVffF>6Y&9`fi_he|zu${&B##x#pbbc^rg=BhG?M-B6afc_Unp zt)R%|Dn#8glX(HE1{>S4PG(= zSB1DcF;A$g{>6N|kz&GyMVN?DzO7ff^7)-BkjNq3D+4N=a|ayE=-J2>?Iz%%{4XXM zaoiqT3TxC`i?zi9dT zl}ywd7($#+P0eRAjvKA#0eU9T(>EA+{9?yNWnAHIXeG=3ahx zW+e6;i6UI$aTDHA#-r;b?(QvSeZ3Fu0iGk9sq=V3=cX|L*n7W2oVIoq&8MC`a1IoJ z2_2w>u1>sbWnXW59fUjtkF_?IDo-Su3=C}nABuTpSJSyz9XU$_FZvoL)t5Znd`1k2 zdi*SDJ|mY@{wR~KTCv^XY#9nk#ZGfx2CCQ@388>(#>&Sc_O9+GG!ndyOxIrj4)3jw zx1zdC*MXM^40$7`tM;hszc@Xe{ECh-A(=+k>f*!ec~Gj}%)ET))f2DmD{tN}mn6b` z)52eOJ%#qzynyW?F%rd_5a)nimo*JBw|cNe426iSE&2h{S8Uft_f^3pE7_lK#?qt$ zvD-7_9K?L^0Ek6S1_-SJw0==$;ySFv`X)U_(2%^$f^tqs1CU$xX1Xzh3s;e;i9SQG zzE=>ZWH0D!Kt$G2z;&Z_`5?SYON6yFme4Rr(oqM z9J9MT(rR-SHwXAGh^))Xc@EO`Im^ZfMg4N@Zk3aO9WlkH5+4?}H~#_;dnYfosKamV zPR0MdT#Y(P^Q=FB9#(e3#D@>H%LEMSr4ejJ0_AgBqs>;qXe7pcOFY=Iv>e>h&`cjw z0jlE{K7^}Bk!67^s#{i*OjUZ#AWHpWqguU z5Qa`Zs7$Q^zY?EKVkt*FwAn|}os4RLMuPfY8dXVkqX$UpjH)SyNbQcXT6 zsgYVqc`VA~3dg0WR;+<*wd?e$v%_R%CFLCG6_WpIHQ2&u#AgSlNX5Nd_9ql1_Un77 zqh|?i*rlAkqVHyFmh|YgO8I_N4`adW0Im8AgL!%oYrRF!{7vc0oI0nfCHS4l} z@Ek@nIdt%oDgYvMaxv)B$5d4E$IABhSb#Um#Y;tgF(j{TZXO9}H41@JX9kxH%7?X| z363Ke(U#+q7HfG+26;Wt;>SXFzW}N_w(_P_{A^_vitO>uY+>a%WVDx?k zB9t82g|X#3EDCK$V>vWL;>oIN@Q!1!Obm*_6FEZV6nW(wgTK3a_C4t?Z9X^GJ9-Hv z{D`DfrS`*Y+TyUhAr2gNRdlbP&E`dupaSy3!`%-!avyxU8Le&(g2@ncBOMozJ?l;O zaGCN3$a$Wh(?ikJzZ5Z(3~O7FkW2tX5t_?iJRi#IC1Q*I^pSQ_FB8#@!ZOby=)7*b zTnM1F&CNidKrMDtme;Q%Fagiz)QNk5M~E3gJC#bq7%(5S0f)Mq>zhda^1y##fXS|8 zI)4YdV7lZv$_)-OpXk`1w7IsEB2lAPfW*TS3ke-SX5U~2a*mIamBmH<=B}~(bEEQ- z6~A-4S(#Gzha3nC(CqZeEcwe0wGKXsItaBN6xtKGb!~!`mz&3?l??Nn98>)ZPq|1J zm4{3p9oHo%o>sc;6z>4kO1%b2bIn2^sT`Oz!{Hed^4#)%CEY>%v@V*TAB?2SeXpAB z!u@(2IO2l=&{f1p=N%*>jBu?!zXycVr_naI1Bpi@p*+u5sv&uH^B0|_Mf zXv?vB=VlFOy&H2?av06t%`E7`=yH<^jqfypBvnX?GC&D^4lXLc(sy?8d#B0^=v`Un zq501KPTzLy|BsRO0H(S^jB7=ihVo;0$2{S z+>iJ^Hd&@i-R>_Ler;#`#w1_*DpWZm;$ItXbc)a}bcSirzWw_)Z+r7P_MrPS~hLNOZM{F1^?)Jq}`%lJh{f zv||WCy|+NjxBp8E8P^@6+>r;u=G=Cas6J!TK7#I)WIk5g(%Zz7b+WE2)Ja|j7lDVK z6P^f3R%^pbY#&BEB58H2G3D}B9{kkZ3Z4?5)Ee<-V{8pG0K*h)u*#i9ZvZU9jKE*C!jmlso{TR$R{>1A(bXlUz1?Ru)>L_~m+e>O*#4 zO6SOfVK9!0io`Gzb3(m7d`4_$q*GLDg=29<&`BBRS^JvBq+dNXbP(NNa<($;Xtc$ULzlz zFXn_?SYs$RTqUGkH;9RLG#jwJ2+;WDTRROWpd(kTqam;i#=@>K(!=a}bugCWQ|y8u z-~##m%H7+Z>F)G*?-!ykf2u+_Dg0urSD&b}uiH{W;y6eIpm{)htcp)Zs$Ixe*J=Ki zykPP#np9*-<277ng8xYr%cQ%24~d%_mcqORNjUt$a^vA2{H)O1g^PDLt#Lo{KWnq< z1~2j0d`)hdo5Xr2a25gn$?w=VVXXvc^IV1(j->hmKr#x?>0EI|wi z>AE89tI*xnZ)*?I4{LFqCnXz4>=F5W?B4qyY`MaZ_>DtPKcLRm4@{Od)oA>gC+Byf zcs*>zT@Mh#7vm;}iA8VV@Ef+wU=>7m>A}%%{RJ(1b)3f>es#=YbVj*5&JTrBWXA9I zjF6O^8%ivyw>h8V4V^CL_4|Gu`^K-dXaHZ+)BeT*ztbB4s_Va&{ogob%jG#wuMP7t z)W{LbHNuF7cuVD{@Lt0=V$2Y0oo@w$L-ZmVm#reY_b(5uXOkY(G{rl>>&Q6v)gaw$ z?>pCE`+(iRRlGWf>6DvxTInKZm+?w#kFFXr7V+Hn7$nCG{2q^>H~L z5cjWDUx(6=4`5Vw*%wfA!}M82yx{XQ;jjzeCkEauAybhT0D!pwGvTrwE(XB(!cxq% z|AaokKa$57y+jL*-_k)nn1em*x+Blzwh7O9x)nuNowI>jZn+i`^2ZuaCGr&(<=aF?EO(7w zJ-(3FIfo8LwNV?oEj|g&l{=L0fvD{D0mJQ{Y#!e)UbT2Z3wXgBh@(gs?RKC0i4M8G={r}Up{oFGJ)+gF_F^xJdTd(w z;QGCuvfiydXMG0(mESZ$ek>+lM%;z@WY3BR1kGTkC0^&#qzJ5sFabFd8GvjPa4;heK`HY&$rn`GtJ)*rH>u@A58j z30LEwVu8rTPk!npovKZ)M5CGfL{sS<39cvjm8kgnh9^s26Nx(&k9i}cbSL5L-Px-J zBDS;&(^r-hKk!^%QCm9$95MTqvMIkcc9yCUWW}Tktc`7zd?>Z?AmYmMzk7dsyvlNO z-s}ud+O1!~u`e;0SsZ&OO603Vl zx_6&)8Gl<@oQOVR?-Y8j%)9XF;@-50!o`AQ^IuSbT1;S1XB-}H4FdiR^Jm1#)jvXZ zr58PdH)L8>^U!mwVqV0!-!9}x>wl^}$mq*5c*g_FZ9kXng&zjHdtmoti8jqB_A#Tb zrapkn*=N-4Kco5M@fFca%=&p2`m~8#^9j+c=7!nJsBGYnLbF%HxJYcbhP~4uZ+$4hgZ^(sR-&Gs7(Vu{iHUjaFw`2m$$flC36BS+BbJ9kp zAQDnYX&=nD-(7$KPRkWN5?kMG#>ERFRbc<#wVsEuhy&G^85eegdR*6mIJwX^f+XuW zFt-bD`MDtb4N3@;E@#)47x~j}y{OLD0T&=AIem7NDYnWN>vvp&gI{$&6fVtN`07FV za-x)RUnBHhFXU9LF;UP@r-4^faT;i^CNvAbq*VpA>kiqdlU_zQE~PX18s0o=t%NWD z!q&xibYF*9g|`XsKBfhfFwVa>Mw3HbEme)T#d0$+{QissvTpx_rSDYrRRVRkVP1TVt0`O|bZ_3%8n(Z;N$OkmpVFQ(@fK7BkH!<7DE5;h$X6W~S?7DPrsL560^ z@(mfYnNtmjldFwq=VnmG=WkHjDX*RW_LZ9fBa}Zod^hm-U)sS%Jl2D?>G4+T-Z?DY z7mekAyvhr;#4iB|1wwWOt5tWvc4G(GNeMMJDtMe#1Rlbw1-)BDPYslIyR8CpPW^fx z>MV1XIJiE@FwfE*+e5j!xqi+}EkI5+=GD+4YA?^~WElZgaAYu-iCFJ3o-VhWLG66Y*K zZnyG!Ve8)a0CK+;pQ9dk?#tBV3osITW~9zG(pKF)?R3HuLXHxgHYwrquRo(!g%Jn7 zvLt~^sZDw)?aT-h&=Ruc6B@WK@2q$3JIpg*1irL5X&WORhqA&uKSPy;mMD4(g=);o|#2)tqgb4c9(-9%x{)vmm$#% zaM|XOoWbKbO?%;l6n%~r_9MXqU1){XBw{uQ4iAenO+VE3=z=MJG6Xn3t%(IFK!0oz zd1%&}CigWwb#aXa5*%F`7LaGW(+Xayy&o*-tol*A<)g{K9)2Nm1t%RqT3oRML|-Y` z^a&v#Z--_|;kN)blS0wu)63 zTUw-zry@a9`m?}nhz|6E*?<+%bANjV%``g>m(7;=36TV+O|-2Hn2{VDsmz)`y71XIh%K@E3olPu^FZXDR1 z=EW`$x4RQ2Rz^~e>HYJ>0bws?@h-={+YG^8E_4@3xBDMBCqnE!3ZSCb2n7-V3n>0|e8kJ_&S9<^7`Wdk8z~iBlr|AZ&XA-^;GY0!0U29?emn&^XP>G59ks zQr=Xkj6mmtkDBssyNvz{tHG47dv20n$}ag!t9Ew8W&ZT@&69HUqmFYx0T>d_2>w|B ze#?^9Q`Td*n7Paa~VSjWWf5|AQr#QVh`YCmTZ=1vEt-fK%hYyR`n&_!9#i z6Hp>>!`pv2z##v+Uj$BcUV>-&J|@|-97HC)#6uDv-50Q%FAm_aWFtjK7 zv&fn;E9!2^y`b-I=YBAf;BeY-koGLNN-^InUW3K7^?|t?Hwx!z zv?D7gV5i2gS%=Q8;GN-D091sXJ5`RETY?6~^6@MOve!bRsuGk3kTX#PRsWc;#2uKm zM;x5Wf@aI3GWT_x7>sHK(3#IX_%!d8e{Wc^;`7s+#2LU@QXqTzIrHOQ#i<1p+Z@?; zg{{jG7bxW5m+F>@BHWONNj)i3?c2jpcTaDe5kv!V%qZHQ)e&2}Hyrn?agwg;ob~m< zw1?ku{?~w7F20yu<=gOH7n3gr>xXL*o;?d)u#w@W4}gw~9udR)e_e#2J~cdlj4Mdu z#TlHVrGjC=1K%%he0T_|)HrMt(Ny#gag)`Q;?f-h7^Ww;#{OHh5=VBrsMqcZ?v}xP zD(4461iGS4uSFaeSC4m*oR*WifTm)zMM5Fm6u8?WO<1^T@XIFn0{ zqE2Nh5-b7+xW$#vOywy|C`E}|iJ$i3_#HpFNJ6!FvPnjTC!;F^TuJx0w+LSE1M$zckCLE$aeL0sN%#TYjTzx%$rKY#1d z2MEVpNH;2@iM~0uc_JAIxoO&deXxzO1^XR|D~K66Wxt+p#nZu#-7caeDoz-3PX2h3 zI_-GSGTKTg5OB_^{*~N>@zNFzMf#AJ1*6(3?*o!m_{Y{<59rwm#&PC4+nQYSvjY5v zfOWoxqP^M&NZ0>QS18Wf!R`I)q1{xD{m1zuE%>Eb`pone2XNs)LX>E7bO7Wr!Lj~} zeNf65ldOCNI1cq?lttMEE!z@Obyo9Li+cy8?wqQ`Ya+&5Sp*b1Z4yL+ElO8seUtfn z#34l0RwZI4B8J6Xf#MlqPe5u=%ku1sUfR;H2x*KXX$O+MhX8|NgZQF4rtV7*1ym6OfXnzTMa9r4T|4DJW8@Gq+YR zQy~lgPLB)}xORd0jtq)vzFI;ALCdPTplk|}>WM5t;Yy_8BD2)66J~gtklW_gRyrb# zhKU|uQ~m6Jpq0yy3zgbmf80xl!ox}WM12=;cN43K#;eex3VDnWi!}j2E4wI%d|B!` z0}kHaCZFG+ox2pXczSY0d?4h&+IOGo2%!#JRb>tx_w4>^iNRYu2QGv+j4BiLRHy9eMJ1VhU=Oi0xl@rgvEl>(Dff49Y9t(vgA&`t zr*HWB_wC*OLd;_CZ;$?NTm#GhR_VIm{;4u&7EWa0bXK5XYa+ zG`nQ2IrPZIC0vv`71h+4UE!Orj|9$Jcw8SWeq3W2lh+b-1r2fTOP;MOtpbaO`Sp#^ z17{tufLROiNv1!;Sxc8^y165%}#$rXEwvWHTZt3+r>Sv#Uh85 zTM7J*3EruvyN`2Z*1~{O3E=h8$o@+2|9HDxU*J^mN`Ph|oVilhXo{WotWc*31yY+mP;9YTNr_31XcHIx9w%P|U*r;$ z?rf_B46qk!1GvHH@%ekXpIn4#XlRfke_siNP!(aGIU>}_DVdGeP9+@|tA48ob|Dd` z-*BR6*Jun<`o+oh1Zv|ee*R@AAwu!~tj<2Iro-3a3#$Zd-PR5X%4UE97_3>ZdVd<< zoT-@$znL)`^)%5MO~Dzv!89IIbAL~_BS}w6Hd8GJB>stRq)RR3X!mFMzzn_N{FYBZ z>gNqHFvJ&F8*67hJjs|HbkpoVX9y1S1xU$vs>wGtdc_RNerC~T$3JI#3Kb6Q>jV!~iQ`AFeXqSyoR{(N># zo+=ZYq>}E-5)ELnlt*cJRi!DJB_xIq&DJ;M2|rhZn@V!qLnlK9@>eUw6aub4e3`9+ z1&S?@q`w5jfHwB4@I{SI0rn`vr__Cr31Yld#5nLnImjJjWd-1I)X2rBqeL)<|Bj9B z|39K;{;^Tf_y`meOTO;nB?mbVmc05psFgxQm3vsFqn9aNLIIFzi2#oR>hiSrh&{lc z?|nynl?Wwc&9?%e^%!o|YG0@@<;NnS;67RW6dxNS=?l27-|NH-&uTldgbDmX|8VX5 z51m;^RiyF__a}0ySlBC|=i6+hWiY8Lpn}VQ?3LH>p-gRJfgm;{Y`QVb>JIibI=eosWQxvtSO@Z2al~OZ^ED%)5oB@sbR<{@4y(F9!YgjPw{zXYPJ)Z? z>waqiKT;wl1O0@oRQNWjh^fN?iXDAhS0FtaEupYB?l<(cdOb0hZhd*;8Z~~p0j&LZ z`T=FXbm@)3I~;ugw}%%tEr+{x1V;Rby(>JV0N#0`t;eem!L^`s&<~(h61Ywoyd(fAZNVm^mS z!eNw5u-ECwt@>n!I1;_h35RvMgiHBp1E*$8W2GGq$-HAi+>T2q7~WOcK|!sr-S6W# zTv2<{k8OJ}H;d5uSm7t$;7s;%WDI&G2IYL-eR>=fU%w6iyWQZ>OWS*>;?r<7i4EV> zUd$sMD38D-=b;^mV>a+NNCG2tVn03=)^+mCLn`J;Pk>jphQc7kWJ;{qFn+ENerWf* z>$*sRbYzL(+l(IhPm9&jQ0K%5;ws zT6Sl>gSJ~#&J1J46XiEx;BsJ9du2O$$c{6WNd!JR14^=oDswZyFL9n`_yO&EAeW12 z7eM^ciejz*jJWX}CT;A;B1q&k^xZTS_?jrRo+4fRW~tHG);@guhq`os{~$FntOx2E zQ9NNh?Xp_r${v)+l96i@F{B|eE?xRjR$aS!AGJ~lNVCDv11mFNV^@BH^anpWHWujX zOEc|b5Qgvf#6bd#ej!&8S!q{{(@azkQqrCDOhn`*lOIGSHpPJi{h|BK=nHgPE(`zX zR+yGfO-yp_IuLA)e1{~XAW8iR2n>WgqAVkPzaa~CoE}^3|0R*p+?7gCmh)szcu-pA zYS7@M2AOb9(HjCTigfI<4V~{PEy`3Ur=}&XJ|`@2ETpMa*c;T@S=n4m?Ar^~C2Ggy z1Osg00^HS-xohipU*DA!d>~7Mg$8EoR zcRJ;#tDF-js8J#BdT$co8d@@@IW6V@`+@uWWiUPX!zQTiln)BbF4NVKUi~ZO7&Sl$ z?gJtbgp`JoE)T2<$N~$WM)@~GdDMPf$m~SjuSZa9RIr6`c%9*~wxO_=%w$Yiq^%A5 z*_k@y)E3UaGxB*Y_WUug9~>`Q6{IZsEEYq!LSUGCI*hVQml`~iQiw0gDnb%DvK_Xm zAG*%sdvWWAEVph!$&u8_usY#qn(!LXP`dp7Rq+d75|DIWK7rrb!AmD#;dXE5lF``l zXo5g!DLFO}>M#+NvQ~D?CQ(4z|L%TW z6kNWTpP+|PB^Nn{8`m%Etq0D#LoWehSp*#uY5#HY89#SuaT^8*!PgU*_znyHHJfcPohFg7Dfbp zqZsGlPI4nOocJassA0=55;Dk0c;1S0K%xOs27t(S6d`P5BUj=IW7e*x!ITzcm3cfE zI!ff{i(c=fUXQi;f#K&r&I+EbV&y)fC)qejQ_=%BaNC+L6OjAK|&aawblQ(Ctl;9g-|%({c^3I;AQDGaqrwqJk>&mRJ4r=J1zy=SDBFgY zp?kX`giCct|GbvujsiaDppOGcdO|;}Uy`r0lnmNH-xciVrQDmW)(XRZ*Z_Y`H+KN8 zUkdl}LNiiq*B9FH+K$KTpa$eY)*t7DIE2;vPN-_Y-`LHJ?|lD`gwlkyG$uZ{h=en5 zipNIsyO!AI?~Rl57T&?~K2X}8cmZoMnxJJuRrTZIRlK&scOmYq$^a<%r1+}s<7a^p z{~6l+m1pt>NBd{1P$h~$;L~cNTJmszMZmT1`VD1VpJ{+-s)6H}F=%hWUa(-xH%RQ7 z=h{ibrP&m0(0eijT?9NwWbQa9Egi`i7cu4JS&`h_-E5|^z?9nGOhZ#`J1{G zKLn!O(9($|>6SN$zhGRr;~cu24HN;h)N91C1L~N#7>A*EIU-DS&^HDK zF1@0r{n>SL5h3aw0Ro_-u;h|JAEMgz04OnD2evc3@&3Kd9VV*PyW@3+PC*z|bmD7Z zOLYO{0go%8-#Xj}`H#Z!)WATRnTWUoJT6Wc3)ndhv|C59XTQu#J}4^~E3H#Su6p2J zAjb6=|Khk<2OJ>RuZnRovY`P`t~@1CXfbx$$2I`gsFRM8E;iZY`Nbl~mnSw4sGYgr zULhB>$Q?3)GZZtT4tJO%fwU>`f>Mf1-w3sBtCYJ@|9{jDji8Z1acy6kr5ho`jS~IjZ#&GV3IU#V)@p#)@fH(U8%r$}5zT@} zZtcPZEA~?Pc|Zr~{8Jf^m6SWN(Sgxqv3(KHTDR{RN6$9ip&@n;eHNwJB# zXNdd*1G-t?DBAvI);II46}x8myYU&94dZ=ORd4d1F_9-Y$nl>!+#(-fvq1KZ;NX#O zzq5LZr&*L8dsKgw+!cM?cIXCNni5h|l02TUM{?7?7m$;5wpe=>XHMbP4w_i_=$mey zTYJD3-1Hyek^qz0LX8d6?;J`u~~mZ9~8c&=hf+6VM30li3s%ZjL3WjaI9vVV)x^S z-v3Ggy6J1-hwg9mn<6|GKFef-O2S`wt~06v!*e z?7mys0QJGt^4fyB%~vmv`>A$ob1gJ@$a5um)0m#*SsTmwS}VrO(n}c}}lsN8mfk_irCD{Xct zSoLiXaz|UeDv^-R&gQ)q9Bss5FUK%Kj1pp>cz}F-D@ex~BB4adx+8iTKCscWPPS6; zppAMHUCCNLLGt-;vZ~{Y5~iYt{qHdbOn()5=QD+@j?BjTXUd(i1aobjJgNAus;QHB z3l1)^kRyqq%b%s&wD;3b-FdWWk9co}dFrPtaY->LWXqW*j_f3lvCT6+u_2Qk&)8WB zsO()()(s<_63sZt)NbCXcDLpx`C13-1@c7a7_osE9X&9ok2IoeO0Ic;WEbWCt0ppW zQ>fs*I@KdCZ>bn~VU=ybj8>!-0{!{_5kC_KOSr#EkjmqVs9C5ytb680F;1l9y?4gl z^8bA)JaN5wYD>Hw39k>tZiLCLHVz`fWs*j%-|mr2Wr0@!XeWPQpBr{zOm;604WR3PlQyUpTmV{e>ik`=Za8W{Y4Nw^o>R zBX3%R?vF&Zxn0s$*yVnGlS0--WwJtgiZ_}1g#`ncC|VpvO|fx6;E#!)7*>=>$>ahq z)um@#l&)J~dx#o77Xy77aL>s~OOlr=r$#2LjE1-UPASE{Vs;E;t3CD&15L+bsqTT= zkOHU4wDi}FE077@Ir<9%QK9f25E5A|Uw0>d8DqEy#6;KIOwDD0fNCZXlYOJ#8t;=A zZcDYCG&0ZY^L!YZ))&HrSZiijo5#h5$OaDrSW=P|AYMUrQHp|1rccL3BWFJn!t$Vv zp9)7HHR_-2 zlVOuWw*flV!{xJHtK~)?kLKpqVLu@5c*Y2l_uC`Pi)a#R;V&|qXLEKC3s@(W<8#0% z+AW4{+P|-u))Z@e!9y>>-8!MexC1yf$(D}VE$A(LFPrUt?5)q!nvdp3M*cw}H%Ohz zr#1%aQ`fpY*2rymHobv|^=1^UO)BH-QICPO;ol ze%X=e)p5XLz(!zRv<{`}R-b_%)D_N*JNq=i@uPHnSQ~B+Yn;C&p;|Fdh3jc+d!eqD zs1&Wjf2P(y0Utd!I})hkZpCXF(|D*N%5}8|1Ec>w<@a6V2|^%POHZN z-n(ALrx{mWLH|qGM{0U2ha?n&!PYAoGR?%^*Ulp z%f%p7OzM_PQy0CJ_@iV=zTDWS6K=Efv7K_~U&EQ}^VE!0r$c!O`=jBH5j@tZZ*jyL z6~k?^?_&=G(6b(*6Aw>gBVE`sBm{HKA?83a6~G^%N++RzaOs2|)+~?wQasY_xlc$- z#veDB<34#L@);O6_jocz5asz^Fx~n{ym9Eio_$ zOs^};;*aY3d%W{0iF|Nm8D&?5dQ)6b-|*3>OUvQst!** zrmxh0On)Uw44?X8w!UCaqvr*~cf0{dQ!tt8M+bUI)1t(*9QY9pmd{TOt=P5-F1=Qv zo}Qa)tH#>zIi+)S8$bV3VF;M>2TvGwivS4H34=gESO2Cv;4ea2zdr`WFEP@0QBaaa zAck0VPSJ+*yLXvaoccu40d3^sf=fuO#G?2-EE<|YDSlW#1`Nd&vW7CPK9{k+-o3t^ zTg(S#I8WltQTDX|_UQ#v)#$eXylcRXXpb5Y3k0s&G z3-}tgM?9hF`KJE>$j~_BekXq3a;99Q1Pndvk)gxgDxam)8Z0i(*Ko>jj&J;QWUTqv z9{x8dKjz-{H!inn{ogXCZHw2Hpk{S#Xw#ghcE(u5fQ6h+Kq626$^wiHXN{(3hkVe3 zRW-gJNd(5pFVYvC46b=7clTRPBk#G(+mICb+B(5_`!2nrzIm>5xvUR_BF?y<(#E@6 z8lDnTe!08Y|Maw8?_SEG69aiIJ|Md?*h^%cr5k&$&+?m0G!ZmyUOc*I9~-5(eLfZQ zJsG{`Xx0~$aG9dZ;I$K+t68UXJZ?z)^9Pqy%pL9u)zWk~W@w>fCj9VBF<|pa$@7GR zuI8$u#%Fc;tG^t6#`lV7i;>EWgM$ZCGffo|OjMTAeCoX|h5ORNL&))Mb{XDaz4o9Zog5 zKwNfP!Gc{Q_B!{oBp|VKhO>viA7Oq8Khc}2ozmi#dw~MNuhPHZ3Ctf6jJZ}FW@L@T z3UNfRAm)AvH^V8oI$M8vK>66Z2}pU8zjan8&;EMW3x@eW6|I5_6R zsB&hj)QC2Hd*1{5X6#8J^wmG7bwi|lz~g=9miX%^kpm5&XxZUk-EgVY=|aCdAa_{5 z(c)B9UFNo*#9U6V5_kX1->#G`o5!}gy_OX)gBxKxN0aW#pd5iixKt6(U%eY(-y!50 zJdzvo>qIj1m{u06(m$~%Ujq= z!=Ly!R-_i|Tw?_^n9c{Bst)(RKPgkpviLE-s=%nyGf*>ek!iAM0phHfVBV)hmGv#r z=5AvuPAjFYfPN&ir|Wb9^1DUFKft+lt@FK(CNMFJj!$yjd4)&vc;6-f({s}8o80_0 zZ~+Iih+cdma*{MBUkWXpQW`$l0>)BnN&Zc8y&F<1L9%1!E}MuTIWN759B0=ZcMxk*Kw-jhtIygguwiK z`0Zg3X?}=1dEWJRtLJ9Vr}(i}8C`6Cp(koxjZ{SLcJnWIWa3-bm5bl6PNBosa|J(- zC`wyiX(-tAB%PNtcBP**EA0Awq<_^Ms@QS9yTn4HdKFpN3)j zc~H$K0-@DiP08T5nVPP@3q${?q8QanQ$kU@o=p|=MKW6sz3#sSsh2sMjZRk@o)*Q2 zFVyHfD_~R)C7_vRdX2ul*s!EAp@a;nVFlbEnAR8$kWLef0Gx7#;t@v59mB^QgDb5$ z{1+*i^{}j;e}DFfHXQf#JX$+K>f?{x_;soz?(&vEIm7{)6nd7#gM=i0*QC5`2H{AV zf*CfgsB-LHl&m*FNjaP!b*{V&x@}A^{^Yl>ZOaMXkn17tPw)hGzS@$Cx_+MPSr7dS zL|AtJO!OH$R0xwyj%5)=X<7e1j z(dKv>u##%lSnBpibh)^}r(OBJJ5Ac%3Bk~HUBW_#bUgm%h)1QM?lb7eHxxY40gHGR z4$g)IUaorGuq!Qx;Y`4L`ZB*fQOKDhiZADP;%yAad%6%p#C20^wF#45cn96(5H)hd zem(x4%|Ss$B$@BKurE?w)DXR2qfc->)PsYBm9Ju)G|;?b^%pTrN#DQfkXg4(xHL(w zZA0pE^-5$5e?154Z+ylIH(Wc)bnd^mcD!;AdR8A$=5^5E2431IEe!p7_UDj|!~=F8 zJGm34@sqi&p5!Pt8;E)8Om|5kMy*leLUNxD7qwD`CqM^4t3(2S%%=xQWfkdYv&sfLF zBgJfh(Qya0bUYL{T&CI@gI#tR!zY=eBp%T*<-9$_tYK_z8J;p|6}pNMIL`Y1gXK0O zGJd8v=;mC8a8}3To=|05vs@nuzn>n26fe!6TEpjpAEiq2AShRGua@B4gbb-v$NEld zRr?D|p5#kNi;GGOTq5!xt?$w4luX>Di5TSFpY;&?9&-M%NFq?3a{E^(}+I!FKD?pXOM;4;T}nbA`} zh6-U%c=vc7eiCqHS7}Z8XGNr)z6bCK-5ezHByq&?rCWQ6uH%UGGu$eaz*gEXhjm=_aHm zf}vh*+Rdn6O$H+DLTu2w1Ffo*{qAk~=XP$7eYMq^EM8*0^~d|l zmB3>hLj~#KGk@G2)3YYBjRr4F*wuO7nSr6Y+xfZ<%XA=k13y8B zk%_XZp1)Ni#v54C$NX!VBKN4NP>)Nd;O*m+JAb+Ans+@z-&)7EcZKDclj}OPIYUYJ z(YE#V@wCRUwT#Pe8F*Re>zQ2U&kYtcmX2!;@zO)wo_T^Hh6br#Ye~DATw?;Ac~9jY z6m-EBMZnY4;zrk;u3e{2Hk#LwD^PG)Yw_uER}SJU&~Sm?s9dOei`#?MS6U*LOB5@< zdwBrTsnbUd;^(B3qcV>?pRyE#W}Q()OMN1u_eClkInt>y=S45f(?NNpvN#ooJ3<>+ zEK9C6owOa@?EvDX9%H}lb91p4{!4xu}45?jZmzot{Uejv~$-3PjmL~XZ_2! zd+S301sKxdrjoW^uHKJ~qn3DA2uoq|0H{W#IiY|q=tBfx4R1|t0>ws3!VQH!X6iD^ za>B#9do4TDSw~C&54r_8WLQGaa80c zemVAP!`IRhbuWB!a=z+}mgo;D*&2$H{Jr}3&`y^)Yls@Xjlm%Z+xOzb*gWmPHB zJW20At&&hs!$~ST5FEpkO8Q14@2-R#9e99Eqn;10W3}h-h#TBxEy~iV#QX*vtdcfe z#1%fGs8<$B=Vptz;!^7?QSJO77`0wuNo9S{S&*Ac-W@_tMMsa0PwR5&YgVMcs-yd^ zXzzP}ZOLPhQwo%{0{%5=WK`^D*eDibmfygB4;j?ic=K9;zzzzpXxQa z(M=p}LH{VILLic-AqQy>M9UPsuhu7erZ9Nx8~|AzUxdy2{kp}f4UXcy3Ap`Tzj(8! zEw8Z$X1|Q=ari$xods7MU6`$d1&8475NIH{yVH1ZcL)&Ng9mqacXtm?aCg_>?(Vm~ znKkzp^jcNb=e)A_vssgO((6=9CiD$XL&*NP@1aJ-2!glQQ}S+h{Lf`a=1v^UapXP> za4(Iad=>GQj~6d3Lm$*gsHYuOCnwfz+l^I7Txj3!w_eU+en0CRC#Y|Gzx?ug*pzN? zc{PhG8~#{!dVPdv9;4N6V&ieK@`rli7<~$NNJ_nYZW;3!Ln;87DU`yw|AIl>Kgn^a z#4k}UW;iRvCSzkuc)zzf+C)*3kf1a^aVJ4KuO$}rF84KpNy`K0Dw!{|3s|W!6m`uK zi%l>qZ{Pz}Np&BD z99Dl0|7@^dWu;C=AJ3OddaRC2rLp6=+SJ-ao+N&b%1cw{-%#K0j8VOHV_VaC$Wgec zBF}a@o`VTS;ePM9JGK^hkruTQtXy9(DJaTQVlNEh&WS~W(p8rSKpR^1R@3fzEZ4mN)f8A zpthz*m@LaC($zPXjAZS+7OvJ8La5Kkee*J%zvL&^>%Cy{=gJws4*}GC`snxH07`IBL6yGe#OOwRi<;~8~O(^4vYC9DaE~l9b_?i9jUkzCIvJFYS~yN zwT#gHpoonI8Z2luaz6cBC<}BZ;DEceV! zy(luhJ9Z^bZn1h=Bbu07dSC6_o?xkyqJ7ai@g`u0&35H==^qSuc;N3X$q7SxlL~n% z%Fh>q*Ha5Ucb&fzFr$?C`#37W5TZfdGJB-VlL@Fw@BL#uf-nds{J1BwnzjR7g8D2P zgt4`(tvTN~(dw@9ekVb$F$0Ja4hWo&w2cVoB0c;aLyqqxz22M>t7>ZIYh&1F-nBhz z0yh8x5tL}T5I7)06w87GF6|5!9x+vceFvRwWjwHcaLpd5$S-9v5K&+5_Ew^b*$$yB z{;`E7#=1<%PE^Os2JZu?RYiVfHF^vp8cEzpot+4$dTzERQB%VCopCfNETXbj)Ek-` z(LGlf&}614?EJD)^4IY#n`WsE2!AZ8)5j@ID$>gK;{tNx6nZfC2=!u-W%Y}8` z24eo;4u5~KhaDQ9cxqX(?##AdvL~_Y(gZ5iTF8M2IB|!+38|O@H!^=Ls49$Z7SKg4x{MZqA#J*lxeRoermD_?=N;??jheT8lp zG5gPj?+ZU8@!;HWL*@d+G*Z%XjRFz!;xk!&i1T)~W5g`Epc;{GO9o>B!I%olgCuUH zKBN-%H*!Tqx6L6%C8&EInK_k>9eYgGP=M*!D(=A-wKj0~U+TL6OhLN~metHc^w@ z#Dye4X#4ePy36+sxHj58Nd)Bjzg-_`Tdy+-fR4c+4@`&NZ20z~$VRQSgQE4xe2Gc*HNglar|aV72XsFtGwB z9(pbBP%x-&$IM)`Zssaq1vL(1f>6*GlgYLhox>|c~Z=`RlWfV&92>NZ`etFLz zzF^Z!=4&}$_8&B;xt<55uilfLjEOIuFm}6QmH)Gh)BdWE9rU}~Gv=BdfI6qvt0@bP zr*P<+7$$|9Ru*+2W_QS30TrHP`hK3#nErrhaxZR+U-~}#) zfXDP}gsd!Oa2NoXJFL04eoMJYld{y6PCq&n$XFr|b;4_Kar^d>L zQp53;r4Bpptm(DRPE*bSmouqr56yR8sDc+XUZvP zAU}sE=en$4e2?$sbwolo{dfjZHcg+1U!=2`j^^@rP!pnX$*i|b;!cg0Tl;X93(GAS zZ6L@8CEnrPzK$dC^J>h#M=m^3{u8#M$Hrw8m0MZ$QEz5Qnt4y2T;EJMUk~k@aSNWV zEd&8jb$@>kucNoCI)OMnC?DP{-lJ|`s5%@5Ij@CASHV+$cclr;Cwj*7qu@+4LYVl* z^|fSTZ&xOZ0eTg()N(`r=bE~PFCA*$&zGSaEl)?vQf16fyGlQP;KyNzqTx8=z}r8> zWIcmXv>yK*N2644n0Y4^cH2g?=EcMj(n(*=&9RlyL1p_ezTuE733xpR3@~F$!T)7z z5*`8@GbO&L4!68Ki<;i02)Gdj&S4VI0({4U83|5hjzJ%4{&9EN8%n?ZOa8vW4RI~$ zoKFeaJ%rxZTyZM|HxH{X3VsQ1pNH>krN9ld8IeewuFz*r0TR*PG`D-|k41RkBKi6GVdfIPtzjkfRr6$(Xe>a_KM@BJ?Q96JD@T-Ux zw-qrRNJ=PThUf;Gxj#ErKRw6*!>)GD>G)aWW>(_*8hhTzOkCX4*z`Q-BN|uG<5Lg-fbva3PfvazL6Ie-E|k3C?9^PGPk@N6cT_8{H#% ztcC(ffxn5KF=&=BVMpKwYaA$t2s(V0p_UcZOjGwX7w{Pq>jMy5(2I^5JT?d;N}Eaa z%J?@W8Mq9=$AICeFf4B@TM|@Vk4ouA=UO12ud_jR2mxruV9xwv}3xmGK6(^T8V9+`JYx4b8YPhF=S$Wk}9>u|JtSCLaaby81&@wZeKFJJIuE1-|PhDq6Vc;q43t&^0X4L%^96t_wKoj_ZC ze~RaVc!pE|ekjDs9JnwZ9Hw;7N8&ftTJae~0NmNOV^(Xu#4R{ZXpc+_E^W9_>zmjh zG&~X;WU-}ZR&bM!Z?S~&A?utZ_khq(;|xI#nqjq%iO+lk>-`?j{AaNmGd?IhO-SDDj3o9V##yB^dUgh}P9Wabl%5*e0*pJ78e_Ao60g?wX70lZw(g;*|M_}9Qk2l7Q$+(Oa z27o3gktt)X@QysugD3_;vD7k2e#5(XU~)Xlk*hzD8gUhWSpt}c1Gq`|)^u1_sR4F6 z(2fFYduw(5Y{^BpH6=jl+w9(mi*Z2Q*8CYH^<$z%z$|KLh2F9L6d2`=ZqvutTTSd( zOFV=#qmEZ*gd_lfB_-z)9*=)^%+J#Hyr_=&57KO~nqN(f=Pobp3Z@*tsa1T@_5Gb- zrGbqfrV_XQ3P*C767vi5BV#0^V>;YeHj!p)^OQ>GD0O@-JcJ^$c=oE0n@>`UMdX+skxE z6~h9CQL@P>3?~5xeF(-Cc3m(d%)09OQDKb>N(E3J`FrDx?Dvv z#-vdP%1_V8T8f~ahqyZG_oXB5Gez`SVhWnC@UG`V-!|f=`8$J^f zT&6*2`O3r;3?R);eY{(5FA0wx1+uFR2GAQkasOADuJy?y&OM=rI}Wdqiu2}!Ia`I2 zO{J1e^u4yh0ivg)-mhA`@2pbuyB}6Y|H`G}f7IzeR@ulc+1AFg;%JT0Pvr?~6V+VkOn`e}^mZobV!{>)t&(;j9_yfmfEW4e;G}Io))_M?GJY5TVcZ4;p?0 z4x^`*9KR#M+)3zOn?&X^wMtTF%hjYijbC@m94T8 zeNj=B>J(AD6tV{Yb-$0BzdG{odEBl)7#U1hp6Sa^ZlV{=0eN2J2skvKtXDAVF-9

    fa)_UJ7UTB0L^EO2ysvWP#?x|%Ca@YcrL)Aof10lYG4{3ls7~-Wdcb9 z!H`O25vP_u>Nx2fHeza8Jm*udLl7XJSG2a%=9zZ+es%?0q{B+Cho1|1+!;}{JoJp4 zGTQ;NLL)(fJAGxy1_uxRezV~o`HKCfQfAUVUT$BxW5qf zmx#Qi;&z#6>pBVHrmo+PXC%|8U zbNGYMhBc8ClZxJ%(waZP=Wd0#<3dAO6D2Ayjcj*Qoedj9#}l#`CmA7W*FQIJ8yre` zlAr6|4E~9|je5G4dHsGNX|>rXql2#B<%}o_w5qm#tnUZH(#Pqy5lDOp@IeC+cmTe| z8o8obH*hR~a;@W7(xDez3Zyyksn4+flf2jyetVHwPv@{q!tmW+xjVt-cc~bwT-)KO z5vBfRyT%|-j7i z6Zeo#KUz9TosUU9LH0ztmu=Fx!?uuq_Zxkg*x~I zYJZ}6({Je^2QopWBL-$JZYR`^w}lcN&=Dq?2a%fjFd> zD!JF%qwy3q1xTb@)`U^w_zCGc%H9pK{X@?z8NL!0`({Z>Rmu2Th0kmPXQSI0Mjxkp zwpb~-uGI*mlt7~%O}xe2p?-S!rk%Ob6?phoUdaq)hzc2ljs?YPjG~kvC zmt`mUT8gN_WshGu-w(8l$Fh{!*XRc(t*4K#C$`@cN9qg-@&}{Qz!!O?vqc@BKyH;2 zu%N`oXJu%R?M9z6K5W$CV+VpxDw8`B@#AI;f4|mAVu@lU50`XuQ4>d{AR4&PzNw~3 zMEs{2^Km+NtNZpRW)L4b$37jjh$67Qf&i7S1MhG{+~eM=mZDs*4C%DUV(V(!5pJm! zHJ}EjDiScnDbvd zf_rRl^J7f}v;;W5l+}twmZj8ueQxfTQ6Af}yk`Y%-3b}2>k3lX)W^px*L4tXFa-^% z9q=7I8X;bj-m}(_qD7gG*dzHbq7_S8FcIueHiC8KHi0-t6ofT zYWJjg_ZU7I9iFcm?er=V;L)p!7P)!98z1PrP2P<;2!c4Yk^Jg1v3b1|1m!Mthz~3q zBuWiNyG&5;1nOIfY@w9u_?RrQ5il77v`j~h{fh)x*7@vQM~NfeDiJ#i9wa-m@qyC3 z?hJMm>h|4vtpj%10`F455oKM~MZkKcvHxl|ta1p?ebeQ8hY#<^J@1;r6u$gJ8=(W{ z3w#p9bCU(?W~ImF+5yjfsz#pT`o$$oqF|m304{b8*&oMRn$(QApj8Ew%d==-a6<+u z>8{+gfVlu*95XX$S5xNo>+Ow6dV)@3+whE{C*7Nx4l&}L-8l!qwBmX6`@a*`IGLH5 zNbzVDVwC1N*-IuDE9*pmIMAMNwg+Ar4@m2J=X9dMic|9Hb-ByMmRndqx2O>jYBWJE zQ&#IzKGmqp^xJm=HPvZ@I*3w(Fn+qcyV&M1j5Q7OMreHAIE}Cf(#*Zfl&PsLiNIN=x0=*x&hP)p;K^ z%u3bOUC(hFQuVu;*F~7uG$`5&ZKB5QP)I}tXyaFjWuo~$=GZG z&phTKg=}f1$YJVaNGOtjQ@Oet9V3_?4;6!F+Bnc6@%~8%%x=Uz?SQLR`t(~oYAf+) zoxs^GuR8nHu8&XW8y(b)U0w0Oddk#F7X3d#_o%RIhQNO4Z;$3nO8-GN{N>5~>(hsa z)cU;`?x+C{gxtT2Tkf}CtZf!&By2gY{cMN!&o2swhV1awsVg))AXl5JdayR*!3xMl zT~mY;YUCB(LluN&gVPVx_-KaBcCSl@75ZH$ye_AGsw?1|{rAjSK^Ud;VPqw>-$W#Q z#Qf1YK#=8heKt^tLHntW%Es6W!U=D5rZ9Ww(ey77qyYIk6J(yz;lDCHkPEEl;Ix~q zMJ3d}0#V7i+}c>NaU6#n%51SNyYwP1+`{#XpI{0qG@%ww7D&&Ql|x%|3d;df4dp+L zfRo`9}i?zoMs%{q$5!N<}hM`(4!! zTD~m0^sf%(W^44&=sQyi3sHZ`I~I6pyM&t1jU{e`GeeN19|!?fX!}}!Kzg*#F9s+S zdrR?Y^!~t&C_f=RQWX`Fhn<^6TYvDx#JxAgoQLlD8=wzB7f>2DQo>gvmXH{ARFl5P zkt9vbh-=d3t6ZU{2zq@r@~k5NyGSC#-Uw4eui2Uq5Q+n(TXw?2`WZx*+s6sRZ2J#R z?7~HO+t-+hSn4KzKcJ z*6_3PI5HeRFpaWl0y=1ac~I&IpFyWw`%0swO%P1{;`V|b7T7KPn!RjLUy=B_;}*|` z;6b!Uk;KBaV%GF(WiS?xB%429><1>*Ki-75Qj>FxbAmEn$y1X;ZpqCE$Ib}c!SX!t zL2YzFZFG@OG2w$s!Xe*8HYNB8s9Bq=pf=}EnI`}+25!DZC9qy=k zOFqW@&h}!2legr|70=Ih&~+8NUl-!4UJgk@#s}E{otO8jc^6zH{CP?f-a%RX?z{d8 zPH&rtO71yyk$C#H>jj`SLYEPpYp&e@o31wo=a;*KuXeg^sTsoYPkBE|4 zPD>C0Vm&uG7_H6f&jqUK3taB6DUeEf?IBrZ{+glSBk2px)`@Ntc`@lUp2LzsGqa2an%yt9^>|WAYG77vQVB&X&wN~w($$wz zvpWrCuNM6hpE?}WK8zhra1&c{NqlX&3;D|Vr@RF&GNa1!UnbGb%K?L5TW>Xw3GSIb zlqhEePbRx!|4aRiveTI$^{n28D?VI=5%bpm8)e7B@`Z>bc(3SdwSR)(`)_9gPVz*P z9=JHNyMqa`)XKh57J^}e&$DJB_L4B2Rc5~n=s3R|9U+Rw?S;+WT^Ejai%F75C0qE6 zEKbK2d}5GyZI+z(|t)!5vu;c#C6;q z7K8#FOo&rPvW~9Nd(12O2?aszdCTs5i~;~H_D5ZeMr~T%rid1U+_H=6s0*c%_o4}#BY8vlx`JG=h8ob{1MHY5fncqw;HOQIp9XM%yA;sGA&sT~cv3jbK4hS!KtPZ~uK>IEh=bDT07NKEVZ3FW?0J=R0n` zQs8^d|GXt>wb~?iOXI_Rh4;1!*wY40W8!vOYchjl9S)(#2IRz_jQSPxOyI{Br^Qbr zc|*F~a1a}v6~p#u;<#naNwPhuKcT5ti@K%-o%WU|F(9tu0pq@wRd{8O`(93c@4yxI zpGNU5RCVWqJ(`N+LlI3zhEIXF&d_cy*$SImxHs6Qq5*8E$2@Kn5%}U(-66n-I$c!O}GbFwa(8#fv zS{&0+L~4Tn{6bbFPBvijjvHbowD#YNB8*XzL4-lXiF4d`MvT1d`(QY4$?Ewd@OA}+ zuKx>uzO(q~AvNWw+H8HgfCfo@9fbf{TgPTSK}YxW=H#-=gbFE_A}la!g^is&*d;&z zu=MUbY#5EI$StkLN`se5Cda=4z?7x)EB z=p|*RstSet$%DgLJ-!{__NK0~i1PKmc*?suUERR^3WfZS%e$2;ZIw#|@g&G{=X6dA zoW=_`iF4ooWP!n)|C09rs}%NLUo2+sX~c&CqDu<*=9+LR=i1q6`jLV^wHZu%hV?0S zHBH@(gtq)ylT^b6X;JQzdX;O#x;Gx33r(`Qsi^Z}a#N_c_L*4JAfIG$MtOU5;s*-& zNm==ib`@5>GfqC|?}V58T(U|@NVD71NNt;96aG3*P2bk}vK<0n)*`ZhieHEY$Nkn@ zolErUKO=bEAU8-xOD+YGQXIZ<1&$Jq^g+~+sD1ZPV=lNO^zaLcO;KSX?7G0}A?xqkQ zmy5(brX>zNT6(=-AYwR$7m!)`yI+6jH>UuzP}<-h!x@FFl`Ozx#?0 zF0FeGlN2&k?|yj5uJa8{@u1#Yew=xn7=4!wYF%zODzjWTNPt z>YvezC0CPn|KMgX5DR3ecxVvKf0wjCx04yznsVf{ue0`Zy zz4PB@8%~06nVE$o`FHLwGnE>~fAGpdBwDq|eH=R@*yoOJ72R2 z0>$fepj~X!xd_pd6`V{u><;FqCxizMC z0>_y}rP^xDpKQrsd7?e{1GTOmuSM^;ME|WiLqx4IEAMBQGkN7#t}IwdgU!}R^IKzn zw~wep!QXnI^C5T4&mNNU5slfpN1eOaWzTN@ltm zd1@=oUHso&i;(L(QNIssT#KVTrz>l|`MYQgsxo zl8Xi{?pG`(8}7efs3(nIyZ-_&v<;<6dwJVJh^cB;z!L7P*`%^+^AqfXjhM@KTn1tR zKRqJv@f~y1OUi7>D%Js|@UPpqqd0kc^3&jEzS}OHa9kETGrGb$mt@ePnok zXBEQ}vn0s|354bNY!=?#pxdosaBTs4dn@$^iI^ENp%IyK&V23sftih;&RxA>jp+jL zG1tfbUu4rt<*xtKJ!Ej%`;FBrkewCtdnvCh+I4hRFMhHlbm?#fa-2oEd3RrFw&2^} zDD1nBK69+<_Z59pKWuY_e||{p}~wAwrk zWv5dxY`g=VZaTv^+Xn1dERdWoZ;)N_TAZkPyb5i?RIMtEYZ;t)7b|=&IA#6yXRcgh znmk3&Hfu?7w-`0s9O-(tI8Md*dbqIOY%<19Ac=$Tj@imwpNrR8a^G0MYP*m^U{W;Y zAr(d_Umaf5)ohY*pdd8gbe7HOkco@8?X~vb3-(dhC#8)xBHu+8Jq!j@4JAz7LV63ssm&b)vE)4%nt8+r;rB-eMmXa#MXQ zux2j#>5uWGnsZ^#%yTpGqh_ZM+79j^E?0Qrs6i&k+LDZJy<9kRVF2q4UfSe$qk;d}aq{PrKD}OAHN`$1N zz*3NUKld>LBqW8?M?gvqG-WYgj7_cl=0eN^HdzwA6fW?rMVEGpkIxF>asM3DJDB(DuZXl02FFO@ zHQu8KsCD>*2i(Gi18y;?g)@YoW0V+8&P5_vQ1ZaWoe;JH<8Jp-)FzA^JSQ26>Ucr* zLBoVMuNU6n5b>~0GLz;eI)lQOqL2gvyNlllaY$TJ;YaX%_ja)%2^1bx zn6|Z}YzyJkye+|4RqBtLj4QYDns<2j_XE}@c5!4QAzqwcnjVU}3)ZL|Hj9fgJk!T^ z`ZnN7H!29D`Ro8p@C5=NAm+VG5#)B&@-{21pqXmPBx$I8~Nn|qA+N@ z1N~M=0-nF~FR1>DVYATOCvsHs^H zrQYlY5b{!yll*+j;Ee_tA6#dEtzRx3wCHggFzM!MQf$`k_5smgwV*R{JYTH95OZxF zmD~mtf%+&)Gmfog5uI9#mY+CfcvEGFPfn*RpBPzSe^uz9PV=&HV`UY~kEYZrPBJ=u zD2A)feewBKwHkKrB$zxKMxjdV82@ydNOxTTY)iq3NU~)RGNF7@j^P*t@U@T`ql!>T zo?Vls`nTuhkRXe$Km8lTnhGDJ?h3&B!eMRHG{x+-BN7d;!tMPmNSJ#)?11b`hgV^& zu#U7`n98Ukz}npS%UC@^7PrWR0)ycO`SVw+?)rAvng*P6^XRyeI9>Bf!c8|&l!CXi z*vJu}tV)|w8t+CNl$Nmnbf(TrN3JOIeK4np*Tr(=kHGMXPq0Kkio}*L`$meABUe6 z`s3S61tOnR@En8apB~I4C=ToAIE|3{y`FzAUyyZu19JmRtGZVXLms6}1&WOOg;p#KxJEGAZDiR53Vxq0O(^^#A3Z+G|2f`s%cW>FK$f*c~ zut0vxtY&1}-k+4riBrn=RTXI^ZI{dgl(|7>?S{Ffbib)ih@B)OMVD$F0mDIHzpSnb zgX(ATQURWz&v4;UBD5qcRXQLZgs6<(|LX_)FjWs*#1U|U?jus+0Aqv2bZrd_r=;q! ze-CB;Ph>pb7>eSUeS5rxmFE(zB0>aZe)iIgFSOMBwAdVU^xq>H9=76SZyOlXTWCE)7AGCYmfbJ$s;cEXr_Vg~ag>)m=^XYdic) zC5gSxmh=47<@JJcd$^2Q*-0xzY>E8zou%5p=^+)v!gyd3B}`$ECs_Az zqb>T-eEG}Hwdsnh7i4H8`F*_AM)k)hw#QQD0okl5ii7qq@ueAReKXiB|Mi0zmpVtB zQ4tnhi)dc3NFqDgJ_8M<%I2FFWOqP6$ngLBL5vfol_ph_x37@|27~wPBTwO0lo)!v z$!^)25TK`T2|>ZA4AUNt=wVoNA}?|1Qwt0I`I6CxwiikNEke(omKRBk2V{kWSAvu2 zv=MIhCqy(t1m6NDKY!pB?7q^=ys@G$Pm;&RVIoDdCfC^AGawxsB6i@d+U@^oKDJ7q zO!;^uOqfJ=BW4D|6*^$NUwu20>Z8he<+IhCBroDLP&EG7p^YR~3t7K@#uz~D9Y3kS zkjc%EcYenurTT9H+C4lCVZBNt#3K326t=lS@@W*XA^uK4kF6tZfJG8r(!(j>%JyA; zw4l*%;F6A^XYoNBCZkuc$*32KH5*B!a*Nn)Fo|O)7wOFzwIduhCj6Tj3E?O-vH^9N zs-N$YShi&H2Tt?A%ib)RLc;m16aa+(G+gZ2`{i;TC-#%%Ql^biH*OY9JhWw2l0ezIOzRNw474pq&qG zw*9-0f*Q&@uvyUm&4Wd|b>atrjW;mbCk^xGf{+QMpN{ECsfJ;$DAh-v@ca`fUjVfp zw1n|jGT&#O<4b#7Bw~;vhla!th`9fbXz;gBa&GpqTNV z!?G^f1c*7)P#YT?Gd{p*52F#d0lXkQ;phrx}c>3 zdfdjb=N=p$QE|xy8K;uy$VTWPkA^3FVk^Ynw=-rqJ2;WY=h^KXxm)|~6j@}YeN_Qd z2ojI)UH5;*Bd=1{Ejtfo8r|sw1{>hPsk|{&(Y@`Rj?9Og7%3=h-Ck$0kVfuuB&g%W zK$EgtVI%m)5X=6uGklG=ZO8a)7Atfl)u02PuvFQpFyo`7l7z)k_s>V^Mk!qcW!#UP zH-3A<6dMklNgULqTF>bL9pen3TcrCSin%`cVW;1shqR=dq?r>)EAroBr^APU!zP09 zke_Y4dE!rF<^qKl$(coFg?24VXYNBqH{LOg`pH6`du1AAra3BZ7}KCJq-d&KYA8a# zOdj;fCrwUVyue4Am6IBjdXr&7U2~QWABvuy-12;iR)(gF+S*3(&xu61uW-son&`1C zBn7Xx!Xw(vmkIZ5z^W;0i_ZN#fPw$YpqL^n^SMN^i4UPJDo$8hOJ5V#*3ddK zOtm~`37C(Y9h!@g2>4Pq-_%UcVGyw2aXEM+7nty}dQ0ixj0I8H$kPyPL79y zTjXGrHd-Rl+EIM&sPNUQLp#D{FV}Vu7;IO3JG&YZ*_#yO2hbJc0e$Z5CIkq>oXA@! z`^I?al%@}RkDT}Mouq#A7m23n;@@dpJX)l6M?{Ew-#=X-TtF-q>IEn2uJAFG>%tJj zGS{zo>h?HR<3kNx*{SF9FApAb53W%=sf%cj7b}c4dsF8NDeOHcW{cBpeJ>%O68D|n zIskuCeHrpv6(uKQfMOe<2xCO)Uw#*V&%$AQu4P#iHMQ(-;zs)Z*~Wv^MN`UVgHaOM z>#jaqYoABj8)#{EeUa%=Gj4lygWqshYb^o$)-Y4{^h>S9S*u+EJ7@a+zYWXgJP+1A zujiu1R@UlymvocKbE8)DZ_E3^i^mXeTOP3+T?#Ct3{V7 zVrRLw`U2q7EFvWhSvancwU>Q{EEtOhKdorVow-?8E~H1&92WA3YQ$=&230io?*WywauX zx)e@!#v6vbJSmsH-Te-g$NA^*C{T|QbwsN&uAF-hUP-A<(&ad)oWOO6cyf4%aQx@I zDnQFt> z^b4Hm$-b)j zdaWgd`{NKVkLyl(nmmwM)VS3XRUmNp*Ws6y2DRsi+-_vv2Y4`O#STBJedS(ZcL<4! zh3aEsLbg{ad+Rz=!>Xw(%wp#uN4K7vMiK05RIXC`yFdJZf{#zO^p=D&O2F;Rfk`&` zj{&%PK84#py*n|Q#H{rE(Ia$Iir4j22m{M=rOevH%9W4ypnA=Wgs`BXbBB8Xf6Fo1 z)?mnd<-|w%6BeBcX{ur9lJ5VseKxzr3RI;7yYVuxsKg>S```A&m8$i0t(M!-Q=^}# z24!88vVxf|Sm}iQj({Da{b3Hjx5d2J@%`I_pwVp6c%9)h?|)sN-W~FQPNx53M(r;C77jlMQe{vLT`wu>CO!(ol>pDHn}?(fzcod9yLRV zA)!8_7$T>>KGh;WTEij?>oaQZ3u2D@6M{w|w>HQ67OYOK9iaWD)0AE*n(%yVPK0hp zLhttT_sc0Q3=|O$&(S)nt4YoE2V`V$+=mF`-u{Y@{|PE!Gz3m%hsGI}?O4b>{Xd5!pcn`3GP2eh~(VO630X^#kszJ=ViP1T}1P#|VeW z$d6`W=|r+Bonk;7%}@3fTf(Ue_i*M8<_3obcK9fe+!h3TG?$uQ} zOlPvT^RVqG3KfSw35e4}RwN<^wU3v`)WpSigwqs<1w0Q~LZ-4s>Dyxii1g+3FIzw~ zsHC-vfsQ`Gt$8wVm4zgr%1`|wl04=%J7sn5KHQ+wkJ55e1ra2F6cF3SYx<5@7jxLJ z`n#@S?6E)vBdJS&cuGqzG>?~EnHE~+(M2B-LGwU|C?#zz6HdkXJeTc@=kiZaWlY;c&_~kxkibQFugVX{MC#=YC|EepfdPDZ1_txLpK-Fif;Kwb z{{|y7XYmKu$Hxm<>8Mp_@o#zgZm=q3|4lufstZKNVuej9aL|b&9EJsf-pYlfClL=< zWMGuM^+{}s=u8>hmTbhDzp}v|K35a1^xg$r>r93V94-_$Ln?Wzqe@0rLaW{CG{Uc^ z(>h8CNz-PZ^g2=kudij_A!S2dQ^BH2S4bg^P6yC(Ox)@g^+||u1FA}4v%y}6uIS?A zFk6IzD&>Lme zs$tghL4Ovg`tawS+R&(92B-~P{{Q4U*^20}pvXCxl-w+ny}wYDwvTv5KV_0DVUJUF z=?eYHC+{i+P-GghuM!UUqvE52lJv2{LR9U@TF#3bK5~4{{PD zp-rN0Sr0I&p^RhCD6kz{L$SyC+Qj2JBv60U_IS(BS061d8)< zP407e?G8=Yeo;|KIC<(f4wM0zWcaDF&tGq#K^8ho8XTb}Ks@8}3-|CgUnk!L-^LFN zc9YleM0yCXRzY%lq~R-grhJFTZof>>_`;&3m=mVb%&bA@jMhH>BzK2zFPirhGKf-J zOLkESP%?5m!$t}%ZBT$s^X+M~^GkpYxHhVx)n$4D(kK?)_tbsr6I!QRCp3IC1|B3j zOUr4COJ{2$qL!@tN9GE(N|AYd_P*r=wF_Uk<+Ff>`~w4iab*-<#Q*I8TeBm5trsdJ z*rRZT9xU(i0{(e1fCarFn$qeOD|KKXNC6+IKwm^Yd*Xd?9EEwb$5oKS)UclMq>j>p zR+Nt0UKRifn*?;=rF}e<;aL0Vnh6Kbwz?kwra~RJ*^~5r?MZOqc16E0bYIs)87bEc zCFIwu#kV@=dL7}-Z#)=NF9cQ_9=58ta5!H+i%Y6b=T)_g5yA^%kD43a#;K$S| zdz%{*9yC@tDq(I%6i7G}H$VQ@a=E+h5U^`5?qa)AK<45t0#p(UCM$^8g&p#s!$V+c z-+~c(80T1Ab19ip$}KvfHIno#u*Go$xnRsa?=`_ZzAlZb`=XA-sfag6)xQvT*@r!f z*d^HkQ@LVkabzen(PNIZurMRi2S_Z~M!IA4PYB1(7lDbw*ZYJ)jr8FpG}5~|1^9XF z*{xRy+gyA|V;mN&>?gER?x$8L21v*5kd!8L15$&qM-VfFuc3zFYq!usqC@(6T5i6V ziAg2ozjcPTaK~AE5TfBA0u5K#ly~|=KY#(g5&B0=EqliLY18wK{ik}FpB#^4jQHY( z)v~`LQJXYn)pO&C!J-l?-_3O|dvFKtRFS`Q@*KLnWE5C=$xWmAe0`5-w#u0xgUcbI z^$cE~$hpvmZsM}FE^BU3^0w@hzM@5XlPAZ=N2kL<9H|MwLsme7R-OCKB6(x?j-$rw zk-it}h0K3r1U8@|C_ZzSo(xS`% zB9+c)iBdB$iOU7-Gu{X&qcBQJ+10b@;ne4yeC(aTt$;UIU-)1<~ z#s}yabLD!X5C9=3C>eFwyahvnheOMhx?1X%0Un9sDGHiN5Ix{gHV$19dX|+9kli0s zyF|sIDs{?DoE4mMPG{HNFh~8wZS~z?zIVN{JAvX`@g&+hk(VxzSm@wq$ETPo+#ZU` zOsK7jbguqs9zCMsA07VEt!>PA>;CP_7ZaOow?D=FN*N>T8SMD=?(||OY70|GEuY@g zjCbn=oCNiKd`PL9(^(cZGwK@)U7{1r6ChBcOU8ZCGXb#s6OnlItDUR0{%2QPBW3J) z<&$kFwz_F=*^6S*;?}L_fpVNwUGh5tACMY8#w4{30%LE+E$#}v8N>`Lqy#Oti9$+ zZtF0BNczQU9O^HI4c-7p0ZoNbo7kn*@iJv$>ysp>?D@L>@0eB<)EDbpB6a@+LcFwv zu^`p1e!X6*U*15do4U1xexm5Igj{+%KiF-b-0K0{=_V6%{WMG8x6$UyE9tWHjG_%q zvi3XT2A1qHn=$flp-;Eot`B#)wZNe(V8EJa^l#cMcHSfNYXapEOP1|+*<(sJ-NjCp zT1io|W{foPFRp#@I<4W7TEuI_*P=M9vDm_XyjTm~WxXYAnrv~@vGN*Y8pZRO0qybGUQdRYe%fUR0Kx2i?ETGNAi{jl1ZPR`*JiP#x*^6(?=6?V_ zi6TK1ViLtm08V@5V*blynBxscm-7%ofz=Z3Id=KpTEA&6o>p1DWWA`1kKoh<@ynst zM#yFtU`oRaO9!C4bW~XP1RS)aRHO4d0~G(B<@lmxerFb9l>w!`NW;WW3(IhRD|d;Y zc7+=tFLL4MPwMO^`vxhOp!AqH$o#!gsVWBl87i5-=I5T2G3`WeOmLsIR%j$nczD$s z8|p^vzq2Vz!YC!tMLRcen8fLL`E(%;Je*TIKlv_%ojoI7-7dlC=r<#adRqe;KuTWX z@7!B0zxG(%r_)}Jwcd(J_qg@BzUf{a03~bwFTwtoGCA!t#q*n+jA5WuLnBtPp8 zp%mAiLJe*)N85f{gx0353c`oOcmEV}_j0(d+&hRC>N9sf% zh8bZ@y5Imt66&<(|Fc)lbb3`xshMB#G3!g+{*M{v?tJ{*i>cY)gc4&I2qS@XTK|NDJ#Svbj1@yPUrxU5_SwgH=|p??P6} z61<3F&`s>EQlbi<%`=WcEO@;UUGm}S`UHyqXTO2B-UbSOJI(wxO%B87ad5jKEUhXw zQhme&Z&;3vPuBZ^;y0nw9WiYz4p%C_(3Y)Q_&fl~$|RC(G*lD1EVju>nUa-Hbb4)Y zwEDjB+1~GH^6FSk?3bk@6GUh zM`PZNLa*F_wEZa~(xQ9--0VZ%qvORXb|;>Z+btyxl3j@S^a23cn6aEDBM~os%>rWFU+iHSAcI|{4~!BA#4S7DcB>Eb zBG>-NA7&qm9p^1&QTVkeK$=;(9Fd#cRiZCj}Z+Vr0697Nn3lVs(4t-H=t6_p@TMBA(%e6Rdm)N*j zX^ROok?L$`e~r&i5cD><_JvX5wfLS}%m?ezwsYOG`&`UPP^wekqfBC279|BeEF~MP zyKm&stFw^=th3GJ`lHpICn|pODYR_TP_=}W*yEkXU*rVnl!ScAfAlaYv49{Bplynn z*K%Nyrn&@+$D1gOYxq@-$yWVf$T_;EwyMn~N~6^qibzwd^6C) zh&VSbe)<~358a_tZEcpxJIKMSAnc*{p2HyA9%;&>oiva|ZsdmtyN1eG%3N`J-BrIZ zgA0!li-hWZ4ZI!H6tC6%{Jqg&k51&h;fc1uX*N`sR-|IdC3dJ+h*3M=hD{o}W8TNH z3}M?>Ym4_6@C2)m(L*MlxStnU5iHEn3P(JJo#$@@=KC&AZN=q&TAJ`HH!3ue-La%? z)mP$z6`7=*bnr_m)Hb;j!}J1CMns4u&h-?T@d9zkAO)}3fPY;cM67yW*XgX| zKP2~bIZ(tNi%3mgEwHBvpmv87NP6<(aHfTK31MPMa}JTAe~PC9;7N*5xgyd&apq!R zR5?LX(V!9VIfm^5ETA-fKYHkJ6Z0LJ6gMFMs`T_q{!PE194QZomz8DLH;zQ%rVJa? z;~?Ub?Md!kPykWBmZERG^Zl6|(%=Ty=T7<8X4t23_|2wG$_H#@M{u7Htn)$w;E$=G zx|E3m0ctu_?>zWNhu#=99>eE1O;15oHao^p!HnGLJZHH5b zi5jb>L4?O#pQ?o#beFxvMx*r&gR84levHgWt&VMQ5sx~Rc{vPH_W6X~aJ$#If?D3W zcHLxJY4EGS$OIUOttUUzs9=!(SXDg+w>ja~T@c6`56op|`_cQd>EU;p!pIhc3#i0);$hS% zWp%Zl1M+oG{qc+Xw+uX2n+h?x#nwvcK^08T zU~1w4o8^x&rE<{`Jeg)ivja2O{#ytHR)ZfInl#Exq<=*pCZ*l|y52h*P3I$&mTQJp zIrf8xPNs)5d;R_z-{#su$enm~Fx3f+bf?VRC^M0nJzvYhxGKJ|=(g|eDqDsnoxI~n z+;La<^M1&| z5J_&24tX2_V4TY9!oj&oRm`TPVwz@95FL{x8fhpjx2Bb^F5H2p7R`k+|FM^c@nLkf zN|G1gr@|l=5=KP}%v_K~+nM#$4!~}L&|8Uh^Ig^pSAV&TplgUNel5o1-P}Ya@7nMb9sVB=qSf-go2JN;=4Fa z00aJhK5)yCa~+g8?adJ-Dpb|reO7XTYSe`oHo|bYd3ST@{_S_o3CGn^aNd1CT1@=Q_nw}{FuAEIj9!J0~huKpj`2JgVj-@KO!C# zEU+*b9U;|~goxh1W@H*cG(vvjA~|ML)eVNkw|b-ME=`A6QR#3p%8}Ks;;~b5V*zr$ z=4AB6y=#HfH{|Dl{6xwV@Mnu;Z0j{|h{}_8_CC65$iAW0PN*s}Blw9~a*{<8du7xM zu!oSvoC8|M%$x5=4pRNuxa_1{cK}I9SrrEtD>MH45BZ;;!Lh;8cUrlAa`vPWHpH{WY$g7d;&)b9*8>l3YZ9l~@RH$!}ES z-q%3x(K?%4dVhA7dB|;S8<_;0SWl`=?;F#ewlB#8Zq1~WEQBKtB3A5ZPR@@m>98sq zWf3MWzQZ-dA+1j2vV12UU(Qq}3yS!URe;?ppPdwmFDtX491KG^p3GHPf>He>Q50nm zRr>|a`-!HZi{HmLD;*iv7(j{}U}b3M30{*h|8?fW)T>*f+c|CS#f^6A&HNgFCX4d+ zui%)o&#}i&sd)c~D9VkRVpIYv#UI;Q6tkQlxQ^iZH=0p!&-n#u{zRh>h69a>PQOk^7pKCu`6$~Im=YEHT7crmZc9J70Z@GmwGU?xFFU1 za*)(?tRzVjxUP!4ykQxa$8KPz(<`yeJaCnIw##l=>kNq3^S@80RpI3JQnKA}e#Wgl zGhQGqL)?NG^qSesKFb|eU>L?!8hcaJ9rO|RMrp6q4=u9x0qC6~n#m-VDD;$jpuD43 zKbE{V_V6~CYOB6>jo+TQDoX!r{g#f)OR|}i*Ge;n3gb0JIw^P1pGNZ16T;Q1e|Rj` zTd)jIWdMIZI}k@A$$T1H#A{=?I9e0~q1LHxi_#3!5PH!W1=*mON)EXsrr`1JuuhAn_c%acX?`O% zjrQD=2GO{(#+O=CUP`XXAUBpq6EaTXkvaYMtP`}#WKhhc+3nVH=dr&Zd0<^<@{jEZ zU{zcIu2Az8z|I_d`0s&!S?R3RYJwMW@_EfWbW^z2n(eKfP)G#rj**c0bY@_@JVAIa z+VT!ESi6W$j*u-tfP@R%uiG$yenS=ClRLzTD3hya^u+ipWoaI)s!FRzxL+Fz=7H<( zMA6ThLLmP-TR)38GGg#;WPfOP^7EPs=MUP~5hhOLx;x5~HEvrI4H>^c!Mpt_!OL zu^8H_c(PBp$q%8KgumjEACCNpmhDmf3Iu=dt4Z#w=h$Em>a&v?8xQ6-r|lL$>7X4L|^?~&?s zdgfgpsCJKir3-uIO2*AAtx^(gnmTWqjMfT(rPRD@rRy4}S=x>C>DtC98NXV@Ly>wp z1}UmMRJxn$d;cVq^X^TpRkBmX^fV}?*Zez~2zu#SE`EEJo~PDO%FoGaQ6yJE7~4oX z*)6qfrxI|8F(xG2*m!ho%o9eXtme0`PY_b1Aen3|ZOUg-mP8hil^OTnV?^g2ksp=kYbppeoztoV2jxa!Fg514rwB`;r$+30A5K6E5MGrrVU5A5P8|K_ z8@l-HcfgQVm|@ogPryrD#bix8}i2p#ssH;rI0~RN{+GZ?au?@mbnlNpYKv6m>WOBmCF=} z_sRvFcjVdtq425iY3RwWAUC`qSOT2$@X3PA)5oJZ|5Iv^ko$2#t4~pvoF)vz-UH}w zh7Tp6T(-5qK+}GaLI)M$KJGqvUvSHs_3jECGGcA{lR!7qayN5IRQf9k1vRG4(*%NV zX_K&8PkwtiY*+-X*V>J+C^v1ld!*f6uqDXfMTyJt!9!hYW+OZFOGaCN5GRgkMH%f# zwzuGlpE9f-J9;7dz5zzF*hz%Qp1x7)`m>I0(E1b0h4571!c7~%X29>^rm)N(mM8t@ zzMPPW_W4VO7>k@vg_EAh_Wqf_E1HFC4Kp=%DlZqOAtEH_Tf%>n{m^Av8hJ3 z*ogYc|6V)Z=;`5R1lVOgqq5G~NnJZCrLpUWvKEE-?+^C3o#o1Ot_&c~sco<6{~2qp zgcZL&p1~B=EKn#4p5V}|vB(v7Y|*U^82L?p`P_g38Bn*-Zp)6JDz^3ZSUAaXY=DQL zHVuovPnf7SG~_^AchXzb8w(3iXwAS>>A!mU6kWx#STN+2F40dBCK)r$qVxBtof>d@ z_9|xS_9}8h{PG_3l^Acl4Vpsi&BI&q5S7duS!{A9OQ9wT=u9pY1mu>Va<$;#~;A9ttX@=ZNaYDA^^sx zH2~qtfg#72n!7j>Bw-VB4zw7GFRiiX9M}+XU%H!;Ww3uj3w@gdT<;n0w+!mZ3++^U z-&j3NN<#nDf=-Qep*2Zs%8d|KQp7P4N)k?=gOZ}Cn!G?FD@QzK-b0k7Rfc5rknvCG zF|{tR8En~}BevKlw`raj4u(z-1}qOEJM}OOC(9jOma5$j-)KQv%v=Qj4PnVC^m6A0 zdHo3$-!_YZMg{*z2@FhC+4nxVgKlC;gBqb`yl#GRF_Hgn`H5I{Le}X9;@LD{->ZJ1 z3N`DdkJU%(RS26Jn9h}6ag272v?>&SuSg7$%4`3%ONf&qjWn5Q(35GqUc=JADaPxdz9Z+mihw-Vn zvJ3t*UENSH;IQ+PigpSrOJg8l)xevtN!r>yVn7aM=i&o-#%9ZnQusdqa|{u2LO27B z^n02zB>0&Bg*eu{KoA9*=w>R<3^Bl-!axw{VP_tomQNhsnY{If9EjqB?}+zbCXxQk z5?$}9>iuz&ZU;@<@>nmUDKbKg3`%HmAx1C3ZdWAhT`2b$4Te1os6zMdwD8cwDcp=@ zgMYtY($dq%nmwHvf?fSJ)mQuFu^ATJ{~itffj?XhJ(aNXvjTrCCoNUi6E)| zsx2d4TY`1{5t@!GvWOl<8O!_Dim`m`fv8Hy5ERs4m`vZ;MOp`hJ~m6bUSQ*r%!SXN zD}!F5+k&7pKJIvOZ5u(#r9pPr!jyI7*U;jwtbPlC| z1W!-WCdWO{0>eUava5NRD7*I=m9QbF8qCG!3LN}oxQ?$@j#||-%iLdu=N_z_T^#4j zQpQmZLU5lElmwc#WGz}&NTXyhLB{mPoUlo>?L=?v=ea8a8xShKe3pqUs&<1;yB!9d{G;7u`1ruFEkI3x55a5&u$CBZX!`gy{4n&oGN5F)xJ?f zC3FAykAAlMvi_Q{&XfWdJw3St5S~Ng;sg8aD7I$rp6eu|*1_RZKvV5vLjG&Xu z%H74|ZS4BGv8nl|8>ajBt_0Ceod{z;f}~}{bwR<`Lq9&Z=JnZv2A2TKy^?E|>tUY17jerC%{>&p>O1lF_%VRNGDuAEVf1?w<4z=iM>%ip zioDiDCw=#ye4I_DUwyM?yMcD)Dwul@UiSFAIX%Q8)ES2R zQ69&AimC&QsX5)s`uhSmL4S_ogU2##h5?QCAZ>(ybOLK-Ps`1;D)&3E4A#>D8#K6P z6GEM-*We{mCX9&%7Y|7(IX5pV>sm~`2#qZBbi%P*Uv))~YjR<#6Q)FMkjw7(RJEuQ zF42n2YNVAhZ!lo3e!{MpA&x2}6B?SM(Im{_0bAE)yd{u@ED5x{>R)2o#j=XroCcBO zTLalHYc%X6T*%0vVXFI6WWeeiYO;cd*9#z%R5GjdMB=tll7_4)2Bwm^gOB5cdHlxd zeAHJ-AH}VqQc&Tw9|xpvM&JWr{+(+k#walBxkX^#GL4N~j#w!A{RC_E;G9|_f#L~o$QoZMcu*H2Q@rQwzkXp?iMtW>`+ zV21p1{DFd0RK6KECWr-NhTUzKm%B^|)$lpfi51w4F)(X!BN}^3K?j+Z8i}c~8T{=n z!?2&G*G~hO&VBpR4eoYyKlptB_@M6ny%Qwlyck(maUeBW4B1WApO~5zvUfv$Z{jy$vkVGOoiq z1Ad=Nj1_2_^TZ28yviARN-8jT(hY6zLliklTLw%~0EZQj0je|U<=RU1QHufR4Fd98 zpH)uNX=XfTmA6v^@tusHM9k98Ki;lwyi&FM6PVwvD7kJAolXmc$2gATc0A~1%|W7( zil(e$+hxqpvkkyf{M=VA9X(&09U&X-fWxOt>$7$HXFS%=;xG)5U8<{Jh#zk#f4H?# z1G53_t5}NkBPa;i;c8>|1=WViBB5dgL?$K@iE$eBp$PNppwV`SW9ZA{f%4Yh8FP5P zS6LjUeas@gM8E)z*`tI+o7v^Hw=jbTHOS>O%^saE?$IUXn7~;VymB$MUE??{|Vgzx#u_@9>*PtujhB0^k#a3 z0h@7uVjSHlGrR>RZhjeaN(cPPbT;SF+T93?-^iFOub0~Ur%Xc3?o;#B>QU_Na~zTL zbx6MQh7oLe_%#MirLMkRuH{pRgDal-ej(2vcGF!(w0#r!YHd;nAWHD2`7oarxwOMevRpuHw-6bB?ti z3d+U|=S*^sI3TVL!$B9T;IBxyvGPW~2EgG-e|S1FVx)3-?#-qUx)rd=k;yz_wDUU19jGhF(jXCWV^-t`WxnvW0mE}!RF?7 z0v{}J=HAk}=55K`w?pSv2i{7V0WZ1BYlBjq&IP+_dPw@uLHsS|1X^qc5s{emD?HZV z^wFYsQ$NdZ4uNyp_|*$BV58$lgg(~tEY2D|>~S?@iUgMx9h5GNUTyT6v3h!V=8*n; zH*=&(BB{xXliRrIxlF!fVRQRzXn_{hG`5)EC1bqgeHndFa_R@8au2it?PO+8xr_ZZ z2WST)4}ZO{zFgY;e$&aa*9d5>Ou-MY{MD2|VZv&qyY^l;T(9E)Mk5Ri;Hcl*k2YP& zG5BqGeMo59P3T+QV%O7=a=hu8gLO2~Z#vyFtny7ZD&gnmdHNqttdbPGx5h?Q-nz~T z`zGIUwL18_av0vj=^Rqs${blR3Ut?|?nwC^f zSnETH{qu|kH8YI>AlGu7YX_XE$u!kt5Og%zFS!Q-02+leW^IiY5O5V)9|=vh zvdBx=;B_V(!+`qNJGD<;$}8_FM3{QCgfWCEgjtmtX~}T+N6sh90o96bK%FW%y*HsZ z$@~HkzJF=!W}8eE(aTh|iuPGzKSWZ^-PI6%SEtif6PJVYm5Lr5mRZ_XQ+!9Fl;P|} z*BK0wrg_JLPQWV%1(w2y7@%3|SX@QDuia?293HFyUd82BFdbGqT>})qvv)m@K-nDIGTq!(hKUE0HKTdZ2j?9?tsjUZZsyVjpB1aH z`x5T<0{=hg)5*!Lr@W1^>ATENzb-dKp{+;h))-1mC|ZL*b_&-ABzDbT*P+#4EP;2U ze=L9s3lGKpuy#<6I{I8egogg5NLL$L+Aa`Vvj=qA>)<_n~iosl?Hg|7Z?GTFdQ`F2LmV={VI5-M=R^ z;h+nA8b2ghV|@x3c7S;~;rWs$`g~qp7*q$V^8AR~`grIZakxlceo6+^o=%5MRLd$S$r-*)le> z3ws-DpM$e*%nmS@W79gYFIbX@X<0aR$chJlaTCMK9v5mCC-M_{oj(#Ei=eghCvY6L z5kTpPIbzH{CSJWdZrw8q!!Ml)&;Feeao?kV3}9>fSSnas={KZf_C9a5EK{rxofe+6 zbS6vG?Qj{rhxM_bEKtoi4o+p}0 z(-w5dl120dDb9FPyO8x~gDwDFj3Vlji*){dm&bbw(vgqTRSX`jX1{Xaa%;)t8Fr9W zA`>8h=-|`Xx(fI-eGAgnK>bQdk=W@bw@tW6hW;t@O~k~%@5k+e1G%zp0XkxyMr5%y0v5Mw;7Xko+ zW7(>p#^pS1`38H3H$p0|l~t1ACkK7WpH>3k02)6~oH%<6fgj$TM6bUV9P`@5e1Ml% zu6I)RQLT9MUMV%`YcQttab50>{Z$Ij=iP(yY!S1!fAvPFwjSR(ifd#8MqPS+;JLH0 zftC5|zX)-amZRghM4x_CY><+qdlwPln*nyz#`%Y=5bRxtH>!WGHN^XyTq{DC+qBO@ z8#cV*bA!-S#ruJpl8(-5U?bNFToA8~*z)p2{c9l#z~jvb(Fz`JsN8syVbFjQd?$08 zu^sj`e&Y8!OdmhlC-0*m^nwmw)vPz%`3iYgJFF^}ZQAVJOqgM=Rf579=x zOffdS0D_;Leo1vDe(!_v4TZROQxJb>v7+~zATLVQKR`7^T)rX@e-d;Bt_!!AOaw7Y zQ*98BmFdYjzK7o)0;~N(aI5V+(@x|kl1}4?x)}+%eEYDE92YWJ%1BHn4+h^y7Ht^1@_ z_iumjIr&scz7`TfmJmxGR*h>{cjx)BP3%fI(uR^TkXCg$SG2_+mZKN5Q%5sYc<#ns z{x$evib*I%E`%l&_-S40DK{X#sIH0yvp)F9c(#loWj@L4FI@6*epg4s?0vzBe{F<6 zr}N$ZplOyD#Z16MN-tGC?&amgWyb1*M}$YS0n3T%uz;;EK<-&?BcU_J%W^H!_#rpT z4#DPZd2+aE$BsCfmN#qgq7yn^%q;=I0+t2Flfd7uZNa&Ba%P6D$R{j9V=Z|&(1K4u zWrR{|tA@MX{CZxkPFluY8^Gra~_U2?ejqy&6Od6N%95dwpH zfSi_}o&`JNSx0sVI!e#u+&xY+)TOcNm!x;wDL?)$K6ZH;H77T5+3bk&kNARkpP|5W zbRA85(eJ@eikEw*eJo|DH?Xh$OGTq?wY?|0OawQ^IyZ_a-&MhR=B-q_Qg95(0!!J;%=J(;(j*^zy zt<|5pe19!4psS8DiOrbLR_pHL*Fe#aYG=@Si`BC=sg2O?`PeY7Gw`%xGwc=jTJcfj zR2GQMC19hOW8?+aV??3bGJf}Ju~rJAjjtU(4**;Fg!Gqa-`lGL45^z))e6%CJLr4F z3By^v_o5?y{+R;6Uv&Wi9${3lb}P*xQG|;tXcPkkAa$~1f8?ouVT#5ki_Bv3`^DiH zi$pzDn5K@JNVRdV|J|@5NpN1+k>F}Em9;AUzmB?-Yjqc zY=H`3*tXFCJi#F7i&@E9jCEMv{bY%WWbuvjX+Mk{r#lA6QOZgr)^ zZuJ|@6h`kxA(ZK6Ofa@G58WK>4N-^)?)Y{`F9QpLXIxS9$B4CCmfSS=CsLgH9APgj z&ZBJ{3BBZ&idhA;Iy=^Ar@I$kug6Uuq-94N+MgZigdW~8emPhYW?uJb^SXH-SFAY@TPb1YPpFZAzM5kNB-o*0!H ztW5POfk3Ee6WN)Z@SY=`1WgJk3DyCe?0alR3rrjX}x-y+C$(%rZ z*wIe+E@!K8aqD|aeHn&x#ltOB@C6E4)+3g*FNXrYQ+p4u1mFvsphyggDr|J*nHyGb z)8IQ38}7+=0U?q1yI^NvrUH6>QGD_uf*l(uZ}YlaP+#WnSU5P_{RV)H#)BiR z@{A0&eEtFbdz*S2-OF$jicLE;)caYKIppQkcMUs~Agrff0`8d^`GOh3^5Z3JvgeF| z>z>QTq2hJ5^w$coQ-;N$IgIYHr|??8m#at;mji*gt>->s*xf%*V<%zoQ>QMIe>kkq z4YwL89fk#Z7vH?-@<~^^-G-p16jKc@lM8kBcB9W3*L+xRB4vQo3r}Lc#9_GaRlkRyB<2Kt-;FZ9k&&t&Qi zMDpy~cS0$~oW;EA>^?Zxz8ivvsMBR6iTCTQba-YXS1qx&_gayA@hNX#1HEs3bd;lH zgp%1@m+6}=Ku+lk@9yPmm()y^U{N*-H0?zQ=xhX@y?~PmyYad}%6iix{_#Xh7m-e@ zT!!SxuqyFW*2t9_n%XR>fcINNC?}fEIOz=JXUAjf#ps%*e8h1LTCWA$FoNV9>ce_Y zk4Fh<8TtXW*DUu()ZkvF-aO)sXm0oD#S3u=odN<$*3JVgLpPQjN4v2Fr)#%L*W5fn zd{G3%#j2VdUe+vLMVV_8FV=c4#G=PhZQIk_H*sOgas6MdB|eIA$cp>^h_O zRJ)jyHLRc)ishCWfW}L`*{O+j%aWL>tMts&fF2byVcz+*v!8Uy(dI5(3kd~Ur6gg# z`fu)igQ-mNabq#bgXbCw3$&V{gQas55UTYsF%>(puXh0Yv&)DsA(yWgYmGwkp<%`O-J|r4ePd@Nw7lXGv_C3!14dH0BRhS)2)MRCyi12UZM} z1=kS(bn%#s1mp!*YvodnPw-Hi737&|8~hgRW1x6IJ{jGNZoBrWG;je&ChW?b;$ zee`*%eb$(Lg_PskKQXrn9_3mOW#VdkA@rU}a#5q`@oi(^PW*jY7yvlZVlhv&CdjZ- zzy0`$YaztCnMmG z9YscYeW(ItE754kF^}(z`Zr@#*sfhfT=%)(A|Q;fEVDcT&k5d0N&%~g%efgte`N9_ z1|;tHowP-DIP5e7g~?r$;pUgLlT9H`3fAHpL&MgpEJPA8OZ&o9{6t5)7Din_yScdX6Y z)Z(~WO~)6|s0N{dRxL4w8yzWiKe3EP@Ar_%;MB_UPjDA6@<8ltm7cRw+>HL>Tn zKl9`0O>Sh)#pU#`)4gx;uq@ZHyQxZv)>KnI$T+1oSdc&JX`>wMDRx%${+gFXR$6D7 zgI4-HQ_}Y>Tvy(RMh3dXa6#&g_5w5(lUATY-PpXmU2*vHO~>_-&0R;aDvV3p^FQB! z`SvJFFlqneLBazy1SiOJb-e(%o+@BP2?ws0Z3 zg{b{8-RZ&mpK4>dnLB~;lmI|S-ug6S}uC!5bj0?Qs$ z{VXkgBeD;{?+-(avx>FQ97(XnUiMMNWpFJMAQDGz`ehHh0p+E;;Rn30o zT%fG{`m^_pUHe4^y`Gy;XsiCK#wqslHrN*9HO&G6JqRu4y2ji3^5+ovMqzyvI|&-4 z7)MR5K~rvXss+p_pER^`^b)Mb6Ghv&14h2c1Er2}Kxj1Hu$FpFVTPE|tRdRgaMoCG z;h|y)bKymbqJhd8vgHr(iALw-4!tI+wu+Qspqqyq zjLLk(3T6xM>Wozu?xAT7SyC%%H}VEskE#OoOBkIomvKkoL|JCut^T^Nb1wYu)4+K?aYDl^7}U8ihrc95e=^5wGvoNNa^+tOFyu}sY8Q7}mM%BJvG}XlIL+<_l&|o3 zz8nj=ApIoa)0o~DeR8;%6flDi`s0v{}RRR-Zc=# ztl>`kV6A$ptu#m`Dz~{$;%a4@{zR}n?;y4r11tpRFC~HcLp#&peCn76MN>YgCZ&%e z&}w0+O6DNQe7@4nlr&cCZf{=trSblIj_LYAU@(TSsvE6F{KXi1}n~JK$Qf^%T zbVzgg6S{=4Tv08EY&~Im{xEc4eA%+Yw`nN#rf-c69LQCHKN%s23??oXYgdvfu=^sGR z25=0D(ROG%4;a7cDVp?T8RLXz2_mN!mz2a{;|8BTz-U?UgJ@vRZ&cGsr^};Ct!HRy z1r?jKsz98}NNTww^CfkXIvVdk=HzA-iah`|PmT!VX-c$a!L&7An0OlO~p>3AMF@#K0=MlMT_-$D*Jx9PdVcoka<>N0tQ<&ndsZd(x7E8}Rjs_KcIndCVVBd9uzt``OVtFTCxK)5@ zBZra$cJO7O$wT?|s0rx6THWs${ODX55euelvHC0|HM}MriX6$xHv+@S^hMQtDJWDEoiJ`301mf7H zrZM1=Ek6Lyy)%Mqq=K%+$<9Fa?usi)GS*}H)ld+GaJ<-7?sGG42^qq!EE{!?YzuS@ zy}a5ktz#6)BX@^w>9m7yd8=}6Tqi||jM=*pfp)mK{gst`er@L7wQyMS>~!>f`wO1K z1+&8)rN;bIIiz+y+>a2`@;F~DV^YR5GTx5wh(ruB6yQAX@M*mK8T~^XJa=1U`v^mrD+sf#2cpm~0{+dqF30pA#*7reF zkb~J4hH`r=UvzABQ46rbT==_6#}rF@y#k;)Qga-(>IQsE{;4g!j8!#JlxUetGv5g5 zo90>DDY^Ca3U|k4iD#AEZjbmLHnpWV&xA_!<6fqlIUK~~AbG_;k&)KfN&`+->407t z^wuP{KoK_zVHf(7ka(At39sb=9L%U1 zHN>E$W?nlGz%$hwv>go_SUd22Xdn0h?3FGh zyeI>q;6AE%`a3}2OO3%>m&Y0-spTOiJq_hV6*3yP-{+jLlf?yUhF;=;wI756ysD$T z|3bD9E`NLV2W$i5t>q<#zj&Zi43EMk`4GSwX&f}7?))Z#Ke8;}8;*GOp{KfQH#nE~ zw-!-2_2<*~hEgT2(kXWzyW36eNR14Hy20kkghrN{MzUc8JV0L+uin zzsawQ$YJHx1Xy34x#c*%n~WU2NVPpl-l<1B%?RGJPPhw(xW1KZI$Le^Xcz`KmEuvG z^{4-kcK2HVe5t*)NqPq?G~-O!tj;*s@ShCHN&MVLq7#Xii>;!!YVu$aj&Tl=5 zA}OL?bBH@g^sgLKf`9^mZ8|&+a*Q?aCuG6c7>kSc*yYc;rszn^&fPL9)C1zj8D*hT zu}`yc35->m@5;B(t46mftY9$)#ufR2lE;|Svr6_VW@`Q4wnI&GH z0AFf9UGbi_1~wZM`O`_+9j z)IEz-Tk||$3iOMc2CG+u-2V2(owQP%Cl3Q`73FW&Uo-umiDjZFE|I06&=X`3RM;Xa zk;PA=6@*S={BTX4^U4ziTtL-Vn?8{@GG7u2=nWfVrEW6CY|u+sO?$!yH;%S9qf!Nt>!37SwI$nfp4YY%R$ zX8o}Kx1d_X#8Ad021Kq{+V#RAWW^80+)l7^geHh zSof$`d8ehTL!G|8K7MMI&A&xGyURV219N@c<))D45N40)L z>dV?;wKSEy@!?B@kbjY0bO(uyT3W;4S{GOBOS$ixfOc5EDcZ<+u^safk-rS*QoI8%O zdBwC-hISLat!3eX+ds(%qwI~NhJgF@!sjE29UFDcXNi~S|({7&$_j7zPJ^Cbyb`$)GWRRq)W z11r^GG-=HPCjM$6Ksp6JZZF05&aY{npX&il;e47UB1?o|1Q2XVOxQ{nM)vN_=e;lU z+#LP6bFLOUs4=5Cd9hFbfV{;bQ(QB<{+Yc?(GWaJpp>RQfD-ar?!Qoj`yO!Qtx0`! z|F8}%y>v!nu&i0z=fN`kXRbX&JHG(5REod=$-02GfAIiebZz;!(Out*qRW-Cja-?) z1VWJL#z_#6xX~)CNA~rr?)sO( z!F}(?*CWKUUuGhJv$jC-oL5L>l!P127;!0D@%&nFsqx%k=qcm%RA5$W&`g93c;zD_ zzQbIhXX0uyDx)M$d>NYuLds7dj<{)lg989S)r_31mh@0VP-W`l#(4^NHFRBy;UVWV zcV+EIl;iaG!g-^I-(fd8#EQ_@*RW)YS+kfHu&(jR0AY1~81>^od&e*T;Qxy#GM zMMVMAb63~2icgruNVo$%>ev{8yC`OcD&}ud&MwvC3E9*jOYReK1`g`sWV+5*V4?3$ zg4?)X07}Td(*<76d@b#pF1gb!p;A4!e`!~Z4y>KkQS7TA>t=~B8|B%dZl913rTqh{ zu$xyDygoT)kOjlrYww;ojH9N@?}ZO*%UkEZYeFZfo$XR4cFwmr_iJT)=rI%MI;E^Z*6a- zuo{Ab`Tj!EwNAIQlhpLURd-5Vx-8azaGY^!vi%%9K2j_v?;{tuRiAS6pYLIMuY&H6 zuQK8zDs%67>g&h9SA2@?7v5C>o>nlmwVyokDS~)-GAGi2=ACUa?fl)hdq>cG{^hl=L3VpWlTo)d-#h+~Ac|h}tSkQ4MJLG2d?>B)lT9pHdJ$N# z9KltS3qnl|3OUbn5MNYG!+_0S27JLga;5qx`3}*E3JCU6{+il;p^e!dy1XPYt&pt4 zV$b0xm6x5Qyq@A9KqCy_25^ZO$a2Gxxzw$ML_}}Cz|#-cj(or-Zt#hFyU4sY>|C38 zEhd=NP%(d!pd8ih=n1-OLg627C$L!DbR`qn_}G^6wc(8RyH1~!2HfN{%#-z}5t>%pIodmZ?y z7j$e`NXxBh;SzXAu5_YfyS_%S3^rtAx#slh8Y!uowQGK`3g0S%Cd+FEJscvlIL3vo03fq~rK z;hJXS`U+53zQ0{|W+OvBN&f^E-pG~eW={LcQx9jFP5|c$tv5uuqYJh?fcdm&OhIAU zpmkZd9(Fn_TF7mu{w$>a6X900J6hmj51a`gA@3ux{%mDPvPvye}Ncb8se>%=j9~6d%*e_$r z=Hw(R+hya&JE;1wX7mOXBab8I`r4z+Y2seh17~EwBKx0DO@KcT825j0jkf^;=1bic z+)n+e?1F1z9@8Y3lPn2^gnN=a@Wr9{Pl7XlwkgkRq_Z;{a=*Bh^>TIKD^KnjL@?Up z^hPiu3nJ?bPosT2y8v12NK@-ABlQjDshjkf22E3#5c&tmJFU$VF|hCc-Be;PKEXOA zFAdg_I`)O5RBn&{Gx;$mS!ZPvgH&H%Zcd>^Uh~pTLM&{83~uxf;CeikPDqh%ot@Li zA64W8#}BhLy?q%qKE1MM(i zSMvUXx%=u3;MU**pkWR<-OdOSKrA4eFm|sD%ogLBmcL7jkEBpH;6@&`mdm+_#>=Hd zq8`0%yLAuQy9H|4#t{4@V*L)3j}2+1&+))?r*PF9ERfen0!J%wO`UG3RBr|vj+u^B zjj9AuSkk~TDBnmZw7&tv)h1i^(yMsIC|NTF&N%rm`_3kWKP->v2X{dFS#f!^;!KH= zlp-fIN%fMj92%V8MTnEBM;qcCzc{LJ`dTKh-$llO`?hxEM9-lbjvCbJ+z4@4J|Q$j zCP;?ua6qP7f2jTlR-jP;b{42WkO^Tr?=FT7{W}ai!r)JzAqooufcp=i^Oi0Dl(4s( z*(N@+>;%Vf^kfXpAnzCmrC|%=rv_KARvQ7LE8~D@4uGiAgeoLQhV%hz#+psSj;W?* z+L@YeU42(Sf`0OmvAZGRXkP=Qf4h{|mtO~Whf}8-hgAu9TqyiEJ%D-? zntPzzgY`zV0W1lww@83kTejhl3O4pTb-$`y_z;6-t~WRTcVueB&1;mv>kuGOs^U<$ zGJ!Ll+;Yffua$DEV&#P*cdZ)a?)mZvV{p|LlZoAuFD*h9N7e{8Xvi8wrG8CFDqHN= zgpwgX((YMG`=@;96Q-xwig7vGND>Dx##$PQcUd^P} z)4Q_o0A}1jRbeuI;ITpFR)mPEePp_5aQojC_J4J1H0r?yE(9XNaZ&InJTW$M4KSjp z(+Yh@rvui)?u$-8(3mA6yTPMKCj%L2lbQ4q(HNcXH4$`TcF)|O-b>UoZ9DCspn*^z zMrM$irRlw|{#fE!M3}TD_jOq3MX{chaMKw-exvZw@?hMyY(iTP(D>`AM-sXGZEST)~~Rh z4}zxli@Cr?p$tgld;&K(BHq*nji;EG|9mO@6oO-LbJ=%j+H3irA0p7Jz^0ojsVaOw z-)M{FFEF=qOAzwZ)D??0G6re90TH;!|La^Lo$f6uWcjqhVz z%2$N`-YRrVtA@<#`21$3X@Ph3PO1}R*r-#6_Q^`iRGRApb90vHq+vm|^Vv)HQZU~* z@S~KawIf)UdxSiQVT5yfz6nuSwp4#Dy^BhX&0^APv}d?D>GFTx=InL8ee*|zknkV@ zTwQ6rDwF%w=7MuicjKV6VDu~g8^lws8ekXY_?U6Jh-;?*NCMX9{ae1fch`n}pQvBh zzkCzsIX?07Z5p*|NpFG%eQ?iR}oiy3*x z^+T9z>{_KRj6HlVX*#h_{@X*oKkMhxvvb^ROT~^(TCD(@eS5~h7QR(O_3eXJW690k zhGECnOr~qS=W@3@alp?_@%@q7WU8+_6Ar?~ZQHfx@Lk%$On@gRN`5h&=6@a8J#AyD z)cY@#Ds)Lc3-EYEdSyC=X-l%>y6o?X5+gt7>m0vz@h_pTdK0X4!WSkjCaMt5Yg_E2p}vO9u^Zf{wca!xnPY>sl+Y1O?G1+xr8& z)5O}&7L0>aCY6pnLw;%V{-A7PNdvT=FZXb_kL%D>zExqfrAQj}B5@;EUMX1_;%N_j zVksV?0-pP?%cEbt)0T}QbDA0)&{lCcehmwR1J*M6uBR*F44lOb)Kvs4fLi8IwlW7L_KSpU1kp;%z@4vvpemW<6;Z-sF>+LVVa)sl!AE|mN|i)3%G3WNok9xEQWoaQ^u?=j>9 zi6-l$!}HwQ%@-@ucC^vvEl#FGms=xx>-m&u_Nxh}eK-b?re^%AwtB4=Ys8k1kwK78 zZKAEl?->JeVnX%4YzFJ@NgJ3geQf~^7Xg6p2W6=9_@?o_e8>HT)N5W~5B~cDp-j_B zln}8e<>1Iqk{X*@7^%sDVp?( znS>Ya3J_7<2NCb16NY2KA~90zrAQ-`zNG^4V;<)P*6HkW*6p>(v&H3Qbg7i+qkM&) z(0ej}xLf?BYoTW2yz7+2-C=u*`bdf(7!#v z?`(C+rjV1ONH^LsYp9e`+$k|`uWe)O6GWJ_UrYzfB8U>iC52LwGvBy|ex8i~ngCwA z0#j$JNHf2pxW|l@3%!puIJ}#n31WoE9UzP(w@Px>w3T2#y>?-J6kP66xqx{UNf}7F z*B4fP`|Tu3_m+FpIGh9h(X4jpFUAV+qEdFAel>ZZ5%zwegMF3Q_Drd$AdW~Rh|c@L z-CGu|x#ji*ZD#$GGr8v=#yjV+vxejjJgwCrvvQ#I0R^9>pRK!D=D~5j)_az=&Sp}T zTy$E9Pt2cDo`*KiK=A8diXYscGZ{eewez%CLiHLO2rNN;*AHQ_B`x2uEmR`TIz%I_~tD`@4a zT$n`IEM#`Tdc^f8MKOR>?N`t2tVzg=z!}6wSlvR%+4!h_Tj8XZ31fk*PYlJ`3!>^j ztdmp61zb03Ir+0k7P+{=>Wrlt%P#DBEf@?B(3o4E9~(+1F(fL?GV9rAr)96D#lYD& z5pS*X^jfV5j2h3%2Qv>R_aDJVZMoQQ-O%w(<@ff~j|NiI>rVUu^;qj+FrU!UCy~H- zYj1!6igL}SzIzf8=3uwQyRdXEu{So;Pgw4uNQ(Z8bJcMTUp2O<2+`X)HIX+?L0{ORgt~uAfw1Tm470#`VLnk0-p>RTC&>l z7Jd!|ZY;EhQ9Jz}yV4-pbZa({Ls5kSHMU`ysxIbcv#u-2YBMrIbl9^5otsU~T$}Pv z$yfcB@O`@vYos1Uc1*A(K&cO51QjPfAScytO@1w|J9rjpxsYx#POJMDKCoM+P{%?+ zL;hjvPAfj^Z&|O(f3lxZKuGeopuVqBu~4;D81rcu9!}&ld^14&n4{~oc0`n0&d1C? zYFqXU{oAmJ*=fV-A`nmX9>=18^MS!TUKzr}6LLTECs~|g81mx(OY!8w!IIo>Y>6m` zi1(Wduus;VIxjwY{zA`dtB~z4SZyICW;UA@U76oXLgBb|d%>Syzg=#Uw z#SN+xieMBiBA3#}_x~_msU<6nRHkbD>ea)|@o!j9d{S>iDrng9Bg*tSJ`>98x=x|i z7nZ~KU~z@dzHL=&1Kwx-|7cytG1oT9sB*`#E47g;=`r~ZJR#4^{D)EmJ7p&_4!GzS zIk1HmI|UY_xEgH57gfo%E>&J#iF&RC&b<0braxu#ia0Dnt4Ts>SudpKo*lU6Z8(}7 zoSmr0h@)Kf%xmQ}k%g4)FaKtv`)}@C{yqVfBoapUz#Q!=@bSs#C`Tsy7$K$CP3dVMYAtj2fzu6V835 zd5q9P7wSoZ)YFW^lSFG(*hkb*srLJd$Q^q&6zLYtzX7j3@`#IGHety$)k9RqMvv@^s<)PkuM@m#@t4bmXrpmnY1h zfK>)RdB)Xu)i;DNwR{$&HP-v>G!^iV*9?uUccv~C7Q%daxA=Xof&AQo?lE$Ai>ZRo z?6ItlKy@>{9NB?m@(k*mI_Bs(l;Y8j;%ja|>*+hmK2(r22K%50T(^>b$UBpf%>HaG z<>^q^23wxE!nj;N5|itv>YreLd)4PtDXWQ5C?Y#+U45eD$1N9XhbA4WVFI+=6BP;f{{qNrG(>zq4r(MZ=qo;^E1AFy5ho5Fni7l*if z%JJhK(9dk0xg)K%IP~9>3OQeG)99XT^87c5hCpDvys{%+@r3L>YkO((%;n?@UlD2| zmg@x6X*X$s!{{yi50w<2JaP29pbr(%qG8yQ@cPa6f3C3U`ZeD>O5)<&47yI^NmuzyM)%YC0~gchU>+(0*Ktf{GhDSo@X3y$9?EEK1sHmxU>}yWYSt9I*5^ zq#Ct02MED6ehy9B?6s+5_<9Qci+Lemp9)uQxfol;i~eau^I)+;iaFB)W#PeT8g`EXr zt9)+$L+5U=XLVy-wOmkbIev&k+0W-5_3T&tZC%2P#rjAYv#T5$tqD#j|5O0YjL#ym z@=5_?{40czTJy&H>$n~@evR?_1;CYtWBJ^SGJ?aDUv7CO=OKi>BCQBDnoj_>Q7v@ z@z_0pQ(|CM)}g2_Jdsm_{B02l>L=$QS=Wrg(34la<@b+|7eQ%$8~f$i0&T5ifC$`W zS8mOWKeT#Ph#w)1mSS&?0U`gPZ^ug2w8di_IY~oS*4{?vVMGO;v354JN18ow`%_=L zG57`6m^oXRw0NnUq%$jid^{=pTLgj+-%0(>O`&xE`QVpfP79a_ill{-@}faT6?EIV z-?SC!Lr;PC)gSYJn%i{0?{!k&Z}^&-#c+(1DPoyl5cys#VW=_SfhAxe2p;_#Of!Gr zqTFD?I1Dqs7o=T*UnV!eE26)*{Lsq5}tsq%2>-l$%fRLf>wZ~`H z5Q5*0d`3d}jP#$d8I0kRU8X4bM+ah9s+2n|sNdE71H%`~W6dsHHh#m1`Ay4Xcms|; ztN;l~!8xtkxJ!#oCn)LiE6$V-CScrZerY7-d@l_4exwkhM}f2B2`B$-XjEbOwAoFq z!T1w&aLuzdSuE`GGK$TRIVAPqxtbB3W-c8loF$jZD5sTugzR=Pl>TVUnan8+CGEjy z+H651*iuHT(WRdJoH_N-leGwwnc{D{)E)WivouM4#Cdkax<+IFSL&IZs5ihPs!1f0 z)Yg|nMh4>N#HBIfpLytONuKu)`E5IZ=~+PJYBdA5T5&(J7iwzRGxD`$dtx2C$A36@ zayc+^HO+NgH;JVmU|V1GY#zEJZiXfuP-~2EY0L;WZ;qjabE^siur>Q0g+OJ?s&=Sy}ElG%4pue>hsjT6R%!)n5X zPympQTa5#y|1T|ap$L^%@2T&nZHD>2!&S9itJ&T*}16Q+35a!gV zwAoU`(|#Jbv(qEhB!{#Ze>Mb(_z} zQ*v|Xe+2FBk47;4uX9bHhg`=4(?)+T;QFL|Kti!ZQMB6F3*)G0fF$OacTJcoAq3%Q)ei_ ztBX(O5^>c?QR}HpM*J#Zl`tI|8TEEJ_Y>7)G&)Vf&Cx(mA+_5^vI`cl|pw)bvn##~GKt4^`iJJSiK2IVl?r`Oj`$02HBSX zdVHnEvL4Nl?B%KZd$xkTb2Adg!c~rdkf0dexud*A?74qy^KW|N&azc}es3OmylsBZ zpP}CBdL!NSK&RlfK_|caaET25p16w-Jc4{MHg#vk&~sx2m>K&&-^ZfPR-~Z^%h_D~ z4GMUrvAQl%CN{}>dwK|x8(viD#f^0R_sTa+*)5-1GPQRaSR)5<+eZxHBWLMx{M2nR?GlID_$ZE1&%TabU9XMURbFfqwNPevl1N*4vx+BBwaGw^JMwbxl z3c}tlX!XIh#Zt2w#XQ8(rW~C9sE&uG_TtKEtG>GQ&*s zU|7b?IL0Jo;F*(Ni2jC3BoUa&S)bjBVRaEUKl`Ns4XPH;tt)rpi^V`6Wdc>!&q}Z% zTsA6^q_zZRCg8l}xqNUbm!;HH`)Mg4&2Po?VHI7#H z2pNuuPRdZ_ZRYk*nHi{P<~M_mbvs;eQ^>*r;0K)G3HNlGINL+)ngn0YlV@yh^;e^6 z;|4tLFB4KBpvhtm0zam`Feh5v0z#Auo-+s;))8?wAP=nk&_g?Il^P6%h7a!} zfKm0@fz}&8~)}a1g*&x*mS~0(Fn@du?9_*zZ z!Xb#K2rDYAqv%piJKAQm16!JCW$ksB>%kFN!I&_4W}CC!{em?iHZE;L<9>)q+nAlo zaxwREsf*kat-5wkZAGOXFj{jt{m_EzCQK7J*90j?VB?F z>gpz`>nK{uY5=Y|*Vw(qERy+*!Ekmqr7tst10KLSx3`z?C8h*IvJ)mZ=hIBKu8(xtnv{|%}AlKP>?hc&cZ3|(b!rTapwq3MLS z09vJb%&e0dNr2XuuU8f^sJ`=isqRx%?_;L~W4=U0EZi+E{B@$!hVxtWm zW=fDm)z^08W9jVG5Op%kLO=s0TmW5iSVeSNjg(BPnpoWpoRysg9p2j-y9$_uZB6O< z#33uW@rJn&t(EF!%0G-0O|nlyjQX5cHn>YLM+n-Is2e2fPXN+Z{(~AGzQik$&fW)i z_*RZ2)~_41U@h@|u5>5VCMSnWju;p)Q_;w(lgEXy#6)eHvt)ifzUG($3B9m%mTq4*ioV&3X|u5_SY2ca>kz7!A>H;3~#FVtlrH^ zN@rgnMG#ttVorqibp7jl{BSHeNwQ0ps76`Wtx}Im+&F>|SHu_Q91JX(B|$uWKI`ZM zijZx!oU1ur+F!@@p&86Kkd-vusFp5P_Vx`k>EPv)na7{@%yCiRFJ_##yiO9xKl26` zY-ud}!o#VUI1r!Qep%k&m1(xHYE3^1!{t$E{QL=u7=S%tMDh6EC7hfx;Nj*c>{3Q1 z0eLy4iB20)08FFy>z#qew%IO{C@&yJcebQ=u~%~hoGI_S3U7*$Nx%GiSOVE=95u06 zjjI{K!#f~s8xi*iZ<$^$R4U+3zHJD>59CP5u)FEuSxd~TqUpWpXWw84Umzf@gp1( zHiPH)ABBcl3x%8Q_rT8Tm;)G2b=rx$GVe>iU#dnXkRUy?Hd<393;W(5qQ#CjvemFU zHK}a|vP*l6lG`(|j!3I`2y@OzC8uLD*2CAo?m4XbGE!^FsY?i7-)JPIrb^qnk|80- z!m|2LUjr~nMa5Wfp5>P?s?=O7M-P}g^GdI8Y|p}!T0DBnir9bmPf;zyss43Fvf@p0 z0_m0I>A(Jp^Hf5Hy~&hr{9U?f*RlMA%-E0+$+WL{7x>JUmNcJK1>-eWoJt}o=$H(a zmW}t`j0_>qOk=8GBggiytYedG;v7PqoeB*8h@j#jIl@F{+g{@@5XfuykeomuB4?E& zMZ*0e)1dOVs5H`**#J+vbw$>mp5B65dqUB2-H)VMO+lG4GO$3F&mJweyycp$R}-2- zoO{V56nyncEj!?t(-j<^0Cg5Dvh}5&Tq-aHTKVDK{6qE7UBqHd#tAuW^31sMOz+A{ z+KI#9!#RB3>!AAaVK~=GR!=r};c8*VWj(d9V z=I8e^+%1^Ss&+YL&`WwsjB;4%(#ArW7O8#VtM`w1Dy*`aIqQissiiiWvs4sBp#uz~ zXvmvRvs?LZC>Iwvq0Be)=(H^NsahLs?rKAL!3KQF^(VM(wq+@Ips%L|Ty8Fn{R66y zV?70PX?_*W&C}He{BZ9_uYZ4`zD)k0S?NibrBlf+qtH;v0o7Z(y}l@Zcbb#ROy!F& zNc}!cZP;EqGFgR`3^2VUg0^>h+wa4k&!y-)yovW$o(ikqi<||5pLXV>(!x{d3V(ZH zMhRL5e=ed!lGTxbP-p8ZL;SFN1SP-K(a%1mS`^~MDZ4`cjm_&*4Fx79gi#y?QPuj3 z6+?vipo6h7;6y=1X&3 zI91$r9+##Bf%x!5QmJ}2QK*OtV#WO38LrRw{IgB)!1B-rY4~;`YHTjF(fkW3+2!)D zi*HtXId&|aZ50xGgpfT`(xT-wM;RCGa8&H`?7a=km# zT#ba?-Q2QVb1P%jd?TH`P6OVLW_wCY2*g$3L%%{MxoXxzm8qG1obZp{UP-9}ic$9E zI&<0Yr2Ijk_AeCU-CSSBF#6IbfTE(DtF(ekqstLQQ!~#xqf^(pttJ6E-5@sdO^E6o zeMHs2lzN_Iib{L<*yo-|CZboT1-#UWkhrutBU+i5CZ9(a@U&iPPA1|-x$WF%Np*nZTFd2rD|bJfcMBjOH8Z3hAB!nT^ge9_R4#A zg4?&0JBZfge-S97K&YN}5&bbqHWvYFIY;1wfK^1=^M_8YJi_YO6B-5=N+ruZmU>?o z+A)d-xiB&`sj&Hvve<(J9a*G2G1wV|n_BkR8L z#!Uk{>ZBEjYHwZv2nyg4iBQG`+a3jxXN5OhbD#U*hm9h$H<8jd!p)Xv6!K|dA%}Zr z7~wLV3L*Dn>V?p7WIT$rg-Ac!_X$#=scHxPIxVIu9m<7c13hgo(yhn#Yhfl%jUO&D zBh1va+E$RtdM&<^rXOeZjWRSP)EV$=4#Qmo$loXj2N093nCtvb!E+Q!!vPDv+P3+Z zKZrwA4f@t3dTrjUKzpA20Wtf;L`-i`4~#%$5!~*HsDc*qnQ0(f?x<a%Jt*3%f)BXXt54WFBnwNk>#VY=lIVRO5Dd}^ZPDovyfMwJu zvjj6@V3+ci-GBuiviuMurH*0DHmGNjCM69wqoA?%HV45tD^xgb`r#!+15$4ovqC^+ z_b_{Ype*m_fjDC$)bGN^jVdF<$LoGhj`AyK{ms>+r8UkJ4eH%*6g;ILmbq2; z4)$ien6O-p-Myc~_t%cEyKt7dyf0#!ns_2m2o?S|qXB}hU`;y2Mr068m62TF*yZ)U zv7D(ZHtKm<_>S zxN|rhS6dd16bng%ccV_zcwDfF2DFD|xjRKG5Oe&AgiC@SvHmI*RZrm2J1QnVIHjJ` zcK#;~PL&MGEMI7Z`J_97rn zfE`Z73RQA?i_(V?q*q=E3X&8^PWfPAZ;%Z*9;z7!QTx+c)FMF=)U&#GrskB(RxO8E>nG+?M6RM=UkVbf;T zJ|t3UlyD?#5Xb-Eq51t;pMFM@xrTE7Nst%t^d7i7E;3#B2{Fl38k{W)Dnj0Q%h)SCyqtzIw z3;ht!IMqmp~BoT{e@t7EQDmQo7xi=4q+LAZFz{O<$!j&bteQ>0A;7Xf2ryZ+>fk-fMt^1@kK?|Y+Rx^jqJz)E(RfgpkQiWMb;M`8e8 z=fLmPUTjP0{#Lg)zqSxX42I5MFa$sfLoCEJ*;)C*M5i%h37edP8gSd9E)oztxIsl< z^f+kNK@96* z0AN)!X73?CHbwb3?Vm5!F4wAHS z;YIHwq}jwvz2VUu-|WmacOVGh(LD*@T2q_d3&koGfQW=;Lju=Tl$<1a6Vf+&Sps_k z)dR;~+9}_ZFPwVelnXj^r1>`na+?MigFC1rWkb&fBxNb0(kiqNHHcG}nw>F9xO=3- zHhJw%5R?X8;|-R|nmykGLMGaJ=pl@*dZttb)zyxG^}DG+56(DZ(IKl&42rK+pK%xT z-7uGWV(mwvJID1Bw)zpV;AI3Z$c=N49*JcKNrS^ioLi4>w411TipyAYbgixnCt#6K z_l@1?qaZ7L78)tt+Gk}*8W@A&?oN7@AklI8m-H>)gOIt+xfAc3iyFu|ySBLfC*!uc zSyJ*3IK!TRasA<_w6Ir#EH0VFtnN=H9swIaLl;9hMvat)ge#^}a7OS7AtQKByc#pRO;*lGGS6e4Dov2UL8^G(AE1S$bgv z-OONP74fwr9muAVw5eIa+@+_14t@ZTRoxy=8)6hhCXhwmcq}F>NoDY?l1Sg%3=H!z zDD`gH$y(z4o;#&)zdxiIx;3|cAJc)f|5}%{8-&-iXnFaA8{$>p6UJ-QqC-BKwr^n? zXbMd>y5o__$07|oEjgmF^d^-$Z6037FnaS1Jz9>SvE^WX=RGrPZdb5xmnSAZX~-!`bdbK5hJ-CMW0Ztx0I+mr7kmGS zW%8N%5$A~e4LuRjNReih!YbU))0{`kBd~7nTPb|K|MZofkwRXoT$>;63Xfxqp1ZA- zt~w@%1m#dnd?cA^=@*g@nko}X*bG(^8TAI3GRy5|G@MTBGHajlE300unv##d zco*_Z$OT;546~OZu@@_Ww7{HW63YTZ0wU~3VC*-KNFI1g&P*f(WxBAa_IEFl$}J#+ zO(=bb@|#u|_AN>?B@Yv}O`E_Hq=qS*fOx(#Tv_vg;;c{vYeGjtIU+|N%Y%2V>7zh@ zcvM_sFJ}*aNcMC=FHqPFH;WDA6CUA$0VZuh!&inGr&g+s4aA>jm2()7Pv#>2y8|?! zo_Eo26IqF>VvjI+fzz1JXg>;SD{;`Jq}Y9{=YX9o?`vv>!`4laK*Ho}D!11{>@oLv zwf!UXP3!{>*MDX2%8(bs89wyqI-#}rhv*N{`zO3bc998K$tlP8H-Rc38lX?Q^FjMZ zV2;2Plc@kOyXgK*tC3I+46eMB;dRY(w%Fy#2Ym#R%bhELt5e`QtS)7d#XF9+&AiSf>s(O6{kjv_@ZLeGkx=qX$ar3% zTv7j38CVs@L<#i0H$_=Ra1K@VtlX8BlVkPtv*&DW#WqzGILA^Wy zIgevpeCr7#dT3i9U$ufj+5}lCjSih)lk1gpH)d`&E(MDT;ZKiqhP(9`HH!qUZUmOF&SPFc}g_d$pAe3U+QvCcy|IHPA#% zz9?~Ek7}aI*iY&*IAgLi z>T|xc$6P5EgUu=4w2znAW$;k_6HM~;{TXmFlxTnb_K;nOttaEAOoAI;!11l5;3_u zdh3|e#s*!$Magq*k%SPJ>zi|b{z80icYZT?@+^e3nV7UF2N8BhqT#NI`ptOye02fz zN-f`r{Q*0NUTM8vo7_%QoA}KqIZ^gduPP5`%$tbxDrISz5z*Hz|9_3&11FCTcYJ%` z$X_4CfLpTPQkY`Kv6+s|fQ!ReFMF{b>JgR>mKmW@#Kb0vS%cu5@~j8fSm#y>PkLA{ zqS3bd3`NCBm|ap?4`Bs-t=0Y5iykFcUdL5 zMc?a($UQ!0wAS_qZ8!&d&FBf$I|bTQy}ijUWuD`j&)p2!D3R#!9Exr}+-7q9l_E%v z4Ugw(AHMh6kxdAxiDgo-es?>*#B@7f)BQV5C?yNJEaZv#W&Ntxfk~?0h}Di6ZmB3e z#Q?;EtIZMz;<=K}wGqovOne+htU>#ANPNk7R{HZ@J?lOqXitVE*+4c8d_PGkJkk^F z&o5_(M2d`(%BfNR-VR??#pnOm>=%BK?;Y;Lr*Jt!qQsC!zdpPV(_xn8iWP8gepy(u zSfd)?7MWGpdlWy!swHB!N<`Jt2QhHlaOWMn?{^Sl7bF;<1DzOnhl=Yl}r){-4q1V-oL* zkOzVJbdRo1ix%Qyjgh#K3iWGvp3R8n^Q$tF75<*p$RzJ&u#m?Yci(nDl1{e~_F~N@ zM%KDELqP%MQil~h4&Hb~#FNX?YOahHEw=1qfM(uG36K>)<2@)|s9M+Z-JbyoK22;v z-4~YAGg52p$%r|8W;O+guz4_zIWRxpXQ@?m=DD`d!Sr~+`i8cHlKL+3%qD0CERYT{ zF-d#*k$mGkN(LI|*3qOVd>}Rof~^Th9bWV1D2tJpInovVBz%$t9Vans#wwi558ghh ziWxQCe=w=Acf-LHGdpNF2P_og+Sm@|sI+$6$veK}>Iv0q*}T|IqK`NZ1iv|x>ubg7 z*V44Az${vgGmkPqh?7aN5)M}b)N;Eqn#yw*8mIYEEWgK<+yJrFk+jiv4JP8JUDBQ_ zIR`75S|%5{I|4>yp%2P}lemWoz1c6nI+r&PG!oA=(CXW|{Rabl$p3KCD6CRZ8^d!3 zx@r$YG_KZeBdqCCK0sHA%Ot2b^vtwOQHe5m&O|xfQqK87;%6xvFRQl94jk%q zt&zVqt5L$P{)U`uN2szQ&UCAz2)8xB5o_X=OX!&6JsTIa?g>+RS{0P0p?(w-UkSyq zuF;Uf{#hzf6rs}*%=Nj1GCr5w%6rS6?ezD(5@-)_OyhTmzD2%Y;`A5&F<%|)^C|J^ z$riM?sl|wS_nkS@c8{i>cOVCt4^?JcfGm+nCq2Fv-8ey0E`D%QG~ncqLkvZ|vO^a+ zWIV@5`m9wsf|z=dCdZ)ZSC7TBIgM$LO_pC&Sx>!GMWD7 zXdNwHDmWhdo=vM7=tftAA>7?z)$23|na)xXJ*)RfBWBpnN53iEPOdxITjL1%RDcmK z#lKAOi1*D`zQ4I@GX~Agk`EhLP%d*d-Mu@N0wWGr7RxxD0F7&&Wh%P_feE=*W~Uh{mDc2tPR`(YS*#x4fak4v6~X0THho zQjG&)+xyeA1Z$c;c=k#ysf4pm?H#5FOy@^ld3o(U=ZErX(*3=AJ(ehElPYHKJ#4Sf z(L)Ue|CZ56S#u^M7LY*2LJ{$VESL;J0kFg@B5BK;Az52wasTQ%%1ZG?Du62JCtwEz zV>%=Ab1(7q5#mt%$5GKhEOYoAm*n*HXFHe4c`{N)#Rf5Zt?sLkABC`JJ{ z9PwZ!_R%L3ZvOd3{bK~8o)X*W5LAXwL5OfF5S@w#W z%1^%>(1q1ceXu_{b#+F!B`hcEU2?m>SknF7>dy z*nmi@g3pS%w4R8t<$`i!p7=QI|FQL!QBnSH)UO~Z(nxm?-Q78KNq0$iNq6VKP|_{Z zjkJKIq|)8p-Ov5|uXE1x=DbpuE|uYqYhU}b_xID$%#ZLdVHCiaH?Be+M+uk^>{-<; z)8!7xVX<7ZHL@=esFTpcg~Dcp19VBqS5^!=ZF&U);2imgaa)h#72hc8wRe?p#A_xIU$0n`7b#LM?BCy7O}=Mbk~qxlj0KQ5V@H`FEN`xQc^k1 zVrVnw9jajQo4wc#GYYqDGoJ24y*>;3XuX_sGBJk`dY_c_*ErdV!$EU9< zQY_w%sw4g$#O~ly=bjx6?O3f(0Fi%;Qj(rNX`eKzqCGvr*3&3M#gAKhN|@@(o!!f~ zmLu(-_DzY(N&xZM<;7@tmbZ%Kr4z5_W*EzbmzbkfW?wnaav=B!;MINYwPhkG5AwJ3 z+|11pbq#e@yZ@e)tI0F{etPYj1)K%BC^eb1sWiAXCrE)7FQ3nop!6o|LrzIstM>-a z=9wiG&3BBtSKX*fIo}GbgY<-<_JgRll!%N9P?Ts^7_?z{s4F$xteK??G5jtnVVt*4 zMgxU&KWE9p1lB6=3Cxs4AdW&qwZ86;BEjXYgeTS$h816!=_fK1@vXcpFmI>zt0?OK%++WT9hFX$f7^)}CJ1}qZ0jKP zMT%>a6wY*qLpnNJe2#5KCsAk-JIv4{q8ED5JKSY{l}3po3CTf$MbG&a7c6-()h#Nq zcbm8I@0$|+&&>~O!m?5bas>*H4^}$FqR6n3&JE3dlcE*^?Rjk*BVBzxofrm!&Nwga z@|g^g*9VAm=2oh^WFHm&cJ9BPcuBNy2lRvQ`3z)j++oL$7h}%^{AseC%O%jL$?>vvj6&VniHc`B3cB$&QMfh%6j#U*B|j5~elT4$35Fm{z{C|RX{ zWESBCO}EsEfYWb(`qH_|$6#h+BwES?;>-7yLTbceX|j-iqxtYGK-3&?Menom&-ac<)W!y_LBQgZ)Eqk|(d#V%Nben9ocr7G%?2IWoPD3d_76{hx$cvnQ|n11uGezuAR3gz0~Z{BCa1%^bq^)4PK( z1X>pRxJCd;zDc1jA)<>8^5K6xg8vD*>53MdK#?%7&W*TlFV-e`h5Ee8Z~Le|p0urj z5emUpBGd6+AN=W3=rvl%Fa*@nK1xzu3}-SZ@G6&xkTTrx-o0RZyp3%uVSonX`y50? zO(pn!H=h4SLuC^;M{Bx!=^B-pi3MQ_c;=E+>@JmWZW^_C@q%YlwW})0)u*4Avi+G3 z|NUy)kq6(ROWF2FT34%Lz7i>A@dEu{jYf57X*Vr^QJ|r*J+(}V`w7HB6`hX=9G|CX z#~E`fB9(vh=?}gzK(7E3YuR<2s>C3f&b;YH*l@@(D9>E?M>KRouGrnPH|SS#r;ABT zuCs`H$Sv2e+7*v?oo&zL#{oqM=;7%npX$8$yXQWN`cYMhYm_Se7S?;aZymvN8Ur62wUyB{{S(?oEW^`fg^L>8j#rZxO{E!q4MN@es`#jnp zy^F4K8c9iY=b|-oT){HbcqLW+VrZcQzkVy?wa+9J3+W~_pS70M$s!i7d$Oc9{0VlO z559{8gRYiL$;fWYH{Km&qE+`sM*CZ5Y!3x+J-1vw1?maEDcrYkh!WH0)t_NJN!JD9 zJYieT|D^i6s+pv&JKqx7pc%8~BHDfIvBnFzxwE5E$0FtP-c^Jr&3{PW3#|?#8Dj-D zzNDnRkun(nG4yD@XffWm2&RK;0co(N=m1&|*RyN(TSVwTBA7iiqGxF~cz5k<_EH1c zTHs9+dA&*&H#Q7c_l3~ll_4EkZ=aO>I4KEfgr8oY*R;>CFgMyYIVR$+=GJ;82m~G- z?=7v;pZsp4!SzD}FAFD)>SWeoir3Z+H=pH3X%x3n>&RYQ*`1j348erIO6izvrNBY| zc;!o!gwK~>r>hx)-an8y&Tn|v*6*XSr}g|3XKhcj60NIVOF3DN1;O*|FuAk0`25aE zfL(kB)6Tiq)6A#xRFT2wqnK(na3`EI%bV98Q1}Ljl60JPzvm$F^G@sVzHNf z&J>6=Z07#J07-7DW_*jBf98<-t1uunz!%bV5pH??a7)uHmfTNCo>hBOQK!19XnI0v z6&hE@%D%i>wmg^xh4YcAl(RsN8V`fW!9y;49A&AmTEB*Gp5dW-lr-#L6`_^Jue{es zi3S_|JbxB@3rwKQ>DO|a<#Ef>*e{^P`An%U^5w|)Z?BX*ty+Dts$i=_BHqDk?h!)8 zs2u~>o>ux@$x!aFulDrcjAc)Ki*b~5FA1|}e^YDIBI*=^Jhq+=(~YQn;_`-KdbDzo zDU#2AB2X7e&URklJnb_hw=GGo{^d(41>X?*YOc2P+#SNE*-Hsi5zX0S<^UBHb2iWV z-TIarBFr6G6jE}He8SlHI8ZJrx^kv@|6m|%e{G1f?P9U+<=bsfKlUf8r)ct>OZOe@ zDHR39h1|~A6?9I#xY0#szAjcrz}+37h3rKE@E4PmD6|AFjju@D7Zy%1E)J5OmT;bL zB3G_mfxF0U6G8GhFgFFytg1%bEf@A1kF}0v%38@*I$ymJqWAb}RLAkV-xn$H`F09o zGhsox%B~EBA(Hv679iWHCY=IXd$W-24g-Ll#ReVy6VDn@GHEpXRPW?NwYVz)rBjkT zQ4#qRG-thshPlBc06d~AGpaQ7F=$bFousw=3W2YoUPA6&u@)*(pGi4KJ+MC>xMa{$ z{>E}>BR9v*#)Bo#>X}d}QJh^AR5_}R>9vSeF$hNM3<2BJlVl!4vywl~a1&Arpb8ov z)~oT-qFqoV=9d=7wZZXy8_o9Mk43f;evtiAOzm7E?NMq`OvTOlUT-n0pM9LVyu1zp zG*t&K30P=#?A&%99h~_GA;t}E9J038Yru^s;*og&!>)7LC4I3}>29gm+s%~AnAaC3 zxw?%!J~!{Sx5S!~$_RKjzc+4c9^V}y0MQ_>&_zK5l_h%#?23(Dd(sH5rsBk0feoq? zi|Y=)zm+-#qgJNL5a!&yAS0bdrS&V{%RBb6_iA5ra@SUl=v_Gnr9(qAczTf}pBzFV zBQX8*9kK)@Bgm;PduP$~ewEHUzBqez^%|b9M3`FNUEKxR5E%7zk%_!7 zDK=SGKV^zZ2MUfpt{${m*F+T$I{2iV_~Zb}Vp=#R4*Dt<{PEgNW~3GlXTII!Z94V7 z*)ofcQ{9M1R+~p_npmKE&FNgk6W6lky|df|{)&Ly0@dI%KdF(TPw~qUXEgL`Z{zgk z9n1x=^q^xLd_^ycKnop2m4#aF^lbjoy}s8Sapku?&H(geyb^%AZG#K?cPJC?4yYD+ z3inu@1)UY8CYm`Gqm9=5Sq}*=6hs9Ah%HaOOdG~Mj5W6Od;T@A$yzPuyJ(G7u0ntV#l~vFlo$U;*{h-dy z%$R53=XZg-n_Cfe659q?cj1n*bH*=$OZaakcP(;TxRb_hA9Q(?qlE8tWaPJiGE8pG zx*A6yDcsDgA(PgChlNaLZ}cN9P3c?APZ<{b&r9u2d29%mqz0OrO(Ec)N@SUoLrNU~ zW-Bpus`*Wk46BreFmzZx9@g(yjv>X`&sb4fCXk<(|9#M8BQodj)g%0Nq?X4ftQyAa3nrAZehPA1iVlWgucHc-w?QAo~_4yq*K|S{%WoKGB%;< zu)`2MMo@HgV2?ZH$v+P{{HGh$@H1GkH_c~Mb28*KJe0L6SA@u=nKx-?ALfOItJ?a1 zlD1k4nBIA?1mI?AYL$aMP!@QFO!8YlRAnUT79o5_LgXO*)7%Er_n-jqPFxW{)&?yO z$*JYb)(%~sn0N1IDSabxw5Bz%oF-p{TP>)hE+vf9{y#zM`~b|Nf}Z&fk+xUsWMI zkR;sKs?g<5icje7p>JUy*O8EKYdm)eiJu^DUtubWJyHDMZ+a=CC_g(a4+?n$-dk6u z-ih$7TSupPhsN;VdTxA&f}5+5r>Iu^_#uUBF9F_TzwM<@wna&9kgx7s#EG}z6qjJ? zw2x`E0W>a^R4xGvWEQ%ZSkxayXh|Dv_Fx*<2~2|3iYYRBAAZj2F%cv9jRS zeD7TLc;cJZO)S2MM8v~2d`CwoceS7JE6ms6vZ zSI`N(#`4US-{~6#53?{2b4_(i;Eq^D4=HCd9FMeQcjW*0N=$`_Z@QCQul~3L?&;#6WSe@UNe+H2c*ypMdh^a+DR)?&saZMmasZn1$;5}J-xyJX@4&y zIdc)Mg4v1wi{UnmrhCgz9$1Zl4kP|lU z_1qtQzVXrI_isM8L!7RsQ^9DU2uFZa=qouU2%QvXJ~GFY-^5)<2miw*N|**i%DdKp zpGcG?UAeW8lBrb*K0hp4+s_R=JYyM=T!%$rD4wcMZiJ?LDpU0iMEKw89k|gUQ&T$4 ze%_y42SfqCrZEm0hR5r;7!?s_Nsz~JYVnaE4}fdwBswh>xm(TIKIO{ zl*>J|c5E-Ft6zkZ69-q5PuWc$$n&W$?GRYEp7gqWdnzr)>GJYpbK6y9Z)Ol}0p6V) z{#UhmzCA1dK3eh$nO2v?R{zgTEVhFRp+l1Wkr}LtKbkVhx_x5Q1M(7Q(L>kal&XuW zk0Q$ZmsnnzRL9P2y~?zdhwhXiXyF_6&4B4cHu(M3=e}eK_j>;exjpm|`!i(VG!xC7D1wItejfIO&jaxEKRjXnD z+diJE&<0W~H`kLL-fkPdb&e-`)O56zsUFEkb0~r68{HB|pJ{WR0JIVtd!vU87ZX63 z>_+NkE3EVPzX`%78urBf>boGbjn%1`bCN+GOGURvHRf|AKB4asam zY{Gurw6ZE@8t`A#SMptmgMtWx0&AsUe@fV$XzH~roY956|M5=xn)nYInT*xqsEe>< zBr0S*i`*v2Amc7_17Ryp)+6ys!wM*zYe7wJ`!47AViAfS458mwfGs9g-$e)$8| z)t#4(I1TsDn08pP>Bgn!kfP0e%Ad>}__$Wal=Pqx_@>?44S}e{8dPJYwNV}JGC^%- z!+iPI`ST=uMFe}D_H1sRSEM}?ow%uJ#=84t60xpq)@r&#LvzbBv>owJd!5!^$af>e z`yw_LQBB`=K~4+LzNde5*^Q%tUp2ims<6qeNCuo#@m%yPl*^JhXkH-g zX>6$TKz56GbtuYhwLxgjqSnpB@nj*5Vp@$`9{NuMyEQ^3!Bt zj+5*LfE57;{&@2a4lXmSi@B~$lfJG3=ERUTSs@5II2a{AE=4&TT+*v@BKUE zq9<6fDK_U1Jy3nzSY5^Qt!rf&!r@EtRJGEtTb|aY!ni|Ur$U%vypt(^Gn~SC^+H=1 zR{d0cmR@75)jP02XO43I(lsgEO%J(jginim#pbrwSPo9C`6ssO;T4wC&^xWRrM4yd zChZHWPrSm&Du}pIkXY+}VfGIiTtZSRn3SFpdw%W=N(OEk2}qmyg8VjMV9hIdPG)zm z%Tey^8Lvl0;9gd@QC?c0b5e5O7B-vG~_3LRCFTVc#MYy z0_Xec!Bbi@mU*+Cdr%=Mg5*b>3Lus#o<2Tj+(@k3n3>pq95jPn7JZCGTp*j6nkHn6i@lWSKZoW@6f>1R$SM~Lz2Hg7OHwsj|4mzsd@~UTl-ErRa0-OHtS%gn z)(6*qZaH>>7$%}Xp9EfrN8g7%_e7I{p%aNZ+xo?iF3o2I5OtPHxGHy62wez<51(QZ z0YAzfBS<$-+rocTe$HJVeJamXR#MtRkXAY7=t(tibm@Y2>9VvxXOV?YJ>oClaC-2o zfnIwbw%WQg%2RsP46HYdHBZc&xcwPC$9D877pHl9Zke;1 zu1_Pz5J<9I5Lfqtj$UEQABu=!FIQI+;G^OOV4*IT+9quCo`(o31Z_&4#lM{{8%PnM zR%*Pj9N-;nJ-*Dq;6AC{6x%bmrT^vG6sy=nx zK?pM9!nJQD)dLRij@xI!|_0fR6!f!0T3m%LUY;xOnE*Aza3-hRny)HuT zL;fh}g&2NbHlU$1p zqnRHbWwv&k5xNh^hYg2^@@^jX!qa;@0fV$u5}_QQ`i?_xDCaY=vH`u>&>adi5!of*fjD{6sq% zNB%0|(a_^;*)!9i-kOX%L(YGXciodeyovA`eo(}^EP505+N8iM`-T9x3@v;|`P{pu zU1o7yqMt})_NBu9qkyAkK!wL+QfC?)NF>`0=t~YBD#g^yZxQzy_}{CH41*W=?om%> z2u4$_a;fd>G_5!})YTyvL?|9V%1CpJ;TxtN2*XV0)#_|=+U7a5VGei_z>TdTO`N-0 z7(iXDI3bA&B~yJGo80?eK4PwjhVwF-GI9_L=@B5&l)(U8ZUx-;`*Qf1p*X-QxFW6h zzCy&5aMR}4LdPiblo+0?Mg!dgd8@q2IP$CQdn@u8P7WLyGoGj)(+@7`$6<9zIW78J z$-qTBA(s79I9}RnpH(L!?S?3deNX_FG!#gm>+EBMO+k=T@a!QDf!GKN(8-tq)0}VI z1svW2X5Vv<8Cy(RzryQHU8QrVR|DSrw{J*PB6CGTo>+zDFZ^gMYa@jMvQ^znQrzDfBtCKbF(Dyg)f)WT5hcs}9nmi&7%xD@*uJ{Ey z$kkWTlV;Uu)qU2=l-`@_{k&p6vBb;*XAuxUIMO)9#o?HyOYaIlHKjyDIRnX<;+kNw zeIJU>zoAT5W@CE&BHExMrMw68$-zk^M084ehpqQfAPL}8Q{OwYkxtdsnwy>}l9Kp- zUX2*iP>T}Ai=4l@h!Vk;e8Hu7r45_F-s`q32-upK>`TP(p~u0rqDnf>jSJbn3kHJ@ zDvj4 zenv{me&3bLbKi*uN`+0YZvXPn=J!G6d|Qq;?wSkkt$gp?b|_wDO2?M@QXAKW0!u5X za^*4$-?i8P{jh|pUg8se&V8>}qbrqVsm=iJ?!T*3a&{I6F7KBb!EGFT!5P`2rHHt# zB<}f~$fnM@=*Ia)kJrrz$g^?V#WHEf4RT;(VcyXbGp1^)wxb~$J21dTZm+b3vW+sT z;)}{rsyEx9a4I?Ac&|z3|789WhtHg?E}h0`NCmw|=)H^MA9xcGo2I!rg-7v~E8F!P zB$5ngIX2#3+mSV3U!lu(t~ue5e9NPj7)@IBU-#J$L&~+XseQj9=uZV)nJOU@!hstf zJ$9e@{pLzy$-{+!sI5BS>T}|m!rZAPB}Y*C-W4hAo&7K=)MD0`aH87ybq)q$9JJj~ zI@=Vr-PGDlaTN3WQf@3Ve;@l6DZp(jza2NG55dMx1iwA$@C3rx`dxxVo}S$>O}^*X zo}Wn<+s`TURWelT1k(?wg!z8NpP2HWE!wLoC=Th*S1pc^HY;k2=$VX1{(E5UC?w|+Zlgg-;RqM+r;Mift?Fy_*dwXFN29+y;0ohZ`HM_J6Gyz^XV9d_9QcuoC5Z%+y zV6$zs_MJOjF?F;Ad6souS6YqdG7o6&>}iFAy>A#EN3Q?&`P>9QLH^QTA&3182DJq| zaKD}v1;*N^0}ijcuw%WC6ef&;&G?5{hQH%eNnx$gMg*IWa?^FXRGm{2nEh zgE#_X8zIEQzaB4}tFik3v*ex(Q-nUgMV zY)(54clY~qj@f$Rj$L9yz~{S<)HYQAQMu^mdkAVs1-soGF#f*fY97;48ec!)gRpjqg{nLA->ldkdKn2-P~ZzE`%P zW7^UpAjsY#S39&uj{_38cz{7h)FbjLw{Jb)wppfqQUO=W3kUJ_=IWDg`c*p12I7zO zhOef?l0Y>~36(kxkKSiI2BfU<u-gge;cIVCj$ z*>vy2fn-|lDhlK{!L#KZkxya<#wEShb7mFv3td7H=S%L9>C?fM)QHexdN#i|EVgWX zPmO3mENTNC`S~&FBKc%Hq4WEhE|D7X`N6OkKfa!pvQBce5<|4GtOEz@agjs{|2Q_w z40e{o9Px1v*?v8P;NcN-{D&-x-S{Q6$ecm7O~-KSquBY<4zj*A8pve=jbZGr*JG~f z5ld9UFGQ-a#6)14@e48-!!A6lsnsiOnw09UK$H|xe|6`~ zwxBTA9Z3{M68N-X#Xf;bs0DndEh%?eUCwWBFt5&jD&Sqbs0h8i68(M64tl4Jjzd$j zem=gkf9)*`1mS@S0+DTR7dlfK5Y^70>NmDe?6Y(5;|l=gTC=E`VfJafN*cKmE{3+lBQa)BYM?pzDL1>{LJC2o-!=TVx{Ul`Rwr#Ky`qwA?V91A9CnS~ znKi%AtwvHD4YGTUH7GU+I6DlFi3R0&Uv9#u*xmt)0K{+Yqs1bWlg;k3e9tfu7x)Bt zd@bAQoLyGN_opHuScux$>Ptc6Rg0TNiEpt4S9=uaYVvQ0$955p(DpD270r@|3?qffvUuA= zgEUS|`Ny`$OzP{dq|VHXlT`7aa`|GW0CXjRvriC?=NoJgRj-Egb3WtePZK7chtk;s zhiGd{m{IY{lFFXJ8AL{npDEP4LPdNHfSZYH+|@d}lTxLzts~<9!mhj}X5$-Ggng2< zg%?ukOmU2gu)cUwTwMS}i47uX6%qc#rjo(RY2*3;K;%CK=L0mSn4iN_;hqinvFtjA z$scOi_}{-%EFH0!IkuYZ z#PL&l_$wr|N{T_E)9c*309Yk5&AscD!NcMKj{GzYPfO#)!*)g@_RCm)%NJn|GB~P) z#hNx}_QOjT+r;W%7!Ks1*kfA4>~i&8=#vVCI@b5)?@HZc`CHd5sMnno@5g*@3YsL~ zL0)H6Gol!JBaBl~i{umqoK=*s0Wp0+7C^~6Rub6)DiiOH?9O1^kG zhL%|`pCY)?1G|*!AdY*QWnSF(&_G-jh}!W-L)-Mjr#eMoEaTy0A?t_n*GsSSg^lu3 zLe;W!n#S$Ba+H=U0y-TMn8Bur#a@ZgP)9Es419cq?elEC@^eR^0_~2JB4Xgj!2Gba zdPB2wmtm)jqy@EQnK6l>|33#N%dt%U?_{Sr%kXe~@@j`aQY&g`U4Ivl-wfk~x-(5o zsacdLcDUm$G<&IiJwY>L`MEyyc$Fbwk0|rfg(~>(mTL8tQeKG3)XIn0ZP0OW$aoE# zl7>;$QhwH5-z$s+MLbEjct{Zhj&rrFSG0MQr#zGN79D}MNVimz?;R=?FMTCHxFn%A zxRxyZ$;v@u5T4xJf%^R5r8&^W08z)qv^Pi;Isa0>smW`g*G;e@1w8zx+!? z4rJ%*yIBm6O0(=VDN8DIvm71qIV5C?k1wf?ZzuVRtxjBzKStc1G7j_rtm?0{T$uF# zI?N(?F1OrSlVR44_odAzzp&0=4(|Fi@o z=UDWzxb*HhK*7;oSDny_DDaGlr3JHKdF4uukh*Q33C9>3j%I#rlt6wKa?AH^fV-U6M$PcjbPG zc+6cQ&#tp5R<4$9j68ZJ;=}AzrrcTnJyhQs(SOxEFQhGQ!kcTitsV}R!&gkr(L%Co zBL7oRQHPc(o``XV_pa&pDAMSR3~(?b@~8uLCfyeQ6}2hd0MZyCPp_6Hs>L$8Oh}^W zKY;b@Tx^=ElyRjLT@stR;BW?jm6<$ax+3DRZvwm=d$58>YDMU$N5f9t67s)&R?wgO0`WpG^V?PSi+cVl*Q(kn8k1wgaj*WT>R@P|pNKdeB6 zlUdVhOfox#h(q`#AFy2FPzD|=_L%awPKPerQ2cjhxT*Qtg=wcW%JcL68ZQ;S2ZP7GlmTSPS zf%BnJH>xWDg}>$O7ubP$k;^Gq@1JtXf*a<}aRPBSBAb>m9VXM!zlg&6?c!?ynA-j- zmCGet-9)8`S0%QD5HCeusT+$6fMHk>mXtJzh#%r%V=R7kVX0f61*lZk93xGHjTYyt zTG!0s5V*`Qd_7&qYz^G5_|)T3$$?(W8RS@1$6qh zT9R*hig29bP!3ZevMYm-x^WZFD_o-TC!*|-oiuyHCp~KDCh--;gj%LJ;TH-P=*QFI ziX}KwZe*z`LjMpMgOWO3{LB#i^4m*U0|fb-QnPe6Fuk=$%`C zTq~aR7{RhptS8Y*W-w3f>eis7Idkzo6ZFI~Q#O)Gd(hk;^F@FpckylqaWy8cw#lPr zdYw{?|3+cl`y=bHNY_&EQVv>TF51NK97O-n==8EjhKmH&_uWKw6vB*xA-{KzY!^=toArobjTYaw)*K@|lLXxc4pvUItt4%71A#@db z#*n*V$>M|OetlvWc`Bs3Jzaad1I~(B1;~E8S?c8?YWv>{@DFTk3oO|IM>Cc1@e3$P z`}+}}39*Ur7z-(GP?tzNa5Uj?6X_Jo7>F3F^csUMO2>x$aiWn}$(VAu5%U6`*+tIg zF`pO&l^crKNZy)XtoRCwSivm;R;=c|XQAg#hoRNNz5pC{w)*>zZo}(0u=mafK%g%7 z0r7`ZAx9*)Ofr#}YQrwPtuMXB(dR`j8kc;4fJq^`3G|Lue0M6E{0wVtA8X=9j1j4T z952wUzlvT1r1V&Oi}`d*2d3jSl3F}y>OVH;Pg)Sw+A+q&^Y&>vF#a2K>Z|FDpWG=s zxv#5;CRZnXE)S8F--6Ne62?>c9b+9ymjRfapZ)l}sMDh@nkDwsE}$ER?4- zYN_NTsPeLIN6Mmz7I-r27ksJGQ`J)Q21K4mXnA?Bij>AK)iiW>omoMf{O=9gX7x(% z$U%eJQ;Nz|slOnmPG>gwrvC$L@=jUY7C+r=*dDiyGd#F{y_k#(;u;nQUMNF9wg}cCDNjWBnD+R^ zB(KZ4%bMbrtGUtZfTrOhflv^^$bPN#YC^*wSk&50Ld!K=SkGZjEJmHL|H=8?obcYW z3g>wP)#3d!*ziD+s-$l%TGdj%46|NEs&!QwHmJox5@qqME)Y7<)&y2m!ff*-MI;gN z{QyaxH61S{!6u^{Sk{P!#(wH=eW+K`C!U>^h6G}q>MxovPD1YY-(KTc{+%}LO+*V1 zUSWfPGPo0`si1<3ez+tyK$%3-4<;5|-Kk@2mSKWo4|L&ue)hU1QjFW{!OscnC;99& zZf|XEz5CiJ9tc5~+YVq@&NEV`FL~Hpt+ShN+(!yHD^Hy2&fM(xAu2Ywm7OnNV$%zN zp$gz0c)pNnZF{005n{$LcY{G-cw*~#wAGI=y;Y2zY1#Kl7MOt8p!XE994 zsV|wik57Z)6XwRm$sAt#m_zIv$$8`|w1&5sFbi%qf<^bZV~Bn%#@+R6<7;DHd)`t3 z#x?TA*}Qmf1x*)AXn9-3xtQ|S)#vTj;mCm>OS#j|#S%~1x)mpqca3jj@dsYD%1M zBAc4?vB!ML0w1F@5J#h-?Op|jPq)2iS~jb&{rC4M*$vuj?~F0K%7rHCoob_RzgWNt zOBBAnw`S6i67HQpo&&mv!s_tt*mup2X@H;peg#U0Gf`{C%ebY^3&M!1!EP4=w7m)O zhchX&w%n-2@Dbz}M&$p}80GD_w+=N4hc0eqw`a0+L>x-b%T6bMaNpY67ERv4gg8|H z*N1!0T9s)WI7cuzk&U}Z_J(-JE;q{yWM@{@1Wvu@@Tdk8Q)Um(x*}H`IfHI(a?4oF zHj_*>a|zyVfmoK2|3-V{Y*WQ4UrBZNc-0a>WD&LmQ~aW5U=CjR6}k`JTCa(QVq?g* zvR_Sqvd<|Jd*TBw9kyn2bv=XMZ3k{)P7Xy8s09VJICbM;E>u|3=cJfXsdHmT92;i| zM$>J;7cUO%&*uzY52Qwpk72_Q=r+?dFvjyPL!!IhH#^q$LS9(T?_x_ki6_!nwSX7i z%ue8^Z*CQ%PwEyE+rmu8JtNx<7D9qPJ4-m;x4nGOR5s+38v&Q+6aYpe)zH%6C#U=5 zN=92Cg+INDSy%gMHbC2O8>+L94pQnL|Y3nbp@uA1`W7MS)Vis+oLBuB|j;*4FhTx^PKmNcZKHdLU?K)wh-)7?|J3^I!X&r8+D} zr4bYo7^pbZcXudx*fA^S#nZ*r8+Iq>X2rD(U^gYmOq*gp9IE>2aakjE{$@<_UO{!4 zohuNqq&-ykosSwEZ^>N9{!P7+mkFt72RusY;$JwncM(9WalR3m5OaO@TPFtSb{yQf z30w6L8*bV{v64vZuTo&{=eK15&sD9V{S08@8X8G5b6-7WM&zYNqB)b?#}RDsNb-dZ z0dcYRtU^g6xTfCCT@p}b+(OEwRV@J;V?Xszg7YxZ=jKQ}=P~oCodHr0WDDbv zQdWg|z#RAO;$r8&G@_tFXB?2}H%zkx9nuF6_0j2Z z9IU&G1rL8vF2HEQmY@AGby%&Lgl~EG1n5e^Ofzi^z5|`{rYv{iK&f0m`sys3vl!UD zBYKx2-#ZhU=3}qRS1WJ5m$QbB<~XSTM&}dTh~wAI>4>WR+XuHV#m^IgY+l!V%fjXf z^-Fgy-dS?q7FTLp1Hbz8(;&%Ie@3DpMLsv-gTY%|Jg2?tYjDDrnbfigB<~X<2E&K# zgni}Yn=wNX`c6i;p_UeXhd|Hk;i@71smwoRA&f6C?iPpcp$sz`;_PLGaju4DdX> z{^MYwc5ld^Oet(GvRa|pLIvyNB!^3F=$AWiyk+#0+TSR#(7e~bRTF)-GVGr;R?25nMdjU95?@&S z6{X9MD}xPX>&ROb1PZ0X&crbY5sOS>3!OKS`GNUe5%)D~Z$P*ye=QADX|7xgy8ez& zjkT-OZp(d$O=~NUuOq8~ViP8vQdn4*Rx2AS6E=pFXRYz{w|Z3~IVZ)L)Db9m9{T*# z=4uy6!J7XZk&;IXNJ);1TPC*W27Gp6QTPk1$|TbO__hYz5e{{pu*Ha*C=~_qF9Eqw zNWG@QKbqqoKU!g3#0!SvqC;0{9U94xjUy9kcDH^N48=r8ijY|4ygPcQBa4wG) z3h>fjO$}j>aCBOdNV49&&l&5LYf%P*le*PW8Tl9%gLJ*lSGWbS-wlDk#3+70?5k-p zE&*t%5mW6k70}J@CK#^!epJ0N4&Pt(+zo7o)uk>j!l?0&4hxhN1jeUU`8h{{W&0b@ z5Gac2y$Ji$GcXlWj|I6@T@zxbJ z)qXqHa1Y>G{GtASzgY@2>IwY+E5We)kHnnq;Rjo{g=;DT)LH-0&=I#ww=z3-q-~T$ zJL@7#wE;7rudU0t%}z+X`4&F*$kZ3qE?fimeC-IGXo6fPKjk%w|6jMVb&UFXcy2wv zeuB8-`eo%yu{}$DHRBt<^m-Q)k?cOR9t*4?P+ArTJZp8YrfQW9Aq#mI_*Th@?G~&Y zy_{MW`h^G8IavHuOp1KSz#rVp>pSj&!TE$kdH(DDpA2?MAl7vnr%|SiY~^E2MpjT^ zp7XiIam556Hyy({-=UGv9soSRQ6yt!10!Q!6QlOQTJkI8CaY4xd?khErMg|QX5^-> zf$At~BAY+-44^fE)jFi_e)Fj2{Gwa5x3HiHQ^sGzc?MOMt8w!GscV%L4&MPcwIy&J z5zTYi!4S^;2$dQ8hNTR(4-Ux|=WA5nhzCyxN}kyQnyGUz@iYpj5MAC^&2Dh7>U%IeRcyFj>^`cM#LRSzw9qxT(>p)4DzR2Gjkx> zw!2MEDMYN0&IIzxUTty8+vX!74qLd|+v?_u=yF&=qubAfE}w_31!HGS?rA?~k(6bE zhsSIGA$E0jW$?T08e@ZOo`-JM`m7Cpw*Q=J*Zy#&kUn{{mnYq=oWZsM%umtS8@neo zhvLc8a==M38noRh}rlCl+s-b0x9HIbs40cd^b74iKrkqOvE$F zv9Iam(J?`8e&bh%bh>53-31duhMN5+b=U+f=C^B7vpgiABt!4@*0SfsiDT`4R&7hx(_VfakZV#9IX_oy_Knv%=>rQhsaIyqQzoNpT*xj`^Nc0uMpEF4$ zXQ5P24?&+O>k{Y_bxZuOK4;kOKYC}+`Fg(YhaGoQ4LPeZ1_1Y^SIxx4M8(7a3>mOv zP?X0CU_W-d{uc*zUt1;jjr8z_6nr=K^!-s-Bce8h#vyw7usVp>jAuIsAQ~c%+44XCk!=uWXXjl5*P4 zfMO&@RWDimrs3DQKVe^;``a)aC7;#+aOUhE-Lq`>_;I&s_=cdG6Alg(PZ|U`aI)?X&3rO5l0C8ZHEzRmaZW zyO4}*545J1D5SISx!xn?q(lyVr>FlxU-Ttsu{U$VBAO!@9Zw4LUX_>=rU@y@)k44= zmWw}AH!3D73@gXDLYbto;3__iKH6G-3eFiw%3(vK^m%PQ6j?G1mHHG;EQy8lJiA8f z1J%|&LovLcXvJo$gpj{P7(Jt1e}>lgOuX>dtWpHpz&TEmuq3l zqvgO93$-p(gzEnG{WIU#(Lr#P^IyxktqR_0+V^Y^af95MW-bMIu-F_FgA^#$9i?35 zHD#9({&oG38ez7cF61Yitqk}0yGtH@s8SR#m)n4(g!G3SD3-A+cK|qYD9POaq3SKe zqJHByZ4sm!q;trT?(S{`q>+{`X%LWZkdRQiQM$XO8|m(D1SI$Nx4X}OpI02d01ud% z?;W4>IxnMLy@S}RVZ}J9f+cA3UFLl=xB=?z+{jnJygkdlI$;B%4CQ6S7ay-u+&`z0m+8=mJC@TxH-toNL+cdzdpydmMYwY8gQZf7)co6T@sHl|H9 z;ns@+1sT7$5RdDZfEoDy3hU3mdZ}PpD4)%r`vMe_E2X!VlOu3AU=8~9?Mir2pv8bTugM?80mE-dN66742eq>Jlx zvEd1X3LcNo@2c%sYub^(v@OsEWj9yWh8;5fq1!0Fsb99y=uBE)r{Tq~!#>DeIu^9p z9&7y*FETfa#AM7=81G(e5$p+s-wPl~`)MC#GLk7-FC4Vzz`Io}hH)x~O|EaQID)E- z?xZQKqT4@={Zc$6uJXz~=1KYS?&IvIv8{LDgi{VK+3eDSF1LX49BF9UZtexs!OTB$ z(hQqBywC<9j9)933N2T3rNQnO=vQo)bpfzfNLDv$5QR!CLp@&mn{EU%=KF|lZ4aJL zD=;LR4@(0{wlu^zu{Nj^xL>%9xx0*BR$GH8ngwts#~BObrS%# zxes`RGce+1<+PQJxVw@Lept(fMN3Ir6V+k&+Rs$I5{mt%nkk5L{@ahxZFJnA0_aw& zIh-`3GZX~VGv#&TnSrTb7Cj-T zwceJ`W-$8yP0s$)pBkmZW|oWZMs9jGvVx3UU#drOPF~Pnn5>zFSy$MB!$_aaEZ*DM z+)WcHTsJDBOS^>{F(!V)aE^+ep0Lf{pt0?~-~=@n&X4;ZAf;_eAM=D0XTcO_bNX_M zW^jx`ZAT#`i9-cmO19IVm%&^=zGel~qG>H4cnbk65W&BdFPBzk#a!qu+;rydT7Zzk z*Kz;5Tf^r&9)8q}fZ)QGER~_Uw*;*_E>P0;je0tFg$gFgrt5Ft<@T!0aGs{K2!(V0 znlEgfpIs*fM7Chi#BysuYrcjv(Mu@$5^l_;+@MRX#CY79nQ@o~k3Wu`hL0HN$+NOQp*z!(c05>p(5_q~&}0-0F~3BQ9F9Zgz>sA>oDMqF<-ef- zofX}Q69VTlEJ9*MTkWJGdoRdY34a0Y_fIy^Zoa=iYj8!V7dqKINk?3|jO;eZ z)LuG|2&$JMXjvi;A8Su?Ocl&&3S5`*W;S&2TRJ}rE<7Z4DxGCI;c0amnn1C?B3#>z z-3nrr4N@Hq7%=6C3wkoZWAQ9m0;6T4TT!Xb zn9d`wbWYmFfh35d>vh_(5ovTOI4`{f@AP(3C-#Ymel0n^~GBxYZM#pSgUTJ)FX zlqlBc7xcsFp(?^p1f*c&%-eoR3NMWOD~iuql9oAc`(PZ4XmUXQV|8;tcDq7e;8Xi^2ty2eYjy(F-OyDCgo*~CDA$W|EKTADAP4Aio6iq8!oF>< z|C9L>a-6LhQ$)x|IDJ_Fm(?IA8408rbLQT-4S(0dW^Q~7I9}dogqzS)dsS?B4oLm4Qu`B4vLGJ};|$XmB( zeu{EfbnQSYWuia73SA9HNjWyKaF9DQLBO{a`VDuf;n94Y~S;Mg5ckVOd#%+I?i2hHhb&J3!}~QD<6sz#&mIm zQgG2N;>z(0L&Twv+y^zA!-|8f#30hd=iQQSG19NMcLWPsm zq8-Q%nb-Vw8(9};`DScJ%`Wrttnv5pecaD_yx{NnksV$nMzI5#DkB5~edhk_+T?>U zn<{=L5D`AP}t5?$2jJ2ijbb! zi^GT4rPBx)+0;TP7%itp>uHU^`-OnvpYSzWyFPv2*+^sno%i$c=bHDs}zV|0G5&Ey$r0dng*;MRp*z7^&rsHW6 zaRiRKqsuJWr$3%Dah0AcIl+kGh%zwIUxn^(!ch~z<{S+Tgp?Bk=d9>|Qn1}(u|rIa zx(FGqYzH!_hAy$7-4%}e*#B)-(HV+!`>$zFP6hm}eEj-kp6|$kZp`M4NG~4|VvgCW zT2r>LoO7cLauux?&e%|e;!)HEEg5XuCY8<6Ss2A@hRX`P3ytSMYfsN}+H<-_o9ILv zeB;JP)*4*5Dc>`S>(ZLw+8F$pA1gf@m2|9Gh5l!om5aVGe`Ayp+xK2PZp*Mng(q&- zcMskYAR93GzYyp+MZ5c>f#*Pkv!dB`6B3w2_w62XvLr-p*cMpAP#@H;RQXe)QDv0F z$NshVXwV8Fm0zq56STPCB>f%n_rEgvc3A8AoYb_=Y1Sl&8 zj_a-X<6-?SJ?+&A-OOCsQ78q<9wQjcJtL!g96K6Zylxbm+pCAc*2D&LDnG>gt+1?J z&T@bgPCncVjhF&F$C3W!Xi9GXGDhgEop_<99Z2HRAfjQ&2-iCAmKlIPo!7cQad5uq zX;TF3p0((DW^7Y4*a{5x4@sGL5pi3~*_$+Bi+96C7T017}RYVGb&uu+j(|K_n3DGT^nT5kL| z&kDR_lS(VJByfVkjHb;pu#u{Ftv%?ly`YV+?es-Ng4+7&Mu=QZNJMSZ&`pEm7Qvv} zeAU?&A*4F*h z6l=lJTF)B#hwza~`1$yn5C^K0K#v8TsvKD(l163io%8SIt+<4rR3k^ZzDf&T^~|O& z)(9Y{H98)=+`9+LmZ+8JA^a0eUr$?>8o39-*30*cSlZfvDI5Iu}*KoA$K`V zEz|$c`7!^S?B6jeHCj6Jj;Uke5|+k}{U5|RQ~`VR#ACbyG{ouiS=ZcB_AQ$#??0>t zeOJblkH8*`o>z(aSr5Hndl1HiJ_n*3`uZD$4qL)%M^rRuGj4M})>>!#T;k0&y21X# zH+?tpl9V%pzrk@Y@BoX7!n-dP$3bVC$)If8UkTnq;HsW7w&Pt1n4p%aNlo>oX*GYk zXNozRliKZ}oV+2!#fKt_&VoMpl%i6c{j=P%W>KdF#@{(MPHwMEne4XUf++{55aciM zk-M#lt-r_h@|)eS$XqF5(2r%%RdLr8ct!o*Z@?gR{}?zp%m-07XLu7DJc9ps8w^B#X}<& zi+v$g5K6v5!Z$Q3_`CEi4%6JyN%bz1gBu}ZxqG=AxnVgz)AB{Ss8J;FmGhZg=E{^Z>QGSH)%w3PU$ zs}jcbVMdX%O8e4&lX&G#Qxq_Rdj!wOU*|g}B#aq+#Qr?XmQ6V~F;vYV(h8{ph*!u| zH2Aa{v{PwB3YWScX|r2hdU%^9OrIc}*}`j1bpgG`k{Y-puu_gy_5KSveA>dk^fBdz z{=A2#pHJVdop8Mzae&^bWDqy>&dksit4FR(pnEjEt(oed*Od7VDcH6k z@TclzgzzH*=m1_~RCOED_rfrJxxZZoH<+sixU<#CuVb`o*YW0gM-vDuiuNr#F$&-G z#*eF6GBRcPJa|t|_#)Wv^%`32Ihuxi^@3%s%)`lS5%X4~4sM7Al?_C7L=hR?kEF&N zn)`u^JSY$zfAl52)@Td?AGZBJfvM)T+ukoY*&h}?r+ytHlX&J%aus||M6JyVI+&q< zjxlC8E&T7ZE9Ps-Tifrm17!CprRM5t>jAu@=4lVfXT-Mkv(8;YJaHMy2W&KkNVhS0 zbmnJOUUI(RPNDpxtViGb*VkIq8=zkeO2vPDynyB=qw;bB2^bXFL;7>JZuVC(++4;U zN(`2kSzT@&C+YxIL&6ppbMw^5U>oyRh!b9)MbC83VN&RVpfV1N-Sg;-V8bf|T+^kP z?p9`Gx(DR%XnJMUuh}=?Q~AaB#)B@?W{jn$C0e^Wl)I~6T zW=n>xV%3iT)?5BmoF@iO?v!%Y5X@%3L)=kKfYFp1_C@+WJ;!pymC){5!vG0GAxWo0 zyKP@o=b}-m+2^I>5X41WxX08*wiW=1qUwg)Tw44;kSM`~3Pv^jev1&8DBmF#X2j9Y zWJDj?@yoIay&>@gc6mr(I?2^cwyZ_A<6Ut-;@_I43`m!gb%i71o2hS~A4&)NIhSZ< zzwJxY25_bViYe?Qqhj&pKNU>9>({I1+IG1ZNp|d=)rIgq*AIDV^>8{#vvD31=-+pl ze9KrXmF9Ngcs_4!sEPnB4J&{YHgJ81t{kH-V73@goc%DOi{D7w4xkw(c>Gpj{; z?b-doXKvn~iw}wDYXzYxYA;cEP(81=uTPV`de;~JA4Ia=1QB_kL$!bA1;B&a4kEiQ zWvigvczwZ2`zI6Q8jwjqx@V&`vF#z)cg2LJ3PR}=pX|VYxc#lxGR7-te;S`f0>G#2 zCkUoL?{qnH`48Xv6|?9^d1yJ9Ewv#mf3>z$w);7RuB4DenK3%{2@_R_zx`EoLeuZl zA3;c)yz1s+ZHa(Ge^IOZ?rTyfb)2W#>Kr1IUcGd>Zf%A2gh`K@5RkUAYS)YHVG?Xs z{`0$(m>L;KfMVq7H$CILg9Uf7m49^`a*9QLnT<=Clj zoRN`@B>!ERyi3HAGR=&2#{2pak{^$qV`3T{w`6w1T=RIu@jH*}6wEsoQt~Sh+c3yw z4{huzk5{cSheDJ_ZLtYu4s9T3tR#-@D7=$T6C;`2+&6J9oywR#dR$@Y~Mew{rp$T0oiV*P{(^MnM*H1WKLsy={331J(sxc`7eW*nyeM{ zB}8fAZ z-SLv6_w>z}e4|+mq03DAubn@;k|FZ%er;;E9?@$u3B2UGD{H)aS!cZ<<~PN~--mvv zX%MgwQSGuqc>Y(bYqx?`M?+zmM2|;X%sr5X+gd-%l&;e2FI#-BYQ9R_$>Eo;3Na@0 zErF^!pWvFKnVfFWk9UKPzpi4{wO66>1_$*){o2w3rwo(C7ZihjQBEC%AbL!-?E^ex zNGsc5v!Li*A$MXji_CB!g_%^JxQd?4obkbI5j0>B>|Zaa0qKJM)}6%kmq=mGbzx?X zQe+aVL2kIw`jw0YUgH?DH{q~~>Zrjcb zfrw?%xSZ>K9S39GDf|Oj*KxlU>ev0XJB(XWtt~GJ8JTkjVd0>s6R#`I!G}O&t15)$ z_9x9fyFQf+xJ>USFf9JxDMt`9=flAW2vpSo#_fN#s(rsRq^2w&!iCD?22Jt?oYTo(R(_?iE zDG(c3Aq9{Lb&;fU9C<6{E!ATji#(%pfirwWLILIA3f6p3GFqZ$?yGg;x^1hX63LBj z%)F2g)7)<%6>qs|ZGYsp!S4g)-0wzM1E1x!+m@!CF3=YveV3AyG2X8-2L;lj=~Q8m zRo`@Hc~SrRs5xce^;dSA##SiVOJd(g&x+z9&UBPgI=tHDo z33Lp*9l!bSjH^?#hP&#(k{%OnIt^44 z_nGyZ-^ruK3uoO*zWZVdH{r+GeL(Ar#;-V1;Xpn98TyAxbL~WJM&?P(^^4^IY-_6= z>AGdpifsH_`sr_BkaVg3=_$;+VY8`C**WtV+$ky-+h|-wW$C7pTOafEa|dw?{SqOq zJ#ju98XR_YMRckmqsZ}uB>Ob^T{V$#QTL1c`_Q596l&ME;9yHGz0U=*gVjg|3&Mf2 zAoSU2i6!3M{l!otEWMebpKy?bf{{&ZukQ*Kb-X1wO17~MAaOo2mStA*Rlz%}!)vTP ze_t7G=lp_DcoF{qZ6(Dg6<`j*sG|n_v>upJoHwsR;35^}74`R*K;h_uby$2e#(75< zItra4o*4F)GviR0Z+qwdnp35{z<1KV4*U3HtBy4>N0+3d5OQ2VXhy`bZ)8ze94)cj z^*TMjMZIlo-uvz^5!x~Tu1aO0N-5w#lNBr#O};jQp~!36}c`eO`SPjC0tz@DQ_W=aZ|S=K%nD z$?;D#?z`UB+HDg3QVXSLPCw|TlB0s1KicQOBAe@!5ig2ALd45nCYHR;r1xyOV$SQ; zeiDp_$1t%T?x=~jhy>`$Uf4p6SZ1=6FuT77N6B{5>+^NnxTD=}U!h{n_YgxPi0Yzeh*PtJ zl`m`RbU0;G+*>v*sWk8gul0OA3rX4Fj5VFi18uiFDDp_cnlC-F%~Z~#F1ZpKIaD;u z> zxOi0X?nhUB?b|6@>@u+a&z8P+lc>9Y*E>D5%Y;cig>JWKQr-rG4Dk4)liKS%j}nkEu!iDUX(Tr+6LPPOl4np1!=Es8@Jy>@it8i5fvPvYRe_$`r};GeKjo7000YaE$wT$R3>8`| zpM*cf1S=pGmOLfsS0bKVDX5@~6=_6MAxbrDHPga@W`6xQVnx89h!xe;R^&{=PAPg@ z4Zl!t6`3yG+pnM`bK6Y;LuNC`{tj3dmz9Dt>pB4WD0y%P&~oF@JN~W4d&o>D;Ww0I z#9h^lm95F`A!Xhwr=PrZlRHf>jJT}2M`Pk>7g4|9WQG-WP|#0Gw`v|QSWYz{MZMOd z?3;jni>H;vX^d>`bWqFe&hxrs zsgc@4eytD^?=a%Vv_%&wGbt(MN%ldwXz_GyX60fUexoaKx#k7QK)3{viMeL7*rIVX zOKjMUFW6+3K0?gBh}5dtvw_|-=%k#IVOQ%X1j_i*$_4G%^>89DZfkt#MsHrM9>Dg# zLfGo+;3x_fP%fJ<9jR|PI3)cdj%dGzC%Sz4*_PoLv*T3ZapeJ*Q4J?u- z9^|RKI3j)O6z5Zt)yqmrSyQzv(T%2cF+3t^_L%<&7c7h|G7yd2`8d?K?%YFwg?~f! z42EM3uHSnOnV`qQoKUT-i5mf};`a0}X5Oztxm1jf$l-5mn_;*|1!6x3`pPz82B8p_ zo9+Pp{oiI`x1!_!OM?2+0q!0JvYk{fmuI$qJw;~`k;tH%s!9mzV*k}X2HUKU*i3qs zkbF&l*6%`o7o#lGZY*WfMI$1a2HJM%x?_XG6cfY6fq_^)y*q>|znPILKb;AH zvyGF?Ru(wULLVg%)`(&fVj2RN!Vu$eGym$L_UH!#C1C*3?UF z7B5ZiYquOSGJ&yS*#Sv;{*`J|I&J&$e1vs)chhe&en|_LKqt&?xq|T(w~EAdc{|3E zxNGxs0CpwbqMo)1g%C_JQ+CMVWkHV1u@OieqU0jUpMI7dpq6SvxMQ3m zij9w+0y+o8|4${_b6O{P&25)xpN5J>n4a$4bDE)WJ((~ShuV`ck!h)_7Qp|Ra3Mo8U+ikJa>}@ z(2XIwO^j6A)}x^8y(G!D!PVN>{W*Qk*&Huc<=qap(~mCs6#=Fegi=~H&E)nsHEy3+rQC+d?V${C@*Jv~@nMr2yotC%BY2m1 z!N$KDw=T*i<-w+YOaL(<2r? zp_E2_^N?K$2m$^}{+%-O6O60lcl&WsFj{}ke$=i*f}o)Ik*GJ~Y)beZpleOR(&t_>xuTA@nihg}J(FDC>Pse{bAh$=V25b-CBMg6R)?@+jCPG> zoTD{k|5#sKx6C-;kv->ixtCd7x*vu$_B&ivq^^AN#d2_A4&gkj*pV(_<9L8!aUP-mhD>T7 zO(o5sFp$|!V4VLp(*g&I&n#(aS#&05N@@VK!~=vEwRbv%Q1d34Y?S2|!c;=Su-w&> zyvER7!x`hsawLJX(BM$55n0a;#TpvcJ!A09nmyq94kxzOIpJIrOCWO}4j_-#yRHGV zOfYbazA;(55sBP{4M7dbwH3On2uoZc;BzZMbX;hjs;^jVGPsJ)ov=sUyGYn07Rz&S z!tzn~EG-kyYjMF6aXEYw7OsAW*3lYR`1aE>tjsj$`MaSR0Da12PwKW>jDe45%}Sl+ zlU_j9QAhKq>s#IZw>5gT4L=)EjUhKa=ptGQ+lmiy^`{DNFh zQd_^Ptu~a^vxv<%+x2Rfd0}tWlmRb>t2-Et=a=rL{YU&m3xllM-(O}ls6c&Ngt(TM zCd~C`mg&nHn-)3aXHx9z>UE=?I687Ap563>*m_kC0+LFD5x zP}9v?>&DI{X_}X6zLOIFBsi&NRhl#}HZ*H}-Kr%2qe)Y%YkDY|1>e=(a+S>6>X;$V z23~!2IN#!8RYjz8mT7UV;SRp?!yP$)v|KwzSNnd3??Vm_v+bk=VJTNeGlr=dPdMuQ z_rR>`a*XnIvW%{B*{2rm+cra9Dw^Y5?VGn6`fwZ^)nIRv_{wVgk??eC1ChmIvSCi% zyQP3hFUxpDcRY<-W{(_96+)tbdyc(8z zZKAiQdRJYyi!}m=;z_VYN5>w&GzNR;Z6_^n#U^}wLdyNQS46uZCwGwnc4{8Jq6nPc zP&2)6cvRx6-xm9)6=P)h##7XCIc>w<=ZnS64q4-AdIl@W5O3O311JLn#Zq!ee2#}K z9BWb`0n+NX@jvF0yx_#~6YLKy&s#~uYZ+M9V>9kjgzf2+$y+1xf>@138HQt}K6p{H zPpuS4QcyAJfBInFLrKRF$bJ2)e~77I46?O@8;{%aWoz8wJNCYf%zssz>xlW6gy&Rn| z{;$n&ti1z^OUX%j3yMM?L&TDH&#U*+LaBdXOhQAS5myWJ7QUphBjOA=H=)@YuLTcs z3DB(d8)CFrAmL4bRWUL;^m;US@XZ^OKp<0q`&?CBg{kyeR!WEeNdrOyLk-B&@$S)@ zk_Uj)0KKz z9!i)Ws6P&-B~WM;?dJm&UmBge7}QSxe10N?7TZBfPz(RHCTiN*;zA$G!F-E|8}qZZ zwmSQowUvW7GQ(JcdRDDi(tePnx(%$_9)Iz^)tu}fPJ0O7(jckJ_TctM1Osb7_GNc# zHTD{$er~HJ$&cgP!wLVYRE9rao5nP3qc?r$iF_(jd2C3!V~TrWz;ck1T(RmgYqM&00?UWG(RGnQ>llfIJ+;t9hKqv~#0$d0tW*m_Y zVdv;XZP6+oJ!5Ym#g9M*wrQLLDT?^;gx3)jRfEWx)}9<)l7r24L1(sco3=10x!u}= z0|b8Poc`B>&b-8Toenn=N9t`M1A*5jzfaTRK8l#wG3TBoG1)398=q*a>qrm!0D)ri zVRaudo!VgV>wNdTFlQ2D<_k$oag0#Pr!E7rvIhm4!)nO_qbTuhIR%Fb7Z zs+vU~E@Q0R$L1dGkm@hw#oDtMe%pnpp_4~9%ZviWOXAScm&;#T;9#Z?+?~O%ysolr z9#@}@fA399I(^gKN~LQo1AC5+^Z{>93mvsZufb8^U*+L>8w=`a!Kvo=|s-n_!Z4}sSXHafcVBbPcrZ53eDDXn9XX!^JHc?-4#ifVmfPj@Los>JxajB!}d&~{^p zISm%NaGHTL1?ZCy>ne-%aG@soKfxAUN&{X5wCu}g& zu0%H_^Pk`It5>XnW1dH+@RZ~c(0oQF$fMn=bnKYM(|QlRR0*- z55LR*6!}dT+nu|VMjbKk5fO#$4k!y);}lXo0e`h!dKQmgvB#4u@$b+#LaV%8M^Vdv z>JE?@$FzZp$Ew6>5y;Q%h_i{cx$N0Z#&oHM4&YIX$Y?LCnEeM@fk)e^w8SYM9-C^;a8%zMXQUaI)R3<1LJ zcF{BhKOrDyQer*!4&2LLDKnFDi0uXQHuU?yW;l1f{BS!<$8|P+MyUO95GBOF<62d8 zHS@h|W==hAQ`;|A#lQr{Bsy z@^}U-h^fonvuQrT#Kg_|x-lAy3d2J3#1pKd?=W>7f}hW8<3~Y(i#$qx2*IwherU)A zk|JrfIwl66z;?&VT?)4we$uEAqIqzx>Ra9rn(7f&w=i_yCux}Ug^>;x5vb|G+_9>@ zW56h%Qf6PJ?MkIZ#AHqabzy~L2w})mK`^r+V+RlE&f3j;>l7g?1WiWXfY&H|fm@VL z%d?b`#Um&M$Du%kI<6rUy@^07$*t_*PVTYN1gk~IvSi|Pg}W+b|93NHm+E#o+`rr% zH6359Pkh~%A&6SzlOLH=>mS5=ee%x8Ho6t`!pChYv#AX4dlM-a%ax6C4z)LLAUnpa zRVEwRh1EJ{nSLLn2m640pfH+kIP!=%E!Z@568U$L4o)Uk-9qyS<}0Ug#2mg4qg%zd zr!vo-v#Y*=n{+BU4K=t=FgL7L7iQ~gx1(X8NQi1{f(kk`?f+=0GFAz{`5j3e)7(c1 zE{9E3KO7KA;iCLRKi|a>5QY2>qqo&C3=H{$#K2?slC*1PpKl&A&SWZ#?zj+fx05O< z-=s-dyKU^~2$mgZi!@#zT{G*O+_uz?p0BY_(Pdy{2{MS1^W?XxYu8eX2eJxFXvo978a%=7er0>|GIxMcznXj+RJhuCji*5hp!NG2yD zlA30ySKPLe&exSj2APUH{rsZY-g%~J17Wbb7L$U+$!S4ft$&z2Z68h0^6SOnlZI9Z z_||BpQn4$#J#3()kS1b5$%T8O_^QF+_kPS#UJrxj{mt20%WFK~I!%m9Z139dVC$g6 z97TvRG1AhJmIvXiFo_nc|yc#j=8?(NPLoMpfG3*P$%nhOV_!oOW&I7T2)OKCoN&`&*Y?;S&d08EqVK@vz@QNun_4X@W7(pVOsQ3gowB zuh$3Y^t% zr_&yrDL+K5v6OIC2lA~xTBE`;;e}f3=x??H@vnryR6Tx2J%OpIA2AYl{(%Tuh&pX(__q=Svf-I3_RdAIEr8+wR;|~n@TcCnxS3+}OW-FuCHt6TV%+eS znCUw=PPp3dnKChPYvjqQYPh5wYRYIt5qq^MQC&-3Y}ml;I(;lxn_20hnbII3l{M>w z#cc*eE%5i5X=#ljwR*xp$LP}!mNKz>Xi^d&S#5azi*x5;Oh;oxws8c`JZMueHlwqD z<*|nVu)OnaqnD$0iqs_U|AJv69{~=6)rN_&X`p?p`TrKdB+qayY^Lj0zun{2o@Fxy z=XS4U>9;1x!*$<`CTS2OqeS0}2`jpTQkt1A`KH;1FESuE*P&@g%4xTv zfBT>b>N-{rohb_8(XuNY*-RW7UdC>G_70Nq97$Zn-G-BYub?A%GC@MB)WG$USw_Y5 z>a+h#1wV;L7gZh7U@d(sKPL?LvZl3?d?7{y!F3!mx+*wYd>EwD&l&@>iq!q2sTl~F z2%QaX-B>YKWC#<@{SSdR**!gU8zJB6Mz#4m7Up5yyEmm4r83#%&MLjVma#LnLNpdw ztKfcZuMOunYuER_{4uy&xwr+NR`v?Q%Su)Zoxf_+TySrdY&^sX=6bU$h1t@mj^44d zV0fLp%OaR8+1s=JBeHpqLl2(?PLd}4-b2qvQ|Goxu-Bs`n8u>>(Edf)`)2gy@1uwq z-dB0C`mUY~|1-CAkPjw}q~qkisEmWYBX~K_s;z;^kmD|F&-!T!V!-pQ4abqm6m}sY zm`*e)8A|>#Zt_%_lk;6EYZiix3Rf4=cEZ2vU`7_+&WDm*R!EycV@P#hdBm84g}#vl z#aJgl=C!7OMT-YM{+P$+gB6QD=EkG`$CEsKWzs(#^EmGtoVJ-E-IkY{y*fPemV>D* z39H$T*Gl#%eisD3XedTjY?w-?J$4JM4R@F+=y_;XFItd#lzfQdF0RZO3Hg*iXQCf3 zn9c$tE0DAfPon@yD_&3kH8_$N*Q0s9bwa#pjLb*DLp}qM5rxD!3kj6lfgxbsrB%b; z!|Y=!r@Bsj#aTGw1Dl*zH1 z%7aE}*--Q?lE0nR&*F5YwZ^&y7s3VtaR&Nfds~w9Aa7;I-_B*X7mfJBJXD5if#t=U zNW4gmt5V(iknA|DsuWhjOVG_mB_Y-yB^Q=#o>vl?6iw7ED)m|?!#B*;rTfSH<=fB~ zl0!R8S>?sSCI4`cm2T@FnX&a*URS7SQaf^*h$w|icYh{woN)Gjl#0>Xm2IBhN+=m4 z9jK9WJ3!5U3};H}m@#joC%n z#_eceb2VP}iVr-o=E@syEsVD;E`glskfRav;871JM!DpD_+Ug;TgW!8J};(4pX|EF zyDS5W6dym*P~R`h9JGpB{w$Hkd1(Q@p^Lv1O*Y4waLpR0!#uzQwy9V5KxI8k;rMs< zlhr~C;lXTiVC|3=#l$nrB&)LlAxt>D>?sp>kYB@){!phm!a{ z!L19czM-BE@KK}gfM6tuJSc8Z>`31eMrOL)IP=1l{>c;G54g{Pk-{j?g#@eS z!_NCZpQpJMh6!7VseBjVmtT8hemW;%s?jj~Od5?f&$Uvcp;@)iM0KQ%7%&XMaz7zM zPP3QwvoJ4Kth`fPZy!QTCMjm#T~Nxd*`{|Z`D~tVx8&(Z8NSm7A+^9aUcD)1}apRbRR&quk!;;6A%M zCM8NdP0hW|ypiVwEU0-O)3NPDb*!Wd$=`c&N8lf$e?#_vG_euDtx5zn&&%W1o}bIo z$`sBS`5#fN|47;6-sAEO$WHvoj2xL}5bx~!gK>PrU~iD;B>HEOjL+ZDY|wDjesAN1 zcA=ZPt?dyEJ^w|w>Wfqw9Crr%CGvJx+PyJ&Nko*qL5pX4bC{S<9+1Vl@-H zAUd(Ingb)dxr_W~(`RK@p>R%s&t#2tzpcsjSo36D17N^f5O)_sB+E*ieo^XDqHvqb z0^=JqDT0yT-7qwOKv)SV%i*An6^?9cRbq875(ksC_l%OC9)mY2)QDx2KgQQ+O&{pqt!K1awS(bGpnl%`NnT1`+QNB9%aqfJ8b z#@t7j@FjmO)Lye(I{U7fuQWREjtW?0IFCA`3&2{T<7N&_=mc;|_)yy|NB1qpKvst3 zuSlz>Ag>+tel09|2*RTKGwBDvlndT`{YWKYr#aCD6RC-r*cznLgRS0?`^_r92~)w zN`b8J__}bX5%u;Mr$h%wRC`{*oBku)#OX}2NV`0sz%L{LZ!y54G8bBTA)TKud)*k( zm+_=6|vs*YI4KGrC_MCVtHL z8xlcZ{;ApX{v3HAhP~AO&3{3JqNwz;0RfwpPE6fse1{SyEKvL@MH-mEpEp$nS zxIb!`I6gSuOm)T=Tj43}=5MY~MJPr^Gj)A~q7pr6*q~(h*Qus=S57HB?hxhlENAZp zp*s|d>#duC%NvI`i`#R|w*)$bplR|MU6AE{uN#$~BB5P~FsUosJ7a{$RrKBTPnc`Y zq*|XGI_6hk8K!~Z+|w|TWs1bdTR9|r0?9+aDRM{{>#PCnE({#-by?Dz(G15z&u-BB zQq|j1cx;#sW={gFzv`L}hk5c=^dY}be!0Hsi-w=}=r}sDTm2hlRM=5qS4PCgX(4_z zrOBc~|1WFe?n(FL-}~$W4@~PwbWvBz$F@BR%^xJ8*KfS{%3Oc-PAfwYPucTLe~)4x z=ENbJTuu`rR3{q>zPmAfz&g#0-BUlT|8Km8peMb z?y@TCBwa4u5RiT~rxTlgy`qpu!XanowR>3wUF0K|qGY5%MENj^JsJ>gGwmN-z@71G zNwl9(M)4~#G)+x!#s)~97rOSpq+`5pk{_)gU8oH`Hdf%*r|#-oL6W$W^#VQd_Z@%Y z6&?26!k<_S$d{TiS^(RiaC{u2onzYUCQg?>UMTXCsq~Efj63F#F!xq3;U{%|^)@$PfzYySyiCd7;)ui~7z*Dnh|> z7oltwh0u^coIMB7dneyO9J14oy_d%Y9R-AHm~~t})ItRfefYmgtIE`t$}`8m_8wRK zTJAnaT=c_->eVUOX}Z4ByvgE*l@!>&Y4d0^PO`+Qp3>P9#ZkJU^0}nNvQ&oqxp_WR zWzgoj`uyh(>}N@v*9WhCJoXQwaTsP%^mb%$Ky|yQ5c=;w-fTsR88JmD?`3bAX~Q0; z<;bdiokte5_`5$rXzt7R4&-6_t)5>$@sNnNetefwWJR&agJ^6qW(-Xx!Ll8{4+cCfTuVG*)BVww?35-x=rp$-j(b?ETM3bQ)$Cojp4!q=adRJFgY(~g8^TVMFnp-Ppn+`kG@9*dHF6POVk&9DDQ0^ z<5Xt%xKKXtBJ%R1hpP0{EMcvItrJ%{1bP?Tqc1AF=>ZBngy_hgCJ1JtAq$#GRovfd zV-N651|~h_0u~%;Z|Gk#v%g7KDAk_o6to_Kh)%G8?>G#+|VCySN z@1m0%ajMPEEcon4y}|pvGN7LXOeHg?vLO!!thf}TY9w7!3e!Yoh1w2A4Bf&8 zPEDH>Yt*2PBr=3xoDz*V|Ep*1ndSy@qP}!kqDRX*KVUi386MLb$nP4{0QGUUPGpz~ zk)uGSZkgQwa@EV0sLs5i+S+;@42yKZICwKC1yfiz`ly&suCeyakjZZg(-KB~>C*A3 z#V^1dF|NGu2KGhXZmWEH=MV?JljZ&NTMvLL`BU5|7kxNcQKs$#m(@mUKKQwYp6)1e zp;q_H>-9-hDz_o4@TtxfEfplD6-S=kzhzLu4a7W`s5tS>S)A=Mt2VMe1t|@@9bRrHF*UtB5Y|W4@=9t6uO z_YW>0kFu#6hQ3PDD(QS~i&!^2>y=I`N_F-~^IV^%8DFUrE0w`C{jwGD)s!-G>POoeo( zZ{OkN42D+|QU%UXOd~f$d{)HHoTnb0eN?iTm+_5)tp~wzJh>gm-`crkc4WA_!`Mhy zt&3~7ZnfrkmB?en3d^6=f;x+sY8+uYK0kh)n&2TKR$4YhE!z3$z{Z^gpFmdDu6;o< zJ%bbrx&m>_c(rzBAt9HKc^=vlhNDwNggV@nsRI3X+|65CaV!eBQf~?`?rsZb3?$E~ zS)p=D=Hk*(4WJAY&L|Rr|HzyFTtEp(r>J%{3V>CF8p7Sla=s6ffX{effOPwwfL5m( zrQpz3w@6n9C?%S~7v+x*fRm`DQNwJY`5Dag`42)BO{illKI3aDX2TA!6pfX`Lb7N z#fok0w^0aj$#MVD9Y=pDb%Jj98N`>Ni2As-yTij~^h`LI*o7@sDGbryMMOtzRzz=A zDTFMC@!e?@yYRQzjtn5th=PsIERXB#$v?9${7a7!Rg))E)ZuX8|Bkx2O`8m`sWJn} zS{K}pDJQn>*+6@RKYAvI$NK>1Kk?rso!dHtdtnu7Wh9I;72uu<3zIB-`p){_hh)KD zhIYxISNly>S>URYP_ktgaF9kQHfX!WA(|HK3xksI$I7T@fCba|e>woIYwJ?hNK zn!x?jfK+Zrj%R0P@t1kPrZ@+afu%uW{K3Y{PY^zH!eID(sS|r*^y#g@wAso_IF{LN zG)`dx@8L=5XEJJhQlYHpu610w@m{e5*Ets1-ti!n*Uh);lnFRAav%QJLxMgH9W1ca z`3dzL8=0k)fXF*Qy1pgTqZnRQV1Y1Cx3rqrI=NlwlZkn49#&HCR}0 zV&4`T@9;W)099$?FG%s|edb(Tkj)+-4m$LC3EHXLvyFH|$JycsbZRN@iF9tn1+TZ* zjBHrE(VfG`rrlUl0n1$)(B4wr;cQEtqmC}2ceytB#5o%2a8(2}HSBbbw6PXq9@7HM zis+8N=7sAI%KiAgnSln-nF1@dqvvj+OFO=p)ma42Ox~zUf&$6P)59$~2YxKkk@a{3 zd&v;`%jFim+Y9q%#I<*8@@E63>>`1voaMT|wIPw%8lvD^44YNYcBreEst3!tuk>2Z zlh6TMrlx*=XJFZQzN2jVS;`3#JkFbWShG^cLbFX!Xkx9myW=@T(agN#*qCof0{qv9 zgm1TN7IeE@TpcL@upT&>WUzBt;w9J|_9XlLekg9p?!lSp+ zE<0>d?E?zz>>E{hG+umw3q?k$4|7b?ZOcu}PF+;`U@Q*#VNE=|hPolwqsq{X*i!k>)wrvU6orRX(W}#d zJH9EM)IJ6ov+GQRTWi#sNT1Z z+zZ#^Z35e*QZ#X5vrY?>5M;>S0|TIT48rU&X#U_Dz-P6DOL83qafU>wbD2<#q)?Gp zha&O(Ml*FotV8{}+$;`1ycOsAx=Es1R+0~KHzB0PuJAD&*hYwcu36`2i?x;c-Fhhh z2HFTTAf7(6|3`Rux>&mdx1K8i$YP)=#pgD3eu}I8WN_Uj4`%(w$r`jyG-1Z(oJyzs z6<6jbs>{V^CDQ!dvIeBN?`o(xQmpgk;?_zarMkL55;+yRb-}JxG|9ALr)w{g5#gvy zzbt3VsS)xX{&k7cyz>5t8GDhuBd|F*Y@!d%;-F`Byor+9TCc{ zTp8lxpA`w%2;(SEfu`>p0VdLd66)jGst~2wnCnHUP}^o-+KVzqjYc>pi?t+UiJhq( zJydF}vfN)umOU{1I^N&>qo*|d0#H{$T*ssl&Eo?wrduobBLb_~khvW=I0vG?r>($YCAC2HJl27YV z5U#c%{7ias9H*8r%O6q5cUaHAw0&MFN+JTrCb{46n6#oe+&8LKsyJ4v3}Px|JmFWB z=_H}0LH}L^N>GI4(Es}Rr`Zne3R6@T)AN3MfPb%L_uMEN8i5)7y()F6yRH&&y~3d)t^_#gYZu zt^E48_8atjq*Sq|eBfJ~mIBua9Q*4q%SGIA!vTCL>d&JGjulVYvo$H?wdE5-V*#Vn z`D$VRHH_V6cYUmij{!#t?+D2jN3P?aWzkCgGJ-+lwTeXqapM|0N3z;c!#Ix4dMr-& zFJXyAS=TinXgxNz6?$gKKt9h! zfAZ|>89xG48jE!ZeU?}^H^0N9s|WlV&hMu3-;O{^SN$TT$jA7tuqh=a_R6`Q(#g2v zyUxKogbP8B47W1T!g8Q0BAyH&O@EvP6!p2@msSWTSh8JtgrNbD7l{~19KS3jt)^dD zF(J*&m6HoIB4{hU#*YzKxN1VjcqHEN0|s5m-N*|PQj^d2Usq`KNX<~_S;;&Hb|dZUgH@+>aeM}X=0~8)i=29K zSg~n-gSm`o2}l1XCNoG_r0G0n;ZQH(+%GAw!gkzz|L-*#gOAz=Pr=2!6gN1&I|z(p z9(Ms3(QgoHEr%I-5;v;A>>tSMX)^v-Omu{(8IxGaoh}`5ZcEcpU@lfK;ACXxO3CV5 zB|}=YQ@*N!0K0-9%hsd zWCR|_G3{za9uCcpl)r zVHoAdRGK^q>;uN6aEzNbwdv{UK)D}LVW)c|c}Qn0vVTUMuS8P|5HS%5!VJ4!!w3Go z`}TVS^}%G2$`%4|cMEz`wI(v^nwsH!SN9cKhSt0T{x7sEh1aJ6P{TNH&kqw#UuxTr zzt?%ZPnZJk+HZ7JWlw2Y_*s2v!3Us;;3s;GfA=k;WLDQEPAlxfUw?pE{9@;By^#oc zA6v^iT`t~4rQ@4`y7%&Cva*V-IQM%rS`+{xw99mJmVGqfrdmrjh>ld`oPldoLp3>zswN-_9xbPDhUi_lh z0MR8-eO08?hALai0YrT5b?D9Dl^GN>kx!Znq9I2o6%&_U+PLwudvqy1 z%}+4L@(l^O2p{Q!hahkI&@}qUwp#b}s*Fr*IN3fNxpTGqi@e}W^mp-BM^L2AMhp7* zqPMT46m#i>pcFfz^$Z~)MOz=CQP(g`Rt9?tL{$ty!iC-~Ze09*Lk7oh>DuQ>5`gJ? z!;s3MPWTX`3BR#%CT&@)Rsts-kDysvdG8G(Hw!L&$xNwyNLHq`DuGqI#pg zPF;3{BbURk(_CI?cF?o1R8_pmvoj%=#th}>=a(0CrtzYNL)~^SI$7B(T=cgUao+B- zHI3UGTNvh~Zrsm_q#WH~ZOFf0TKFI~D+_Ukz*IP-r}Z0KP#8$^CvMi2;{i2n7W|2B zec^0cLng3PDfxiurxkGVS)R;C^BxP2 zDY}|9>*|qrKfp3&S;4M;MrD1TsBLpSwUDn)rNmn(MZeM0jy_K58A{P~i ztp@dvs^%K*l~UJeam1mn=CVvui;;IIu7ScE&}|U=DlhB3PW{XCAcqS zYVDL1e{|b&0{;acFxgjAQw-S*dONs~@$cij9guTUL1>OvFoF+E$Fgd%?3K@dYuw$V&vn}Yc0;D*Co;?g~_meRI*V*7&2uqNO* zM7@rlx6?#7$K23j#v;jb1Bb`P3VTThrC(k4LWq@gV|=q45rgmNMGjQc57!L71mCgu zUGj_PA)UNAl{xAeT{+kyd)=PZqw=j(tI z_B-7F-3Q}s8xd{p-Kg_=pheXu+Jeb_%AP}yMXp%**l^R1sE0P(-L$5dEjy`n2~ zsspx*?Ep{!(#%Ry3Q2}wzlaPTmC{SRXV&uXeDB(cm(8t`It8@8(d}Z1vztl@^yUWf5{emx>}tMNPR^@Ce=@Kt&q%|D+Ux^DWrt_d0!3^FTUPI(P%Y!9$Wi#Ee}bRc2=a5Ll2jV|J4|Cy6*=Q^4_%9o1XLuI=dNJ{#b= z9@b>D>Y42R1Q@|X^SozORDwvNFj9iW#U&~KU=t8Q-~FUfEEIP8T#jJMAl&*U)|ctn zT|0%Yu>Y_Pp$-J0WJxmR!QgxM+3e2}Dho>AOTMxT~bQWev6nZ@RaYXHf1ajNiX_6L`b0&EcSU_NTmv} zS`G}rOcw&r3hs<1Thw10NuMhyrMl@Dx(8JYwcm)y3%o9p>Xg@QzY1$#&c4u&|Kf{u zGiu7y@*v1nIa?8fP6$aiJTcUA{oN65#dEITbnGUJUk`un#UbJo#w9GL`B_cd+)H7P z$~9>1z+r)xysQd+I@1fq?X*!L-M*eXnm0w>nf9~}s!X=zadicXI@&w58Ub}=+4Y1t zEOvNgNl>G&jTg$;;O&)R4iC$ZCwu6s-fyWE%3QmwbP+wo<=AhO2O0D*ZK7T=Bi0uD zW1T`tQ)7=uD3Xv*Q`?Lu@-B_Vf3tsK$+tILR5iT=@ZEW^S8l*y<}}pQi4DrtjwRUJ zU*6mco!=Z(7x44g-OF^+GcZV6tQKYUE&;pOXq_#qMm5gf6&u#X#JX8skP`D0lUnSi zdKz^lG7&v0?NKLEboAl!j}dDw#~~EgI-&a~c+O0|h{{@o1d(MY|CA%bsjmEUOh>DA zrCXp($lX2MjyuaqFy_~^tG79byaJlR#R6%KzNKSCa%r2P89Ozc%W=h!{@byq4oE2* zePY}p7P!o~9Gw4LaNO+(y&>eaY@YBPX4&pb(G%+GV$(W2AM17x9|5z}!})(Je{2u~ zQ0SM@;2S__fI1=QITAlC9D!(j#gV(PESuoUt%bf z*1rpacSlARd19`2;yGt{uRQGqfYa4QeQiSQIO7)8t)LTH47b-Rl{Y! zQ2`?~mK+Vf%};qfJyEFxS_~SPFPC@6vejU3CD^(?aWSmurQ!}C)vsQtxnw_?*7AuO zDFtOvd0p~WHyhJ!odjElDlRQ2*|)RESx!>kvnT=R z4HM+Dj*9yK8OM`29}&!Mhv{@iO?74z+|_HacuU^~1Wge5v1cl+8B>`Z>c%^44l z0wi5ZMm&Sx6!nGEW={rsN_@Hs0?>L z*mRGWmx&dgS#@AYkq_4vN_f~j_sEvT(OdDl+x|6kvopEsLqtO(4= zU@eL9@@RrZL`rVVeuk?E&UgadxA?%(@nBudP~u|CqD<(72FVZC+LL<fZb3oMH7x(q>H3gJD zpB9Kjhh>ntYD$~t0y&x}C+M`rM4RTbn}6K16vF5-AVjNNADDFnWt`atEIqZKJ0lb4 zfVF_K8S%*6m!oyH+^X8spW@Pu@Jw9e`}p;R|A6@K9*v;OI0!s!?P!@l5FvZvgv;(* z@+4<0;1OIsBpGKTghFjK>)($H_-FBofRo<|2e5uv5(B!A=YJZzZElO04UqJPM7V5} zIbb!{t_zUh9LQ@#eAJy=UtStqA~nkKFNnB@;!Gi7&lECv|6(Y#|RvDOur%$aBc5| zC3e;RGvM{CM5EsUQdz!Q@c=mDb2bOiAwTFZ=|+lxDlsmo<4ya08Xp!^;QpVUR|-f= zsL*Rz<9^$dPKPu9V9F%Q2T1RRSwBRs1f6(U_f)1D0+8OVD`K2|P7GZcM9QnN-=&Rl&BH$;HtrUP=UmIo@ft=EW12L!!zxQfo3+YJx170f0 zqfK8qX2XvmT`#-6R5o4RwgB{Jt-vZIGn#Z+k9oRr`~Ka?p3p=t;8oQ1{uP4@N|c~M z=ov@tP(CN-*kmNvbB`BP0G9FO08Ghg4&o!<85MQ>taAhdms$+}7S~XT8=;Dhe0jNw zyzh*ioCIFMsulWf+kuR4tDQ0)bN*0(GRj4|rxCwGePrum+w*D3^Y&y3K4pCIb4UQptR+CN%12JfW+P zWRE;K0js`#x92{>3xs@|j^jVe!(_4v4=G725e_##lFAENLdcy$LT zw-ful>n)~~h8n_@3pd3jVX|k+nNSsnEO94Jm+JI@%+YUOG{;J1-B!^@Clg~cz$0e0 zfF^Xxd4ppXBX`#Vmw;g<=<6MsNU`Sj=&afyR2z^SF0J~k}Chu%#1{Yd0OJXD702Us?|aN<$fhra4b zei!qWi>frI3s{Q;FR2^cOs@eVK&VE(tgRg-^ni=-Gr893e0}$3w!8V9Ctp_GLjG?o zKC0-3?l7kVQSlLkkd-0(7HRAOWk__NtsfV0FCWwIjGt#+d^;|$wuld6Y#>lQp1IM^ zDv5jNWFDVv_qy!1m(wDtEOlZSOh;9p4-mF8<30zY)y3YSlQTrA}Zyj&{9 zwR?=n&>Ow!NcVWf$l9L5S~QrP3Ta7AY~t#J&g?G8l%_kDa%BE%e4pLY@wnvW!uZ?y zj$Oql9zT~EcRgvaOHuUq<5g^E>M1Cv!O8_z&B2W_&i<4Wa+<%}3|9+jaYE?f=r^1f zH1Q!Av0{{`nsw1X`Ns!JT3XRPUSzD-;MLeLI;M=8Bv3bc`q|N*oPP4-S(%arjN`m9K#fzF1Qzfv_ z3S4^6@1Y-X7ziBb$v$&fXxFdC$4*Y8vHskKmjd;r_vUcp-zO1|Ujxx}2UncgcL^Wu zfdaC9SH0fqWd>1E>5ZK3-O!!74_BMIyh_S-7CEtOp7L9K79X7*=F81-sdcJ0D+U5y zE@;_On1N*6SeW;bdZpKySGYSf2T&hY*ppX?H?3kc*q&96;7vu;ZYMR}L;?NaQx1BRJNV|LHjHQ>(~el^61P z!+CbYjWA8iGaG~|*3&_%NSEz-jLR^$E~;@0U}>=H8(?cB`q`kiqMtQ@bG-3&pf#Ga zb^$Tk>~+)d0@{N{KC`hsG(mzs)cyEyp^7plGvA$Xevog=mI9>`iNu!61Nz>~-@t1d zPL8Mzp=?L{%eqcU)?7(hHMi6mvir`Xs#)f$fTa~rR^`40IK7mw*tA?P>Ir~-QHJr=5k z1^gVUQ4s2X8j>Tcibptg6JDY^NdC*2PgHbYM1l(`B#U0eDanO(9N0!w z%86@bvB$JS9U)K<$dXb2=o4G4X<@2dXmXl&poD3bYqSTv^pM3$5a z6ta9%*Gt(XJG`)J)BhY%4roAAG@qo_)vAB_p?z2MaE6%I?0RQ|UK0*W%wW&6 zZzwHRXhmQ>pcD2#FqxY8+D~4rRM|ch++tdx+DUrO0~{K1ep=0oJ{gi%yN?<75!FeI zSePBkrbU&`a?m_QgeHoyEyx4s2e(nEW*<52rVV?T842uMtF|a?HbJ7YgI^&_;cuMH z`v}pMoxW?OSbzApAa^}73`O-w4{5nW%RDA_U}>OVc}oP$!W>g2cs+MIb1LjNKHm!q zJ?~DFlkq&li&^=fVW4(IClzIiT?ZjYQOet;K4{>;CREvhxmA0v&D5W8t(36NGjCuML_8jXn%Fu%hB!I#dHpL1z_dXk?zjF6ipK(E2+?i#xmsFBOCh&s z(DIf!c&PA2A`(;iUeHtCmy@}dm*5N7B%)|ZE=TNkV~0cMV}!4_i36);I?&Ch>kOIt ztM^2IyXE3P*HPjPT9m(@0wN|lX~{%3>%({motOY&kqu+$`sb#LsWz<4YnGqxGul3Y zQ+Gc-f+NZero%%B{8~nbKlU)~vPsfTCvQ+({y{&RJ>0N50cc6ReVTy5T~kZj^9%il z1Be`t#o{d-##yf3nUmB6I7S97t+OX=~nq#m->Y8#$GXJv)P47jn3hx{cX~o%%^@Vdf9{*1Q&Zsr6V%%NbX54ev>D1&S*nCxRgK9XczNA27l*%DOvaZo?W`PtUwD z#@R11163{wEb_R-bTsHSVXz=vYNM9pK#p5gG*i-YY>zVv)^AworZl9?B+Ld3Ig(Om zqo`j?R69YAm}SKM94L0@-s)0|F# zqj)5nz2P-iv#SyC>P5Tp(qkR~@YlmdHoyzTL{PN?F+H*?{R$Q~OZ!@iI=}B`2C~98 z%LYGCGHONPLTFjhs(e%DNxCds5C2)LTT<&<_3Hh^S2U#w9DSD?fU$1IjC4Of25@o9 z%t0kHD0gOxf<0x8Mn~d-ndqKDoXsxlPH^T0Stxetb2ncs5^d9A zH!-PF>*!Dd6b+uhTgkt@6<6!MNJN%>Acthw|A(^_*bV$1nJJpEz0+j8C*%+QXWC|9 zao}%dzytzWfU;~BZM9N!&tz1`Pg4aSqGdhcF1qnJ?N1?T?@#?`w*1tWdAdS+(&8&O z+M~WCQ}(wL@b3^KtnljL^CcftRhzxUCq?DFj^{CF_cCxoCR55AO`NaUI@$`7d6`9w zEYOkhc&CCqc8MAn7Z=eg6Kx$vlYKTf{cL)I9|7{s>92&K7niqOFeiGyH_%KRk;J%63(>>ARP&!C^z-=hDga zM<|B_S;0dBhG%#IB3RUMVrpg+@92?g5KUU_>jI-ZNns2nLDX1sa5NtaDG54#~ToAO%;Q_5kxP z=W30q-N@pVT*;WwV1DQyBxC(@?p74CB0UzA zWEyRP5p@XrsMKZ*-{icl^Oe#6s`wN+*nLSoU-di9#19M-=z-`LqY^*Z8o0yjHY}sg z?xzIpUSr7aS&MbOg(o|m^xEGAH?b%=pFwEFZ)mGCeD{xO+#E zz*;Zcq9eT_ljUOYulInk_rq#0JF1S@^IW^;>m3&{!WP}0$#JMFEvXV(WvNhej@|P} zzYK~G%{QrBW!4Ue$Ikr680S~A?Z;xHYWh3yg_#&dNZ{Q(6x zrew?tt({O>(q#dl&G4;BbVM(g(E!5JAEwUE095etV_4{JfG_O!$`s(A-@Sx#8bdkt zgkwZtp;C8^YWhmLS^U)=!)qth;racSnd?Vj2~(VX=wy~3k=9&#v>*4N@lymtXYQHQapex*Vx1I}h82<5$Q6SKvS(dm>vdmz0+V)afs$@l z4P?#5H}BYIpz+CUB2U?DIGWRbNI|>B7M6jCa;q=f%Y5xsUT89#*97j@TIZdG=m_aY ztfZ8O%Mr7QLI{fi@X~j7W$l6Ruhw5i;AZ%U9&r2(Tq%4^yX!i#S^RH5#Yc7qul&KM zXFMdS96s;BC7tcH+4#VC=9X)_;R5-^c=3pS2a9x!Mnj(OjUPdtz|Ekj>3Ux)|5{HY4gigT6TCB$K!DkP| zlv?V6r|^LsuiF*=gH-SyswEJw{{jv9`2o`aR(FPoOex;_AEi=oEU&Q^so5V{AiAJD zQ-F|Ej{K$qGWotGgaqmEf_8TVLGifvoQ2V#@DY&r>~;*uMAZM8D$Jr;{YD$}^*3_B zH;s$=Em-zmh?g#9QRXv-q|eS%gYi;4Tl&^P#*`fG#GZ+kJ|ZaSOA^7u5lSC=i&*0O zO}+fUfSCE_mO3`v$$w=Af$H!bdy3LRoANg(d;rtwHM!U`>&=(5n>*&GasKYRYrFPF z`$UYY$<1>W(9CMKt=1Dw2a`d-wh@IDxK-KWW>~%oQ$tkGuo-fx2<&-2{3WGc)`;rm z-{qLy))Ot7FMKfML59^j5_*rv$;K;j>9~2@JF$1H62_*U5&xmUWZ92FckiTq=0$lc; zbaC;!iiZKvL%AL5DAYi7w2`JDtqzsYl^`t!WKb`M$F`&@F#*(dQjm8N{4r1a8h;TC zt3CWVT-~_?^UtA|=tzsh72cZire)SYS3>-7eLRUsB5v)-4x2gwyXB#8Eo4To$T@-9 z9BJkBDEMz#q9X>^FubO8tX)F&gnE-W6Vrw{oE&e$3q|(?fw6Ct zmt%8yn3iRtw$#ZP0KG~)^@iLSde!&nJDnXp&3_K>F|uW4e9`LfNSb$;antws^Rj8= zr)#|O)-Tb{1rDOd5V6AQtkj611shyLF<1tw6bjt#(#9i#@g;6J)L+bhL=rvx&ub%v z1bw;~v*jc6ZiINa6zO~hc-m`=Yz!Jx8Gdq+7J!!uayMf7UdfMv2UsF8%0v;%wazkD zXNaNG^EYm%#QpMqJ)4@F$*U?k@K~9hqcXqPRpwf>Ea0^y>4;*q@5Ve`cSeQ%h?g85 zE(Q^jZ-@I}k7u?+kP=YF<=Q{o%QO>W3%wxb9B=5k%5ytj5^FX*DvxRK^uR;QufT%H z>wa~*i;on=v!|Nv8wxUt%V&Nc_*o+iWnQzEOJ z0%cTi#~LcH7r?#6B#`=zm)~idgdm8cFI=!fu7#$;66o(X4W_Pc5_qY~H~N4Pl}1J; zlFekq!x&xY&GB*UUS`8X-Nf^~d@*SDIiUXq2}PQUl%Q7@yxJUf35|^EP6HWoPt+gl zm#x$?ZRUj$S`_dB*+`bZn`O(KhidN$Y!xXPBbM5I`*GY=l&qWloN>5K&LJKN3UoW zTGLr}K3t`YF~;P4$S#X(33uiuyPGRgw!#Jxketfrn5rP$37E0!|K@Gwi*mnwh5Y)j z(MH5s39d)@T+nU`gql|Gy;r(e2@bY4lLtK7ms1cb^OS&y^~`28YkS=P~4+jtkkmeoHL8vQ35+0*|@*AND~OS7}5sJL(Tl zNV?s}e?lAmMiFlPt;z&!T%@h)%e+~xis*haPfEx9wdR3PKPbJmS+~Pe?2t}D{MhX` zU<(f%*u?=^S~jG!0iqajsK*9DT&**~RkV`%SQtGa1nW=ZKcYqeG!Y^#%%ViS%`SkL zOEvGMLaPQX%wS8>9ox^Tp71*`C4?t^7dk=w-^|V`$L^^5=U_^^5dzjACia#J0#CI{ z2qR780+(BGpKH4_N0NF}lFJ!xTRu}PyaC>^a6FD#3CKQ1SpEf=DXNX@&O(hLd~$gB zeXLJ(*o$kQtoF}+2Y<-~W;gopB%`ZyJfIXJt^|;*HRZ`udPSbLLE#-_`?uMB+Lqt= zI|J|Md%+dvICLlTU87~>`5@G(oc1573LMl)ScYV6S7Z_4L4h+=Qm1UFu|3&aj#Oez zQ2?sA?H7(O^W$naNFuZ{MynVRR^H;dPB`XKRb{)}A6s-L~E$V^)LN@;IGY4Uzfs z1fc!4CNju4mK2Bnihz`XFaEJaRptB?R(w$#db(Zrs%)Hg8gPJTO6*p~1z5M0?}YP? zJ{uodY%UEf-L_m!dqEl`@RJUup2qe)csuZZh};3ij2yo)T^X`%y9Guh)3O1{(HJn( zTn8?F7yLbi21XN)aFZ^5KSRSYFPZBi0L z+$;GgjogDt0NXZ>fkn$Hcw=Lh*zLqezWwh=0-Nz)iUPpqi(Ydv@H*S~;*PfqHaWM|9H=_sQ_%UsK43$W9~*-~lCW+XAH1m^A!fbC!QGsG`G zygQegm4W-_n_`8wTVk2|XI$2tCmd>=x+%@ghd%6#8g`>!9Y7c(tJ+he6-y!m07{nd znK_mSosCZ8YF4&GGUYx%Ixpx|Md30->@r7Tgi5*6O16H@1acWaaeC~JBT|UDZ~3Q< zw)Kn4C@bOfcLGa7YX$yi*9L_46GC-pMXEUaK6O;c@4e@s$b)ahN|v+|#-8}h4)*%8 zXYiCtfRUf;E9&4`tk?z)x=?C4y(1ld`}b8Pka{{$n*i{#z62ASQ)Y?r!d5+Rc#DB) z|LcLcplE0d8Z62Jo~s4G3-5r++V|j;-Ts<%ve8R|R=qUZ!Q0P2c5q;D(DB>%vB`UK zD>#Dpr*-6n+Uk=_yP+ujsAvKn;U8j9YB#l;o;y8q?nSUx)R8z5>}TBi`w--rP7fGl z3WOd#H&p_^hZzby98AXoC~X+sS0NpV+Y6e_N!mNe$COn%bxD@4dcQ4^epCmY`E|HU zEp~Xd&2zfDhKvOdvDfW3Sx4yA0*7VO?Y|wP3L4zVd!tFYb#h|GrKPY3?ecU2fJUG7 z?O;OBSE*ohlB)$xwMx^!IP~Ne%entaR#aLeh!~GTF^9=tzuEEK8{xbLrxYH!dnZqz zV^sZ_r>&k>#MzY7`GQ$E0`te!jJ$x+;iN&ct15hyt~$ys zQ^o{>MH>qE7KUwjf|Hce*Vk>2>uV-!8>C#diSg-&&~ehiXaaQiL?7+NFzTfBl@2Nc z36{*Vz-Py7pZ|aX^X`s?v|?tz#s|jDOa{JKn;G%QK5diNm~K19z4>N61oDN4CEjLD zXO)GrLUZ&@F0mrhT(>OFjv*{9ErGtALZl^l?F>X27#NT^%97-|Fgnf4z6u*z05#mS zY8G8Tz^Bttjb`Ko$uT)qqTtt~kI6DIt7hEP@SCfre=B_-0G<68XS-JcAHCJ5Pan5Z zqCzTOeVH}U5feWEYL|k7puYZ}NmL>MZ~O|)1~hOiwQ^x7LOE}C2lRcu6oBe`JJ4hF z32m=l+0VQg_#D;HlRR=kRt3aIa%^dgXd69XLnbVLDuz|(u^S8+zrcsqIS+)_Bn177 zx5-_ji@Xu=g?-qvD)vG^|KOHSor-a_C?1Rlp&6jd( zFfEN$R{7#9bzfQO3<$2-x;+n9F! zKpR#~VWc`x5Gjx2(wQQOgNoEo4h+eqWVooo%MFfD+1WRLvjU13^FL64A=&3Gh;;Cs zB(7FX;PHHsVLmFN6V{#eH#I7?#E=hfT)Yj^&cI*F&DvD8|Na;ep(FdjDba6Ju&129 ze^_D@A;`bF@Oj9tSUoQhsOzNxa2#qsQK-qc|19J!DG*eW@5TkcT0%0U(O2F~G~}xq z3c85YpXi4p5&U3mFZzt|EqVLi&|P2|ZisD8>{OSCm(y0yIM6e%40MFa20h{!5w!Z^ zpxPPIyr96lR*wQ(7;Rd)98%d!|^iZe#nR z8W|v=v(P3=;-Hh>Hno4${u=G;+kLC$2CU&la=#vuyYYL$)%M$s$ zhD*X4HgR3toz((rN@IG&iCnAJ-OT zYbz}SZF9^4s4DGF%Bv5=W-;`UlEXOEc&sQpYO1Q3t)u?6={X$ost&foy3niq7ylc5 zH5f_v?#~Q=TrZDlK?9SZRmuWll?gEPQYaAuUKY<;M8uTaY}riNIIbtkBB?&GEmM9 zW1$WT+y34VSzUR7d3Xp3t65ta{qPCBB+ZrNKVd+n>OTmdU$J7_NI3!kpBJltBXL*) zdAC`(a{j?@e_lt1+xCkADK%Ok$5h0R)oz#vj{nFQ1te{LSuptRJVxLh<$9A% zMMAqCy-usjY0j&5drl`HOnId|=&gq=MYk#`qwh)nTA)(X-MmMjbP>(urFnH)x>xRU zM?6)TLcvb@FWx*L5xIojR->&?JD3`6a>N1P2{OYbClyFy_(RG~HSXv&bg1I4-}%PU z1(3Ti81A_%*If{HUXc1GZe?vUUj1g4pD&W;0L2;!+rOJnqOY}Ptr~{_mkr}Y+;5*W zw1)H_huP(?rAEYSpa@8Qd&x~tomMMgpusX9yW$0k^qc5(mNk}q>i4pIxj9R!9}_*^ z(@d&z!h=1nnDZ^bMkJFPZ%-x#by!(7Iw$-Pz5XCD7XOEjFmqDi@r+rwNLoyJ8FH3E z2iF|o*~Eh{{&3jf%my;)0BUmKMIy0M<6Qq1(|46)yjWOE;ZFsMIU#A%Dk2GDJ}h($(n1{3!M zma7TcsHM>xb9d0gi`K4$q+iqZUoX!V&Ca6(2YK^ziXe4h2Q3?vAy^nvJBcchIL!6- z53w&8+9?V6>>c$V)t^LZH6W$QPEjsVlJbYKWctosPcLV_sCx^cKuROtF-=v z@!*XU!=m~!)gZ&e)VTjotGmarij(pGipw~Q2LziL>=p1VbpU9)@C(wSMp{(In6(c} z_)$tZt=!YP#pNyM7q_SPZ5%u{OPCoO3dc+1{5=5%SOl127AUC-k#j|ym@Z>Dq^4$4a}d?JEZiws4R{9{ zRU9fCgULP(XrL`JO$QMKJ&K9y%k5(2TJ1f0!RW-n`p%~hQSaH?UGQ+vmzc#+%guBf=0uZ zmX{K8G$xx>vwgWP*64&lfZ?o;=L}wE$iELlquy{FDR>z`L(!=*WgAf~Cr%u7ufrml z+d?y}$jTKG%*Q7PUlbf0&)Fc4i|;aF84Z)m(ceI`D8dc@} zKUyu!^A&nAeY<3+{%!x`T?;>Zp@YrMv>3{bn7@wQ5fm?H}C?+>Xab zz-Nj^UgJKE(6^E$5}v^0Xv!e^GdfwlBu@e5l@zqs;3EOfd;tO}#w#{y_H!XgWdfgs z&OFLx!R`BytG43L@6aSzKI=aTiml(#`^`a~k*ZpfNR1!uK zdCeGKtPo-9V3vW+?W4dU36XA;w?C5zFhlBiwMdXcp8`#pUefdmIxGZUc@SPW&?yYT zkK9?_%=8WtAMtLex~-s41xW}q|88)^_eVHRIX%tCe)${z^*9ZUpDqvQ<_0CdGo{5U z)P1g7DDAfRy{*fLf6s5WsKa&W%Gq9fR|(Jh1@~FaC#AKJ07r9IA{B?a3k=t(b6a6+ zbvsm#U*hlIx$mgbMR}EA#T>ncw6?6~D>K{1*|OcXr*@cS5>YmkD>Ik&do(;0cD5H) zvbVP&e&|^>C$> z2b;h^q3!W{lNc%oh*hYDk6<_czyo0ZWm^;!d`Oj^Ldw=Dc$L7l{b-;sBJO~#!y2tC z|3l29=4B8T?Z1Lu5--wSDGHZHAvi}IvBqMqit7xcbi}OP ztQ_Sx+03iWft40Z?U!QJiNIrd+`+6`BuxvuQrluzM<4kut1509L*uu%Ul7>N)yV3t zw$he^mtWxzx(YpJfojlRWK!oa`mOOw zIq#}u%bBUY(SJGjXA`AIoBJbjnno<#BBoMYtq&*`5dj6@R?dXXD)_wd#|5uildR6X zXL`ZlciaNinA=-9qLRp(bHjXZh)@q0zOWBdmUsjpn|!BsY`KJOO1l!6%-aB?ZC2B5 z49SVfNP7V%*8g`!E4!~==#`s*!=cv- zRVkRmW6I__FBiXzM2i+R*Wr&qM}POj#Wob=OAGBt%t`yKq5W7UnD!lH+QS(EfAa?8 zK!x>8C{n!xbjqDP`HlV?CsrHyOkwCNvD@fB+9L2s5QQDdDlmFLDF&y3gY@!Jj|J<8 z&UwiK^}HeqQ^qJ}i0Z?)j@{9iDcglP?BnL8WupogXPkE?+JC=YB_R9R*sPQ2c^=*( zZ)+8Qj(81nRh8)Zj@!S($NA2638n%M**GQQx+A-0W{xZ^cd3!$mpYF65+Z-wFd!=r z`8{wuPJEtMizuZtB5^ThVKl5CoqzmqZkPe0ivQ{T9rn3Tn9OzTlKNK))x{>V2(Jw# zt>!zzv+2z4V~Nd`RWje?kl+bgbmb~Y#pgr(8mnu>2kz)*El2UxP(rTV7<qrymdkCLE#&P$;yec>KNl#bGrUNhDAdHrx5+eh z=Gs<6wBhgFHeX(fN^sSYp=&_nK08`hu>i9a`LbPzH~yOfN%7Nd9CraViAP??dz z#-|A+)`G$t!3aS5Zz7P$DNTj%_R|fWfVmagJTDW$i3B?4m(kV&=|E&bEr1mwu90b zsa((4NV^UUcNaae;hAb((_JKV58sr%qrSBW{&PFG)`P!K{brWdOir;1g0Q&l17TEP zIWhT{E8Aedo7n5%yxfZg*d_oyFr{s}HQ%Tz&wCuktXZQB)ob>P2*zTY(20H>Am6V} z&uZg&Y!j}a-1(&=i)0j7S>G35{K06l zP>{ezRQ_tS@pPq_7gai+rTbsDHG4%f<(w;*8BEHZ~ggE!G z!|vJbcge)e?@ovq8vDP_vST@@^AzbHdv-brE_&->(n~~BudcM|Lf)GXD$!wuL^0v8 zWNy95J4T0nT}39fGVuAlxQbY8_*I7Q3s>1_8*n$$DP@Z9zF3O@DQ1AWY7IhHIk_}e zNfAVUwO2G9Akd*r17yF&M zcy}`RH$IMFxK{+Gp^pNoK!)i?`N!EJJmzRp4-r_E#pecX66}-Ng@0@>oniqSGc(CF zg)x2bBFlQ0+gNz*C5B)7fcsiEO^4NzSdHzh9K=E)?aB+Afp1_kZ5DhM?QuvJm3VzG z9zM9TMv#|MbT8Ifa%$OfyMsQq7-mh7sSy(T?JP}@1bo?_KAYy+FoTi=iyy>1A|Mld z%)Q)bq`#Kd7=nc2t*DX2V)({TSqBj1;4$qr>?70x^z?>+%(-Q47D{y^t{SWuL2`k{mGqHd>la z7EkxB!*)ch4}m|aA1>3d8o!+rcCwP~(NHa* zdUd^Kmc6#T4LkEln|upvRK21+-t;y#%;;bo2`tD2+;EGN9Eb!*>-TRwY%X_hD{+6? z^aau?AD)3^@RD#<#7{T@XTP53@_y)h^(i@y;Tm7pL>vYQ;o%Wbw7p_Y&kX|-P-s-K zrEsDB2&m8?gW-wh^oyY{=sP*oMnCfa-g>9o))cFZn`iE;`RAG0*D(s4_eqyVi_w~8 zli>3~{Rcf^GG@gK4@)U2OVFNC4wotF=B>5SW~%dxoW*L_-+M5WtH?D+PJT`j%oBPt zp7|Cw`!#yX=()Rl9;I|)mWw4;(Db|4o094-$l0R|Ef_IdgLZe!|HMS!oxq!UXSt0X z&;zA9wT$}N{nmO~oG6xw373HCV1tx;$%~>&#UW90Sg!9^7_YpUpwR&ylV%t-gAK+V z8|h-d%_y-aQi6=)=h7N0S)HqCU# z5!2j_@qG9QG4qy^>sSQVskvip%yjt5kBy_v9XTKSf;Ydnn|?OEe%+V$tkCV|+PG_m z9XydnRJ`FKG(L|@%#=JP6~=wYPS(}W-%EX4-Al=WK+N7<+XS{L|35Qu9G!MH3(>n& zuCi#M=13GwhWASxqn_J3F*5Eqxd?N$)(qWK6-HEhbG6OD^@O#4ckM{XrR6aAX*?I7 z^njc4lBWGVzWANNY)p4hZMnMk$CFmhm0c70U`yGR=`17tx*wcH1<=)`S@HMF%c>PVdHX@7IhzPNnNo$of0cGE?8}%Y&lMUS`QCDf3)TrVh zYzjw$!&=x1UiEA^(>bfFS+(BjD)A@HgT$v&E_F z^in^=w_kk!tXdU*aEI+bX5X4&Dx_ub>LXRT7X1vstq}v$Sngw}jGX4E2sXC-KT*D} z&&r1gnj=oaR-uW(I$YdTFFMjBA&hUp6_Uslu9HywGv+qiUpBs(b;5iYD}}{cqx_Y` zP^}C`WAs+>baopE2+B`U|NOuvjkOJ2!;S#$6q(`6(Y}er5NQAsf-G@q4%;y~^|Q|` z;lch7=P5FLBHmb14@EEZ77KAc4eTClq}Qy9k6#E#ci`m2lt!eAR4+E*TmLk7W*Zt0 z&#lPC1^Y)F0_E0YjX|4E*gD;vOr+YDLc%Uz>Yk%1D$q(S(=7e!Ow2>U{uV(N>=t|a z|Gfz4s|VFt~JL!LUZ2U0_Vh1n9+*w3ev0>E)rUTOssoQf6ECwhyskv zBcR$dot0e?8;oM{?VDNWA|6YGhTQ)Na zMOdxNf_q+rKQxtX@;8!j!3Px$H@eg32Z8F|SJ*yD1Xs_qTs{xf7dgE<$vMO+Cf_r12lib{^-IqKT~es*bn=BkY^7p2ydLjRuR?r3I%(YUk>6`RH)$8$x| zWaC1l>f~G=2SgJ)%(V06rcXCTB4uXFIPW5cV7q$=x{9Puv6AE-JTO(VwyNGq7l6f^ zZ~eAq;g;!r#AgycAEGsLC=l?5BRF&A!~wkHc7pqy^v$3>*$ZDfZb$8xH@tZFX8gv zS&lFy)Fozj7_PS5|bDhV_SiV!f^|hoyy(I5uM|Wb93S%?O z=vz749`He&8^fZg#+76ixk_tp{ewmu@HM}I+c2T5;E!t>Pq_7dK z6{j(AR559{1dg@oL7n(Ul*H5Glj!B{k<*_SYh~|aNCHn}6Eds&)7+DB8=L4R7yo4d z%dY+9kqMY}WAy2|%8gBEIwz5YOrc>y`{?-PW6TSpsc}%dzG;tH{ExMFTubxv7jTgd zB5#-8K1NR+!emki?(!A*wiLgGt1%IDVY^Hc3Sq4y<+R1gXs5FgpT~*c_t4OUm0YeD zW)=nTI3-$AtZUH!^Z)dr_Ip*xymrFeXX?FQ$?NtnjJOpQVMbMQjVCi%4e))!n#VJz z98-h3(5oWmB24aagGy{!*8@twn{l=G1T#N4Rzz&IZ{e-VfA0^(C%jl>gT)?cL5vu> zbs`#p#rg=(r1BB%2@p$IP>T7a`i711)To$~GC^@Kx5-C%;NZ$2KczXNU8WRHJG6ljO zTbBr{s+l{ncoh%F^XU5*m153BR%0!d!m_Y~+oJ|s;2rS5n#7B6$!bllwU5Z`z@` zxI}b@-JwhGvB_7&J|cMvl`qfyI-SXN#6yizdVwe52vu4jeJcrs>2yE!J&5O4uQpXTHIb#Q~@e~xq;t&$cRF2njkWd z_^0qmx8*G?E)xr_FCx`+iob*&i+e-EI+Y|$CdxIH;T_(Qi4b8$5x~T(f7?~2+TEDx z^F=z7l14C=3qQCDDPC*gmy&=vlzx=WBz3EOITyv$j+Ro#ETFR^Um<-wkK)R%e zUox0wdIntW|A@(J$L8bj@14^1Q-EU;98>>|x?gz4N9*wl=8Zr1h@l0jQVtXJdMjvs zPWQmIm?@RZ#VOHxgUu&l_DdYPfF{P@XXwSk|3|G*{8kR31WTACmKEvtWu<}2Rk_XF z89$IBhQLC5pX6T!`hHD*8PmvWOjB!@);=G3@tLx#8~J^3|H^cxkC^=HVj3JxgSKE9 zK0C~L8`)2Bo-XwQ;m=#C1wOPdw%YeCieav$l?-QD6ZDn!Q%lgYtr;{TFx{7a{CkB# z8QmQxh>~a~^8k#sryF6_;@+eF13Z|XcWB+0hOmPH!|zRvSEHD#gJuI`?2B_+w4w?-&;6t>=uiBW z?}KpxbUW!OcMMbgh5(DSZ4jS*-6o`WP3E`P33LnJ6(6E-J7AI*;MB(`CDZime?8(e zZqFz|{p7YGTjeV39`(<| z`2pD>DaCl)Wt*Oms(x9HGX*$Vb3X3mQOYuy-m&XQbZjdNcKcsUVmgXSoFh=3h1%)01tDUq(dxNLm?%UR}+KU@WlTD zj3m``s(x5iwjjiIaK>2rDn!BdtFX^3uEVc za2RZdLw(!V~+2_3!Nm2%uf9pY?5GtSaivqYRS=#%5S{`3%2b<=~J zNkroM9jEGI1Ab@%Bi&ei+#7S{8sE74^Z%b*#IxSzbSi+QIRLO;)cvgj;I7D;;+dyi z$Erg>7}&6DHRAla)8QK;H=P=rz*dh-Jn)ZyXR9C}GAqc;SKKwatyAnBHkCMdUqt=W zVU=ceZo24S`bq2im4j|TeILC8!FegC5T0xc)8pfjfdnSMWMNy%{-o02p!0_gl(&jk zUv40G-h^ZUi=B~KAK(!U9`yH7mpcDz)Y$aOvsD5U zFT+pTsIb9{^JV>KD1pKC=lWHlB2zxI;t4l5pC zUas{nBHl@epZ2AWHEN$sAK1#J_PW?f^OBAxSfZh+?T%Y0auY*1xyi8V4?+sQ=gG-* zE5l$Mbck4$>>ZXd>4>|STI`Ft;SpQB(8WenymcCS{W>%&%>oc`3Wpi_V1e=q&|v{o zA~q)X+@~<77c%dT?N#*a6zDl;uSZmZZzz`uBoCpA>guLG1+w>qj71dpUs=D882Iu( zFXYIR%Q62g$Cg|i;M9y7!uKiK4Dd710>KZ0%D=v|OBLz+k$J)Ox7-We_5N)Bp>VS2 zV-q1CyB1q9BJ+yqrB@3zTKS#OlVwsE(!}0s_(%g==`8J+molLt;{Q7BK9=f4h9xkC zhScv`u!_cpBVy~%SGsHnGQ2mdEdmy)98A?r1X3qM@IpEFgsk5g`W|g?}50(jy2#UflRc>M(neJCF z?rQNSft|QAldz#tHb=>5^F1KI9F)W$W}j#=#cV$tJbRJ;3$~pM1>D=a{&OKBhWgS9 z(U$7SkD${FTMkEYtkwU`gH^6Ar^6=(U;o^Gh{IR-?n9zF)Jesw&|TA2?8Zb^74tKGB6_&KqWY zKOVZhqd%9&V)zR*x%8^TvU(_B-3q=$zlI0hf5S(An}{3FhHYw{#tO#}!EdoFtgLZ{ zk3Y*1LzePw%X^-yJz*K^4gNizZ{Oul6OC;;rK5bKGa~?}f}#q?K|}%(Er3V*r_z9L zr=_*iKBJ@?>3AViiwkM4d-HQ?6HX`V>!hvVYT_?V8PcDuUZxdzMGwcq4J{id2{ zRS%bK6pLcc@8lSC1ZX=>8H=I7YR5yIej%!W{?o|-*x)P3yeUTgE&h8K^>~|$t^>TWxEa5ZKj94# zJ?Fr!oO8g?thG0K&>P!04zr(mYl$p%HZFGis{7;LPpCIeZv)0FA}b8D zqHt}^KJ32C-LDc4_-)p;N8M;!;GeYHBmZu2)^Bs@*1Ie<2{aPLLt3jTywSWPVQe%PnojZ2bGJhz=XnJjg4%wRQZ%`WVVKPt%q4!?y#IZlPPPSJllauOK7TRkzCeuTt38icsE1WbZ=oXxfR zc3YYdaL^D{m%@y=J0zbB)edgdWFl?>Z<)B@HHuX0TG)1I(l5nd7_kneIKInvBJxYA zd0Pv85_+|I4s2y=c^p$XF2>TduRc+wr4*2Nn?CYE%=YKlMrmz`@ZTI2L~ZD(73)b7 zy1(73w&ybM9*xK&`hQBVezEENg+C|01suJ=7;w|)I#+o9cIfIFJCAVk+qWJS8UO=U zGn{ zZ2u4XP^n=YLfeHfoe2>E&tgVKi$Sen%h!1J{v*RUFEr+NwBroo6H@%_NH&HX9cSxrV~1fP5mBkT?*Cf z3CNj=XAmqE6jY$>L0o?RAhB+@k=D%SQdM{P*F1Od=NEsL0)1r%8)7-$_1L|VyHeEM z4p$HdG*`@P{qB+JT~XusutCIdy{M4OHPc2M`S9^g_tdxHV~g#1J+-z^*^Q4zVS6y2 znG-`$GW4Tb0(`;Fb!%(}R1F4Qlh|G*_g}LFa@CiiXtX*5N-M)dw{ADo*ges<65qIo zjt!|*+lo&(!w>rgdMax90t|#`7L0B$NF_JurgH99JBGIl&lV3PDew1a2#3`f)BZ!^ z9XnT57$y{uHFZ{Ywex?n&Pi#N2pVC**5!hf3FFwNKKxB8D=RY%&pB;KyYMu!!;$M*LzC98sNBdg^UyMhgQI|DoP+}kx8Js zN(tCYb)S>2iqNX6KH|_R#P<@7WQ1-G(^(9r_Zcw{lO6QgIS^PE zOEsQA!ozwUXgaeZwB1XAZ;Lq@<^WhWFS?tz& zVLnoz6zFA}cbl=Wo}PZd`?rP`bh}{b6-_Q3&h3M2p%tjKuu^SCuu`WhYD38_ORFXv zKTFMb8$3u$goOj=-0`yn^@Hx#cplJShjms1aKyo(*B6>;fp4iajes4~X}bl_tBJ_S zE_!I|&m_`9vyGo8tJhYvb`-IEzASVQy>pw+BExiiEs0vGEyrO>K0BI})+z7U{0A^2 zsBPK2kF4)KtA#5ZZ@SSixhtOl-K`{isxf_Tk7f=;14#gZ_i5?Poe!@{Qdn3RMSbOg z($Y`gVXn!%EX3kz3cpLk*lBT%KeId|J~Shr0YWk_wC~pJ+%GP9&K1);&rx%r&h~?> ziTS_3fYi_#y!jV#Vf*^Dm&m4kpc>ABLbJ}fGA(I$6yd@AHekL^=SOfI$KQi1hBz$G zQvqt##df-0qILq-ppCb?%SU0gZr;Bze&Ht)F6ER%hcRb!Yy%V+=!<7vzFE+%HEz)j zgmC+MZwy!=27CU8;`{u$TMAR$Bp1lg@x7xhw)_OHoB38$^i(cyU5$}AQ|DO}Jiz(2 zvMSO-1Tn%l@1^@V^4^uJ;@N1b;xzT#N|&&It{@2;Ye;>VCAq~Qy?GN7Z}XXLz6$UB z^;^oudmqB-X3iHE#PY%~DbHG47*89Y07D!w4lRhPa7|?pY==hkzntqCcDeVy$rLjt%0rMLdYv+o3tbE`!zv7k#y9S6s?MG=_S9e)w} zUOj+Dc{RWjqBwiXuC!N^k4Ep+pH{vdY2S7PB~2J4UQ`t*hll`n6yIlcaeEYF245%Q zp>ZX<2L4F(MfN^Z&>h2Seyu8K* zg}y-Xn3E#hrdQd<*_s9cY-rX!4}=4|Up^7Zy-PSp!1Vz4_O2E2lHKE%=be;8gZ9u| z$KmBQg5mrO0BLz#P`RJs%sG9Gem`XxDr4!6JEU9N@!84X35qR_kuLQrooXsXnbtR1B7@kOe*l|4c-?E z3WAIAnD6G{VKPzh?rMAUF~{Ep7r(~OQE z2_t9oUSy(Bm-yw&o3oa^y0g&r+4sv>Uaa@qqWxn+WdYB;dF;%lDHV1^u52Oe_PWfk z`Cif>t#K6?;F!bH^6nr>ldnX)P^c&|Yz<;FH*dxVkAb%->5;+kjHmHm0HiJas$Z$J zg!!pl@bK*C&Ur7bD4zG+^M0xxfIkR=pBWs4QYVLO(bMB(F-ZQ*SK6POF*c1Dtl@$R zdIscyIJkOj*>oY?YN_rvb4FnA3}FGYVbJ(`KQQ_Q%EQD=qG}uhiaMZuD~ZowuuAsQ zQ#ELyw5Ylyf|=<{&d#B4Fj!(#K>>Y1sg}}xyu{E6-Ur^#?Bk>hSc<-4UL9(%$nWOs zjNpZWyA985Jlybs=sELC9Z)OIW=N@5@cxsHjqr@|ItLCj-?%cfA6uk4wrFX$)Ux8Y znAG@yhAqO6%!YmrW@bu_939k5TJ40e*s{|lu&N&S*xZ6LY+I^I#YGaUz(8cm;eLYe zg(!`xzJN0~V`4mrNE^8S$F$f_V*mU6ZK}+N^^;Zjj{``F5URXEv82D7eE%G7fggHY z@W}J1r6m7?M2J3cF*vI1(}Qz{a>g{k?#(hJg~r!p;J<AdU3*{>JYwfg*@%G zbbL6OGD6_rx)6!t7VzGxYcaliFN3Xrfnl35A0R+}U%?s!DY;t9Gffh~{!l zt3bnpYL9XJcE^NnU=iT2Y_5=@a@M;5k2o9JHGI!ML$RacciDs zWwiSWM0%+_|C+}sL`;CeT7DOiFPH=_5-=F=9Z1XE(mxhhb=_>Wjdn|#Hvr$#{gFHW z$TJF{W@sD8!96RiclIZ6Dz&w=l<-G+Qa!XH_i*3`0R9*+tw@0JuPYTbM^Az-wJS|Z zm_o-Zg%VT$4fLDq8nEHYEc}egzytVij)<0>&WnOAqpt&J)^RF4Vo{_n$8g4`9uF!M zc~M!&s$RdTrlqo2$Nc$$Y%?-;AL4I2U92<2eaFK7=DnrnD#lon#&CUg`z8C ziyvP5ms6;6*sF#WDA`U$b>Qf~?Ml(6V)jYvhRD-Qoh5YNv%99fBD=Wg?e(g#jxf0MJ3=wXuo*_sib-|W^sySe$^ zAYp1Hmx-0%I`1jh>VzXVc4joX>R_nkc>S1`pw;%ASz21 z3sZ|5~@i>N2`^xkmuC_lioApQDG7X0 zAg2l5NG^mW1Xja1YB8ciL^aH56$-r;_?-iRZYfDYLV1N>g`+*PH7MV}6)o5|o6+dt z((mZxaz?2zpsidWs6@GE`_WeiSYx`%+fju6qgeI(Whs(I~(a=9q2cYRw1k=W{z3^{6JFg zD%Qcn;pxC4lgl+{>1SSLqXq|@y*X4X{oha2{}({Z&0QD3M58YWEc=1`s#QGpQ8VS% z&7P9EgB`8S4=Q?GaP5x|s9|I7;WEdTm@;>p8g+*xs}H->+)wm*hdt;-t)20_v< zQ)K#78RG1`NDNvct_9B#S~-jEnoYl%-kM+wF5I#E%#i^*3f4e8Gsut&33`wr53JH& zRp+g3E%+=yOKYdz{wkc;MJL?N$d8)Dq$zgB>D*5UZ)l;Pxc2?rHYEN`$o-md3Zw@L zO^CNWCaUmWkqbl4=pP#@9B+Cs8I}@Y4wZ~WV}kZ7ue-&O3qM;lj^{ag>S!eP(wBGF zi4pNhnC1@liD^N3@#$Hm*ms)k6RawE*DjOvF-NOk3u zE>P|fDUSls4Gh}-SHIPN$6Gkmbosi+iCKS1g10)`Y>JSoR_wPF{^ZX$K$TKb5PX%q z58cH-?2}>WG>n+$r3$#9?T9AY4xzg1bxY*@H=XOwq0>y3VuXbRVli@@7N{OTfJf#= z#4BflVB$Dm&2^>^iiO%#7s65-3x{K6Su;yyr`6%}wQky^jlVT0rU;*wXGy!u4P9lRK{V&G1uAk2+s_fn=p z5nVYQo5~2KUPg9e zHMqS@94N=4mKzrB6A3<>iIBbLHtJ(zu~F6X6N*#+z~g~+himZ>$PV(~OtE{%< zl4OFCNKUD?rs>U6g$xpLXEulF$nHOpr;<{GYOAF(GcNG<=G<;A_q?hRil)!kH&g`> zWDT2wx>LyE59OndMYP&M&Xa_v%-6`iVpL_d8%D&hW?Ws3t?#{hNS z6u3zZ_v%juI1M>&_cUo#@qrD-^|0g5=7>Gdo*zhTY<@DR7k*{EyKle0QWqZKwtP8QUuB*jYn67lI^m!QSzaQZ}FS*TQc0q5zX29VB{FTD7QUh zxZqOhtaekLvv}9l+(k+^U6SC)6{C{0R*9=ywEadRPU%!p>qR9S!%EE>`z2>ISEY3E ze#tpUxUFWat_MNGKItH@U{h~_kygDJuQ7DX;YEmyN80(@mF7673l5GEcO>$RB;m6X z_W-%sO_cph>2g8V@7@Ry8k|{6@}u+1B$}FQ*(*z2xm;6imQ##MR~K;$?V~f8e=y`{ z!s2I7h|BP>QjT`|m-78;5O$d=dEUv= z4MCzuJXX_C7YkLTdDre@yEkt`&9)=dp;-Mxkw7aFITevt;w0f)gZ(%@4x$WE?B7w( zG=3A7H6#;}ZeP!(5h>6>^86PUxwr;-HS|;iV9z?GDJHs+`I7vN+Eh`|Em?=@RHZh} za=C!J)dAFHf~jIvvq;S*Q|KBcP-LrCDTn(2+*G3h593hQ5TVdkJPeS{AKHEUb4Br` zoA>>zucgDrcfOR@K%%9WQWwLOi!0H7YpS!x_>t2SsBq!x@VfzPJZ)WF>}h;54mNam z_w`pL9d>;1`c6HlHzn&CtsiHr)tQRSQ|aq zhy94Rt3R>@hxGGyCMz87b)a+=nXM(cX3tjGW63cFMh19te{OxoRVL4z=2W%%gbhH` zq_=D(rSZ^Wu~QMF2J1`;Rk_rKa_h}d4~V6UHV%=S2=-}GLAF+CEQEgQ&fU?Thb#W! zWPf1$&yUeU{{Zv{n}wGG7^HxQkx^}`V;U&*H|gnYDVsi^lh7Q&^YWz>Ktc$Ei$wbCD){YvE613RocmDnBkIT|v z2H~Tcj)@>A0o+&iFCDn-WhmOMSEE_@LT4lWk04}k$U>GmAUiYhDW4#Vmw)O zGVkaeQrIjD^8K71twY+@;LcMVp|`2<)My2dPN|!O%0A5B#HI@$U)Nd#@-(vGZ_EN? z|9M@dMugD#w?z`s)ybyj6p5V5RamX!2x`Sz$V~&9kn<`1E`&wjZgHCUoMW||ixRsB z2vn}kpcbWu=aVS*^9|G2#bb#qfdG`$*<~Z3nqf-G&Pl2R4`CXA!bT&=$(*!(g_b`n z$y*{)Q~%yx`e*6^n6Bp3@{g;G^{qouu*;Uj0XlJ1LqDj0ohj>umz3lFoHCW_$$2jH zn3>qp`vfVj`0X@BM%jghbcVnEwr;Y(ke1V&K6HJQn)Rw?R#uiK6@ z)!TZCS!don7E0>u{Xhh+k`Cp3Jx@T#%$cv`h%Li7TtpSN;caopxcKXu9nKt=3yOjA zy$f3X<5r8o85i97SGnJ2dzn$vVSQJk$t8IDm&w=pjgNJR3p({!_ec=4pJrpxe}1EO zj1KH0UhW}8|KS!jB}sclCK-JZ`_*Q2G~4d-0{fm*Hm2|EzZ;)G8Cjpr-ZQn&ZHIEmUHP^DDMDyX zU)W_!L_Rpw00e;Jg=zhi?Mj15w3{oC{C}F`d&~4aE`0qJD({sbdF9I98?xLDbL4{J zyVvZV`=snGpM5I3`Ca!>1U%+$3p_bsu>`~EcAYiK!&M) zM}$Vzg%b?cIq4{+O(?Pio{!Y+P%aM2@ot%JmnR~H?R9k$k)bwUS`^lNYVcv<6I@~= zO?hbzt8uRHAMS@kOiY~Xq?wqErv8Bjmzvxz(t(3 z+(L9FxgiUU4?yq7mMT!1QBXD`QZ0w4n%d>y+8C7P>j;QICM0H)`cU%Z)-Z}a3D7RW z&L7h*`HH(F+U0R&biQ{@uY4a85r6XqLM&oJ(9H&@q*R&axv1l*(A<&;#`S&)kL;?S z`p6kc*?g`bYZMzAKd-Doi(SBqbrf_{0NtNvRhLk;domgaC02#~)Jxd!A>^X3wy*=NIa{AT|FuUbAg|SoWsX6%J@s^IT-XB9jK9Nvo->%Xg`4 z)!%;(M`RPpbLN}d4E-0D(!Ch*>)Oi)7c^0p|ALq4xEaVF5+9@?2b-^~bCqgUvv>wW zB@pI;ONn6X+f~E_8C>ep@CdqDw)rN6+8JKmDr&XOVd;s<-QI>vB&pP0mGH>;2?OVA z4t|v1C{(rVEBkXm&YgX3myq;EgRt1bEPZ4lsv0HCUyq;qgs~=+H}36hW(%mkDkpqD z3_2;W58-vc00_W-b(Pqu6rdy9UEs7=#@HsuBo=gP1G8Oku0U)+bn{0IGex?ol^e62 zKm^-Q+n-D^uXvKSKddW$O<;U{tfclK|MA1vzcL$ayz`zYr307X-LlVy!%)lfS!^LF z_S46!ieFAaiNg&`O~CjO-XDxoI!m74OLiU1@>D`{@wv}FS2pfurrx!9aPh1(B%Y*( z6V+AXp3Ns?4gQz&^S;#zwmNAqo$Kt7cnooN+Y2-!Iwp-B&4Tje=&c#+GlE6^Sp)pw zq>%fFghirz_?gCV*JHN^!!!SXC9PZ2vKZDA%Kr}15#1g*u!EzCa_p;h{^?)rOcR4o zz0lx~_@2J#%CzBVkT1u*S0EI@p7z(``CT_+<0%hW2ek5!f2AB~t;W|dNOwnvkZ$c){V9tlN%Q0zyUCH+vM!Z)+kv-EB*ONJQUTWGCxl$gHiZd#Z$3xt zZp{z*DS)KEQ^v6O1+LLMm{tX}(GFsE_`IXB!mL=MV!oc775hEr+6F@!CLf_zuu?jh zcHiH#2c#QaFI?^*XlgIlfW1_fW`=jQp^sa0ngrOf??q)odtCOrh(yJ_1MFNpQ(~i5 z5iznS4NH%i^fSkcMLr!SVlk+5jpj@f5waZc+SIhlf&S$ zUyC_h;d-$68%?`z_$eJ+*Y*%gwy>VID>5Q8TS@F0F(k`24{scItYN1~t6HTPTltM{ zQV<+5irr=4t@J25nV54;#(0+ZT#mESq00(OtxWOOc59{&Uc%#bi1mddK19Zye|T8? z*#bLL#g{HdlJtD~-gqtf%;|i_s`vN~(Icv6{0griJ!1bfE`Noe$H9zS@WwVa3o~$< zRA`mWUw=f_|G4+cgF2ZETDQn?vxgKa!|-((Z|V`l;7RE1sLi9a`djqkY2T+l9QO!- zV&sVUq9TZ$))(`9XfAc5TAL|DNy=MtDXX=fwSI+1TOi!GtcM+LlVe4sO&yP7Y33WkiiJBkt=y8G1I^clZD@J2h}?C@0xITG)tq>nYfU13_68$Ed~?GBbPpA+DfhR z{yd?7gT*801%hZF{i5e$1oVO-==QzScJj6Lh3r!ut+W(tP14&#LmX*t9<4TTy;s=oHUsNBSEV}4o7|qc#rE3f+OfcI0FDZQbMDxSS1@3_k8TUj76u@a#x8m z?jD1zr{VcHA8k1bWc>&WNzhW>EmP$p2H?siL?Y+TkaZI!2$bfV-AAMq`A6c| zy*1I&y%7oP)u9f*OX&zwDnO=v>e>KlZ6~6KzszhEzYYJnTfen|zb9=5nfH=Xra}DE zJS#EC`lJU|a$Z9~+aHne%Ar&~i9OUM2|n4)v^t+!{8g*@J>}$mksHO(c$@cYu6RzY z$i&?I*`Eo#I?97)8qWpEe54>|zJ`Q}Z+OE#`Plv(Jod0Vp0}MfG{9Tr*;=v=90|C+ z+?V_VvfXL5R)5KEmFJK=AS2q?wmSc#38#<@kJ^J)P*#68$R*N8sn@VplS{t4z6o3u z`=8w)xM82HW#j4)0&hMDjes|&-^ymDkNEw~TcZYTR7-|5geci~C;0w6xW$2na?Rhb zH#~lPi!KjE~bG)+#gdQO|Wkp1)u_`ap4o{FGyE)FPd=V5QuP z!NXn0UCe}4g+|C+?=g@`Nr}s9UxNy!Qk|8bWT>WeKOlDpLiDVvx&ETa6Q!EUF=rfw zBOzD3^sMitpY$1{dUxV)tKOEiXe3<%W>U^~yvS3QDu%p7=9tT&xfhHR8R?kT(*?*T zr23{^-BcWBZ`6{Qv8JuxiAc#$zz(o{>3_(pq;p7^CYPw^F`^+;m^NHf?d-W1D5iyt zJ6)A)7vrN9W}X#jL6;g4o!4n%{og#7@sB+73JsMQTtL+7o|aFNLVuwQ;^G?lAzz#I zBqZqJfu|j~c>AW21CSwRzrzYN>I9uOnJCX&c>a;FikqCE#WTIbo-FVo^%BbMO>-6z zfvJX-#l>gPlDJ3KwfW05dJ(H`5#h{=Y3dT=AuDhc=#^KZ$YM~%5aLJG!gDbtCi&9F z(TT|9=GtqNX`tiDC-_Z8G|Je4%HCqS8sJYfdtrfBq!vW$#Z7sP1D-kARD7WsG^E7N z&L_xmf*8jW!Za-EgSuqDPC#qVm!<-#tQf!Z4()0z2O5=&b*5a;Cyf?YRKy6$`F<48 z#+ew5VI;G(xHYzeVUsF=Wi*BV^ZfMZkUV^9x`E~$?j)<`qnT9y{%en;pBsSGg~lID zU_gM70+WqTqf~9`nSTF~BPI6mc4D=KLbmGbTR?0Q-C;J~+hW+{TmeheNv%>cYRXpJI zxxMV|&6oIfm&>%?YdWsDS{ zz00vb=a0cDaf3Od2!`JV3$r77Jn$@yrAX#vG-}dW9TXdX*-6g2ltf@cVN~Xp^ll%p zJ(`WU^{b7CX`ZTLVzfNoMU-Fv0GI8nCYsg!IjeU|mXuud|M6c%C8WNZh?*$$u51BF zf+3qq^?V<=ezTBh7tUo0Y6|45hk;scMdJSwXA9HPzz&rLse?6abl4_luk3vo2}!fC zI1&K879b1!uF{SzlEDOfTq0(Urxl6=#wfbj<`|ER-VANY-mHo;wRY5{>FjtaTy(1T zR+Or*b!wJs6gG`jk#{ca{BQx?z>I=9OJy?*ME^&bfh$)0xZhK&SUa!i{` zrP@O_FauwJBg~9(@_S}o6Oby@Hk^0`{tXQkcF4S{$EJ?`oA?EJ&Qf6DJ^VtII5m4oBVPaw?2c$j)%$wD6Sic@punX4Atf}Kpbr7M-VSp@| z8WQBTV081T7%9 zN(((Oy%t>Oi(B7^gX(48jK)_RK8DObBMmnz5wG`OA1Y;ZMfN0)+OOt!n*h55o8hrv z!QSclCG7!I5bQ%AHMryB@z8Oa?9?iph}olCd&(P#u}C1zWI6zFDhdc2XjH=gga zOLDhNPW#rst54$b*YPLcqs6cZR%R={O*hv57X%LI}$6TNUBq@d&JY|SQbaEWR zT<(jovbIwDfbGMJxQZdmLmQD&UHunR%l><*zex_^$%Mz0=I~-&;b+14XOxtqi1<9g zNOk`f;4=ie$F^kIa=REfXN%m3#TLG^h-k0aI!}4-^o})I{64&6e7yj6#}_`aFi*fu z1*bdL_}75hm%$M#1!ARE@kp4%IRZPNqkQ7w)H4S#EC9ssf$-3Hsmsa#zzoXMnk8mPH zn$?kJT-E^LW_1ml09jv32=O~t>q_mvJ_>A%v-_VZDjJ$ zHBO?_qFf5I!N(@RYMurs59{XTvY}*{`M2i<7{r+v^_{cdmLk-A4bDRjNbP|GP~4Ut3~Rb$a@3@oh)m zU&bo@HsoM1NfQ8xyeC!+IbZO|<_EH31@7$7`q5^_vk9&Ru{2Fx)q<~INvphl8{Vh& zkMuJel^Vmy&6BbIw&Y2!yeUhbwlP9a)J@&44)IYi>;=O-VA^4lK~L?~>!-ks1E~;{ z8UvuT*puDPF^ysE=Kf+mzC`g);{PVP)bbm&K9AnJBrQsZmFaijjN!6Ga(ybL+>T*L zvY8gMzE=1j43hOyKpfPwpfB+KqpAFAkiHlMUJWzhihb;o9NQkaISW3|%>{nwKi`L_ zcZmD_c8fZ&_1-N4^ZeeBoC;<7-sL_VHo`MlTQ|Pyj3o&Ay?DSH^u!%B=8*`yfJdZg zDt%ljsPBF!jN%Kp|Fu;5cpml#+jy%%yt@jpb^1`^?a(S<7@G$ntru#QuwI3n*}t3v zp^^5&ya_+vtr|Cn&2P;6Ln`Jd?VPVS*oly4b)_8sP;SomPIciZ0EuP_8SOCP60y&% zdh?(t=KrtkZz`H7U20#`m&b{UgVqR?6$+mFj~<`r|_=PG^J?6R$&ga++R= zq<*;EpCJi&kRoww6mOlq@X=ug~=>-9Mnr3<$mT zYSd@eLJmlhNC!uzeq+||e9*_D;cqjee5+W-=$R1~@Emg4=zL9-l=G@CrW__eZltV7 zw9onkzdw-(_pl21q%3>(yJ8;ffu1CSI|hwGr9IOT$Gf2}$|V57+B))KwaQeUh?iot zkkBQ~kgv}V!pNh9d2c!~yb{kwwyA*udRLSlYcaWN09>Tk7W;q+gIa46Z`nr!O6w=M z{t0u`>QCX(qty}}S>@4V2B+5ds{J<4^eP-lx#JG8*C+eY<7Ed|@_N8^KA%*z{{umx zh|06?;FEA^?Zy?4NPwenQQK(c%PI(<8aq4~Z8I2mjA9UHzJm5;|MNnhk+(^o3_+YJ zwYe>*cDEM91~8odapqLM7}oiq5iC~(e`^%R8Sw{p3vJnn^+A$F*=)G7_qYk7=AB&0 zWG>XR9K+2B!6mZO>-U$zAwSc^{%DZa2P#7vm-r}6 zx2h%Z)_I?7va87++dau`R6u)O_wEk)BvPJ5CTj52(Vfj~ZF(mb9pJcvo z_cEhX3BS2##|Q1LEjm~*xAfNvOKWo8e9)bOpZ9xx;%BJ3%$S+GrsH=#CEKs^&U@pv z+KCuu_x|>Gc?z77TzXbg^R}4vozt0FE|odBJtUReb|d0fNDD_hPAZFm#WWNBrhH;o z@7#KcI`vSCft9P z82-e1l|q`8deakgGRTvtm=WsTBg64>4H}Fu6BV^XyRDnN+;FscU1;2i{MX(3-W~7K z-r;p9<6(g6f(wW-^!9eI{+XN6(45hWFc^I?D$^PavQ8kv?oQgj3jiQpc=b8Of%eQ= z!;)sK)dOElw>f>u&E1nAa9a^sq7!Fe&8Bi*?+$m|socfhb})jnb`f0wGy?=sAvV7W z5D>Ra%}r5y3ltC()w{2adQAZ1c}X#OP;YpB6tY|@6J-y2s6 z>s5_CUd;b;!5l04Rl($QT4g1Q@?Dy0HL48|Kh!f17=ss#<*KBnFwJn9$!WqU2MWdA zhu{cyN(*$au=-`yWDMSK{xe(|-~!|;7K3H|mXEUWpAxbY5DO>6$_y^hD5$&K{bWFq zvfv_b%b&BK^Gr*1rszji0zV}u*QRllj0EhrL;sJv(r%5ez6?0vwIv&kFwztZ6};DU z)k=bafIcJ-ss8>ybKXr&$)hI`A#JWHrQS590y04M6^dugaDN4)T6_Cn{+i&>XdLog zU(mBi61Xj9DRuW()<-9;QhuENTXgn$IAO9&M%&FyB`@hOh@< z#ljY6e%XJ(xPbzMOnVX6)it-en^KE(yJYX^A3&-L*ls;6dZuFeqb~jnK2^B2cRbSG6o`rV z<@b*(S`3iwOn&9A3wymPk1qFm_y&rf1J_EJ7%|nHvA${OI^d+tkccBw7>kH&2B49@ zb``n-{RPw~o$|MsOB4bTh{NGOeNcMsZmHGi#PFnFSa#=D*ZQVN^g6(O@~{9i4cdj* zTODubAAj=o^+@16Vq_*oI#Jyv+oWB$U;d9TyPKQVU$+O z)Z3!JLgX>ag+x$HjeOq%?!2iWIKOO&Uc#O}_t~3BOAW!spb3Njb3m5)BHxkbC6Lv# zM4fy^Y_R;qO*zrO63T{ZoZ&M;GvEZ>Fj`9_BdJ*M#>Ws%V^o1061l>cQm4yq)E2_q zZ+YuISn*Z4T+Om7j~hYO4L8BUUEh!ih__!=&Fiz&lJ2xOEqozS(vi=5wF2IoGH_Qq zf&xnO4YoX7Qpq$m0%#50y#aqzD1;1Tfhm`sK5x^kPL5j$9Y zPACSYu4+;HVi`4gNq|+dGT(0Tm~IqbM><~SpQC_@inl6tx4t3;hh8{h%LZH$ zU+;H}LQx;A?I4|`zU0_Eg))fK8lR!HXs2`mFh_ zmgo~msM}Lot{ad<8y20!K#A_Ji=Ncp5zNA^a~i%u|C9dqOTCVX*}q>#Eq`ddivfw$ zeR+%Y9=60ehj6$z3$c~3`LsV_CMP%GhG#^iS24e74*_`(oiTGVKvonO7UZy{RWdB_4 zKeC@wpX;{6P`Vn&9J3RA@`@kMMO()_cSo6~OuD>Pfu1{;q~O}USw2Nz^T3xipGZJl zIlK9l?btC}8qAzF-6ENAVk?Lg9X5t5xS2HBi1yjao-$0u9B8!H7X!9 zN^iNpcE%QOLeSN}^%q@f7PmLU|1^j8)l|Me`M1EDT7X55q=KmyV z(pDjD?mnbojb}gen9P>2)DEy5fqzX3IRa^BaNWifNt->C|rr1 zHCLlLeT3=iO)(#U!z@ABSGbH{@}P+cF#6-rX~FI9wgR|-03^JfDbn`^I2vYx{X{Hev|I2WXYN|qAxqUv;1WUHQOj~@!g|~4 z9|NTTgIgQ)-@Z)~Nt%8<@rW?Q;Xq!QKme24?^$mQ-1Zy`1iRmT!lw_WF@1KzMkWRi z=9?AY0#frqPQl_^{{N_U+wVTN3yT#A9?%=YCUZQEK~JCoCF=kjdK6QyT{iGh8kHz! zT&dav{}r#4@xD;8a_L{jpMhUu5{^5KLb(QAp)hOh7V0%ne$THw6S?fz4?ZvnH*^F7 zu4u}694F30zF)se{u+vic_W%Bk)A{c!lZ?zpO$EefD?Ej4d%iQsGDc(vG&+?!HhDd z0po+RxbrXea{(L5+FAr3V!I#le90HwxJtOq^&Vh^p8#7ns;<0ZN_;;NmClxJIG<;i<<1$z4|Uv&HhSzVvzAd z2Tll1=&<9=waaFyS1Petjq~7O`1QSm!f4$H^D=H-Xp@t0t<+=E(*29~4y(`ZD~Gs?|rJEJ@@>3MUo^A?OiN(Lbq zjYy%cH9P%GmF*xp2a>Uf%?pd7mMgHD%mh|8PBSo4QlA-ApkpoLTQpmaxU^nRrhCBk znL`uxPuyqYH#igTtia0<=XAN7lKG5}4(z0~?pA0$zJ!$sG2(owL5S>HKdus5b2}ts zmx@g%4BSFF_aMOzA1E=i_*2H_$8s-VHklLM0W4F0uJ}AKM`nvnzXA{ootVT&D#C5f z7CHH%tvi4$7~1y7Ot6?^QqSIhfIHyyJTvg+z64x~>w`I3%H)z|0?19ZIW!vvYPma^lD<96p z>x*Vy@XhdvY>GfURTu3iTE12yTpG1G-(PnE+u{b=z!Kxlx;jtIaS^ligx)HLpBC}{+wKcQ=pUwiQ^3gep5>_j@+Hw= zy2JX9k3WcF&toVq1VQ^fl;atlJiWHCrVu6UKG{dg>-H20H{*Rs=D*KMDqxhP)8+b? zZ0y~?N~PC$S_qKT^=iyZd+GX)*pKfzOQ@w`-|urK%}Kec?~wOuK~Y>0)vF(~<6gZW zKDB4KL5V7$GeHa2-Bf**9^bxhO9KAlOE;OKF5&A8Q29EU7PXuY7?dI%#PGx=uZ1cIw&|fK-#=wtNCBeKIFihtUyDH1;^?s;g{dSg4vLl@G@8}2r@Z?6 zJ(SifV5i4-VqaZo+B0xi>(pg7jaFY%wk@o8-56@H6%Ecb6V+~W|BjB zro$-m8WgD9pIwK90i~;TSzDu_z}>>4amIQZE!yFcYb=pn>^8>(9UF6>Kif40tGGrlQtRd_Kx#+8|%frqlU zS7~^$pG-=XYWu&oOdkUp3MaJK(SRmf)>|I_ZQlBaUkSxB*h<_cwur>os+ zdlRLdL%g5Nwz?ef?A9Y?lS>Vuwpkh0Xz}c^0jK8wm7#C`_fyc-mAlW+)As9jdIC!u zT<#jnZfBb7O&x>)77K$~QdD%X7pDV7O&lXpSy@a>Me^tz>uB0}YC|4Gk9YWHsxN@@ z@j>9>PuoowM_$H_@Bt%XpgRVPOZ0who&SXye$nn$vm=}xf#29fuE}5<$H38^;|KrO zMRi@B`I5<6_ls_Wr!bJCJ$av$%6FrF_3lLlSb*c`Ie_8j1YT+6uUqnb^KnA0|?N8uRdPlqrW{8;I5K`FNa=~tU8tvvMH@Ut$R zA3(A;Nb%gxIAn8w;Mn5a^VtlbzK&~%QnzYzO4 z_7xsmd{=&PBf%n&qLH#P;MU%EdooOL+7=h|%PP$f4@!|^2al>i7Mfg@E52dRE`54- z34E?QV+VVFq9!wCGlK__em@9Ek!ez3ZSNs}a#MFWHmR}Q3$Y@P)e-HAn)Bh@63Ra$g!*SU=fNsAZfMb6=$v zmR=E84k%)Rb4emYHvQZ~&N-#3@-ZRYj49P$no3=|1|4(WQ(vfqQ@CUsZ096&Ar#`W z!Jy=lF({DMxQdWbk;-+NxpjjN8MWnSTYllRICNT}!g?h%fV_-FASExWWr4HATxFy= zURz>hn>_u97MxNskisRH?^>~37^SqP`y?)ThYnfS6+o7LBFhT{R znrQ2~1eKs)*qJur>~1RBAFn1Wi7%!CcI~C1pOk{*_bvz7m zom7@ZDr&~DwqKOOk*q!a4HP$3YZw_%pQgQhxoOqyX{Un`^Ua?2%Tde1l~TcG=?Bgh4$ejyG( z>$*b`>4vJL&NP?LiD52?aJst6iH^mmw2~gHh*T+F5T2j-KM)4or1AVRW2zy*5dh0( z1d85=JqaGeaoY;Sx!H#2dlieYTApI@u5Ip(znw-d6T8nm6st&#Lai9+b)cZvcgjw5 zVCTUU?V@Q2^8}~x1MrshOI$}=JqzKRPM%(u1;ew_Z|O}^{&L3{!l!($c~DvvB&<+U!Y53zMC zIGLdNyWk9--kM!+K^;wN-7xmma`3kM5X^pN32*%=UA2X7U`HfEkYX^V8@0joA6bYfqnMv3N@Vs84t-SVXF+ArY zE<=i?Sq|R+KBzc$8axMr5}%$MY=D!uLktM9Atdh0fsGO%q+AwCquO$*u80?uj=R2Y zTe8|aTnR}o#M1+OK^?PEi{NfwQeh1MU$LO+I5iYYXuNq(433T%GCt_|E@+m`T5rGF zjvWW=JY%XDTiZl1tlK-A7rR$$T1{Gs9lW7wlXvjwYWnUD7g5xgmj2#X+1kt$wloU! z{*a*MJr@Y7>WDXN_e9_yQ7M(ReX^+?_0-S+yqroMbUH`3RD1Eq#^&^Cj#OdLn5f{w zdf)pb7&G~FPItHqZqC-ap3lgNI|PQ}(kaawtzwmwJ`tgI0#C) z=b4q)U!OpLT=P4(R2TSY0u66`9?%)gB)ko?A8ZMEu&s}FWa0zEcWjHoW%_=3@MQ8> z$6b{B(A;5P+-M~JJw)C~rMKw)Xf&3FIjaJ_f;kzDJHx|@(S8Pev^gj$2IX@6YSB3a zbtUmpAy~w!X`K4Df83YmhN8)y?1V3S`|%qt=i^2G;vO?}7_epgF@Q%;;!4sb30Y$V zLgU^|$N+Gc!`vnkZ(=QS!G(nr68O=dxd*OjA`aYUdWsBNO~exCs>}N62EL;3i-vC* zs%qbBJV_$E_wS@*EDTeB(I%cBbFoh7CKX>W3{5WW2w~5fC5mP-sg8c)Iu!q#Sc9T~ zn3i2(3kqR<+=M~L{Bq%5C){4bu(+tfUu|N`>HoGswwJ+S@#-z$V6q?iim*MqIu7o5 z#z0uM6;+K>S39Pi$PnqVAlv&c8zDGJR_sZV0I9e3qy_ebPgkXRA%XARKi98g7dL&M zb3QCPiH?=tR%h~DoRLxg_TTiI6V|)16EgHZV#gpxxKTBqZR={R;Wzo^z}wLl<=*lE z^nM&*fB3IX=(+#(A79a?UjXF-3Dfb?FuKTV1pdwb-|{>b2>#ScNBEEmJjnmagKRHt z5-g_}DAF`ffv;<+R!HLpDyLW+Mf)HOMFDXVg==4) z>LjHL7KAQJSue4FAgSf(pkLcR9_2W^HTOm;+C7|gM}~r2Ybx&SOz<^qD^9afQxXq( zyUCN8g8p;iWsGyE-@M}B7pbX9T3W?FaU!f$m&T_XC3@^XeQ+*O7>MU|w_2;h|Cssx zGgQ$Fak$d##+OFK;$c5%Im|5+pCq**QR)i`ZPiC(0+#Z^lz^1?{0U4DsTMK@`^;%j z1emWX9m>zXJa&nR8!^FYaDmu?n~ypUja2~sOsZqHrGcabeKTr2E1n{j65>anz`hnQhd-5nJrgvh0cmCSRrNqW#@tF1i2?`F z?`& zZr-I}=&;Fw^)j2;zX+dcg@BY*0Msk6lcb&-k-|q-3=_xjQ(~O|PO2wE&=i@ZLr7HH zM(}>Nb*^#y!W;RzGoe{ji1WzY>(7#al^kkY)u>nvQ6UPHEeSFImxVc;(*d=q+n zja>#lQz`c1s!}%y-?CWM()Gx8$c$%Lr~$rF7Yu71bMDi@f1Klwa!w`qxfEi`?-AmS zG^d3Ng#3!lTkJE~AwP){x33W&Z0)zR+t8{v+QXmUj1ZN8h#Mh{Io#Qx&lpjf_(3rd z#sk(vo?v)R7Yxzq+112z`S$@oBoLJ#G*8aOcJ`pFChwn6fhK%|=L0K_xZP1cR%UGd zZZ;1G5sX|CF+`Ne!Uv71iId>@@m^7A17l*ULrmtCU7cAt@+@q53#j?^=|Ps$ zB>`VZ>68la%G;W`j$_9|Q^LU#Bx=9d4jq_ABtK`^t5KW_`NR;qxlz>DiNwmjOtHug z<{jqfBz31yi6pZy=Lkp(s@P5GaVI$jHoqxU@CY>`AFO=>vd^i^ZWCD2- zwW6~3eImD~)lxaKZ?J0wE*Z7Rd5 zxUE8nJ1y`r;qt>hwld&s1mM=KsX=Yaf|sNra<{hvmEwlT=gYaLw^BK zFG@TA6ie`JeTvu~0VG?Nk?=#dxrYHZx_>2-PBEzQ&ooz^>!1}C--bjlHX&V1Mg+Ua z8x@Wk&&e@4h>8d*_e-tI?6;Kd(4~q+!?IeU$mSMI3#(AmLRv&)37pO_fo4je{2&l> zTUqxfqbJ^E99Z@f!01eZRpqPb;a;ryaz`5`LoxEqm&V{=GG1TywIfY)MV>4lkBA^i zgo2vz5YiR_9wnnj`k9H%AmQE({ns`d!)X;>Zm0Ce%a`KA=dTF-E-yM_a`d>fieX+5x11zXqSJgXs#UeH$Hn1X$pMIXN zGPUwUY{m_St!eys>yNCO^}wamK9AsAsN}W!;Ma&IH_4PeMa-cdrwpeuNMSoH#7T1O z&;oNXga@Q$(T!Q8R_aHB%fRisc*I|sv{Ip4hqaKRPJZ1+`t1e!N1Zg>*K(4$`uza^@ygYA-)}Rde$GH7GW!=Ei+yED~q>xhr}rYfPnKe^xNijCC!ri&kC+xKN2( z9Fw6c=Gbj{Y z)Z2;p;RZ(qV8Np#r+(HY)ZAQrR;HJ!D7~K;Mt5@7zgi|%x01_^%xhe=rn~mvA*(i;&nSm6}O|L9Fo_>lsz0M91Z(Vi3i_SQn}^S zkcED4(smP3g43lA5FguyPtD3OkSewN{i_M>d;weOIUZT!2Sb*7LBnaP3lK=8up%#I zNU!-!lsGph?m=_l1@CqU`>aQ^u)JYp*9!AnTUJRK{&J|A+V{&}EF-@+igwpjoOFDe zCJte;&Qz@#&yJfbmp^ass95^Pt;E8FV9OkYqEp2^9+QM59&!Y^+Hcd`Jp*1Rc8;$t z52OR%g_8m?-)_`9)Uue-^d_Mxa^!XlvBMJeH}KERC4fid<4Z8 zfie-C{4wc-=@hEIoiBXt_HT>^*4CY;_pT#o^E%@DUrSk zOyMV_epPQrRil{}ZEa%$BEtRm*w!;ecCS9uarvTMQc-`swBoH)I~K`aM+me%2j%9b zgvBKq(##Z@#>!tGJ#ml$SVO7gT72N>KU~?F#dI+_t%lH$P&rHxeo>W<2Cu0$n;m=5 zWEG$xS#+bcQ+fMM;1~^xQ&1ofgUMJhpL&(lu4K^!JV>KPoa_$wehxtQgrQL-IC+Qx zlM-;_UfzibDt3Z`%w5^XfGzE415>_ML;~V5nWk?tci(pIG9xR9(&GH&$_wzd(|L6cND-X>Ch{UY%TniD zgZ6Z%9nGz{Z*-M?*6N%^aEAi!Ja3(}U^reZXIeX(j?;6v?Z=+g->^F!ZTjK;oC4fX zly{jTSdCrO#reDTQqMV1mwS9_deunY)p?8hM2G_n^wC3=6RajUH^S4Ed`#5f-Izv) zcIKfu5yn>o1kn7adCW5cw4QlCkLCw_pO62@w#r1H;~obtB3OJr3gN;R=CLCX-ASxO zie5cuxVmZbK7D|HVDC)=Bw_6zl0OK!zWVF8XSbS&2vDxV4yU!r{Y^?zJUNNOZZA>=n z0Z*KWpDx}&`|qB372{z!vON|>sT2h64=(I$(SbekaV6fjM`#S5lT%OavHy6|(SP&^ z%sFcDocF4&V-Rb<&@2^6y8@gcVh&room~R7#)|b1o3EEbG>Um2ztqtF^|~irVJWym zNpSij`0w8%a_{W!40)s7y!1j|j`ec2qHUpst=LnvOIldf@-=~v*#Q13!D{aehAfMI zuL$dhXdD(C2N;>TZ+h8Dnb&R!Nox{#K5uUVQ#m3mxizf+h$#B-ZFa5v?&yV{6;||n zavSu=PO96;isATO(|h}j{9Oabl|GrQwQt2_a<6g!lfqk%%VWnU$&F7?n(o^@RKfhc z>&<^L;TOE^dn*X3A~LF~g`8@n)9a{1gJ58&yNYXAT-L40sGHDEAee~|d6c>Z?)*-8 z(X}`+61(w9o#rjLNzg0w%MHHL#hp~W12I`~Jo^5qVUdGZt-V2a zU1lFPn>t6U_+D;236jI^PcrIQ5y6j+o`6l+kRd&5-s9ISew$F(%+*wjJ!SNthJ(Y` z^=g;_{C9NYj=P}ew;gb)<8#C{e`DEK4QSB8#L#@{mqfXLbes7&fC8=R%v`!NmeYVe)C2Wzj%8& zs5$BVV}tu!RoZh@1PRl{)%9C8O6(o*?nNh^0uDN7n$B`tNRmOn$AJ>3&5S5C2>UYz z21bH?)&4UR7FgCd_L=BG=sXw|xl2J7Gr`_X#A28)1p)0xxx-3(um4)|$lt6w zRC-fvE(Y#};-0@y+$>=`#>DlL5XkcwP4!5(w%^gs;TPThgC(OjZ63vR1W2i&g68)D}BAG2cM>gE6?_orBn@W#}a#>}@Q;vPlrla%= z97G8({(bg&e_C46!Kf>)_NJ}LmK6T=D%~T`wXm-3M;*Ti*pnK!=yV0m-Hlj$9}PE~ z=I26*AEnRptNVA}CJZvu+`7zzr%s+h{_vcGOK+|V?`CB(>aLzgp{a`-_*;FRlDc@V z3Z5MM{VJ`$ubbJ`10mJ4Ib)tacp{!ju#lu7m+)n9oPh=r`Ick zpFb9L{#&YhdL}hZn9CZXY|qv6HchzhxTv!jq5F5EpE;$``Tk! zwck974{WdxU_jKR2xzi--TdnE|2=b615ekgDJalQ++tOwWp01u-8~+^@CC=tG;)H| zg^JN9XUkwQX7Fku?gmg1Uvw{AT@ab(L^VIkQ4;<5`60^}0sV*LjTsjBaoXWuc4vsm z+={fkdX&)cvgjE8jdGW+XPrS+Njdpx0A*ppk9PI1hq8a%&Aj&vGdCkY%kI$1{MZGj zWUtzVbLb_Hpnm;R?6`OZbsBq**qU}x?wRAY(&PcBue}B{EuM&<6$FM~V-Hk*(wcdb zcij6y1q!D%CqLR@3n1kxo=c(5t!7H<8~tq6u**_3sc*I|#nzN@LM&3H?S$+raP;ks z^OG)zKiv||W=iYi-@`Rn8~QcI%3g0!b@p$w#09fk1KB5NtS)Eyx%8x6^XtLl@pP8x z&~zu$@dBOYkGy^hn(&~E`uNEf6-}ayg-Tv`b!pz}@5BQ?0=otaB-5Go9B<1S9WKd_ ztUrM4SJt*S6AM+UT|66Y?+9bu56Q`MyYj9%VkM-t`)uFK>l+)a{y2kyQOhv=>R04wX2Royzcp+a_R=>Lp2^hBDw- zx7`WO!??)~K!;|xz(!JeKu_!T)ngh{CnUiZYy;O+G4V2Fk$6)4xm~kM)tXv}g|HQQ zXpV5;4C4rEz@ZEjTf*-%?$+ad%O8pE^I>@ul@ogER_3#x+Yd;gYIQMZk`!E=hL*6r zl}vfB`)sxJ6NdOz5jw?tl8y(MXsWWUQ^xmPd^BNYgc4(2SO2B)yc85*N-sBL#?^rL z_@vwFEhO%vGpwefye7amMyr7&_XJMWI#BqaGAup32Gw&SR{bj@yK zDwpnr_A$LG#!`NfmLaEMR>wo?vHFpj+BaX;U2K7bn=U(&txL$s_j#dB*yZjoQSx zJt_4!EoD7we24ZB#&DDcr3Dsf(pkKz{kjwns9q5)5U2FHej{|xV#Tu`ndxw~!>T8S zRx@+$+-BSxanuLMM`HRd4(1-0BM37ik{+&<4EU8)!q93d0P z26WqY?M@Ems^!Hlav71i{oePolN?h&GgO>Tf(lvhP+%=FH<+DzX*qo%0E6t$?+^bF zr*$SMxc>RU|9qD?hX37UI@IR^HTixTp7F>7#nZ7#nauQnnYG6!^hp~2^z4*1VeD}Y z5YL1aDR|#^%}0k(5+Lwoo4}Lm-`XCy?6H3fSDN$-ULBeosqYEbS*Ss1Nq=gC1@h`) z5I~tHg|fw$z(R!ex$(UUQ(;-#47a>ACvq9cO^d&lDeJ?7Ol^k<{sMRVT!hvf zP!M%Yg3u;p>;JPTR3!tK=aX|{+Ux%OYQPehVmdAsd_vAN942q|>E@6VsL1Y&iHZ#* zI4T=+Vfs3jGWaygfoW?+=|cQIWtv*a0WOcEn=@V=D&>1ROAbikulHksNxf3V^y`bt zZ6R)E`6`~we|Ie;5C(m>4~jU6?Xp2RJGxOW)P+;~;S5Ud{&rDUm9Hg#u;}!%@$a$g zQ&EvqF*XAR7G8))JMQmT{xaF&p6XRpev8NSF9d?mp|!o$7toIGt{N)_7q$%@JH^P!Jrv#QM)^Zvy%sl6AX16Tc~W z29oW45s7qqpEoPe4M<6?i~>|$gIQD==n)GhxypF;-~GN&?>MeUqW>gY&|0S0CNRD3 zP@QYooc2oV?=h(Tx@7xgXm&y;DymPthZqfKTkktIaj;*O;>T9b>$nfKKgk=$_Kqjv z{)#Q=gpRZP+V-g?l$6)u1F=Q*FlIVDDt;ix{_RJFxx0MQU{7YPt7xS8ll^i((#>M8E6t_A^RgdQ)Pt=>1JNB??~2GD2*+ zmPrUjH#LCOak_s#e*Jaj!jrz9U#_?koUV`;YP9uumGqho$%9A)CHtL44y*NOvkTRz zVvE~t_$Y+(D4rsD7CvkIV84i*^>L<@X_J$a1WWR!jZdkas8>N3w#g?bc=Z8y-V=nZ zup)jpOav_!alYZ`Fue_L=^UIp{Pz2hv4787 zUKFO@bh6z+>epMe%CUWPqx+*0VC{=1mndEh#EX184}!Zy`Y4WEZ|HPNr`?iDG;4aW zR;1(dbphjb1m!=UN}Vp!SFpXhQ4aWtGwc@jgaVLfOCx+L)!;nFq*x<3@F*r|et!FI zf^gn^0^?-b9v1ZekNALDFGW;t*&B~y08#JF0{y0tHUXOmYMldjrn13=YQ4qZf5o&0 zT}KPKP>Y##sf$)OE(a5nfBMiikpjXF=5vjT_||Xll$<;aOshH*MEqEP%+$ecJ5W1| zR?tJH!Cym7$NzkUB;~5o+KwrORH#>={`_i<@w|YLPm-&wU5jW6fDO*tU28qpxjVt~ zp`=ZZ{e#ie1C~}fDnV&BIgK z>&CfES{B%au@4>~cgEGQ;?jawyLd>>gu*ycyoq$12^6hI$oBfh15%!{E;(X3u*XwE zk53;XTsng-O1+h{T9kR+E8lLWXVR9nf&CA+B_rzVpr)en{O-~G6>*op4!3RCj({6E zB^_$6+sE%5mdJiD+knPIHNV~W744P?8g0)g03#o6$Th?M~C?;K>4lMDn;lZbeRWBLef6- z9)r5*&*Md-AI&7P(=S{8%V^PNQ%OU|+ODrfnsB?*eQvpUtXLjlDTc?c7K!Yb$R0nI~7^P zbN9T3Nvylg_;5jUia`e*mL52d4lzgU*KE&Q#Sk>%9fs_`%=<#Y!I2>^)~?-w)B@oW`dT*fz>acMnR@sQy729Q-nMxJu}*WFSgo zIW`Y3Z82Yj-(7s8l+BKhe)M{;lu)lPgaj;Eioxk&3ifwOT%TkL8s~xMp?P&sTto#UHE@V48ztrJQB1*0sdEx)d zD!MPRncCqm?D%9Zn!07iabzdY-O9A+$fQ)ZPANW{$_F$0^dnuaQ~ubmFI-0 zyoSPFQkdGrBp<-^nLbwrGrf6l)bI;}B<7BUH_iQ-UO~cd9t1CBZYqZ~mkoco1eHfw zT7|crs^QZ!>hK^6bvZ~ugpjgn$EI>)hZw+4RR`X;2%pPN2JJo(#wrZl&G@9LWC!7K z;eZ7KD|!oCbG&YNy&{(dQm$&4)qdZA?ZD|+x z5TMz9%HLc+(NkaJWoWdc?9`zVH?;QE+omCt1YiCh(!cl-u$N?%ma%hQ zfyrovaamr27wXYm#p-5XgW1 zfy(cS`#MNYfeP60GT-VHHh+YUikToj=(sH%?$P~b5bW&XFHVNSoGJXlU7=J8-$%-Y zg;w-JDz99KfQVUo!O`?%3*-&)uvRou-65)=MG6@ZAZ4>xZbg08L>ag&TR4Ms0iA0vx#^uXsAYL<5*ar%@^coZ&+;QoCk zJ^(UInDh`4vn^?rw1Ru%iKo`V$+cZJ`Qi$(=8^~h(7_La@E;tf=3zh3BIJlL_`+XeFTN58W+|+4mwoS3pY1GdJRHx7u)g{9LCzAwD~V*QI@G&4Giu2xml=m%{u)%K~8Jrq-X6%}%BY=&K$6Oi4~^*oMd zr23`ZiL$vN|1cjAf(B)ecIIpxB?dbLyN}m7;0LsbPvqQUqg8eRcO?ZyZvlu+)ag=I zv|Up|;a-UCz|mtYHrvQ)GkRXL#rK}v(=Cb6$Lq%n`m7gr#9@ceCUYMKG3{bHfVf@+ zKwF#MOQnejItOo0Bo&O36^|9>w#F}KSN0|@hM%mAPQX8H@1?G^xOnjaC_o%MC)~nS zoS2dCZQ35$^)9QCVO-88iOW8#7XnNjIQZ{>8G4xfP&@p=&b1m=!O-65a!!uy_MkJp zR-&Nu_$mREr#_fBm_37^;am-?u>T?Z8&~+*#XYNxZCwE#(6}t*0E$)7!>H4`2>JMNeDDmcLH3U1wL{yh>=TnOy!u94?*_FFn4 z;~Wa-vYNnma`NY()oeI8j0e1Ht*#xzKUOT62^up9ehrNFWJhx8Y-BSwvjGwof0nW0 zlV)ADs}W|mb|?>~Rp!q?C@f~S(jZL1nq0dbfqUmYRo@va^zX0r} z-q}Sp=8{=%Lp@!9Xv6hqg1EMG%b*~4=^E8qUAab*^X>Vk|3phRZF(74g|0jTs8o4& zyrM`;jffNm6IH8oWq}r3sRBs-yPLOEt5amn<)1zRwEg7$b`3;c-rO&LVV=EAnF31l zy~B7A1IfURV6LKy(dL&UEqQD>zVuVbL;CY93qa}c8L_LtH^^_*;gVEooi4trG9tFL z6{jfcIEoXKr`ncRqt$DI@4i#)CH*b;3bZ>Knz>8E;Tj1&Maa7gIBgzXou|+(;-TmL zJ(5Iyn+|!Y(CZLY@VU_gRLcO+-L_(yjMN)%tc^w##1Orv#-d z)S^-?4%|wjZibSi!`8OOBa1F+B3^{NWTc&2pUj(Rea@v#`u`_k>X23AkD61xCr{r` z>>jR%2Hp^gxr|1D(?=ilPHOru6z)5)AdWrV^})`qFd}zHdpwBbW^ld+(@2p4au^ke z3N_+60J5_tCb8p0i-H`rR`~RYb9w|iUTccOf2cCL$|}_4KcI3g(d#w|Gu)R^iQ}PR z!W?)v5HiTtZ4miLz5Z&GvWI$-9>eHc0BZYsTqk<_sn`jPW2f2ggQYxB64LkAD)lh;ka|VeC#&n)%~9FxNn=_ z;vEMRri%rv(kF{*tS7mVv=-lWZLm70R^Vhr-E^p%jY$1*VUS*04O|@d0Fo$NV;vh3 zvQuj-X;^B#M=a4`6_>!8sDLU!w+8o{R0-pPbQ*r0sTBi|PrlsS_*xKa>EY`wF<16f z{Dee_L9$8D0yxmNOEB(GDk;2VDs0X*h639Q=btC$hmgR)14sEaX$zK#hs{^I;WU7Ka08>q*l)I%b5v`%v>vd*rJ(Aw`O- zn2^LuQy-lM-B;!#I?t<@FTa6L!(hCvc**Krq4wSBgA8?zYka9GkMweiLDL-#6~wIRTVzW~gM_#iH1 zr$KRM)qil!n1Wlvk47?@W&P(GW;=@Tx(X?Wd+M8lpT|;(IT>&)GB9~ppo~|wExEOB z?o)@Evk{(}_FSu73Rs<8gj7b>e{N2>*Oqr4T-IF%LPT@LGm`PKSlNuF6+}cHl}^?o zxmQanH6woSwufI|g=OHi*}1bovqx;ES%v?N0B%lz{;gP_IuS1}o#l|P$H9f-%d#)r zm|7~kS&#W6czfYQb2KCpY+)KQdhWX&udKJ>y-r-4wc?=MkMlQ!8~^08<|Q_?Ws3zS z*SG#Vr_EURF<=Lm>zO39*o_Oa81CniD8JofZ+{CTWm>{QSwi$w+R zB4(p#=wDm>%V(*KsY?oes=57uCXWef$fWyx>$Y9u6rv^BiIYbBragAw`%mNXJ1(s| zC3&~aQ;7OWES%sw!0b<&oBOdNoy!e)qJ;F+Mdj~9UxXBf^%l9Uqopjhe>XnF_sM6> z_qXLKX>&3wATX?$za2896M7XfhIvUv?fG|2#i>7~KyM8RVmrPPtROZ=cym5xVB=tw z+YStJLGYj`7C#Frx}{@w9FKmCfjJvszWGTy_s{RdquZ?Pd^ufbnZP0%h^;P>@?`Js z{IQ&C@F*^`fFs?~MQimBDd+-4aMrc>-U13j2|@0F==02l--wntG#3@-%|pE{NbzNX ze=B8TwLk{e>Pq+tc0i` zj3s8}zuqzoGvuPJMKUO-#To7I^P`lbC%ZT8GDQ}wX3?Ebo7;l=a`95DZe7NSXtogn zX8nk+FyX?Q!9(_Z92ZYO@EUOhv!wSrr&W$|DWKh=0-(o1KR)^ma@#+0vwGDRQOx0QZ^*tlHR<8xz$iq;ZWA58^FnC5O;Ov#dGHn^zV&5V{A1uT9r^pu09{IE z{}u3Mifb@^C)nokz*o~lJPYVTJv;w4u!sj`fd80OsRra%!@ngZ0r7GSX)r2D54r<* znD!?la_vG%8S^o%a7`<@V7-Rk1No_sHR>elRa*GKCb#e+PoSTe^!PMA9h_j(;P@s_ zz)IA(Qh@5$BeHt&C|>5+%w8+$;T(-1KN1HN)7g0>#O1qO0=JzEvbHSFf z+OQpdnnUgOB*}AQ5WzUH@sEje>o!_L6BckHB)W_fH%;M4QCWBgr{p(vfhT>}sXMK; zekUqBsvqvs#7w1dtxIJ87~#*bP^cG~jX8N#74bNE-R#fo5x{d>ALh^GarTLselV)` zRnC^xh;r(l7qc7;!~OU1dGC#uX3MQitDp3s_5W;(48k*7`?fxQ0HrD0;!Nm*^>-Tc z=M9C#>|Yf2nWf0s5x*qh*6nr}YGS7<0#@ALbgX}AMAu4*Z7itN;Eqo`*q{7fUSejL z+g|;%S`uJ4hsSc{e#SzkN8n!`gED^>dAbKwFRkIhEp(W%&FdB2=<8B5kLrovC_|o} z7H}BIVD&YluRsrkG(fT=rT+Bxd5(>5Sj@!R1BT?#56&OsMFCk6DF@w4=O!4k0QLGEviI zn{}@HNqcDUI&v<*yAOS0#m6|Jx{`{0JNQvr;0-X_Z0B+hub&@!`)WivSK_lbo~@Qa z|N1kc^iwOYp@I)o?Vr{tlak+G-#~*47!Z-kdaaIu5rd$po8!=D+EEoNX zJ>FSU8g*vAPq3`TO(E3(-grRFa-6&rW67iL6yidVCxA4bw|jTsA*zF|G_L1!12Ah6bloON?Z3Wk5#bHCr-cg5M>Kh}}&*Lh` z9F$0piOV>=`qkzWk3$gnHxmP}ZuQ;e=(P#Dnx-@ig?%TQ30aM(^ar)BB+(r8V<%IILG-0cvcpfFHLk-4>#l}vyzfPwYhek}{eF3SKmB15H<(9v7mMoSssabU>fbVTe11M{!n69`Id0Ft?9@M#Iojy)!qF;e z(%Yc;m)woUW_gt??_Bqa1 zjc~R%<0R9?F7EaPCx0cRCv?K{yo*j!pR0nPcWTHMH%MQ;1W$2crZ|CX{y5(i*Nc%V zj}%^=dtPTz3){yIdO` z!@BQ$$OGV2F}a?Fer|?8zjwHEzaYBsRRM;3#bnjoop#|=Jz1w^s!3@y?wgtHI$|EC zPqH!@PUVob$X3IUy{Nf7UL!%KR94`-*W4T)7bAsgB}qrOF%DxLaq?)-RJzb#eaYfu zB!gMg%QPt{;(G}SP;J|>IAp~bO-*jdKDkY&aR5w}qMGjHGVM;U^3t_Yo;Ai0F5~w~ zy{!n;itiQUg)M&`tvmhU?Vas$tW=JqD}q{ggvu1L8;?aIm0E=On?9p|Kj0ylXrTX) z`C3Iq1=gn2_1yL_4s$Ru!Vq#BCBGH+iiQ69l147fNCj6ZCNxJck|*TGQ7~eSW8z9d z3ScM->9qjQ*UT1Lub~M>{amA_sYSC(?0x@WGC@rOv!2IkaV4Gd(EoLKhOcC%dD?Qa ziUHXP;yMPTExx_UR=Q!AA5>9`1GD4AZQ6>l7f4xMeO=Sa8l@tF1Bad6q1a(VD?-1e z2}iR;qJF5e&58Lg^ScYX`(HxU9o9xNdGHT6xXeZZZ=5XSrqzj0I0<}v(xP>m|6sAp{P#QI6 z+%);%faRZ(##qD^%KGJ9<24PL;6X1BKpS0apz-8QRg{kbIp@d)veEofII9fGFeNf( z$v42GerqD`lvn|C6Xy3M!JTc&;e~EW-|qR*nst{{o~?75`4?fgI({2aR_9`p9E$Td zR9{;IL~Cc&ULb!J{dOBBg>xS=oE!q7emA{^K@16Beb7-f$_N4W#35~N;kK<{&??9p zUGl_$XF-tTJr#Bi-NB`ikuExNW8MW^_HACf zM~E3y4}2&v>ov4dA?(T9?LenPm+?v?6Es+sFxqk4Tk7n^{ofH=<`d0td~bJjhV$GW zrf7EKJx9=5QW*0;0;^8IO$5&YCuoo|cCZ#&1Cu)5wPI`EuHPU=RA@9FuSQG;Zx^d& zoVsOP@mP*g-*CEdrRrXdCye{Eo9*@c;ReiCzYWVf5Zj#k4g#v{eiGSqdo{JL^>2qD zfZ|MpplgO-U%F03J>P=n{^7xRnB|bAai`CkmA7XS3I|ck3?$^n{w2T%-t&|3sxMWe z3`?n$?c*U$eWLLv0M3e7=gcL1O9mqNY-)woAZQXCXy1c9@7G#sptwT&-1ns)g|9}~ zhEnfwlO9~UMUq%L5v>Mhcl9H_tJM7Y=@0!&410W!4fYVi1}|*k%febs=nIrMnMs@v z^2b&5y`IjTb93RC7C_C_Q${+J3l{fQSN3;piofmasaVz#*1A_u1um8NRaEMJ7Ib9F z_qX0RRS7r=Md|{<9?h(^)_V3<56{9>rd=j3qW{D(Yn|*3Sk3WrVNJ&BR`LGRlC1B` zxz~5q|KM8P+_hY9gGW&ocIxe&k?_JZMqzG(a#NvCzA7CY)I}T?{ZN9*uVcVCSlh+0 zpq_A__vePgI#Qq82@AFC51SW;QC)tOjJS-|tGA&~LJA0=%;(5*GQKHs9~4&|*L=H7 zv7W2T1GXUOzXXdG*EfH=;`1-QFa1^+>lB!b6&^iv=S!(C6pE7*{+uMb<&e<8Y^6Q> zqryS!uy$wr(4gME#-0fZeU#)UVLH-$k_EicSH@*({?LkYEuqMoMV;swa*7L^f1lLx zH;zMn)gn}I1kGfGA_5xT%!<_r&F-jukW zo5K(Lbb9KSMDt&9r@zWif3-6bSA=lTdG4mb*yskv{-7&a(mL!r5ZP;Cka#*?ayaeX+S&CF0= z79cK-2-q}}eeH!kYLX@u#~FnZJ*`x;pn0p5o8a_W5U{HF@F(5dd(v{M{ffVq6tz?K zy~+?Z9c^Eu`qCO*a9EIT=(_n(q@|gEoElfS#l^M9RU`k~Q!+{Y`q*KMwKS1B5K1ne zc%K-T%SOoh?xXrQ3{rKUS1|HXs_i)QQzsVdLeIqS+3Q}5z@hLI1yT2VlEtivk=Evn zC|~y%FZr(bAP8RghN*-_A?axO`cb|URG}F=Nvl4e1`OSH_;Bq9Ft(W#(Lv9T%fs8)2(dp;cS6=Q6Uf2~+DUS>3M z6hVTaX6hS9zBJ6Vv{})Ow3HlqmLS*?Q$rd+oLLJUD25O#skB7 z!JQKYrrQL8)>Tihz`|~&nHak03NqCh<1(zhhtPXze@F%e)yG=v@~VS4ac!FAexZpPn*SH6RGrbpiQ7zx!S_u-4uG=#rsv*b<({>y8Od*Q zZU6%{9ozg@22?p9qF@HLVTfnwXy=j5jP+x$$LOuD5C8VR+kU?{TU5??B4#K)N}m&w z{HA%x@XL$ulcAhXGSLT?kr5}1lt>BvPkD08Y}s@Geg5Qc?BxDJT-q-mf zk;srlUK*Pkhd%Efy@dMo^Z9`i@r*iI8)Oij%{4GW;6}6h|7mBk2%v^FU|KF~{Jke5 zB73~2r^alYvE=($;S@MiCQObha#8w&%Xiq+-tASzT8Zzh%cB=Bdz0<=41V5>(V^UJ z!A90uUrG!}t;0+PPk0`0Y(#Wm3Ucz$Rmu3-hPsL*B=MAe6=pHzJyxmT<|!E__tB?9 zPT+iZiCf3@PDf%e*nN+5FA2Fk=v*u%aB?pqaqg4n+vYmLg0CS8c;F^MY;b8YgUt_! z$b0B#>a?LGyxv9l)Vh!JjxsVxcPQb$-|Wo&7oGoZ``)5O()4c!LeWzq8^UXg6e4)g z^;_0?Fk*$ZiY;B3*kVjg7^qKMUnN!w{IWlDBuRVRN2G?VdcT5YC80y;izbN`@2^Qm zPKGV8zRHMc^^0Dm_ALp60vEN_6cv|=su)Ll@845Lq>rnVXHdWu(7I|u^4rVB z={rBC-MRzTcFZRBmY=AWXTu7Ak)3^42A#ZVZ0NVK~v{{T3| zySyTEsr@|T6W0xkeKI72@-_YHdK++^Vg}$f0oM@*Pxm7i}ezj+2XPMu%lZW z@v2q(R_j=1CO#2Qa*F3$sS5d+gC)w!-QoECJ8}lh=7*TZd-u``eDk`!Hr?zC3@vPm`RB8&x7w5r07ry{3dao`Pk{mH7M zReNR&gdTab@XGQ7zq`X$R>oOLK3i#TAl+(jrPX^|0Z=s6P;`D;dkBFCOs+01ZjZxG zCTcg>_}eGoNU(D{FA@cIqTg!VhC=~E3A%I2jYydDU-(@Uv-s`f5GUj%M;eH7I)6sM1wp;8RWs>n7`X|C2;Kk+gnnBbB9OEjC-u5KUg9r&hdrr~>G!^bdR-B^)1Vx# zf(xN;CJgXh;(h&H5xORanc&yQDKeoqX5G7wqGfXmkqQI6$gdWtpnu{rfsl~YQIp++ zCqQ*s`$_|t{zGE_@*1Dr+BCYX(~4x4qqcd%-w$0It71RJp+IW0F_)H>IS}Qdyp5cs z=C`HOS8-j17Gg&QE;<(82?nKLC`3M%Wn;Z$4y~|KU!*jZ$nn<+tiLbNs6MNt> zvqevMp#c;z+yU+V%ci>jfaxy`!k3~#?t9~5LC{Olm9qSh#{MxJ-xu7^Z0h2#1jJEK z9Ox2Vy@8SHZl-`+ED~ha3JxEW0@flD+TS!pyk?h2kWee-mBrCQd)(doBpN#Lpy3Z? z(b+n<7Wq}#ecbu+GMQosJ$~}}n$-5Iszcs{ zr)_toRHWx$Lr#2D>^NIrVWYKmJUNS>k=jrd{`P|>BZ%h}vJP*DPsO+44yOgc@)~m? zyb25_m8BH`8UuW)qq7BV}CBYnCuB`9L%4oV>CRz zahH=0*ph~?gs*n{MH}mV_!fWO0;+m{To6E9Y%pBihB(2Q!e(kmCjxm{OeG36;={I_9AQpyIht)-Z}%9-!8;G%%|;~Bt9%t!piY63>P!|KRr}LGQv9L0%m5U9DdOlEYkCp4aU#~hVK*qT zT{Bt;ZeRN6(6h*cc$g1eRrVFO|2Y8bKuEte2hZ1lOSgNc2ebLb7TVh5!Yo+ zFPo!gqbCwwpc}pvbDpyv=S9_!zkV%VlGKsVy zC9Z&*P)quQO_hKwuDzeta3el}@dN$~vdf|Ik{~9nm^;SW;k72W!)t}7Tl@p3J7fyl z2PCTb5k$+#_o{7{Gu}zw@Q}9x(SI(vZ^J^xjkaI^TOD-8^r>sM={5DDWM_n;Dlz8) zCe=0`6r?8`oW=(`@2OWul9e@Fur!5!*MxUrQV-pzkO6bIu@>-E*HFu)Rp`~xV|=cX z2|T1hT4}}X-LF%vR3ZTZ8z4z(S1-ilS6G;f9b;dAJlxIA?{F2t1B)ZCwZ*-0+L6zU zBS-5VheLA~X+3R$Tpu$5AI~EZC}g3t6|#4cZ~oCidllMJW7A~$mB*SqcJBsLK~cF@ zGr_Srm0zG__k{5fQ%olHvS3mmAjRv9vuD*&WjRcl2_@*5aIj&oc#G!nH<1j^1=0ups86n1NIHTD>I-!#DF0{7G z^TS!z1(3=~%GWn*vxJigWh{V(_u6ZzmN{`N3XTEED};G7<@t_H=c<`?I3KaxdcIZN zxAvB3w+H^22tDwg!TC8(RdkJvt5t`G=94UNfh%!CyyZx#{W`KlzXlILd6C|fF$f2? zzi_f^QGuI`q$grkpC7+FPSp~{EJmAIOyMD(+6ZHn5o=Y}B9SCC zKQ`k&FPhJ4qWev%AcNv8(${-GaCOd*r!2)8{SwQZC=6m%HzV7cDCL@zJe2Ybr3BLY zUWvt$4rsaOCUy36qrAtBNTm57tJ}&zXykFmvpV^ac`~wh3S~Fo0a}{VSpIX}X`W2~ z;Stwy5s}SKH}zl>xFm1Ncb_sYis3+Py5bM9c%8hhW|WOtP2m*`qSb=-NKY;DKrkpP zM0#)((Dsi-95VS3L|Tn3>(ME6ex!&4%bM&JuXTYD&-vgRXJb1%^|5tZzwZ4Wt!7>W z-Gv6q?4|lb&u2>H2@i^G&&o6d8Nm3_)9^Z;GmdDAJpMe_&(JUGpxE)kswsg1#rcJd zZw#`89NP6b&f)wZ6}dO>2iWV9Xg+Dr>k~~7%Xs`et`>5VV9wA6qVw0dEI69iI^i4N z+1;NNIJ?3I+kNg^#lY#stwhOO;sXPH$Fjg#G-p+JgX7bYyK&#%y|<#@oRjl(|0^SQ z%lYA$BASFxxgHUSRoO)W{YAR%-xgVXLK|8^k(srWmJe(e0=F?tmp1~cp2 z*3A~Zy19)VZgUTMWcsw9fGW&)COb@MGB6}ahT@P7Gy%4(fLlOb?PzjJuHYx77L^9GRM>}?DgJXsm$L2Nt>&bH9Wtmpgx<*J*Z4ccva>00d?9aN!gnY(tvp zMbLrM$~o^~lPil*#^q?iBv(&jJjtQ?d<1DUW@Z9%g>d8j_P9y2iSMw zgBifwTLG3TR)n>M5k4 zAr?Q!=3EUl{;$pkRQk;BDrahcs+g!vJsB(YnERQVI7HqSVj(;Z)Lr-qCUa?fcE=a$nr()c8o)O>Y{s5iEZTe#sgfdIm~F)a8NG7B?kdOp(4 zbS`Jzk1_|I_U)@lH~a5!TxId2lW9DZ9J8^gEtXNA@V_NKGXhgdc{RI*M05zN9`$;t z>?O17cXiy|GeMikeZ;Wv5(tpT*ppz=zlvpM|0ghyUyRF572eK<8%{F^>OLl{BHH^7JE+O%&rG;euGpOtJCR8&&PzR}$d2 zskdLAhOJ=0-PmDBPk>UM@6^v?e)fP>|KyYj2l^SK6MOo}1b(Ee@uxd!%41<@zzVN~ z%`K_0s=EPTYNnjot0NbI6oqM`#PH1rudnc;E^meZwvkJKwGe_9U%QvKsaJ$>5~t^L zq>Bz&m6Eq5jy_nfcu+&ymrKIe^*$SapSF8Jtm$LQn*F}7(0`dMMuV7U;Pl)9a3-=Y zHLgS|Ckyf6sLW^JVTLVNl6?)#m4Sd+arw#*d}~ zaGyNFuUjzq3W4txzo?t?bSE69hRMY}q-5=g}{jWe`M!>#zLHeExQQa}hUw?fvPcQ!{(=OyB zSfkI6_HW}0F0l?Y-MxvQ1VSlZtiQ+Xe5enO84Ijgvd>)gaW{+w4@I%7L&XOd3Pth2 z1J^>eZ6D6B$WVpcDB=4l(U-E_(dCSik|fi!vo21ox4Ml|nokaIHY


    _EcU8GP*A2W8Ji3tn=M^g;Dc49I+zwENYnV0T19MWZbLyK{2y9jM&w!U>;5+(%V<&y7e(vtj+qU9GL2;SQYcM8}b z);|=LB0-ch(#S;aZyoZDw1#TGnc57IrZ%uzt!C{_NKgU@?!PAN0R_c`BgR31$`t6u z07%eES|P(PyXeP;my2r~L(I%HZ?>Nm=Ee7xJ)tAC-R@%cZ@FIzld|vXlKNtU%G+pg za>ErFlnZ>8TsP|q`=SGuq_ELo!edmXLTIp~36!{-w{nX!ne#t2(PGMhpXDUoKj*EZ ztYEb3$siC`7bo#so~y^8wy&?$$x%XK1lUmu+|L`1yabwARNFRTW~ymENP3Ir#@8^! zMvv?r$Et6_UJk%ZV@$XagvK0OUQ4=j1wV!kQ(hnZLDg=zX7yk8xw@mz3Jhh5a(=-KE|!1IO5$w`>nyp2yROdG$F zjvrV1s1v1N?XCDrR!!y&$8$iq`!V{=r$J-H@7i~f<7sVh6SG+1H4edDlgZ|)Tpb%V z;ov40AOSJ42a-So?9Zj1l1XkQXD96~He|k`5dUI7KUZ5YJaZ zPz6ASaIv9)mTAh=U-gLUt@~Pg0q%_^t{`aZ{DDOT0ScGysyBg?99jMlrJ(tWWF&YX zs*3&)oBDo{4&FeUn4){yNQWKpZJDzI|HS4DMf6HafaKH%4l@tFb^v!PduVzwb3qrgHNTF&0sh{CERY)K`xn@xhDT3H{J_VJ}?7 ztN^?msS-RRqjq^R#jw`$uMI2(Jtq?BgkcefFU`&+yvc-{!Y>{_8@opECztFuBeQMA z1*!+FPWysZ;Plt4!<}>89@cVB>FSk`3hkWGLI$zGFu|;TG++Tn6={X{?`zzL`?1Z} zaQR}eAwn(P%Z4b;Pko)cFH$e>f-ZufKAurq4=+H{i0g5&=n>Y?NZ;Os!s6xO%^20P zy(2-t&Z4HDH^2(kB=8x(0xxKI%8CGE=@B|0wdO&WuPu6Yg-*QQ>_ophg>S-ENT@@I znhuB)Nm?HUHoteC3t#b-UG%+ix;zAtymL@jR%}M`l?9y7`{@|*UB4^+T!QZwE`CQU z`nQF=LB8I99{^oxjx_7r2askdz^H+qJXN=Ab|8I|hN*NO`u^q}tC=|;Sv9jD_)Z8b zi=SS-1gwHcJLXaq2t8IQa^z#v=OE~%quTak2IfI8sHo?6=MnRquCGEb$9pON#*FWb z+3W!Z0F??PO-7SuU$Bp?m3pX(ZZ=eJ$-Se2c`(eWVxOJ_ zr|lsB$!fG04Z>?33^;>nCZ1U(!BtEjvgqLik@qz-0bBEz5&C*9nfwvnvhQ-b;??6{ z2NmCZ%-8jpd@UxG$xUqadX^AaP5fg+U-116V?J2JL<^V#rlO3-EYig@w31Zi5bddoVb4u zuCuk-51BNv+heC@O<0wR9~AKu9BO{Gv=p=pLk!c6QEmRpyMqV3 zsa$CBgE~Yr5QojK2L)M5Ll2RsJn6d7w;EzWCn+fj+#Z5C56iD79lKyBc=L?mnBNX& z-zaCL#N`H3Iq4jjx#e{zp&hlB>ViP$U&a^8fwF3litg%f=N3_d`+zKe$R-b_ev%<# zL+7q2I5ILIKLGxKw}fpLoPzbFQISrYzF>UuSOg45(g(V9Is_L5ChI=J!m!F7CD+H{asfyS?o7J(2%2cI z630m{Zj~JD^8D8y65xXQDH>aDPSrh2V*3zlq<*76sSUYUv$xp#TJv-3i#HCUkiub( z$YOoa4E;Tpu3JFEv+MoMNr88#LH0(YiCC@eazJT{s37v^Ze?rfLsgM)+`w$`-9^4{BT z7{rhJ;)5Rxd+wje0J!;XZEOLD_Q}_5!r44py>I+&^WL-r`t!Y26ddSE_Wj0L?SOl! z;On{Fk-o=(Lyqvlxj0SCn;W3^=pWSjO9_AKz&!{qlmg@^Rq?o1`iy)IdF`n`S%}J$ zcdskdMllc_>*dQ*;gwvKcD*|B1H8y_?8Gl#Uqx)%HQ{{ySo!c`?u!X)WfUKLp9$zn zH;$rc;HFJPafMGa^toC@ty zD7u;brMV^cOQ$%qcB^Kzi#HasA;bd1`~I?Yo(3@5$lut<7V4g9j!?bxAR`$@ zL@{^E-y|;YOchfUfYYig4goT2<1ZCy72kE31CI+UH9z`8kO{Z!M-5NeicG5I46KX7 z7zn5893pA#cNJ+8*ZHiU8I<^F0Te2nNc&!A!mf-b{UyGkd(+A&ehn*(1^n+-*3cf> z7~;LBFyh9tLS}!haHrVf@rv)rQUbP%>>=vGFIge@2+G2tWBF!)r7CvhBtxDT$d05D z?^td>?;Gxi?CkxEirnx!`aevaWmJ}7m$qr7B&54TI;0Vh?o=A2ySq`kQ%ahLP^7!1 zOS(b2ySu*2cV@nszplkv3XeDU-upa{1L-lwi(>GSMb%y#uPv*bA@X|F%`k4_+rkq? zmJjHDL{HmDb)S?17$@*+yrEsCXg!(KFI)vVdke{vR&*E!>lrL>DMt-E^2e_D_3Tl- zIR9{vrUIASXGQkHmcNcAj*R3^CYh`>NK2Y8cPX~{#rR!9E=B@B7-#F02fCpVfxip7 zrC{EMR&!N|Df3WzaxJs9?o87!PiGO?CNeh`lh2>ys_Qkt=dzcLbjQLnPr`_l zTtt?%Rst~|&sWvbyv!%b}T)9YXzgUa_ zIl%Fj{%>5AMRI0^7Av`}yFB@lQ9+AK-Z0z3e(P}Bbp?K6TZ!K2zm2V8xqdpYAmebE z^?fRkGbFuoCL^p*e3SUO#+GP*;=&YgrdN<&_1LLzwgs zN~EHtPG!7Ug|1UXz)VN}F8UI@rJSIsO15G=yzMX`m)0Uy{;cxmyF?GfEWA}50j3>} zKF*o@-yi1yEM##hKi!ON%7_qhNeQX6pD4*L+8#}98l0*hpDnG(U`m>_Y!P>2c{R0f zoA}A!gv$czyEGriy~wM5*>-b?)Z`To3AK;T{aca2u#_-|h=3I&1Hr|UXmiA@wY%IM znmgeX-&NnZtlV()uZn^aEoq1x<<*r$NTS#2R}`Szq|Q~WO|ppdiE@4csjX-j;J($B z0(;v(FR~a@7qtYjhQ~+@B_oTq+d;v4)#AItyk=WmBH7%a=LWFGe%*i!xErcYjMYzq-^Kk4YH;UcO!N&%$M_Jh%f zuNX-~8VpF})wp^*-h&DaR-voa8kJvz0_S`H8(X|nIxJdD`ZLC-K~T?9)rc_a9DfUJ zC&=G&IGv%_J@b!Sg6F!Kl&&5@MDG4OoCsZ%6KF?nGt3q`h2VElQdVf2Mw|Ey1r#LX z8s+ClUVmNM^%?MF>hsD)fsj4ZR#Yy z&y#PTyJAx0cZ|Nf5NalljlF>gtlTEg$gRIAGDZAWje2u*jF7%PFqw!d7|tO z`IaxzSNSGGWeuMRK8X;4uBgXdbZ z&^prUx#GZw1M52la#Ie5qZ@btxP)e{WaBpqVxwHEg)6b19rOPk;B)eC9!z1`vWa?4 z!i`$5mm!f^m@+)3vJ7V|_76v7W@a?WRFr|nzk3UW?c3s6#9R*K#P$oY1OyF6Rv{yq zkm7IR3@-~w9Q0Oy*`8| zDzVP{PP;~D#gu&z=BPy@>=+hfTK(+AYzi<~WNAusCg`SToD3v14 zzq{?y5mB(S4fJyV4FN;c51)X)-bk`LtnEvKIA)_- zlK1^40P$9|(Ng+20YArAWC)SwBZzV)Bu$o zsQ+s2Ac_}OY%Npocd`uzbyW1OW(dRdv*=(*aM+w14CsdM-Y$kMwUF$kPf}B*eif|o z|Ci+(^g^q!POEZgdgFADoFo~Iw-CjHr1c$;5&x9TH)M?TEXYG8&!;OO&}!*`d6`-v zL2u-LG5tS3(hL`t#piFS@7)gSDr$$mOPFh*v`s>XB=OvOh(+?$!%1ruGELEqVoCO? z*mww9B7n|Iq^!+lZcc-mZb;bq^ zhwA7lC?F)GXF2J(sR@_Kk?&`Q^5ltJtT0-wyEmas%z1_rS4B9O{1(2aG7-aLnK=B@ zYqpGb^%hk+2Ye*zPeo^!WZFiz)ZK}3ik5J5oBCxoBi zg2y-3ly-cq!vTivNdC4T+mc)JBy*dT^`6&W~^2ncMpHO z>ex)9)-2)s<*2v4uTZ(!H(cP*K;?gKIj#&hM3M^_EyBGqpYwyMG?#hg>v1@! z+!=kJT8DVu#-L~akgdmiv#lHPkvgqm3ES<(U%breVfQhcA*!e(brmCqhRIXC+8Q(Z z)6w6b*Cx9IzgasHn})ULeaA!u(FL`S!L$pBnxHtf6dA@Cyw?i*Ge6PtYxkx7PVwK* z@UYwe@p!yly0Q5Civi&F#kI{4NWF!J;TedYzi#j&^gG;qUDQ&G+NUa~skIA~YrcV9 zes%*^LOH1=fAODYu2gl?Zoc=RC@m)TiV7Tw*- zcy+U}+G4sa6Fc5%6~jZHLn?jI_;7YEjP`0D#dxmKm@e!<(eo6JmWU_Uwfa%A90o!? zJTziEw-*EaYl{Hta)C$HyGPn-_qX$>Wpxl$iuNJURfuDq^dKM(kega^{nSEfR-cYv z-$yDR`jo0Ty7^$=_Ki|Ci#Nt)zk+zn`0)0O4P!ng4n;z$(xBC<+8M(0P z@Z`rCy_dd;%C;T_1XGoj&59NEx{$d%RzZ zcdla@E)O@t&jD%9J7L9iU|8h=qM!Njw|j^qYMQgDxq1?n4Uunu!u7AaMZ>EjpgIUg|I4D^k+hZ{)Zx6m^XWg>rk$%Ury1_2 zPx8OFv@SmLn$h6C){ZswKZxj0j6^K$S{p!S%NEk$%_myX(Sb_V&QDsaG#LcRLzlk` zU-+!Qqbk$dO^E#2&V04vr_CE42}rauO+PPh;wiNY`iVtV^JA6D_xMPq8-pn5xvHnoDr~ zjy4{-fe6h5Cf=B0Oq`(Gsd9sko>Y?oTa3+e2yV1r2f9nyKR=sgKz3@uF@FVFbUK&h z4>G^*Jch|t{|C~9toz?0t|V3;OJbb3NF8ei*z_3{!a{pP(2757sNfLB{!`g*=i+q& zf|%9vha^@t+*%78JmXs8EvtCcGOoc8&IlM)GpWWr?>7zzOKLkaN1xkv$WOcR>Oy1k z-4QLz8(6P!1iXI?gJ-K^Dir#t6qi(gHwC}{YiT}j?iNdVnE~n0v#ceHxm{S%!Cr+5 zIVCcHb;Q`*j{*1Wh}vObahq#%?n^;e?G1+U<3bS5^V=~KU0EvWZ}QdJggHW&J?|T} ziR|L$yBS{deI~w4KE_nk@^+o-Y8jVg({4nkt3~hl+RI&X)CbN|8UE2@?FY0>#Pw*& z>eu=p=r>cLH$uW`Sbx#k``S^%K0GY`5K8Fq(bw>M7r9A{vGX&7|8`~_-=jM}%jAIW z6p5`HA|{@$#1p$lMo-&UsJC}>hx`Vm8;nC*BW9EvEVYqEh^MD~FiLtI>$&;PBqd`W zmtZMQoU0#@g!X;)>w+Xed-ax@^9aNNVJXxna;t?a7CEULm5@ z_!hK_lM$t%xkc2iT8|t={QjQ#=oiH-Cf=ZwWF*du8okR3D<9K>4q z7$JREI`*IVVbzT3qQy*GWHLQS&opXlMUX0N2*iSe*F{6S$37}Mx<$Vty2(*0I^^+N z@4m5=sos;cc+2y)4q=JEb$GQmIyoaR%*gg9d=BNRaZK`pkoSA$h`efKgp+#O{{15` zKuiZ;hW>+0t;xhN<~kF?w^sZNyZ6x*jo_Jrx6L>uY;$;H)nuP1wp^R)D3|9tzSmB- zJ`0~`M*$IT}6^QO#HE)O>eEZZ(UALeJ%{*RFD9~1Tlq!n+myS*hS z{<1^ma=(97c`Z>~OZN0<`0-?YaDvab;9}wQ9X!>c7yVX6Y?4^nfn3mJQ8V!c>n42xMhOG#Yp>HXWZu1e7PoJh81s}G}u%u9Qsl2k48Iwd$l8Rr#o}}GQC)F zR;(8HW8?Y1cl2^wuDgVA(w_66C@!DN>l5`#!r=Qp`&=anlFP8D3Z}BUK24t7RGB{P zy)HUy|I*FxbNEPcq1vCH0-w)6N;|a0t{%i_vfI)Q#*-II>`YU|C!KDlY2NDbnYYpJ z(Brm*r@^Wst9-p%Hg8iwaRHA3_n|QaSQCm`IMwTNX6`i2kgrBL-0xea%K))1S8pxyZ+pZsz|%5v(

    V`c1a0_rxqp5|3&1IiDMhyeH0T)3j`!zIEW-FzbzgqDNmB8Xb zv@+pbrd&sygvTP8`aspKBD`4q>nq{MpAmkX{v9xaew0!t{fcQ^liRzR@$4eX_@_)F zO!ATaNk5p3i&O8}>~}fFw3FfP6AI+kcF4#D;q=TnT*l zobYD7jRv{~dl8O|QoqHx=GMk4&Nd0fVZg}n|elct?a)QhBe-Xp)Mum6*(w274;)?2KFLY+pYThnPZ>{SWlpFGi}(77TO5D!wDDcarz2k;Xy5xwan>d;jJD{Qj<@-In4 z;JDb<_381t&&}o08U+_k8@&jgvxi+}(D*Z#9UsEYSl3jWHW?xWdwKjJGNaZHRo_lG z#KTvpn=s*;=P#HdVdn#Ou|K${Q(7H}0#r4NuVO)w$tG1)2t5kxz8D-=T7?Wsyksc6 zGC`{=**Y3G(nbzf={y!>K!gSua^CqWG&YD17L>$UxRFpmG`>m3cngCH7>-oQPVtqY zO8i|-hJ&BjEC%kti2WvTHKo%~;Y_F;q?C)- z^t};p6vl0LCU~(WvHb8p*){hb2HtSD3!^A~9a+4|d%~Pl%y%AHohH*D++|67!p~;} zf5H;(lGZ}-*9DQ2jXhYi9+Ch}xJuV-okcLXxm@3WV*_o`tnh?+;q=95-v6Nq`c z<*_;0ut+y5V_yCIi1&R5SHOK?CXySh42K*5HTV*M8%SJAMjEdqx>6EuS`*IC9d5C`;HcVh&S!HLEH zUow-UKHKbOW#As~=ME0TehBG7TG<-5WcWg9ZW?EXW5#^<##(J8Sit~ZT4tEy9nwqe z?B6;0aKk|hlR`XJ=`=uS8C=~hq>zq67qJ-Q^k`_@n8G9~F5_ho%s5#xM6_o`U_nVa zUm?3e;_fm}

    $E+8DHSkFrO-m-EA7T8`Urx7QdTuWv|5)j9uNV=QRF_Rl zxpf#ER@5XmqB}eu;cEHb&g660=Bf0phhS$50q5BUx^RwTBJEtCQ3;vewJ#e=y6f+rHd7p`ni*zBZe15Q>Srn@-eFysShw0H z1oH4_Wv1}ySBnA=ki1gHhtL&&ym%UqqtWT%=w($cUeA4O?OMy|leQ<^#NMdjq9Hwq zMDOR9YZ8mj9E89mPVK&HTB~Dh zkcF|G>}b}SFbuM1s5IAs9LQQ!+-D~3D!2mKln?n{SCvB!D1bb=<~oZuNhjZZ1LV+t z51=iwcUI|W1s=5|DH;6)dc{J2cuvHVBN1)fNyN(!ujbtRI~D!uK5qq;5Pm-oNXlPx z%3cf<9lWr32*rlBdF)p?b#RjOQfHEPJO1G8rN5%){U<}H1cQ8wc8c0pE!PPC*Qbx7 zyw+nu9>r>l6>H`nCknN#r!k1|qm-?PXsn24KG*)R<+h(c_aonWdcYSli-J?FvkgV* zQzU16k7@T>F_pvc!#~=PFcYV|jIi2ljQHXHxJQ)@2f)EHeI9&X;zsIo;(V|cS<7}! z1%ZQmx9Pq((xx$z(jX~0y2#qe&M!&xt5hWA3sS%10V0+{-vF z5>(E9yw|_a2%y}uNHS7LeE*`oGtTVyd%U0{2)n2` z4&2y49Buh{#He2n`IZ#{|S)G1;hLRr$JBvVRdh?Q4VjsOw8LytS|Ox9`9IclyYeRO=`v}S0dBvcCCnv-S}Bh z@X9=n<4yDHW2t;Ig<#+5JWS(N|8XoCo{ZqYb8Q^PeB#=^pS3?blcjit#=wIuwvaEn z_m5{-<90wrNuB09!?%NzkD(+Dk(JuwfRgnB+@kV#`nOqd(tPp@<_CY*SW~l`MJ}qS z=k(d7PDsy5E!*2F5+9IHP}<%=GI*g$V}&eZ;)2DvNI68P{#9lYdaVd~qTLDnJ;VnQ z&?@Cjg%XI5Df|E84i&E?6+V=$6jRg@onZT(N5)Fu1@-#WAvO+^>x5@YRPG3p7tGFA zk7-t*{Ikr8lfe?}`eQs7?wloU*P^PGWi-KVAlONsD0=NqYM~F&+J+7*rlYi@OApiu zqDoCDx#^Frn*6S$dnL5NlBZe)>w>^EFG;tkPuGWARB_GgLhPSKQdr%J0sAc;@8k=W zK0>A!y@-N}KQ}~c&g&(18wCAR=zMveFx^J5I) zJL&-wpYX|4zChXF5e2x2BZQyp+r9)GUHeYCFa49!>S@=Fkdz#e#C^Mj*@?*(X`Zp| z1|*NmWY7}>o9YQ?!~Z?#MejCw3a&d|wSt?}t6!>GR9}mL3EZ|qS|#d6@R*g9?^9KE zVdGF)D`=#lt3JQYGey=@Fy7H5;IN%-U>MoQr#v{O;w5`8;e4w$4mLT#yMM(6J@<;zJ10-P(PdfGK&KMKqCTTxlO# znQC5{_`{P*va4?jd0;9#Dw#3gu6DoE&Xw=#J3xD!b>z-9*tJ&h;9fT$%=m)%u^o%_ z9v(w7Oqw4CW3zhZoW0E8EmNG1vJnCdgVfl&0nT8bAOD6x)^WbAi?$|0Qqh-H>la-QslN?-m&GPQLEAfVts=b_ufGG!RyWc5x;@_*$b(3n#`NVsRsO(S7?%|7z%`eFbs&ky?=I6 z&LdaZs6%_#Of@<5LyG$Ew!F>uE(Q$4JutCkt}dR;vP*If=*u#0{KWSBj8`uF_LeCp zPdO-Y7@jNpJx+FP{A}13in_9*3!NxtlG; zT*8Mg%+)(M7qb~nZbRh3 zi8I%q>Va#5@P@=h9*;q)py z&d=1*S#@VBEL+j}0H<;|9GVo&_|&AtpGa!) z?jGdIE+UI*P3*EyqBZtV0QqKEAxeZ+d<6PufHW&(VFsJ{D3D@`Qby08#p65uZps9L^aUcg9C{I192{{6p{W>cqH{@zI;a%O^jRP5=SY^O7c2kdN-Fvzk~*!> zJ^F@JOs8Iwjv`XZ`>M#z%9z+8{qEt1yK*-0bMYtpp(obTee;eE zUIHcmOb=Cc=9d~5FsO>lvJ~yV*B6(4n*uGZ=82hnfCaYJkqs`BC0L&aB5my9fanEM z!zQ&uI$^Yv15oykHxyQ6?z-bEAtUWh)B`Vut8o+#4nSPcj`=T<&%r*z+nKw|O_{~*{_TDh`5W_W1Lt{`ikky|{?EZg0ymKx z%=P&Bh-6Azhr=VuR643}!T*9K1R>Lgcsxhjx+Bc13#DhCl$*m@JZ>I{R|Ii{Yg{fneC79g3X6IYA9O*;A<~QQ4Mx=vGP{o3T5t@< zM2#7RnlF=Y@_j6-;`U71EUyLDKcZq@7C&VjNfEzou%q%it-}2y@8=_^`$KX^pW~hO zPTjxc1?yIzk3Rk5@+1g7N}3>+jbB4id@%chw>>-pJ0t^yfg87eeRQJymxTUSqt%E! zmhsL2e^xxMS1ps{&9|W>95zaC4u3l70k0^Y8tFGb8Otf6cVg)Wtx9HJ8LJ{N&5ou< zS}=M8mMHdrO=o+>^kn|IAnQCUdmnvS0PNmjH5G5NRf;xBCeFV7{Me%%qb_rE&o9le z$Hi?l4fa~^e&%D<#_ykh3-j1!jPj|SDaDJwC#X=*^+u&z8sztWhKc zRA}u_SSDdVM*R2s!tW^p5fx~U-$2qkCr?ny8pPyJCOAh4V= z!wie|id&b(xrn)j!K3g%!%IJWidy@AgP`rPuy_*Zc8<5`cjX{@5Zt ziY}k%GS$A2RLW+*lwsT5ypn;i8>0ELuc#?mTcmIquSchX#fS}0 zfBZYgD~*8^RFK+cmSLSv5T2+yJpS!=V0U@1=j1V)GV5A@ z0(+?E_|YAO|J}pKBy=J^7?zQdetEgBw8WyZx@Z$r0lP;J4?(Xm7b`lq&hKxwthVJ1 ze}OcMY6z$1OR0WAl*5GWIA*+Z$_@Seml9mdKFyhX$dboOGMWUubff15k?3HJP1oKJ zHJYwH1OH)k)tKj$vM2xBjZtBTtb1f(?|MXZw&0kTB=Rlhc?N?o46M?7r~J}d;R}I_ zmU{^_|H2Ox7lcLE9 zsffrvH)jw;=<=vTC8|gwuGO#TnYbbM9YB&3>9cV9-F)YYo)NR1{ zy!N7Sh<&q;W)YLiuF{m8;W|_9(wYP87ay8KrS6TV%>s}!gtm~m<&7qywX}_{~>(|Mjue@Sp3@F9Y5F9 z0|0|t$1}yt%gaB|yHzWd8J_+ZA;YfZLa=VLw&V5nHA;OCuHZMH=S$LZ`_3*&7Obk< z3H;4dU%cNEfmG6LK77|(a00A0U;B}Qr)n9~9bZH^-oPv}n47=qzkn)(d(DmWj1Hl{tDf>(i#Mq~gEaa+t+N zUraD!w89>%nnTz&J%DQ__F5q@TtrO+$kI${tlm&kytuQVn`FJsoJCS$UD=BqmCcH2 zR0@LuuQUNBl%NZgT^dXbR|+=U)`1)1%abm)H{Sa?{G*KHvBtkYMw`<%?t-1?s*G5B zQQ5lx)zEDF*dhG7xNJ01g~W5dZx)^knTd-yz!H->=wxAcxL7zZN&rrf_<5;S8?Ylg$+54NLtr74%R!O zsFcjzC)IYuLifI+gU;sTAub{KB`?R3p@>S9(aSoB8f6lkgeA@*gD}1OAPIH3ywyME z*b*yi%p(wJ8m*kz>*A{?MBUp%^?Mc_nPYC0FlyxlgiB~?jRcLDQ(#rOZ{f{nY{h{M zyaE6FXHBVr>(C>qAQI$SvRGkXMCog$R_*%0BQxV%1}z;oOVJZ8FN5j}(zQ!+v8wuY z$Cy5Wnc`m`wW_P!OwopByZ$Sv$^L1Q7l0g=iSo~CLFM3NV;df*)^;4T`n*YR@X(($ zs;cce$+z^uy1{vLwQjAFUrM8>GxHvRXwG$N^6U7T-C(PBwfIkX11LJ{LVEW<*&3F$ z>+PEF2!*l(ts7BN=3N`z`0cjWPFd#TDFC$;q< zE;vcL&d5b34ov7XgHPXk!nx(dwfE^PsuR?U|4-wEunGin9cAI*8kxV&#S$KV(9txdo~(1l2MC< zK_Y6K&Fv3~U%`p1z*BS(lP^ovE$&u^_H6aykN|fio0WS?6Wex0#y1wh(f|t&MaHD! z)~K9wu}&s3qwU#GQ`|@%j6y#R7eUffXMyVW@Z%aq@|X91t)}Ms4IF$9${9l|vl_41 z$`YgRG%G6mYN4>)kmXWxjIP{HPb^ zG~KVX5g1Bxyc*AqDEP0dm^)dukv;>!V@9nAQ@^Cc-R+NJ^`B8}ZPO;kOT`xAK_Q~9 z!-Nz8a{=FYbn<`rac{n3*sE4J0QYU{^X>)xTd?<(t+1?3$y)&*`18-d8xx9*TPV^M z?|NtgsZzOpN}w2O@%*XdRP}d_m0XFxaO`eU2@34Omis)#|Ir9Gd0fUDc5VJ>yuDdee2wBhhiq(2yeE|NGQK!W(1G)f1R-^}E9(*7Pj z8Ee1*=ter-;VfJH0lk33SADkLJrb5mWGOfHv!sA!tM=snIky>S$yB-eWz|1ON=u)+qNY+b_I-j>ouBPXcl2;` z_Z5IJ%7nzDUrsVH$l-fW`HU1(mm|{jtgl z4gkJe%yg)P-0A?jTlX7>*|&1;pvDy);e~>E&TW4g%}gDA*1#oh0$xliI*FU>V5$!~ z_@nejkJ<_wq45^la5vuN`vS+r+}C*MIYrB8yNmPyLfF$`RfNXCIo0I8YG00w#CS^iqCH z?g`RLNNwj=vF?_3BX|NxQf&rE!zBU^sf(aBt{Y4u?0-X1ooX`ngDi`g1bW8D{NN!b z8)F)xgDw2ggZakB7!v+!lT#w5{N^|l6bE#}*uDKKDZhG7bU!tEz5BJ86AZR7uDSe~ zk*$RqEPA+BZ=BImr;Gl4_&AXPOmtui{x;h$(Pe=!cQS9!i)-51HT|s~r&vDw)QmPH z@h9(GqGjH7cO*47 zeTy;AXA3YPW%`_jKL=J2$2n~c>H^`N=C#=Y^1k=KvYpXJj=cqWOTRPOR@^{X0WAG@IpA6Yz2MHj*lu08>k^r@qjI1wM-lr&lb* z88=d4m}81HrA+q=)c%7EYhtD61Giz&r|k!_9JWIPxC{(GObYL^x4&KQ_Y3#1?xQ4@J*M|9*c^N&_N_m|fR9U16QqqHKSV6JU{Ka$OC=!OD zT^Z`iT9(Vr=bcR3Ryp_G-TS^C6uL_1W){Wlg+RKj7^9WAd;&^P0#;(m`twkP<%1VK zw`=ZDQQ!Fm*4yzk2Pq@NF8t0~WpWlywReK}vKNi~c8^axw7>M-pkoDZPwv!a1Z;E@EX#J*4__q) z3RIY{TQaD)e`24A{=cf z0$DXCEo{|!JFMLZVi}sND2W$g4E>$bG ztiMi&w-=XxncRhLzEE>~MjCW>9QhwLO{Rc2kPPiINrY}?gIV)^LZE$}kY~VUJ%dlD zM1q%cxaLUK6)I=_(Kel{_pbFJ9tR7$h!Gc`x_tP`VjlO?cQEmxsXi}gg9jjb@P^QU zOxBNJKK}mRik52)a%nzOWWj8&@KO}7R-*j2TmQ^BC*@aQ*D-FxZ7*7 zcZNr%88rf8k`b_s^5U?i?&TD4wq&2AwKwRB%MBvCA-vt)5@M#M$b%Zc0@9~{c8N%! z$tY!khl(Ckx}d_J6#}Sv*U!QpJA*?jc#}IL@u=^Kp1x9n{J}91ocquL{=+DSkJ!!l z@u={HRO33RqbwJ=3ZxRL2?%*1EC%+C9k3#G?k*M(*^vrUUHAQox>;9h5@sQry0GUp z;FdB|##cO=3to}#bGU2Ims;zfLdV>rFi0wK|B?itJUnb73d=)`I=CfxOp*;HhMRD(AGMqh_Q|;!FmvNEl_{ga+I7MO{1$|-TH_L{N4!3Q_N6#G45y=2!e=b2 z++#&^IApUd`h_ak;*Xvmf`+?Qs{I@Rn0gU)jVEhgeoPj%3QOPhkr^o@)=nfXkoue1}=BpGCiuL{|jbKN|+1G z|F@!EkmsIA%0FqXU%j+Z`Y(irxIfrlI2dRyF&dBP6I?z@B*_+6T{MD~@BXNpyv8mQ z8LP;kz7d#vhdp40_*b-ofEBa26mf6mdk}21%kbWWIh^{yj!$hHdjDT^u3JwtbABZ^ z;aj77K4Ag<4bqS>S2YLgCFDb$N`%ShJG|Pr8h9tM@1GvJdOt1n4WgK1BSQpz2}>7i zd+J{v5Z=5HL`Z4;ijzD!3taHBklmmWAW!A=*Z-nY2EwI3%cZt{ehi+3J?a_4IPoE) z;K``)8FWc1XgW~U(=jE=k3|3&x=8SPG>O8B?RJ^iN5OKkRL*K+{}QQrfKcrxSe|B^Lx@5`u^?GoZfXQTRCds8o6 z1#}Ef7xx_abM^kxh6Gd~#$sH|5fRD`CQJq* zI$8?V1DgPH>CiWav~h+u9KG;#Pc(A09Ln^9!E4YILD702_S7`8)ZC%#c5fx;n_qt@ zSOF(1-!0}640UXhCGqRzYSf9ywWd^*7d&-MqpU~>Yp0nMh zeO;QNciQ1b_2^k8$1J0P8f01m({RG$%I^^J$L;;=2gTK?UNOphlhJk;c=3To4LYHF zeZsCY@?pcJU4adS*+WtARpwv#7L&JQ(kj*r&37v`?5WNtgn}}gV^1&aV1CLMwXczq z+n(kPze8ENJVE&w!ObBj^((~!MX+WIz-{mtiIH}@7Eb>8xGkmBF2 zUaWVFgVAVXL7|@4|J{|3=pd;_G`LZeYa7_R?mHRAm0b~EaJCEXj*iAX-dm%%?Q5NN zdj03;J*9~|?8Q3hD*ilmHx0CWEh7S-@K`9f2x>r|F?JdjabvBgMB4il4iO~Sqm~m< z@7e*R1r&4A)A|fw-(o6ma3h`;EB^y>;1{-saM4)y1uWj=u-O*kAMo}^uuoqA`QI9- zf^%W6_}nlra9f6OB1raMo`#^*_Elsh9LX15lQ>-MA_Z{OEy1f@exstk*&kD}keNx^ zZobZ!TU;rqYTxUGvH-lBffdsHCO9jVRGxt;{H(U^xQKB+Y8S* zsb7-Iu433Z9t`B>(-YXOCduA8_jO`BAs^bZ>_sNQ0*}Qg zQE|I+X{&nkHOGpEwSd-#>kW)nsto%T+?Dp&G>_LWR!*%Op#>K)69VFIs%Z}cYkR2f zSXI|YD{QaV63xZGlL$H^xwkxGNG{zt*Ad*jE03est*q^E#!Zhp{bQkJ#R`a7=hXw*4Oo-fR`hihnXhM54|vw$s=GL@3ScDNGIfF2$W|M zPdT@2v6fr5f&*T8E$8<4BSW`VF@)kezs_|=99QxxT=#k}v0m(8F!N*<_VA6H^F42Y zvhe9Otle?}(vOQ5^OLu~t8~+^Et{L0M^s{$B7(mxEd>WpV8OtsCPXY{zLG_mps1V6 zqmOn8&AAb-9*uO2*?F*eYTwYGy%9regXRx`IhLPI96!YnV#*B z`~B|d82XZMaS7+&x$L5bw~69zcoE|9Sd8y%_CA!dlgXa-Y((yO5R-*&$;#S;tLUAV zJ-O-kmC9J`^p;R13>vlHpb-@v(jB;D_K*@!_YI#-D_4+kstlG0mTFTl>cizXBF$D! z6&Ov>;;~jBF)?Ti#&5@|xX~hiUyv?I!@air3 z7%zDz(~-j9Pw-RO56x;;+8AxZZbfS1YH>`jQdXCKCg&dQhhGeSLh?6wMxF2Ih^iAS zKGOQp_m(1pkf0UO{o&?y`;mv1!QXFYDmW|t#oMxvr`576aTik$M z5B{~R(wGNs4-0HR(324!3 zdz%UtE2R8cM>NTTX1A%rXSRjm)q@b%mK3|f8`m!C0Vd-yo0nu#!STpNabmIJ*k8rw2?l~IG z!UoU`rXdt|q@*t-(m&XA#pO0PkB?|tE*zi7j$2pTZz_scjKKxvU`z%^HHHxBg^l4u zVNpBl<|fVQTAZEGTKx{jH~&|ow%+8kDiQcV5Q!igI=(aFo!@>Km(Ggxf&hWsBdYkm zuZsL=FTA1w6!9)ycbN|oPmcpHJCD%nqn>15`t)ZMx8BeUIFgk8@mb}m;*JA4PK}IG z?Y;!@=e}Q(s5f#spiB(b$De*N8>mA!$N-n;pF2-ql5&;t1teiqx$V(3 zV4Yh|UwsIh{uFg6?VK=pL+$q-r26%#4z+S)%xlagOkiv`wA^!(#P}Jc{LDT}Y4XP1 zl1SgZWa-1IE^7&)9MD-Hcjbt-mWopkMyq{vbQwYRVA6&u?}@CmpLrWUikXXt_AY4h zYXKPo#N=e#IuzN4IqLY zK>Z3g@NBk0p6Mt4q+;P~HAn~I{Q7`?JEEr_du0yt@(1^pv zKv)WnpnMAf_K2P;MHnY1)%5m_r8`!fz|HLd4i{vMoSMSc^UpZ=w5{OdGmmg>S% zLndM$XINb|%CPRu_J_UMRHsGICiWWaedd$JO(&&CxoL&8z;c6iLaPE!>527~(4xK+ z8MDPNeX?c%Jl6&%*cDkZ=fCRI#C(B&CbQV+NKSC#R3A=61|x8C%5o`O9+@WgnDN)fdpQw=t}OIV=K}{HCBo1e0uD>+ zgTLUwVh&9Ts*O*GqelC?jdN<+FGbxee9w6-Zx{94gzF|2WR42`wZkeFIQUVeOjY8S zet!5aq^O^PG&y43f!#JYN~BL&1&NyAlF8Z;jNUbcjNv3&eAC@w$cs%fVg z+UDz>xXgmc$Y{Nmy5>9C4$|+N1I7zPM7idp$N0QCJlwWi9g}d}K5M?Ry?BU(=OmOX zOJ^%tBNWORwRp%++#S-3XqY#*%{-I--hCHB{WGOHY!+ism%HOg2ba?rX8|`?&hs^_DOgO zN$i2i&IcQQ^W()sq3w8Yf(Mh5Ihl&=@segOXxCx z4iPA_4$50Ac_Z$Ir~ZIVh}$gWa*BewTa)LD02cfn<&-?vhPp(dv?=?Y&r5HaTSbY7 zG?_}^4Sbe+dY~9Cw=xtdczk!B{0z)B&fb$G^YAwJ=-aP^ z@zqG+`8@XzN6U4IJ7*t6nqA3iek;8bskc~y1Kpg`puO9?xn?64S3uZ&nP_HE$`ar( z?LnD#-0`7~ySTEJfU&3}F()cZVN(f*teOEY@tM{RbbymKTO-Efy&pu&A|~O5kaM0= zW}+si_8Xd+&ohwc`vPB*%pvFXE^x}A;MJYF08Z{=o+evVepmVHn1uZ3?kR9iA;(og zMN&SlUp(n(-_QD|=-xQuX4{ujNw!8w?p@rEljPHlDheVL=yFh7)2ZjSH9%#Wt%=vvG+W`z;vv2qAaEhB|_QkbGKM>p^`am4J*81iZjU#HSdo+ z^IF!}Z}93L+Rdf%_L1)(S_79`i$uIN&og{>m=FUMM$O|&9B0dvHxYU(dsSZw+B}vy zdsDX|O~G~~hZfCXX1;piVb#lQMU-a9x?!?9nP`ceH zGR~DGV4DLIFJt0;Emr+oEREj#=vf73hNm+>E#^y`;0oFGtG9amA=P1>A4{}C!?VPA zOg3>}*Jw1fm~*}69W2Hx{VPA-LnUBh&ub0AD-%REoxYbggTu7+*ra?{1^Ls!^phpV zPRsdvwW*A-hePQAFKhWrpwXIt;pwI2YxXW%RDU48xhk<8$>Nmrc&!TsgvPz}Ycr;* z>j@1LH=5@6ogzhRj73b#(X>z*WnbuhP`6!`(++DViS>0HAw^_wX#BWtSyY5qmR?1< zoz07p1S~z3$0P)4)M5q!>yZ7N_DZPRg`&HNl6?CBb)9@x&t-KmXEFtsWqbQoIPRhr zQOIc@AtsFPXD7~*h!eW3q*j%|EKb?s$DB54miIYLc;|cgh%8!`)VoLSy_AB80AJLB z$kKuAfffaAa#|*8ly}@^^bYywa~gtbm(|%$AuG|NbTRRM7>^x%0u>dK^CSBauuxHLKCph;eVMUZ&`u zP%69hJA!8qGsjC5iMXE@bICi^A1=JSBsQ&`MX{X+ zY9u(f+&<)7@EFIg5*~l>Q?LCi;=`(wolw=h#p2Iw8yD4WscSnfVDS1V;}Q$+@k&1GfkxT+g?G>CUFH>_>yAsHo2ofRZPRLY+IurV2Rf%^L(Sdw z&gGKXIcqjpO}U}0r~q8HPSiy7Is36G(}F7dMIQ^5SN z(m{er2(8nBW3gN=S8qappHe2rSEe_il%b`IDY{d(G(0?sb^cOrUji5Y}+>QEOkM-7C$0%vJl#8W4u3 zdFjo*%Ka}(PF;tLfbEMEaJv|p{RqeiDhzA(CSoJvl>DVuFcCCs1(=RcUs0i2V_Lca z28tbPUN_YwU=31wHV1QBhXe8YAf)xq}7+xOC_iJ39mx)nqZ-jwq+}E5^Gp6E|ItKJ!Se z&bB4;UbolPc#?&}ne%|R$A8wk$y22(c1>QQ#U|!9n=bN935s!C>^G!a6-1=k->tVVh)op{g8w&-K z$5ye$Z5PJclJAybe75SpmGF7e#cXjiS`m>|Qq1~u`Wvo~fkX&?_n7mT%kJYh7Ns90 z8csyHoWew0CP{l;a901NC|`{}XSFBMlO@_Rwly=YFZ$~1yPt2)>Q^^f#KT1!P<|Tv zNw_oY2id5*xa~!yqVkxyJcU!cNWJKSdrdY=l_GmV67iJVl-JPh#l`O+Cm@*6WrcDV z)E7kdC}%cqE*X3aM@r>E9_t8y>Br;Dwm2UiLOu~s=F8KsrD?N^tG_yaQzZma3UCc3HOZ70Qsp!kM}qIE#I@nxlkwZ4JZ1{V-L}4o-88P! zm5-pj2SU6F*{hVth~42+{%2KBK$wXm;6qW{*+$3yrSJz6TIz=~ZQUuSjVLIog>&pe zSpsO51=SQtd4Ie)d`lLW35iNY#m;RtW`L!zg+4&PpCb1Pc}7~`0N!`WwufsYOXG;6Mx@@AHtgwbHBqm*p_NZHa}xYC@K&UxMJiQ! zDhcmrNN6IleNI6V-UWE95VlyMsq!%Juk^q>`k@+2Sv3KqxXC45W^!8Eg0pYx$aQRA z{C{mIn7X0TbxosMgIU&w+rMeV76%8b=mx8vSob$``a>WUvI^;3?F{#+#HG?g=YW>s zom1EtOr!mKG%42%zr;6Pk%THBnjNz3L}}c0L1PkXU2-*a}PwpWQGB?qo{v)k%x;7zY*C za|LCPt)WW;##>VM27aEi689RQr(xuJjd3C4Pd_^Mo9aG9QgzWVV)X7=4Vnt09 z{X!aN1cjSq-cHm9uPrQ_%EDGC1BR*>hbgoqB0An;YoIy?{ON?QFrY0$1>#zG;r%Ed zA}@`K70|&Z`az>3G?4D$`iS9x>Q4@wFN&9%yK?qrT%v3x`J^x0ldi>!y8GBarMN?e za~9=lFEk)f2UzWa;Pm9Wx1Nhq}cN|ct%YW zfbD-wkd`05?=`XwyXK2Jl5vcM`8J0^qN~i47_%}lP_pO~V6-jc?{I-r$5drNcHxk* za(y*@A2|MBNy_rMXWyU~ zV7@3W`v^7B`11|94m|Kd`%jQl2L@P#2(Ao>+HU$GI7P2F92Cu8`~<8J@}W}`)40vb zZzs*8oSfos=uzac>2gc{#QaHO#W3f=)HL1YKZ18E^M4VRfzpdcU#QQe4t2tPxtEG_ zazgyf4i$@$QrNe`X)aE2_Obf5hT;P%f7VN9SBCG5~{kRzKe z_L*s!QOg`rBu(Py{Xh`%M@pGaH1YcL3}Q4Phio)D0rHvJtOZ2^c=glp5Ftw0QXwn7 zIt9T;k?62@9`(;CY_%4Q?kF6&%B1lQPrUTxj&i^AiAHug?t%NRjs&cYdyqydH82Jn z4h$}Pd%*XDdK7Q!_n2fxC{;BAXFNxae=~2^_Atc%V2dQI;GV$`5~-D^pJbIsne?Ru zSFxEY`^#CMwP>1wmu7BWZcJ)n_@Iv_+#&l-(JHhG2}=GEo;x%%=sfN^V7tN((yPpy zH0G}PCcUfzo&^*G0$w?v2QM3w06d*9K|BvOSp#s$s>RT$o#cDd?AKvJO?z~dR_WAy za%C=lKcg}6C449Pgo(a|-(7e`g@WkwQ^L@A1;gIzX}0%~^>;<0{!rOgeSn_$g}g(! zt1(SO1$B!S+|;h|`_<(3@}n2M@R=Cj&k4@=2I(0YqjCByg0WVQAjPBA2Kgl#g!KBoh}hYHxPAUxgu8)G(T zf6qIWLYJJ06~XTv6UPZEcv7Q>fBR1*jtE1G$>4oP6>gv>iPX<+8l=Znkfi@n#s&rc zA^gIE5Y$|Q@Y4CFG`!J0a4hGpJ>lW*XM9$xLC(_!6#w_F>eSG=51M*IfrKs_T{P<#_N2Iik!7I zzQ}NARxf6Kc$r5o-y!RxP(IsPCgzB&Wu9%PYXtyU=#vrMI~;OiFj*pPhO?6lzZk}E zFENaEk85;&kra5A#rnQ6@mHC#;ZN`=M)^;`cAn>csCjo>m|ZDj#Cu#ameVPW^f^bXUB8IjPv1UPBMIicMnecF7xjzGUkVg zDn;`Q7rRc34gU86Ncr#RJ6VLsH!pRS06Agzo8(JWM@R|WzSs1>S)%7+uV3g%-1174 zDGetB7?a#eWM6XzPJQ!qlMYgAWN=;g?m6=wZjYBEHOszjXr;0JfIe0N&0ijvWT=iB zxG3hmG9V0-uPAECd+y)V&DUA>SuJ^YXU^N0_BW>GS9uvlzwDhr4=syI6mL764P>EF z5yn0HX3TmD5slTB!|LTmK7=ZPp@ z1!0kQQvIaQU*`tQ@cdjdQg};$T$>>{ z!dSEctKRReL15|ZQHAo8B3~`i{!!d;9Z9Dbp7pBtK~v*Dk(@d?3|qkGc=55}1-bkl z8eP2`x!`Q|M&GFU664h5!hG@h3vS0>d~EDqY7cYb>i3q}J?2nyWcApGp3Ms_51q_Y ziLu!jxvEhxcfI>UejJc5bUo{SRu`UMkfw|Rk`)ziA?mM(r?A47j9Dr~l(5wzMIObZhSAG)O9 z99ww5kX(jFbp}cos)7$^>!;H!zU33bAe^BDtgx_%NLj3*p@pUZH(Fv5|K>|p0BKeE7@^Frkj~Sgz*UTzym~qyOPhP1Z+_()7HMe9C6>3aPMEAt{3ar z9Fl9g_n?4lf{!oCSWkwk#IpzCj+}4Dd~$GC{dO%uC40*;QY8V<2q6V_^BFr{`Zwj+iw9s}}QZ|6@qz z($Rbks8tKNlmsmk+^8xk;_}~n_%fHVqtk)4^1NJFM?HO&sDPXadk+cgYxWrmGi&D( zO{g$WT*B-@EWFxB=1Ih5&~%x06d}0J|dG6NndjA=9zd}4(kRDTx{Pi)mCUPB9yM{}mWia2P7;Ug3e;UmJs*pR3Q2bXZa6#!v<{r1?iaebuPR z8{4=#>pVQEk<)WgZ)BGi1^Hr#BU?tl;N`t`L4=wa41HHlk&v@H@Np`|mKw0l;VoNc z_wziqd87UiwbOuO(f)3ECDB%9n;rS}yBAfz14bKx|1S)JbGp9`4rap=!B?na9rsHt zyn8fLTI2-QEt4C8XSeSEveH+}P;X8F0Ktcd=WwZw=q6i;EzjbDf*DlcrL!tVbWXuF z#w~Yrr;9#tSNs9l;0w56GT%Ju!Cc^7uqrm^>3vsj%97r3bRp?SYpQ~z2Mw8 zscsmbDSE{+y zL9P4+7c8H??hPH^%EXYHvNvZ2%tY`k=?UF(==w@FSb6i(jKHIjWj(s(FCN2I;{(mZ zoObE&3on+19C?$ki2(y+KA)U0A>pWEeq{z7Vx{wy$&e(V<+#YgDlFQ!4fdt4fX1M_ zS~VYbSG>WcPQ0-&A8}Eoq5tLcXW|dlJiVx%Uc@6P%~lWt;~ZqJdZvfO~ z((Y;{-o2y*Oan!YG~9x*RDxvWn;)cR1>XbqYvInc@vhsceZv=3O%C=>`sM*9q2LkPmUTBC zu!sjhWfhV8znU*4P@p5qNmyFaqbd}y(xD}<+2Pptb072@Q5~K}ySp~v2tUs;=c(H0 z*XZ~$V+3TZ*WGg^E3E|SXZ{^;|Ld{dWYdjf{bO<|7!-rmS%(d*zHsqggTGEZB;6__ zmtIw5vVrxH1V;^yVwFJTUg-R^2{G2SE7d#;{1a@=$F5K$h&pFbx*OuUJ%kn37)ia*y`>=0ro*5R*N^*t!m+DBvSjVhACMMuxBnZ9+2CX>{qQ$Klm~MhWraMd} zp^{+^&jovt7l9}}v*}qW8^#%zXA-Y?V|y1Dz@D|T!iimh`j#Atiqn?$m3$>@Hz?)( zD3yT4`0!xje^k8J%Q7k=%Qgz_pihIq*;UOv%Ds5OuwGMF;M)GPzXWWJ8k1rO^-4U) zHr>UJpN=Bn0K0P0-zXExoCbtsVfuFy|Jv=;?C6=ELg7Rxr#8K$j5<A-atz~+(MBEju>C=bQVK8_QD*G?lCK-7o>BozD>MyK;(H;%m#w?B4Vgr&u($qm79d~pi%-*Wjq_;no~IarnV4+WEXMR?SCyeI@EOpD)d9C6`i+9D zfV1+f+I?oq(7u?-9WA%>lT(>i6`MEq4JC&J3gcyj&8j`+`H^%2k>m zEy!!E1ZjiHs=Wbz0eZRSl_St7+BtPufLFzwUv==<7>u zq{BEbzAm}adH1Gndt8vD5uJY0G%U;=FZhSm?81y3vEQYx7Ns}=H2WWhvD9Qh^~xpq(gq)A8K&} z;(0G+1HOrc^9uQDj#uk{`~PD0=p8>zRh!`-E&pUX(QgNe$UcJ{aP40alHVssQTyDj zgP2)4@Ks$`_@65fSnfqfuRJ2W$o&N!&Cz~6KMiB6Xz4_4LDR3^c1X`}!yT(4HJ&Tt zrq`U!`_N`&Dw#YLF<7iv2%y~Rgj_#>*7qGSu&JPH+BE5JUTz`rztGv)KR-Pza^}T; z_#NB-?CF)<=e;S$Q+fW=%ZtMpjtN^zXf$g+FovSw*)=lxuif1s&;m0EQGWJ#7x`49 zUzt&Z<7{aFyXGY4!JG(H&YkO_N>3@1qJX^yUua=!Ni5~!yRDOjB;KHMJCw7AjHvgO zQ-I<;FDWlVr0JO^Z4v4zyO(6s_C!q>qFg9|>==}@_f#znHd2Ww36$c#H<%*s zcW|6p1coJT6bCb<9Q}?!TOv3tT*^qF?>+m7N|_Y{Zn1{-s4f&alt%an9g~n<@;=*F zs_(+g=!dD_(ufn3zF%6;oxtaDA9boo4Xr+zkQp{6>vd&Ps#`5FrT5FJc^ZZAO$@BN zDa@&8o=P@*pN-q64!6EZud`1kjZG`RYR;)Jl}+)Q-Tv1OV_^wV0GIcMK-c3TZ_G|t z!8o;Q|6km36&Y+y7q@yvQmLeNReeka7}^{^ilWG#zs10HkF90z!c9rMN-a}XpPJA z-6lTQgOksXw^dHluhek~W9eZL&LC=ad8`RXq4oYLEW7j>#7FbD-SNTbZ*)Y_NrX&_ z$dib0nFiK=-Pl3j9|nezP}mzOfHHoqkfH8k`R8wdmozA%TkCWiqE=>33UgfH)UXar z0$;q3xT>>BG_k*iWJ-r_EX{GeNs~T>e(Aw27bUE+2IU);1-x619}_UcTFy%6kj^a= zyx=>P8^uC3J#~_MxuJtfkc~GgBV%rdhvr_%dsUK~Ij(Ke*{kV2HMt1n{8K(lwEZ0t zl=n=5_w|PD~2;K2#QR;Hl6Z_N4xc$Qncg za)-m)b?tj5$z0>FAyOKp3P_B|w^KF4J_z!_h*MI^4_(8F=28`ss$M;QA2vXd{_l;~Pz# zBh>~~X^p$Ocf13iWj7{jz{2}jJ(iBLTfeR9th?+21t%{Y;#0F2=P|1O1qwMEn%&1p zzzlo|aHp^+#XgC&+sV^1;KAm1#;?rfSEJa~L7k-Fo~9 z6W`yvW2N0zL0)olke>L9I%iuAUq<$kurmpnmlCt|9vDb||E>Hxh$P5=Ld(a62?MmU z@1tGmSO@s5S4HA@qOZn5wYM0z$v>nx6mr^$UbBDt2sCdJESv^sN|rCW(4S27iym&D zE$4*O)z{B8JX5zS2~giWX-^m!;X5WhkUQZ0QgU&t{z5U!uG#6>^NqY}H6q_LmS%1U zjpb!jZJ9@~t?n3`9+x2Tb>~eu_UMG%F1fKDg;9Qe;>_gRyUW|_z4-|ZKX$jD6yyIA zZZ65Vl#N77cMjH$(muYa{3yG3?%lX?959H3ZE7#0R9_YkPjr7ul&jB-{zle+?Cn-& zOCWjd z#@U@Ardno&0xnC%#O?aj%ICC-1~0QMy60><+X#yU`uq}&m%tDz|Y8l@?+Kqt68q%n6mTU&+BB81~N);?m-G{*AEtq7z5(D;cAm`J*!K< zS6sp>71dj)sc=w@dC+$Xk8|30axqV}5(?k2ZI@fvbV_dX353^K!ol}<+!y=Ly{7{J z?f#`?g#u6on6S4mLY-TbrmZS9re$un)5C7i0w%AtX_*x_zkjZB-o<+Nj(~=i2M>ez z{hcQDwgwt_zBnIl1A}_|+M4)-dxELfWb4Nz@K^wd>*+7QWP91xpk+?C-JO11Mp4US zhA%Soh#$^~DdTM8#YAAv7BsNBs9=2^ruxS9MrL9eBw%3^%@>`%p|#<-(v94xe7FrD zXaD~7wJ{1q$~234Fq5X^2z`i@D}tmQ&($8M;DQkEbPvjP}RA*F4Dj9lm$Nb1QB!tXakzQh-y}4xTB^Ye`E(c|kqqz5)@m^^+<_^hi!T$b{yHe?5UBXl$sI;&Qnbe(&e`hpmD zG4`F^uDcU+%$t*T#D_lwwj=ZW{jX7#*)FhNwxB*7ijM3;XZ;~sw0TvA_pDE6iB2*6 zzAqx@`geqrXbZbe2WN$ACCGn6|9WEkic&LtWX%jgq^Z@)5Hj~)J50&;4VZ)_8PG?V z$Gsw!>bk)_3snqMyFcQRpGn+AL++NFUB_%{6EbJ(PN$GP_bGZL6q4s>5!5b*j_xC8 zxve%dx`+am>+0%&4WvUYkNfU_MGYLHK2JF2V;P+>KQ-+Hn#gWXkT7sa+vN5>Ctl4c z_KeAMM%+vBXv3{hiZ`PW5uxTb3JD3VcLlyVzj#c&vV~LY@00E6Sw<7C*V&w#IDXTy z9{RLI{J@BO=ae_SLcN#;5qV3!3JXXLvT_tUy8T_G%T?9z%rB+VgFc^nG3jc;M4t7xZgF6i0r+)-pK-6mZ_$pLnvr4FF zv4so;L4QnveZPUfMq*`?SOlvjVB2vA?X)sFy;@5tEio9`jU1m&q&%rug);h&=D_cQ zlK9;nPE7Rc@0=2UVYZZK^|rF;(;j~=VeB&Q_tKUNpCHd=?@0M90ahM*PfkIF&EWjy z4Yk>}Ri$<yX?DYi|5VRXm}GEm62 zj-8J_$3}6h5X(CPuy&Dp8_GzXQ=B^!!nu}>gvk((+8n=vx}Y z0o6MjVcHjQ_o6yDm3r%+QRTjAhP2PVC8PSi&4P%o;k%D3d0DS+T&#%v7hO!CRG^EP zoSkQAA01C=x%1z@*|>d@s13sdPx)T(%hoCcj&)V@bTMrBg60&NA9tGP??+7e7%f?y zVh*DCecVs?Vh9#2?j?zL9@ctPpXoOhMY2T7=}W8`HXnDq?yQ{th4ype-22B=s_Tb6 zOtVF}Zp6hb<21X+!l`BPaXp6kL&^b=BeR)q%7bhTH>k$r_ufP)fzQ)ED2n_xOF{vL z{re(AS5$PoBpb<(oP!@u5{s9~1aH0mmCM65`9aL9H_R1DO~DI`LJaezYf`pyz}@zW zt?A7P8|rIfjGN@OC#4Wdlg+a1OWb>r3o&hYIC#_A?kK(=FOY`(863v0fJ zK@M!;I?r9q@XRn?yhw}cj$Bxl^RVzt%mD$>1Dq0y7&^Gw+L6udyE2B2Xts?Um*AfU z0j4Py@pqD_u^1{jt69=3jO)$g!=+0C94j|QY{WNNT)*uoT@`ypxf;Xj!q4@qVG8#0K>ssg6s z9CcWQgd;mv z{W4kFdFq=Hb1yaQhKG9lPdv(*rfvk$p#|n&%lEVR&rH%Ocy@HShzuB?G->`#Vivmy zpF7nAhLQd63w4BQ-VK2C?dO=|~4Lv7#KDkS-ik2mEF zrc=2-%aq?)P&4d*2LkY9%K-F}$B|}I+5Jr*Ng3Kd)n85#t|)3&))=;dADLGNO+_cG zYruWVf#v>Uf2!5VCu!b(nTq$gq)f0~>iSkNT_pAJ8tJ4}q-aVwp=Z1+4b*zJvro#tV>FIX--R0@@rd0Is zr%Bx8ulHCvKx$`ZQ2j;}ItGF+Pl7*+DOb}_vWDU(Z{iY5g?oD8nboY{1?ZxSK8MN5 zh){ZWMtV_Ml)nV9h&5pexNKnNJElELumq?3 z<|37H@+pE8nwJN5Q(2LaVE}cwXxcHmNQTuM({z3i^7xC!57n98hE0Yf z2VedKpnqYN4nBzeEH4LmBka$=RTv@ScBz?l8Tab8h8#V{SI+RuJPSF?iozUs==#Oq z3C^B$dPH-OPW_1n=mn!|V_6x4CjShOY9+UBEXwskE;$*?-?T`J4xBCh4#bv^))STA ze?k~C)!|q+jpKDx{G#a>0Rzx~7iF-<*E>ut+ofL0g_3VSmxE0>cI`IpMY0ITE8qRI z+wz^q5CPYjr^c^UiIof0_@Nm~ zb_}#~gOQw~+CH|2i@WsgPoI9*ZvrhSG*6TeZNNU+30XrA%A)P& zrlTs_Dv6z|u%CV#Jz}Z2aBbg^I>>C*TZVP3CE@G4tb(?S()%Ly`luq`^);F>K_@&; zk*7-ceT69wEjho(cc@r0BUynGd!K{umx5o0O2&me@w50%R3S-$#(9nn8#$ldJ+AbM zu#Z1W+tS#a8oj6D{W_%@c(70ejoO7b3KKuZWC&hIAiq_-XC-daz`kkcp2OEi!p{~c z6|;YvW`5dzAcgL-?2gjHHa~9X8I&|oVI2BO*IS7DTI+k`Dir=A%24T2!T5R2#901u z6XWb-jaN~w<)~IeR8HD#$ylwoUeMZygY;%qm2za94jx)5rpD8L|DX{~qdz>>G z6B)Dk-fbC%mLZc)mvbEa;&&v?qnr$BFM?a-o6l3aX5BclFW8`^@0ZIB^M`ytR4tIw zhCH03mTEVVlf=@+_viaj-i8ZHd~wrj-NpmJ_dco zbq^Lg>JFMqjkk8p$G1J)3z{o=S|LuCVBhh(04E1s+TcU@&j^z#ma2HG4lpL~oEJkIF$n5ff}ujTyXCib!^ z@Buvqj-X{9KNkbivGc(iZqPv%9F(#(rd3bLFB7-Yh{aGWajSDv$@{~O4-cnIMK(jn z=x%5NV4qXvB#5&u4-V3M2@^>Om=o2CYx8$ocbu#1t;h}F#lC;a3V-GJm4fhzMR@f| zc@6ZEwIf+oj-W>9(p9$pc5S7F@zY~%=coIX)Q>jA^&I5EOleaF9K$A$A9qE@bhKp@ zRt4L*t3GF@oF?LJ2=^)D$2*|d0Lr60+N0%$(|;f+FSjIPl3Afycf31VTta4@I3S*^ z#RO|V(D_4pLVCuQ1Nn5C&RlPUynN*;149No5Y)1SL-oC1Fyb5z03k_hc;0TGfZJXi z96OJj{Y&Y*o82)Ay82|*-x;MjoupjvzY)_V|GD4D4ewj|~JHvQn z3?iRz)C_;Sev

  1. {{{|Q;7s!To7~*iH}LTwBG(jWch&S$E3xOLyGv1qX`XX`&F5` zApOcc_`!aY{Q1}q(&N#XEB0nIQD?lQnzLV7t9zUvJ;&OeIAwJ5Teo4$A||<$to=BA zuZ=;pL|>MGKr;dFo}RExI~HU4JaYO^rt`HW?LX&)NgqpkowiJRZMPeGS;y*vimpXd&eKHpGU03aoL342Al+uRPxUIA)1eNiw~07 z8}WGlvYr~Vj@dXhziR($*A}TUKy2C~`n&DpabNl5QnUP{7|Qwbb%AmkMuTPf(pB=- z-6~wUIxOCS43d}7k3XxxE$+7iCH{$5Up6!Y` zxaa3Qyzjmujk}oGUmj2UATOZi{_Nc|cF7b8-rr(|XW`V1qg7LT{(*66Nvk&6$?nmS zR65N`r^d?B)x#9K|520v zW@hG)LfZcZsH8J)zRAGU3xh7fC_5by;CWJ;5Hh$5l{?$YP_LGlfNcMs&@O)ZuLx=m zGB6+Sf;x{zgl>d@Gg?k6tbv$(y8R{NGRCG*gXSpOZh6+WO>X+K&i_APu?-x|+ zf-rEgZN#paQDdt!Rlifx4K4dZUFh|wHv-@oK23=M|B{I2X^b9YE*FjJ;vuLPtf0>d zHB%($Yd_Kf7g}O3mK2&A^yhRQ0}Bgvfxz3s=%xRhCq+nJuy-DhG&?{5!0O(7v2HQ# zlssx!IE$eBofpgasTg26i4~)Ws&qOL3qpb&RhoqZIuvYj3DgC;>XGK_lTgG$y>=iX zo#YOZX?m~U9xnf3ca7hI`jO7azaVZQn!wlC%xwavHch$G3;@ zCA1E`ruMEOe*WzIrv{@xiZTN6@mQ93G~vZ(zX*aUk(}J45oB;%_<6_b>R=i%9~9g5 z@{Ae;zw61=?#{>{3)i5=1MSIHbhUH8)F0X7e^X!~#{YALJe_}@PFxf*{ubzxt2UcN z>5yapp%Bx$!t_a2a3P1UNRMoWHEr;wBaE2HmRUK=|Dr%4Uu@c>Z9*vmOhSZ80MK9} z2deIDo7aO8pC#V2_^=KqW8@Ed066C4j2s-N$vjXmH~UQ>l6E?8Z?6ytPaTmt52HJN+ET9@cFu73uh zQF~wMmwdxMmV8kumXe*K75i+~-)sxVVy&3WtfIdP+6vZr4kiE+0sp zxUe+~X?&0i=^k(Rmc+_6t{Vs$WCDAW%lcZY>VIL$3vYiZga^zj!BG5iu;ZB*G8DS% z1>h|Cy*Xg`C@0i%w~66-?8bdg`04I3c~m=0T?oZ^8v>AYS+b%L0$cSc6QSxp&t0+J zUbQ$?$Dz8>bLesWBRsH5f@TxbXupM|WGnE-v48@o|HJ={)!-kngo3$e6hlezDVRtY zMa|~lawwAWgE!LobDUed(ldT*JLw{SXEtCNS{sbyK#=)m$4Wi{I-#9d4}nv0pjUv; zdmm1yjga*|clOYODB(E-TaBb~$WH-9wV$#jdN1EK{=f@u3_*Srp;o^4__;17mVx{G z6cq|gf7hNt+a3ul_KAbU;MYL&7*G4D)KR=LVZ1|Rzgq1N6`fXm&@H5=;3p~|AVGb$ z1^m;1=XZ1&*Q$m>fGD8m;rrf1YZCM83ZQhLQ}bxdPkC>=b!H=qTl6uo^pFax($*~*SCXx5Y33X^qPBohbhxA*3W8}(VJX&dhn5v z?}j*HMBCbEv>Zt0irKu5T_*%WHZzX-u539eV6Yn37V6^GlnyEs%%skUKp_|ZvEP{* zFO04HHUoa*4A|?4`;V7UZ2JdOz=ASHv?YJq74_o|XkgPPd``%>^q3S`yIPS@NPq`j zZauY&dUQWeS*rT_wJ?ReP3X+_w&18NZOXbuQB(67LY5dSUtaULc&Bgrov!y#L=KCB z@nBzmJJYhAt>&%IWvND4ji^pN}xS!tny=!S3{P+*Avw!!ns69mjjE2e5 z8FPy4uvM*r>fO$j8fM%>!mL}t9OaM4pG_Fgx!K?`{`$6{TF9X{rS-^lq#|lpSr$cu zDv&wa{YS^y-3$N2qy%glDlPO#optpk`dnRHG|pj03YvW*LpxpZ(Z2r?o&^ZE?x4|I zj}C(XRykFQk6QUxYGVYV~8_mFAT z6qpvZp2p7&-d+mP$oEXPUpe&80pbw4Uz;r!c~rA&B>3t~y-m8_8lEXo?i2rpN&1g5hPI z4#eegB;a%~gQ#d37QRZnB-ads+TX zWM0)145!cq-An+U&(F0kPu2;g!>^UYH$1tzkQyyWvogVNcJTh$wzbIXAL~}mVZe!!pVj?TSjEWEbxp_j`vZ2du zg6WqvLRx8j`cbo->768Uv^ey^mFZyAN;u$YD0n|;Jk)yjF>i3%Lc67q*gZJY{+)MT z;6=WcN`OtD3w@vWn@ntu>T0*=l1uD#IA&InP4~lYI?EHeW35%17YY~qeo5fqN6o|4 zWWFGpw3Nl5=77=&Hm@(y-RuQhC)Zebaq)UaS9^KOgsDX})P~aoxz^4}23oh^;vLcA z;bHjPazm+F1i9}q(mVNw!v3SC*K5yuRqt-9({!_oq_gzT=gvs zJIgE%_vSO-xy7^G)sdIf)+hgeg9+I|rgJFSXy`j`%G|dB{bo8kBA1(#`*R+lby=`* zRODUpX2ejlnCJOjmEGS=XPtH443N%k_{3UZA+WLR2xyX$%09jr2+48+stIDiWZbyZ z7m)GsquWVxm?&(XBC^|cSwdwBjaefz(dTRtek53GZ}Dh)FwlA5s9THp;_ z%>8XA_Uxs+@EG^S#H)Iodh7|NjEh`IK|>L7rk!{$%{s!*$uzD;U3jBwOtEEa7$?+T zarvyMRM;f3ZKB~zX$4&9L7u_yth{`Q&y`8NS?&d~7Ww`yQ4~mZAJqBHu0#$2RN-OU z#M;;qEYRW#s{D+T!=w*8;)mT~&8U+|;+jmzX=?>x-3%kTT<9WS0|g)p(PDM9AKp4p zfe7V(^LXQizt`K2*YdzQA5RdPM*D3gjG3EgaF%O0j^M&{yJ~Xfx?8>Io2q z2E-Rauy96xt-Hg?Z}L%YZZ?Dmr3%*x59@rCh`04zO#{R=Mg44uvxDImwC~uay0v&<%6^&GZOYkX75pG z5#VAvwIt1c5KV9AgFTWksW|VMni)Yf18VLg!-9&_+t@u08!Ujfn@Is>$9v$Y^X(g; zSLp@omC9JEva`M7MLjqmc^~^Ny<<8*;4wUv^^XwzHc-1QP@zC9qU-i!iwlsAxd2|< z=)S>Nd2Tw&flp3l|e2&H(qka(Vu67-MPZ42ZPfkLz#c{@|(QzS5 zLGHxg$^#n~3$=Nv5jhazW$&D^KwZf54?ryw9ubRy;@60Xuoi(Yn!K!l`Yp%L7JcfT z^)0|A-H2P)Sg#Pnc83(%xmxr(^KfPU18U-G#dm4;q?Dr3;ePr zpHhzvUwz8|u5_)|^a*^(05noYsHV{jhpL6 za8~p4zUN+*rp6q!sv_rIhwB{bV(j2a6)T;#X zJ3Id3ZWQ}~Oa(;2+tzCgoIHf0@u`7nb#9n@{(2>P{EN=$5ihn_dveriunq-WNe_D; zs6sa+x@@sn;ly({<=yc!2*v3f!QdK_dhlstx`A)gg4#hc3l*$Jh7M^%)S0h7VU9s4 zXV>x;ARQD7exoznM0}p)8gdMS%2k`T-0slo?;d}{kmICvYtCTeK@=I)7BJ$X+w+)Q zpih-O=B=9`#M8vCG5}xg*g4|Lr$FAo&O-@6t9e`i<|w-5Qy{4m1aot}<+X2O+`naA z;3@}AcyPLS1qCojjN3&w9_ipwMhV>cd%L;954H<~LvT3yXXGBJMn{CZmpngH*>pR; zzQx*q{YPk<**M+qOU%YZG(KT6+4%$dldQ?fKG_w;SDUpTFVWf%eBcLA{V7`|bVe3V zHX1Pl8a(!-eS&oVL=+fsWwYEJs>ok3W}k5jAKkQ3`N!Pu3PTeUfr|^^K-=|h)M$mK z&usW^R^8+o z@{kRDWJ|o|S1j1bnwV}mUXZV*$)wVQE>~*{F^s-_ukx_s0`RSX;N45V1lL0c(01mf ze78^SQX3#=U)Ce?l zjZ(!bPU^W1^;Uz{l_yV_(b*g3*HKFlF6T2pyb!aj&q#jB>bIOy2-Fc&=Od&;I?XV9 z(wfu7a)YR1$j$^_zSvv)6~oGT`+@zqM|oX0Se?t}*fb)p>)e5>LXvy&=N3^G!pA9uX3h&$PQIw7*#Hif6P8X}dNrZ&*G`-|>d1vqLdAw*OSjo4w>}}bj zE;q^ceb)b0)tWT_g1&pf_RBu40c{gXUwFJLv2|RL!l5z?czC<=(R;ewG$?pvIN1g% zyuZCIhHEo2-7Hn$Sy96wrrAUC>UT2k`hA&`Kx$j5Hf6VUh8m2JkQfRHhut?fCy6`a zCA~{24h(b9oPe(}N-;U7xJ+?Wx+&AyI5-stc9AI;c&`90;2>lSuK8U79~reA5ik@Z z8FUZJJxO?QPfNRg)qBqievM-#oY80+{i~4qI@9g_xeg>CiB@b3!Ri`Id^Ff5#%z8f z*tSUG#ay|9^*m`I?h475A_T9_b3hHLtX`p^c%^X_-)(i(6&WiGO;S=&!BnjZl9hpQ zo(sY*8h<9E{TUGFJzfL}Ch4mA9;aeb#>MwSXF1xM2V_o255y7|6n1D>R-Q7&D%zph zZ-65@^nI(K033X;EarXgt&H_yY^;^P@!7BrO!uaTLIHqHgfjV5RY5_3W4Fq>v#qxN zuPE!m@ZhkJ$nW2{EA{zCX8L}Xil~MK1$mMO9J-X@i8&(SO5BSDvvF^Qv@aJ{cL(f(_u25l*~4u!4C)RVGgQ+2rUXABK=mqZ~NeK?ZjGv~Ys!_-9g# zY&Yk?np+p?#H1rmSTYKp%B^x?fv{+3WCHbs^r$e==(u_@3F}M+qi3+OQCZ)Y#y2sF z6rq)j)Hm_(-;vy|4*gK6Mp<2gG6@OsysqP#BG2*!ILC)&tnYhTtS!cd+EX;3(f~q% z-N6(ae-|ari!0CoO=aE2bVz(s$u_s?sV{t2CHevlrdM9jtO7zcU)BLpSV^=$uAnmj z`U_{fT9>ZF&Gs{cUy^Ad-%TNG2rwPaK^5Nt{~e?QK$F%TbnZhzXdHPxr4;ZwcxNBC ztGLms3szXz6b1M#P5ffN6bKKb?0X|(nJD2oqf9{IM-Xgk@PCM=@9f(#`TK(`+Sxn#z=^N6 za{04fB4!V5NL$UcH=Qe*-BUu;vvHIkW%(wO2ErdwY92gr#j9 zaS2AsQ4{sHZuBzyR9MVau|vP)vll|bqH{1`Iu&go2=^G=0hzkr+V2p0_5}bgZTEsU zu-Qsq;f|ttv6ONq%=S*hgfYif!DL!pYAy@gV_#xIiE=WQq>VbD^w?BAiL6Qs^ompd zTW-$B^Yfyvp?Dj^itm%6LgOK4d$1lt3;(tepkPIJv2HYkop^lI>A+E>LVM##N;h2h zGs^Z(&?&gLJO~)t`(n+kL|zgI-Gm6JX>=8b#gK8J!rVi?(;LTsFql8l<~wT(0Mb&> z)5M;1+?(59-Cw8!clsBm3`5hVo_A)RZnW3W^%GstzV(4!q_Oo1D3(g|0*|eP16=Nc z0gtbAS=&GbxMFd}q5%aPO!6G>6hfHElz_z=t}?BX3P37dKI!mFl2G-Lo-qT9=mVPY zhz{kWJ8|BRO4*9e<5P)E!~c%HGEO$Y81nkCN)95bYZI6a|o?+T3aK5_iS@Q6}2RpCxzW{Tj`>&NjDp0 z`Eq2@w%Lkq_yMc#l4YZ%f+7fsxs8moUY`PM0arLbWv{1 z3k!$@I5dtf4(U=(r&5serzpilpMf;>;zxhg>J>rAY2>#Tizh{KCkuW~^Q!^TjLlOP zAc(u59_n<@&w4~dod-BnO8MVQODn>d!Y$KHR9Kfv#k`RD$8MC6gd8%bSu4?0!0Udz&zJ8zhJ43WL9d z&u%nJq2foCPROP5!_Vc zydP`1;(Kc{wCY;-Mf5jkQc(C&-<-(jtE|M~?N)g#T92g%>?O+;x>L;NHPmD-?SE%D zpcv+c7s252@(cZhXf)E>2MA67v@jWtW4*h7fv#YJW6u6{nLId}>4Z;J$kL?za>Z^+ zfq^Iid-K22&l|*t)yR+dwuV#pMqhVzP4V{v%;Y&2WU#%k+{H z<_PNKT87epaurc}uD0jjfv8$-KMsyH=z7^#vVt!ykNKLaPEX`xTgHh~bI`~sL)kK$ zZ_;HQrp=BoX!zN{Rn;_PKienPC^L%2+a|qZ5fOPcqu+(I$#YgWLJ5;pVZTKg}TJsF>2O$Ke#h-PC-53vaV8gP(25I<{7}R{zju?-Sn;0Kln74>9 zlg<(EFGK5f!xXpzVRW{uz#HQ9B(3ypkCLo=hUWPDw z7pTIwwa5-4Uh8I=tyvJ=se-GtB|1&AK7m~=%C!@AqIDc+Fk6Dp-G8#0#m!2M@J9OugPO z_(!{hI&XX-2~+%5&CCTtjE+Y@Pmtj;uH&#~n`*yt;T9xXr_eKgTef>W)wmHZfJ%4N zmO?hGHPY8t{G9z?(CR6UDfa`t!H2?6`|!Z6MU0h~@3tj&2vHuvwe4o#;iJ&);X2a% zdsc`ckaUR(mx4&q=RLtPL%TbL|1uvf&uJ;PU*qCbW9&hf`FWPVKJWa8W4U?5iNDwy zz_fh5;QYk?^d*9;C@{}}H(jXUV=jRo26y6(!F@s&Pb-?kP({Q3rx%fvt8hedZ?1^Y z#OW-i>gKjG_2vxZG!88DW`McSBZElu_ECZHg|(?}3Bw;J8^4PN4?=MZRQyWaU|}gH zqctnB><{aFJn{O~;0n?8ozgZe4|E)Z<;0T}rhF72!w!9D_8Zx9r6QbtUsu?ybx4`hPY; zQbIDZR`&^DUD@kBUO}l!09z<6jtKdB&Ri*Q!C5=cCag1HC>*R6xErb7SKIA2j=s|5 z@e~41Wqf;$@B{tHCzG$#gzg!iLxQfAz2%B0R0od>#x0WgC1~{02#~Y1A|enIeW zFPwAEdP88h=qz7ahROd*^a1HJ=`tPa%iX`2sAY!Nlla!?Y|)3DiY|q3*fz6nvIq(eNXZ}Fn3S() z54YI<9&7K)M?+a_2=;out`1|BKEYo>PQqaD%w5U04l?Z^+k$o;l6sdXUf|{N*@{E? z*d4WTw~S;r17rQ_QEM2+z)Gv!TYL~vYxf|nMl~6Da@$I;-U@+UUZF2`HL`g>`Lg2RjLQ4|pqvsa7gMZ;GWQ zW~yt}5ku2K2WXiyimincmu1EZD0C<4Oye0cY=*w@mET7T%6@R<*_P{&!&l9_HpauE1?S3svDe} z2G=!MZrC>8_Yj3zHb#L0PNyKH$wlf$l{>uI5D==>?Bso?2L-25#R1*6;0etq{qiD`M>|j5N;WHaMY%SjmlhaDib?(hMZmsZN3^S$ z@Qn|+n7RJGo}PLi^fLGn8vmcBv7vApzHq`Vnms?Tly| z_lKcULI%n646>(ZX5^QpwPE5Vjhk_B-S|Sguk7%|KJlUm1j|gOb%Nd$(2S(y2NvjKs=2 zo<$i5)*iS`V-oSsqE6D2%Q(%yZWo7!4Z^qjOOx{J*BWi!wq{e$=ZA;<`#aVyib0*C z8He`^KyO!TAvw2MC}1Lm1&$YbPGm&rQgy4W*4Jt`!UkCb&X+@oA_c=6nnfi5fmfL4 z?>)c~=O*x7Oeoo98>>-oz^<%f&826<39Flx*J;J=R^VYN{~erE^bH#N_L`Xrbd0(} zT;cf#3w2`1K{^Yi>-BfJ8ZDq;;U(YmAw03BDC+$UAei*r(dPrlRuT0cmuP~7%(*loXE`>R^!H+9plw!AK%0e!q0lgWcOkiaX9DmDSW!XW5rs4bQQcD!{qU;6^5;#r|k8 z-a9ra2CSEMFsYOpyKe>lB;m09gs|b)h80(mr7MDQwq~}AU>h?U@u2qq`GwzDZZEL#7&FQQfHufcRp>AZnUWiiFj-O1#=$!yexxTrc#@ZF% z6MEHzmB_o9_0m!~=t@*5bE6FpXao~UO(0POU-3xKU|%r;F|eEYVZ^yg0O$PV(_~Ke8dD8oyyZ5+=)|y33#T11tMGxK&3~u?Jha?aZx&|>p$dv41 zrZ4&ZobF)UnDr6I&KS!l4_AEKq{E=@g-@&aQI^Y!$P#2;-MyDi3E{r|&;(jyYUA)b zVuL>N|s3&k6+;k+b-Gr|hy}>*8n{e?6)B3z>CUOqkv|r5R zz#1q7Bd;Xxs10uP?f%BJ0g~2I9U+CM-R?0JoCkV}ncx`fpUEy$}_mT)-9G^S42$H1=lj|EuSr*OC9oUVr4T zHZ+Oj6V_*c_Xh$_(>WmsTw>efP5cFeR(oKdN+}TcxgB{oU@{jb zFCX?!XP4}$(=SmuySF$K%s)T}U^{Ow$zF(hF+~}7-~UTVbyc+g%%KC3BvxdMoeMb4 zdylQ9w^N^d_%AbOYyge~S%eiSkatL5NP8AF?7+EqJ5g<$wumr%h!FHvAJ@kp$`iI% zlyWgkIfENcI=B^@x`?IE)Yj+p7gv@RH`rlZY$tCB6Z9`i91LGr-9*Mc@_>o>o<1io zy#jyZE3=xM3WlhlkMn9E{-zZ}<1{4fiAB(VB8Qe3mc#qy;DZ?|TfB7~j6aUU2gcxb z+J?-;PQ%1r7nB`p6@-4oPEb-hQ_C`$?sWAo*#b+nE~u6TM_ZC8^yK(U z3hF|;0>W3BgjhA-)4Fz_HYNTzDuER);DsKY6_bq5p~_vz5$TVs|Hrn$(n#&An<{Om z$hRwz@~#j@939{cD3BTtY%RFGf1=pX9rdfBn!$-3hI@x*ETc7>xCBgheA;T{`#R8w zkq8QbDTUvBNC{8V3F-nJ&6ON~t2ASPej7vrOvosJPOSsz)Ux3zo1eV6;$;Og?kZ?= z3Ke}uNz`+6@zL0hNE$F`3awgh3zbTm;o@mB9Yv%N=57H_R zT=+LG4*$J>O+-qe$nzT&aZ%WhIYy?~%w%XDaBw8FTW!C@*WlnjCig|48nWAnLlKOQ znB^FGiK?2PC7NF19cU98kTVx;0~%adTdn>_!ezq(t{JD@xb>OeN@4&9TNv+cQ&>gw zI&k1r<{3}v^KEEcHG=myk+P$0RCTDbdY2YQDXm(h8ur&YPG(JjJ8p0Mvx0hM=*swV zoEIOmin0$+dADa8qkI|<0>hTyNU@t?RU703R_rMM7z=i&gsh;f9a3epwP--GjC}M` zEwJ?<^QcWoCe?Pbo0ur-1{}n$3EzO&6bDMT%Y?Lye1kg+!}1$^;xM4` zMna31qv?i>jhEAFy$F#|pHa5~5=n)noZxRyAmf`0!8B%b)T@D< zS3RN^&WcdZtA${96WWDm*5_)(=gszBh`PS1E(y^akyj+Wbt%gM)75(EhRV$oU=a_B zN@ZSvWTLq2G4FJscX0QYNpYy%QAEG@+RNKR12^n0sr@n8GX$ef46 zTQKFe3D`%V#X@e@f?;lf{4|Rhd03g@oqa~HeLQu*l9xf9)v0E}FNBosSs>2TY?SAH~xpt2q8NfD;sp}4f%yJosr&!TRhxi)29KxWf%e1?&LXs z+3d2JkH^I~X$eT@0h$C3t3|kK?hsjV+D6ZWTqoM#)2M=1COxr-)h)_EJ8|qqjEE+k zI(mv#rf$~W6Z%`Cu2KSb@37jI^oS@I-JW!BL(F*U*F3rI9dA3gONytIH>-bNsL9M- z6Ez1(xHmp=S1G=57)*61e~`{4in(ox zwejc#IV+RXg8B+Jo-pRvCS?yAo6{m%HGL@&d=lh^m6*bjJcPb!&ORJji*7 zp7uZsNPKTOxcz4~5)P7_51c0FUTWh&Vt@e>Y-iiD!MuXV~;Z~fdP(o6*gZp}$JqYFHj zOg}9p z6AYh~oadcEWGeKb!O+MvMRyXd{J}6%za;j~$|ii#84yUq;Oc(QfNu%o*N_e;jXK0% zCuAXQrh-Cprs}UX$#|?*gsP!R8{+(6o=XXYP0v^HR5YD z;*%5XRx}?pIP=fH-53@ zsb>6rz9}JKFyBx^YKpsx1kNw5dVU~G=*Twf&KJV-$-f3#*TU-(5S`ZWIF5w$2jQ1Y zr>CPAm={@MpV^_!?0(Q2QS8A^QR@0-lZh0%K@!fsbcAY9g-h#3`u}f-6#g z0MBH4u)DJD?j+hE)(4#3+-jn5uo^{PEzGsI7?d`iuIhq1}vh(cH87xYGesr()s|OTx;>D7G>|#AGA%n)3pZf?R zHvaHm2_Rm{Pc8VvBl`^0J8fj*o)>Za(kvMBu9-ltHMYOXDWPCQr``kiK%&jBnhIES-ZUl&*wc6sT5~$$$ z4DMH7%!=xht6{@PHoSa)3t2FGiwTs0 z&=esTGl&E&+x7m85Gk${|IjKkHxFJEKaH5$w90_LP8P%NQ}FS8B`YUud5}tDFl(76 zf($l`SOsg=Z0oQ*EFKSzRN~UyT^E#W$@K1$8^V4dqL1a3L(p;OxvrXy@VV;;G&mKW z!oLQLS&s+1MOTLEN~QiiD~jv;XRE-~w_ew1Y{>U5Y#ED0v5(WF*-{-6W{WNK3(iNO zZ2=T|<0X2inP&h5zKPew&~fUEm@#CxJ6` zOX)h6k|4OXip;Rph1|KZZM2;SG)ghM4A>YudH!c*-UJ$alFAB#;115NGU&hy6Em#= z@@c~PKaCdDW5ME8_a57rF1I=Eqy^hPl(t{zDK>Mt0*WzB;;{MeMSw4vdrJpT5T4hd z^G!S$FR+W!SShcE{N{~;WcU6P9hTtNBU#n@r=M4&N*6HXctwk~-(UxZSyfycvhyCq zYy_V=TXcAl2>VU$^Y9(tTOnP}Ch4pqL?7aWRxbM!eemFmmyTD;qZ(BZ@Pn^~O5{-; zla_=?>S#YTPT3|j>oK252=aWh@XvvwfaFhL5%_ib?QI{I%Y*Iil!`*v|@-9JV$Cl~Z_K?lPPX zTn>-tQd~@+g|L9=Uk+DHa~0oaX~C?;;{ZFm$i!fI$*NCZq%6nBZ#T3ql>0wHjB0rv z=p@b#uJfzq0G9{JJPl^CRFZsyIg4^pXT;XTi@4J@|E&buv23z`Gtk}32|h6UjuhsX z$Sk`{JNxF}nA{}`#1(VMysQby0x0+F!$q=2r*%Ndr9u@D$OdkyC6)=qr1;0`yJy>r zel9E~BB7xCdV9Pp%FjQ#&&2r7U-Y($Gc)hllb`0HXLAs7JiNM#cd_q?%;Qn}vF$>5 z@O1wuJU#z(Uq!l_>gjO8Z1u4p?(I$>mVT@^#;C6=$C0WLob#E_`i$41~->_^2bXKaC=hie%h|PwYU%B?F~!C z#hNdbLps2qD2_e%eUK<3RozQ8Mzwn4u$}+aho&OSze=Lkt4N2mlCL4WtmI0L#Q$}B zmPixuOGWMU}PjFNg(c+vL#`FxwYA?`{83SIc^w^GXkXb~XGp=f=c zTqSI$hnd~xYH-kUv-__`^kiEP5aSG5^8&9q=EUHr*xL$~z^FQ+aAcHN$0vA|U#S#5 znTs^4zEMF`;kWg1!Vffbs;DoEp(ZpADBK0_`vdHhH9ADTmW$&0f3-T4eN*B$J8~KA z7`^jue@lHpj~9(Ah`&%J)^K{~gV?GRy|Sg&i0NCCE0*Ce{-zQ3PGT(5t1gK0Z&52$ z++IwfL-U=W?tX`82#S!XgB3`Bb2F9y(CT8mG5ljr3X*0f@nc3=``4H%wI+0N@GIqr zq?kM7fko-RW?gS#vezl2EwKGJ2%^n?D2rlgA*%8c;w0Ugf}r;mOruzX(yGcpMddDH zFB5Jj^4m=AShulnYlcGw%m=?Ib+JW7Uq{Ae#r~KhJ2EfI2al=d+A%IH3R;Vn6Iz@a zW}PMhR@c|2^8Tqg+?N4OXHIac2`XUgpVorEa6{ zo#g#aX@wYP)tivh0QG>_2iu&y(6{n#=A>ovR^wuB5uHEOkq=G^abqd@w`-@=BjU1! zyoLImy=y5i7UG{L%Fn9nyw z^x5{nL@)^L;*=?of1sU)&^kfkM*|M_QK!^IHrY=NM-xMnTrS4jbF3Wci6ff4h2oMS z!La%7Ie^`sXq83Ij_`Hji*UEOrq8&Dmz|}G$FVqiDDWF+YGoasvt~5OE-v`nhVQyE z@5Z<3_eVnaJ7@^%$DzC*K7t-&YSO=%d(N9YYK@mAxNq}sJyVB!#;2WD#@<8bTQ+Wl z1!9@BED`ia3!{Lp09YQ*EG|I4Nu9gw=68V)J1 zaVveJBqSqf)Uij;nHJi-`8k8*#``Jm)Ee4?vLoCadtjH;g;4y7f-nI^z`F$(i}WSq zI2`kw07_#+pBn$XFLb*6oG8vJy6d}vHysc|{NM|JS&bEach}Z$-3#$kfpvhpAAF_p zjrlUiu;;`CfBQ@L3wSJgeqUD#|H&7=^dxVCT`*yp$>R*hW2Cbws`vs(?_JpL&p+__ zC8tu{Xz+N|@C6!U4y7oXQA%bsho-ONNRUR5=*qYQ-kz^h$GcHt=jN4szlnVI2wb~3 z4a1ag&-WtPcNRK_$nyZ$rZL9wt^LQ&nY3YQT#$pwRDO3Q{>#+nzjil_C>!<;*2^9c zNF(H&fKqP)jC4b+)oW+Y2v9FlZ%BCT`&-3xAixy>*|)9=rlZs1`6Ho37R~!@DQnnzmGPb4K=kVqO+3x^<=$N#D+YUczRFa3RN8S-qKwYahGr)v zR(hp+N&UvU#V(hK6~Nu(7n-$&o&vQv7}oWW$8;?>fz5$PJulkh zHfU;8$FOjOzwMJx_oL8q5qjN{jhKrU=>`E`&=BpU;(nb|d1_+gz27%6EKIFGB`S%k zTNEz!_=JRq6Fv+nZbngoth0vr`!pZR*mxXf(GPA8x#N*7%E6D2nO>oB6PJgI_T*8xtEIERph z5&CS&^heAI?Ct`S7R9OTB24=4i*qr=fQL{TicksFKP=_SDOy)hp8&Fn(0?j<6Ge zF%2e_YL`J%D{7{@w~32O3HNpLBC7Rr(IO?8`6}KfwPN?iF;tiN-q9WI^HAxxFvZ<{ish`pP;B`X6@&$ z>=QI+oXcoTZjjX(cYy&}kwjgpTGe(vRFmHb&ERrL!bJwg zFAa2U0jgF~;)A+|5xcvuqtHZBp=fUP$L8%^W;f1!t!WarXKGgS(_H7U0kBRnq#Om;MztpzHQ=i2jKz699qm-g1f#Lc?#WHcp%sVf6oT4H72c)VtX z>%abAjrFwm2kDVi7H3m`o(*szq~9f0snHPj7_)EGErT^SP6GaZb74tX8nOG1zgatd zX*<=c6YD?^{t)Jd1yAr3)9|st5+;pY8^LU9uWqW&UyCTD4>mn<8x+`6vq%A+C84gA zG~0Wo{O%-5F6&UdxL--lUVBf5$6uMl%;~qmP-qDfW7p@jnuzT5DSr0^!&q z^qrePL^0|q`M`_yIaX|gmS)D?=;3Ai*Y0j+$QYp|LcS$6w5U*i9&&Hq+0jEwq8Puh z6=M{&MF|3x;i)tg6a;7e^&*=?3&96XU@M!2A3IH+ufI-=w(4e{Bz(UGoLBGnQvZYz z{VYsS=*r$!O4XVOK6o(6-+UOzC=FR-DB&K@m>w*ttNeVZ0rc}JFZX74P4Wwd&OvKQ z4@u(=pO8}}yqH1uyraQ)Cr`vVV>r4aLv8;YE6Kbuy$pplUGm>yR4~pV2n9 zH{N+iq&D}n_9v`5eu}v0ayAlL_-m`zVSsVB-*Z#$E%^X=3JWp*M5&BaeBQSQH=gC* zk7Dms?GkU>7o2H`FXEh21`2ge9XfxG#izgC88h3kh(@j05XGU+t@sJ!tuuE=-h&2s z57Uur^&P2DOy(&s&l%imvWzcju^p^|v+O82#RD}+2Mk}_%`G__x=OE__hF%5-c;aI zbZIo)KBd+SL-}%Pb6TyEuc)Iz0=3+#n31Didh|cR>2#+}uFHN*X2rWp8cpmCk&Xr& zLOo@SrH&6Z3Cr3XjvqpKP2VlY8(E0Hhu0N)GL^3%a)Mh$=t;e+-#7?&A9$@VODA!2x%-*rcM8RrcGEGHxS;}ATw;>Kr6z=8a^^5Y?M2nSMq2kL zkoz9a(~IIJE@|{vO{rg5dB=#fQNWQ|a}nff1*K2DaV9*7Qi!s9k5Qbl(1I4yWDy>> z=ApM;LA2DSM;_(W$_6@L9DT^lpK_kv2nR_KV_i$~#}*-Z zjoFTut;jNMF@j>PT{G!FLn^2XuKOI3!r4}d>`M5EpLhf(;z~lfP$RCR1lT*&pvE>o zdr~=p_J#w3nYy_cQ^iAy#F7uxt1|ERGSqSw>u6bUD1*;PJN7PnFh$2yI;_s9BNyU$ zBp+aZ14yX)!0p(pkAkT>hx44va)LG?*Hsd!enX>; zC5t_b{hsO2(Y`m^#%6+g^6gc2%T&ho^S~~Mqstr|9TIF5F&rE?YIwc%l||U6wF#LA z$JW=W!aml`Lmz5-15cla)K58O4?LFTZ`-mke1ZJZ_3a{$tv8lRe7#`%sZ)!HaJ41m zt-^YKaW2f<1KftHXHjMQpJB(X!;o*aJ`mkzK*RgR3Q%w6I-F?E*WQr$CHeSfZG7U# zfnz~V){aF3-1inR7YD9;^N-;WP^zQp6yL<4pq0OOEQANgKSDWA{4*T{Y890QwbN73 z%EjR~kd@-&MC}=wnM9g2n&hjr+%Tuq1DREINjb9u$ScK) zrh$0Vg9w9Al}$n+5Ey(SW5w3%fa5MO^2cq91YU83Q++@JdI(OAFy5e`piYX|IdC51 zDH}1Di?E0c=e<@{O4(D)0-=IpK)CpGqr*#TdVM-GO##K)f=nCT`t_(IDSh&Ps{ zeD-T-#2iRe#`{1;4RT&|@zLp^6G)nc%5C|3W9h4@mfCg6dImksa2iJWRSYt=&`|#? zk$bLBPqXoz8z3H{iH3px9u58>DNyIZ)QH256IEi}qxAuPZRoq8>zBxS_m4M^$g(YQ zsPPhS#yJt0^XDqG%-LSc$rLN2@)KM<441(d4guM{yU$hvpr~n7+77BK^m#Zn3ibv< z*K;SR?ov6OP}ckraX2&f$#8$FH{p*AhvRUhyAhxThgQ#AJ^#@XKMC*NWK#yN>-PV6 zu#(5&fbRb)4U2a25tyg$&i~V@SSs$&V0ltcsTsZX`WHOwJa zkAIHmr1MbdF(g+T|)1 zIJEpunT%@-)g=Br@6yU`(r$?rYAC0GOFE0oym)y$1((o_ z)?8zGa!>2~W;$8}g+BEx?;n1NP&47r&rw?@5h2k7{U0Ti z1VGR0le42VY@#w?FF$3eb83Qwo(dt}4JAQ`#d!gqJB?<2vgP16Ubn{#+|zVDgZ~gC z<5mM|FOX{3GKKF=)4;F&8Y!NdHiLnvl%}=dl{1j7yYl|NZs&VT*RS76_Fu2pc|$QQ zw*R%)u)@Aa+)}?&BQ5JdO{2=RCOyHE&9;DmGyLCG8`1fpIrn>6Bq}U%s&+XRX0?#U zL{x4W@xbE0=+r7@#FyYz=+*qu5p?;{nZ09m3BpF^kkU5uGa`9kBHZlGkat0r-l9O0 zoh=}aM;heF9I_r`{$Vus7NrDV0u<5>r9Y8$(CLdIT;i~T_AVp-Jwqf{BG4rO#z~nR zD5_>WdjqWc05V`Wyt6hKK{+)(90v|H`}o8+(8gmig}dQ(4KkgLVYvM1Wx7?|1W~Ar zNdij60|p>C8bILi^yk3*C+R#1NU@YkF5na`l|1{q^-d=Ka4lA!LFZ^PC1y;mS>^o8 z6ziDek}Ahf5y;RV!~GC`K?2r}n`G@ZDtMfIhnk>@ype&O$(ZrHDs6^WTdDse9&UGA#sO;9Pz{>{$oC`MBJ_ zr3a&%4GylHcu*=I{+O;0yX(erHqgR*z#*#iXS%_x55c5w~BfX!&~>Y(xsVwHen&ge3p9+sxPJzl*1H?P{?+Y6a37>weJ2S=T;e9{b5s$2~%n^3;`T4bIJ#e?H6STT7PDpszF z=8c=dp2~B6#0giC&*eFPqlz{%Jc1BG^{gB}9hE9pLG!lluyN-uWaJefDn1eVM(bZ1 zT$NBK+Q98Sa0r>X`FIo^gVmaAP`PGpG_BhZwky?A_=ktf}uOgvPnR25B00kGb(6=^xScpMuK6^%8hN}K#9&0E6SZWGcnbMQDi7OEOr zBEKQBue1u`xH>T7gRjrecaq#4YuZID9sM<+goroI8H*Q<|) zwd=ub$tt9{_#wu@6Kkf=NA)Vz(V#_3nAzANIXx56Br7dlJ=CaO7xf#{{IswX`7wz} zq<|QrM!g28U$ZVu7Og~*voFcLTQfBoHjggR)BJ$T6o1$)mMyO5Saen+$QcQ^t=BEzjGk<#Pj1W)dIp4^{ zOz_M3x|APB`AH-%V>1iXqx_nbucN^abU<^3Rrq7kR%o!-I(M1ZItCbiP9WuVYU8E{ za{SK1#pV!}P1%G2-OYvPy1~69AmuPQTMX-OhoQaJV_=K9kgL`U!y9zNyzz5kXtxaq zJUvNq^Faz99$ujOnBPmTC<^jKigO4a?z@RQJ8t92(NKEtxgtOC1krKi_@6|!p969N zoRAmfjQkK+JPUQjbB>nzHhw>pI6CDOClQ4+(<21YNABa{-blnAzlIFrJwNc2;3t>( z$svB2r&n~E_;f@r@t7ZU7KI@$C?Xz3btm_NWYe33vYnJ1WrVa4p4?kjj-$A&% z{r>@w^6Xi02+MVi8a)c3l+Q^-s_fZQfVxL2j6g_W5MC4&!}-iv^z1?TR1aT3{#PI+ z)l-r`obpS+fo|^Z=-sD}sAu@FVF>j17xlYSJ$)&k%fAzl(!)c52t_`X=ZjE25ApQ! zf?R*up|iX^?WcTz;^*+BdgNr~<%h__*I&9oJxAa7mzNw@$nyoN^;W?D61+CpV?etO z5|DEEF!%&W?RuX-FCt5Fc?M3t6OeMSRQ~{u*5gUlZ71kyAl#W%Ag?e zTfXG|U?3&Q%!2`t#l;Aq@+ga z91sYF;gVyLmq1FYhv&$5AmukgY$U<}JHydPTiz4bhSbK1);~d`acOjG(HPY`_CUWO zgRy(TNMz`a0ZhkBMnZ-oki4urLI&2to@PH`Rf96<(W)sLcI%CyBZgxC;*rSY@@!P} zS%H+?#z^`cjRz_-VK+q$;|G`tZ|icstObyASU+o8XE)J$YXkX?D(GK#00va3iwOz5*F^C?8`kHq8MH;`my zkKDCWP@p*w`I>`~qcwtvc`BjzOoWCEFv*vM44C|2AmwZMCX}B} z^&}>dE~ld{42o(pz|zO?rGdV_$Yb!O{yGDsq@_|DX;{Y>hzzi# z-{|SC6?LZ)KkKMGx5;fY56M(d8p*=Y)CAQ@W>w3SgYMMXNOJH%s*@LtW-mmI@)b~p zexpnEClT*l-q?h6SLze@Sx5U50Vy|FpjN%Qs8qTP)=Zg&gwr0#aP@`Rf@P>(u`(*M zK@-V8Aub-7RF65$nL73BqhhJj(3m(K@h4~x?Cb;c#Vb&!YBf|STMp{eW{XS2ERO(K zEM6%^q@;0GTcauFU>3D&N%io26F^G#`Wq92Z0d`pjkTDw6@DuXmGM&%d&&*jRFBmX zCDfyO*oaI;Qv)&a@nT+D+uEQ$@xzyL%Bxl(hA(-k9&1}$G-%vd0#c5hjOgRe$f0M$ zMsbx4NLfL636749L2h2I1f*=*1Z8Q>Q)0ueqi2xo=8uglRMD_zEdhX>GeiN`b{--f ziq_TCY~Wcj5u^5!q&1c!Qu4*;cOd0wO+4pqXr8oa-b`F_4;wuWPTqk6NXaL(UlU0A zC?y+4RvX1R8=ujR9`(vN@oOhO3*WJQJKFy7yVyI*$q&OZXHVfB_iJ2yGQAF@wA#80 zt^a5z_M`HH2hl#8^vdt)izZq-{xwO~<$rB*baXJeUoH5^4EK) z&q)%HlFcA;5pn+lmMYH1PqeT7`R8A-Y}s-|Mn0zR-Y@;H11YmI^Ks>3H1_Wdf#&Li zkng9Aru9amRgo8!U}aVeuR@bn7q! z^=inYe)aKa+hi36b~D3*X?tO2;DTLS{E7TvZ{-CWGmn>KYwn57Ha^&D>xcFFj+i{g z0Ii#hr#_B=!l2dARo^4}w%N*+$~(Q4kIzMR7H38OxZRbDY|yjQTr{XP1YO$ChT=Rs z!T&Zpe{8byhK)J(=f4q^w=#BxrJ*zIEWL2>%ssf?FTmNDVpyKPgSl2mF=^dSXdey0 z@q1Y~8%_D%cQD)XD5e<7n7wujHXjSb`OsJ#a|_36i$hRYZH74(2VhU-U1NcLSD#|lE;o$V z+m7Yiow4(BJlviFJFg^Q`8H=vp!`)kU9tB{B3$EuZ6Qyv#QwC%SKWIK2W})&JuhG% z@DK}aPhukRqp{x`M{cCRb0Y?< zSdW3rjWBtQ9ZYw*!__AOZba+1yI{PU6~^mqg0W)=+@9pa^>IE-&RoP)<2{&ZdI07w z*Kp=h0Z!b`fG){?GRbK!wP)>f56<+eJo+FTYmNtEBIVB~`E5KJ3>UvAIOK8@Y8xFf zVeK|7-t2@e7oy=xuekl;DOkOS#)ta1jPiGcM#GKzz57xeR*-zg>urM)@wMk-9NeOc zvBmd3=9%voa$UaJf#j4R|Ri&{Z`Kj*p#J)>3Z%7_H zL!yM-qA{2hSi1Tf0#fpM);d1VVkctk=!_kT5iu`+CGj1VDFHUV4xsyFK*~mF*{vrA z4j+o`i$)_|kII>oY?w@BGJ45R2`@w?j@ka&zjq-4q#S|gdSl_IxDd)C^~CvT=dK&Y z8EFZSa(EwG0nC?^TZW#4hGWE-{xDrK6PJyQkZZpi&$b;##+D;U+ISc#wug~uz8B$| zrr1Au3RZM(hS7~nV?^WMFuHjK45EETo3cNmD($H%{_q=WH*AKE0|sLD^l>=)sezOt z;wC$s8;sb+?cv_P61KN3ha$QhIa1+mC^lhTyZ#VYL7#b!FUwB1a0y-(0DMA(olhDAPQBx z!*6&~7&NMknN=!cJnbQ;jgW)dg3&moJPwK6*S|)#{8IF_fRy|9p=IkMxxYDKMMo@ zT{1x2#{nq=ATPT+`#<*10Q%0)$-}H|8_jE@+B5nrAmzY;+_-a_SHgqG^5qmOSwg#@Y-G2dg!!K!@%$BL zPqH9i_8i*9Yw7~Gs3-Bnzj%(li!<4C=OTNi%-D|{L;UFrM4dQ;`Jka>%8-G4xpOny z(uTw&s)kn?_+G6Vn>xiMRh>R-v5Vzl)=HYRr`)2kIE%W zv&Lx_Z*Sa#SL$?+KEypi15m1c$G-rioH272+42_9>e8xCRZcE zQs%isQYT-ys4l`5QsA=Rki=h7X%6bnZUpPkuc*Af7?83m70kv^W#|$tM8^&Fm3)<_ z&g;?-ugLrRAusB}?n_eU1;xMqY{;Jh;(Y+5ys>>dv&Jsf_86;GaHL*!-NiTxK-p4V zmR^4rO-<)ecYr%hELSkrZ5v)25A$e$5N{6#k>nFV>`otUEZsqX^(r9s#6`~y45kMSB;i+D$~45X&RO)Lfuj&s9CulwVQOsq}xQMOqWiVa&@>MMNKsDMGaV$>^9gxcjQQ?rfwz6ouH=%y& zH}cyLJzIV&Qs?WGH&vl{|DE`6Scc1VJG%DhNui>}w0BhPKdEu+ivlUth16!PbU?}) zH94?u6Wou)Z__rK)X`mft}X}v(?H5~Ybjl!JU@T`1A|+L4!d#-FZcN2K4c7qv!(zk zCw>_qrHsF6W$rJMCm)M#>`A(Gotp>z8PL8Hsf4dG<;$^p?OGj>Quym8d}=_-GG*E3 z?!mj;4>-MRA8nfI&c7(n$6g1eO(bYQO8un=P1RhzZZpZZ?&H03E%mGG&QF;&ZBEe6 zJtW@@W5=SUR4rdYH&>gq;?f=;Z5~`Va}H&T6(^OnPrpuGxbAn1r0aL^nC?We`~^rW z^M~^E947P8dmyFMGo#E$YL75VbQg6wt-a$6o%iU>S+E~Jk@(Bkh`w+c^HHP8B(hQa zfwKpUAaS=ZVQY6Vs#SZ@15!~`<|dCBF1)&Wn;X7I>95J=C(6oPv2N2w?VRW(nVY&O zkg4#ld8FL6Q#C6b5VUhIo%N1vQs_58$~}9isdPMf*1^!2 z^Lu@GE5F@1cL5bjl;p=$KhdjyKd#=o$?N2IEML1u2c#5zYp8K+?IH_xp~d{+<1|m< z+Med%p+uYv=IMn{oFj+T>RYH}DP}ERt^q04%C6dT`P5#_*CGW*R9EDw zy}5HY?=xuBIFYFiggsF7p6l18F5fuF@ba7B<>g7EhPpF=Rccjd)wb=LtW;2TzS3m= zEUA2y4Acs@0{or26v_I{!7_IEGk2~Z3l<(_;i7=|w8-N)D^{Ih`N}g)ci4?#^ReX4 z){shNOmUgvL8RJq{z^fOy}u5pPu^zafVDKJGM#Zlx4Z{Z?%K7P%9V8I;H%WA#p-RI z+J2(yXWtx1`AXNv6dLC6>5H`K+)d*vqr8t+mQ%H}A^(PNAEXWb4gZv&ZS~GIUOg64L#=-qp@!orx-P?mD{ikWy*0b!~6eLFW z8TNaJa^zAhL6L8`5c7`EdoQ>c9Kp_=CmC(yPNSYw6Pdoj2cscVsc71Z5{=ta!MGc3M_94QGk~*^ zN%-H4Wy+$B)ax=7!)_ySoxPURhe9~&bq*`1zIHz?q%Ml=*X;W!-cWef z#qZbR6(5QpgB~L_{_K|T;KaSB1cp6h#%fRL1EaL~X)AVe>_Gyjq(8ZAK8TU<)4cy= zrmftAzx0a$k)fmTpyHK$r>&Cs+fTGQII8}skGEaviC;>*$lqv~r6ylnmsR*35QENF z492+|wRTYbX7b{#?7tjI$TPSs)IB9Lt&TB!Xqix+=r; z4bg&++qH?ESxNLvlzz`8w*xBPG_sz zTn>s}5xjQ?cl^DG5A@-!%3txQ@u_bk)xR$s;N6KWJl{NpaQh~l7*rZNlN!{k(~%O5 z#?f{7Y__c5#JwONo?ke`TXp;0Z+QR8q&60=AJc%8?h^-7G*5O-M=_~ckDx`XN%lX@ zj%m(RFIMuO52S3Jep8X_8#IRTTSKVsWwR~EcnGtyM z4*)4mO*t;9-&Edoj$4oDSdsl_}>Lms!d2e7_v#7diZYK3R2%wd%fsv z)<%=3dX_?e2}l_bAhKww@!Y6pZG6;1yp$ENV>d>6o2{Y9{=G-aKLe!H-EJ3D5nQG}XzZuB6}Z^%a4X1q!or^kiQ9h>Y$;!gF<1h=hNEl>eF3VIk#7(Y`ft z!|M>-EEgL~e#f{1si;)65a}z`rgpRDEa`8`;}js}Om(owl-OalINmrXON)HRu)IH0 zMeMMgHR@r|(vTH{J^)gFO`zRp04ZBGnvF^01@b9z)hAK0kTGSlm!Nrx!dSGd#P(6m zIAhz5yG|2{bDhacw*|a&pU>+B^N4g^K%nh1Hji7vih;JQ?A)F;rsY{_RG1~krC4ZM zg~hFFu)KX8)^}}yXWynA8_|-`No|O*Y{!caZzKQ1hiH`CgltS;YD2JW$Xmz3JYBwz z2V3F@U4EUDQ=K``tsXl~3$xLrIICM#VwvK}q>AuX^%vf{=y(ed-mVC54~4hqpW;m& z6w(fEwdM7sro{It$F-I@*-|Gvy~-4#RPhSbtXc=_j?FnWsWp!z?JJ=#0vP=x^eusu z<;rR|hbO#zNkmKxlc!A4Hp0FbkTOqh93}l@;Vmle5f;kxWVWp2%9b5R^Qk<(c8lnc zP%KA{C5!N^JXJb4I%>C`eio22S1#;lI1s0Ko_vh8ogG^XC2V8}lNq?GiJo;=oho|LlG24Xg;o6VSUTHbLXSFsy6Q7coGZ9UzdSm7uB zc_Q|+T*#3(582YB!>UscVm9w1Zkrd=yZ0k!`V3^1ysR9i6Qk0SnzT%A-<61so;=-o5SM;K$t!hKeQVO7p+p=%&9mSTW=@97E-8qF&&W7w4JuW``q&|^Cf+u>^ZeVO?}#T%2VWRF+ZG1j@3-O{p#Y_I$=Z7S5daaA_ z#3Y>D=2N7E?qHj#i4p#Kuj_wz_!mg|Z}@LP`t1Kq&{z3?HtgKFi|YC{hSH^rvFaZL zq#Qb=m$oMI{SQAfY}oLBfs~&JVycrYbKcX(ukk$;M3+tj`T3{pWXY75;X_9e6nLDt z=!e8a+~@AiYuveU^_x-TgL}lsMsPheggIhoRH|52`dKQWBIM7~jTUuh;b7%0Jl@p+ zp@}kYMn*j0-rYysyAw;8eBQqoN8F<%p2WW)_{=p%jhrgFZ(&mXn1#+Ax^d9kTdUin zsBrGxzVS&uz7~at-PY0FO*51Np3DP6WIj$^F2yZ;1Ncll#JY$8P@ zu2PK#%(I!v1K+b;*x}2lz9T45q5?IVo3eE4ZlYhldoR=IPp3boY*ZhmfmSSxme3Vb9T1#EPD=SL_V+e{P9y z-+K@TPo5+8Wis2m{b<&vvzC7k(Y*sMUL!`7tF@lqG-%nDyd^6zV9aEKuG}K>*=v?; z*iOwR#uO-BiIG!mxgfH6D6(0;c^3^OeY#u)`7Ui{e$1AK@>Oc%JaHPg_Xcox?=hy2 zoI;7xl_^oZF4Grya5p}I8&UCCI?ttO#Trzo-3ZsktGFNkoRB*Y8EYjrMcFD;6PYhu zzm13&?>Kw=0YfZo$tP`7r&eQD+Rr2E&{+ak@1{?O-sF+`HE!31O$Ypm5qbEXyG-YS zBeeP%ckIb7zvG(z<#pl=t$Xy>ch+j0&x1QL%4>KHI* z=^7q9e!->N4;f==Pr))(s3Edhylx9o39mUDdYi#x&B;@|EOnciuzIT}vCrRf;(RE* zhKwdx(b6zuoM0m?k1;72m64AC9lvL-di0tae&Ry}HfFTdE>GM~ufW=z=!Os8cXX z_l!+=L+tHnVozNq_V{IDLmvsYowF4KWpM4mv zUcb(e(f>dor2(b%DgCdw4Z_ z8n5j7k~FzJkB6IZwWk3aO{z1oUK#q-D9WgYMX)n0idCch^emcz`l-IBQmQmmDq4a@ z&6_f?XFIlx{*pjS)lOzoKO^~W!0iDQSYJCUwnefqr;!=HmOHtzHj1&X2UB(QI(}OeqJdjfFk#g{8UhMPd&f4wT zfqtDwN(H3+0v;)){waHH%^Eks-`fWgU*NrKx6J!Gu!_2HR)G!Gp3xr$QZ8FcvEs!w zuaP5%3?=N^4H9D>G278eTNl*88ETzUT_Tt84Eq@hoRNd1*E)9|3RW73om6$m1fPbs9d2UYnCqK zZGwUad=O&e;oM&YQc7KC&6!7`VkI;iu#-V;POqG!15#=pDWxxd>I}kHA$4{{+Fb)u z`oaYTOw@xY6=?Az(gPSj0x!yMzbcS&2UibEzM)e74UtW#9!&Y39|flT0ND8jfRxv_ zZ)fJ%r5HAtMb+|-npa8%q%^KS6T{jr7|Ewu2RAxRS$_e<3%PTCk;mckCM&F|wW!eMA@R*}VrtMgm zM%Tzqo8mbsovRqx^46tn{T}q2?84G*yEztcSOZgPm%6L|p!$^RTfuvzuk3)6%LrRC zl0982;ao8z18P*DdB>47nY@z8?z=d-Z?CRS>dcpFi%-_&E7B<`U+A5@1F!C0A^JfW z`$XOyI(N~=54GPkfBt+8aQMZ5ltHI3Y28`}q^wYx1M?OOFCqB3FQZA7>e@JGXws4Z z{rQkT4WyKDf3RUIZmr(N%l$`iANHj^Qr>6um@yg8p+Z zWy+Rewaf?aft0;^@`K2!is+Bq>|JdFK9Ev*k5+TF<~=$W+0$plzTXhy4+Ids-w*R%{dJcfWXsO% zA)`p#>qpr7T{}e*Ti6fMax)x&6a7Q@{NPNGbiMW?ktw@~lIH#++Zd zN%OS4(Pjn}3Kii;;XwmZijDVL+GqLdRhpiq^sSG8l={6zwMRKYbi9bL`#g<(gwu2f za*6Jz_M~k@$BT;+dov;uE0LMHAXx1gTiDusQ6OcI`wEP;HMj35SfV5|7ccuq04alS z-e=&*vC_BITHtpK=-;2~*RRQwZyVJ3^6TL3t$>ueGjUaFR%g}r9UAgNg%r8{SyK6^ zJk+|w!^F4j*mIe&BR12z`CLq!%%+3M0y>*5{D``?S*%eT!@1P1U_rSeBQR|`jb)2` zh>2FYe!CDDaFek^H_)P<3l`&ca`keYCc~XOHc_c!Sxsh@tJh?;%ys`1AZ6=LIv}Mw zW1!AU{0pS~dxEsVzu}(}^lkEw3;zNs|NjG0o(;Oegi%YWS9J{C+S#&arx-7&jb1fO zE62e~#>z|Nw<0w)sEI$3lYySJX`@^4D_X1&3m3Ubyv$sGA%xwFX14QAj2c-}tHMND z8aU9W(;^0TU#e06t{&f(x-HSl9U!0G+qz-W*q%l;r_i*n743{?(YNyw2K89UK%xHK zmj5pG>%5dcZ5PtFqlXv(JFs69!ouK0R@{P>*OFO!?m2FOao8Qa$0X0IOxb%Km&4&K zJ`u-)W3kvD(8W*PcZ0b{BUyds2}@2yGi^^OBi98oZqsRI9=yY<)A1|~l=OSAGID(& zV>Sliu>U5Djz7X($U)_^JCrHjw{SWAn8i1eS$Op=jwc^sF6qW>JG@fB=_NCS|H*njodO@RNaVLL z^bIp4jV_<7x_pkOrxd zwVm+k=pV+4D@iQBEae`)%jk`#7$t2w?a*D3%?phuXUS)ZR>hE|wTH{Ue;doH-_DJK+QDms%CJP@bjY_NJ<#;TL$s$)p>iaM8(VDz<@zMG= zdZF<%O8SN3Pw}}z_+4@3C60dgv_7cf9enSyT;f(u(z1Nr#pwC};byE2& zK7SoAynP)1QY+g@+D^5Ps>2Ma%R*_pMbZzPd>?52MfIEMMoxGRJq|e*RJ2h;55awHh@D7dUxeR^J;vcbk z=^{*;8qm0MSsVxV;LgtFaM2eH#C1k3OnqVj=>G(%g)z0bCf`5uNZB3V_PJS5D-|7T zR-#bz;S}pRk1@aFk@EVC&Ul*U#JO@R+SRN|Nz<`Z7`&Ltb3X7$sXP|`%|J?%hOU@4 zoK1^{E>x;*MS+@QDQ`5MQB#~av~w*{LEbzL2qa?HMec97LDbf8Vs}MyXG16l9XBwq z-$=$Ax1fK+>U6DL9sNMjBrfulZWf0>hPiLXW8oU+Y)8B^|Cnf*0Qr3rjo;e`@ACj_m!68@P zZSK#5*~YBs*$A_8jj33q4Q<;_V9uhI_#ZpKt#f`PoKcIzALQ{ZNDriZd2APM;|5Y7 zM)E19bdgE56?-z^zBal*m z8>*49#0LcEfRw(z80a_s46E1T$ifxUULo3|w1M(4De)~#P4ExY<$v&qKaEp12@M+K zzgOD!$ukb^-b0fHQm)junUNuW$8;ObzLLI)KK*9_DJ6X~ecLsuTpi!}ON5_GoD#Wq zZf>N>Q$0(ezXYT_b_^q_n+Bwmy86srq?Hx0cojx^PaF-KG{#$>&mRU-1_shne`8|3 z@|D=H@|V7GV#Rt)>gc?Qs+$A%dwXg5d=(&N{=%#rHHp{W(jK?P{`(h5`FW%c3#r38 z?>$oHWoy|VFfW>h+9ivSt7=^u8#ZUjqgcu~Bonmo0^9;aoJyn~~bN(o#8R1}fyqMwNnjs9T~i#+6IcuW@yzcWT0> zVXZhhsU1;P`nspwNd9a7|0C&tYhwzQjlrr3@0^E|wA!1P?QsMzy2$GOlbBqs06hxG zxSZ#E9dEfaQ#n@_9d8A4Q>R2BjD)wo2Gy|d*q9ANTXAAyI}PZm<^LgX+QBpF59-s> z2*%aq`GAs~GAqR7as{ZFy)d;4mt}-e1GbE5Mewu^MA>)X^?RPb5q(P_rSeF*WC;n0 zi9|$+{bfG+D|n=IR_FTEJbCC4^1xRW89!QxD zTZw-p@tQ|U1*FWKOUp~;sq&6HcnrJ#L&%XKlLm~mmi%L-zVV3(*w1v<0V&g_!>V&n zVz%xlZo3cDd-Nx#0>RugR|3@0a98{B}(F-NV_^on%vTEnWg=vjGsW{ z?YlgQh{9RY=gF6sOv0OakG@2D`SH~6Brc=IlUM4IDP1}ycj`gJ=Dj@Kbw~%Kly=J~ z`A-@@o`{>bdG;`pnKK;8mtO~roY=D;4-X#Y+3~Zuj-RXnEHg+x6MFO^eE(q*q`h4w ze+8J#m?J1VkpVtwzL&gk@pE!{R(w8-ll=IyvP`EG|Qm1BIr|vx1 zbBM&Fr#{P2@g(`*QwN5WIc|2}z>w-qX#kC(euVa8?JPRHWwIe}R-=Eqn_gr2`4CHIW&D@VBg-{zjKSXuU@cl;e7Ju%S|eYA8XQ@=q;YS+;`;vU>*@|aPi7MnUp z)=bP68}0QAv40=jW5kd_{2=)h$eoiVZgWW%o9xb&%k=5hUCY0O*o5n+h&%#L6S`_6 zo$A)t;wx4t%dV}PHF*Rd55T0kZe6rawJIF&+^xwY;D8SXb#-f!O&T@e_)*>E8$SE? zP_wFT9k`XTA?Hr3KL1X}>Mimv=nk-TmU6DH-lUbgcG6UeXU(R?_ZNBGyK|G|Hwv8L zE_PTRew6r8rmczGv5!}xGrJBPO7@>qkv2^#EG#Ay9~(`A^p$DWQ^}C_XR-6r;4saG z=VF6Cii%*;xH0@JvdNh>GxJ<$^5*4p9){gz*x&(LUnwa4ajBHW+t=K>ewE(cyK20Y zDprIIYgfs5@RrLLg6Ytvl@?!F>fovR3dw|=52k0g&RYD3)ULHDXHM$YfPHO?GKHGgn@zL2b1*S*p;zlkOzzhgw+RE- z<>W@N$4SChhLE^u6Uk0PVX6ViBP)^ErxbTP6=#2|(zqH`#>S{B3p!S1Tknc&=ui^t zO4(?i{wErVO<$*237WQO%HW>u*!tCgl#RJNs0v#e<;SUPeik-u#S!xr+*^K*TZ<2J z(s~*PhqfbNa8oV~Hz0IKJ%YNFV|SB0%&m}t?zvM__@^}d{9_i16e&SR(?&QAZ;O|C zM;@wmTqXApy-KQfc5KTVOGCnXl*7MvCOk_1OmFEE6{}REYU5^1?PkK2i6Vcs2CmZo z(YE`m^tS;i*HWrnIn7(qV53&tTDzT>-T}A|{{@^eZqi=>QkqZJ4(b=oo&&d06L{f& ziaXo)Gt{iT2Hq@DrnC-7DRauzYuD)2yZ4_1QXV}jHh6O_zFCXr_y_3r8P#4{J$13H z$V2T-y$4e6+^GQ@G;l`Ot~wy4l=U7+srG04^yS9oE4+G^fX4!NiWMoMfdw@$SK;9# zzI@5-xpQTn%CC8mF`qDzsQV9ykBXN0*;WHlW*419U5=+bU#T@rb89OyiC&p6OIBtN z9Yf;5Q? zNU85D9|0-rG~o2I^}IWAo^AFsH9(98r0msG1IqkmAfIrQikf*K>s9=Qq^_#JgHO3Qu5AzPnRZjIkRdGT$FTIr5}DPAf-A# zq3G0Jl27d7O~5u@`fcXfp^ZH9Sx>arIwJS4<>5X>>v*_-JrQ0Ti1gV^^r7uM^4rDJ zfITDy?&Gb>@B9I{{MUh$-Ro4LqSl9q24H1w$y{d_wk(>D-|9sKZ(79Ft@8+7GmSvUUhEi9ote$@(xFHe^8J{dpMNe* z$-3R>VZ8v?ZC?2L9VYsO{=APmOY>jrC}}m1lbaGWc)<|+JUE}%(yolRtDYC?eiQtv+uq+Gj((&fvEJtK3qX z-OI}(LAZ~dOyL~5OAj<4rMhfW0V$U(`Ex)@8NbyyuEz5sGUqK`;z5$k&o}SfVZfk4 zIv}Ow`yNOs?Hg{~zD@su14yMVJrMmt=@A-`QuK=V zKuW2@_RU)~(5M25nwgktb*-n)?&!UB2Ax%FfV%aH6jHy{fRyL;K+1gzNLg32Upv${ z;QVswmq*XA(aM1e1wRB*UenEAD_3fImeRMB-lg;}rH9QQJyFxkG$7^VNkoJ{q~!szo(c&zXPPK zB(}yT>lwWD3nFCIW(JtF5#2H`^;;O>v0)qG&t7XQyXx}KPwmBgEsA`RtY6uc;p=V$ zQ|%ooQ?5LvN|x02vG2%x@OOv!N6%TidJ7HfH5L6ZBh_je;I?EFq4%E={uFLSCvhe0 z>7S<1`%fj07u>f(WBb7^BZ?-yz@kJc?DPCnbTaKo&r z8y$=nGjYUr_U^pI<9OAQ-!2?Ka-Fe5*K52^9k-Lv5VZy>UG%V*=*TAI&YKUDb{*O3 z<*QwMrnvi37utRE&e$&#_8jV0wx@B888X4o!bFVZznc^v#tmnRvF@Ug@gLx; zWrLX*)|`P+U1vIVTg~YCXK^@@!0c<_8VWAwU*jD73a7J4IG%lpV{j5qD(=Etp*J|4 zd!@zCkmu7S-Sl&BaJcXe=Mb261!i6br;Eu)A54j8T=ZD;5RsnK0Qm~6gf%$s+{TPs(n?QYSUSYf5oTpsFRPb4OAPxZwtlqr)2w49re7)v)|TH$KP+`Q_@R4 zq>LHX9>s5;bxsdKjwk^-bu3vplTMl;3#=Kv||>!^i{ z<&~SeP^h&FRR_$)(sel(ytb2k`VeoA1QEF{l-sNBaDQzi57$R>#p4{?%;#g#)|8Gl z3evcQ7`*w?QX)$#vPhp$dd~OXrzK0d=2YzMOlPM(EZ*SDt;52TT2wtJ_5Q3|>OMvK zHc~*!)7ypCk$hqUFAw<>v;7(u7oKPRSa-%58q=~&E~@7KnZlWVB3tU8Nc+=Iq*5D| zX|s?%Ye8}rszmAPEok0hFhi%z#C6d+ym#y(%x^Dm)dIKjD4F7s^7H}WSuMWrLET!% zQEOgq8Nl8VMhqxjm4?}BU}n&ZNsjI;_wwS{iNi#nk~UUtp?S7U;r}a>c3b38l8*0Y zh4ol!R4An_uy$`@%J~%=dFL0zb{j{k6)o|914w!Dq&DvC*}soE4fR0E8g&SCTT1fb zAofn5McqhszEdqBz$;??F+3OGRUbAZMM zx{G027`DLwsBUBR(BZ>0(E}r!HEo8U0!&KV`5rh(lg5p;_@+`$%BI-w11XQt%vcYk ztXKu#ES-g4lkfYtRX%`{N_PqfNK1Ejjc%nIX+|R@-7z{wcQ+_VcX#*b(f!=t-|P7c z*lT0=uIoJC$MM!VE$;oR7NIrW5{5BTlvOa1jRcZ=n%wSZwJx|MSS;3;^wwXguYI?Y z{9Rqc{osfN(6J&-)iyvdWOQ0d9!d2Jeu-wJHsN4f$tT6=p08Dn?*mc)UBOAfmJ?p< z^rUY1nEC6m#`w*_Nn24EJH{)pH!+ZV3tDQ}yZG&?&pOn90~cm%@Zcj#WR?nmxmwxJ z{F=>v0}>)K3{@MwtSy{TR`?R1C1obBQfrT3B~CquY1PZYIA}ylcHbrl;>w7U?E%Ai zLy@kT=yl4FC9S!08-57R-EvbXT^#ad1@)#kH?artALTc9-Lo~#2RSbIax>d`O}1#e z!A$R>f54p7;I=n+V*3ahfAbuyFeejg`fsfE`DDIH57w?PR* zZp@EJhW@(F8f9+OgTv(GIG)32g}WrTnw7>ouWwZN~YB~}o z8ZCBZuY!>Bm-e{YavV1uqrERuml1pzrVwGs@_0dTxR@zRjsk7 zq<~3V0;4!B$s@+z1({KvyNAhQ0lv-@lt!zwok!-y@4bwbLlsj_zmR88!|FExmasd& zCX;wt>-pzN)?Fy=S6eWg6F`AW0PlKBzwQN11d?5#t(iLD05SM~6Sf4tg=@0nq>`b= zEOQ{>!D;Aga<(CY5hvQ{a##bKj3JOEZd%Vid=81_Frgg{EJ!;4NX@QxND7TERVp1T zJq2C>y^|(<&OdR=P<1LxS;EjdfyJHKr@da@R02AuG&aQz#~DyAoUvj%TS*wm6cV`R z`!zfY4AjOxX(WJ=;XdUcRVb#N! zvKSgTaNKSt$Ln)GT(`g6S1Y#sG*$2bnY81#39ZQ@7x?=Ww+%o|XorU!F0A`i;eRW- zFXxSw^>KS;uKooEu%EqJ*IgP>>}sKwj*qAFhhp_cTyDnz=g*>dpm5K8QK`;9NLfoy z8qm&AVK4E8ZLO6k`K_`1&nK7jI0^s76g*8JUnn@cKDi5u z(|JoT9Zhv8_C7`_%iKGAZ7EBRdGc}(lWdItj5?@leQaZ|m9ybji*vQuG4tu#e)6lT zXZ>8jhFlnWJ-D_235urE9?vyvV|kGzpY(k_zToHC+oGnE97(&(`DM=}hOH+14!(eh zF7LTA6~hRJwQ@GmA{QI)$6GH@LpX-aV&M;QX7K6k&?=u7b3yNm8sOmqUkb)Lu?54! zUcO!gKHShgo!WUM{9D46r!Tuj$r9tmiFG>W!5Yijzi)|Hz$8}+$@ZlXFD0)g=Z)XT zXTkQPO&uJP{|z0_qM@#{;Jw&0%iH_mdN(1eD|BCHY8)2Dw9-7f+VgTXSv83}o)CdP z#;p4^+hOQpTd4P1u2TNK4d3feVCa8dr@3Z6t9(CC;AXtdo>2qTzf6d^r;D9vm^vNv zA2KEo=rNBkp&?`x3q!@c`^sgmhwjG=e^lzI>LM-V2HP8KxGdAWfz4Lwc z{N$#*6ZeD^(|fv;7 z_(X61umE{A`70^Ud1&58=r>5#U^|R4~-~GSeyN%G}0+zlm6FmBCBHVKKS2+-TQJ=p*b!{*A)y zu3uy*g&cuCzq==u)$_kY2{J3+M0dNpk7Nujz8_xz&0GT)6zrIImdpg^tYoVZhha_S z^BLMP)=m|ZIHv>XW6n1&^x^Nb#b3FihB-PU&CDUQ=BgG5T(=xM|Gub^>DE)q8ZhiF z0NIPqw5eZh26EevbU;JBB*;X!k=5*Ircm`#il?cpA96Y+Wx!asUQS}KILz9iY86F( zM2qXlS{a}h8d82{^Lzcb<_d(W-O?2sl$~ArSY(-YZo00E018otg>#v zmi2xe(znS%7%x2}0P%76$|o18BzXdv<&5p^5s%y@g#gE{*#}FMX9IvpB!5Gnlv2jI z>~ZLNr3GwO{D7%I*C++JSiXCuyzqkRiq<_Ei5=+bAnZ|7&2yn2HJJjqI{gmEKtrF0 zbG6bg6sT=LmmwKS&N-RPVk@U86=p&B=|aaNK1Bi2SaW#*&b-}{j1K+dKDl3>U#QXw z56PdhvZjmb6flF|+fn%xq_N)~GR7dRy495dt!jU_i!=bjl|am-epxX)mX4tV0No`s z_Zwy<0NPcWN$?o4D<4SYENe{W@q(*kvFOtr%TQ2z-B4D{RB7jSd+@ZL!}bL2Nq9wH zqYduvi?V}?;6x7AO$u*iU%tgoO~mHYd$mvzyE>MJJFG$pi`-APS1TTE^)^J4*v%R` zrxYKCfb-$l!yB2BIY07};d88?daSQ!Z$Xu>uLLqB{`M$$#bXP_sCAeg=Ptu&_TbcQ zVG|x=+M8Y<3Cs*6*WN}yt@4N4Kc3&ok0rQ&W_^R)V=$LH8Vyl`_wC766o0*&D5(?F z@&;x+SHJ@IroT=62fEB+oiA=_J+bU=KplbE=Dz?PwPrqd9O)CJYFl+o5@eU&LL#C{g0>Y=*l=21-*oyENUkA!U^5^1I% zDxvpFPgW|!5TsdiOT)4U7v1pu6W_)v-qEnkl;#@7pw!jBpGo5{c4sgK9q1jsNO<|Z zNFHg?%|d&5+{TRi_PH?ZQMWSZ8%gzO)+j(W!mSlsJL|;S1TApX=f~S6Q~GG z$0Tyeom5NP*_c9FSe+*AxsHWI7F6Rh-5guJU*;bGtJ`tX0^#4~0$&^OXUXz;tvz94v}uNz-lypZ*d%@z1Tsu8nK@< zb4y5{kx#`rDmAt0NXo%|_Y;T-6^5p8wbAkr`emETvgh@DT0f}K=WB11r&%&eH`C~*}vj;$sV!WxH0$ZqY$ z;k-9;A1*Qk2Tm$yvQPS*A7hqYFyUsb9ow;l5VlF{@wC>A?IAaMZE`(PiL&aaR zhMUXVzxWYS>|({Qb#Csh8oi~LboZdX#%LibMFzddB!SEpxEv_YDpz-}+?1CF+Vh4g zmUDy*AMRx?Wvrlev+01$>~-(tbExD-ha1AR4QxyV!s#G7LB_K|6`Jd&Sa z+*^bve~AtqK|kw|#w58zjb-1R3RHoV6`sK(%KVJ5XBHHqi z=~Mb3Cw#b~;SNzo0p4E24j%W5ykwv-_r*v6#KpbyRQcTA;T9TIX|MjpFrHk}4@UE> zX`qk`4Bs?W#P;M19MDhZ#HYIw$+*`04Ea%W1vV53_%eQ9QVQUDO$~O3>+?FrDjut( zvu%g95y%|}4{Jw}$>TwWlQO=B#B6Prd}sEE%;{s&>U6Fs!K^HSaE&7?KV^@bZ(-8`KgxAIBR>W1spT7M!Od+%uH{w1wBxjl-lo*RSvf4ox_j>_#>SBUVes6s$+jmyoUJ6 zO*9P(Guy6LVgwv{f$LG_vdlDwa4Vtv_tbpG0e5?ES3^S#nL+o7nN7@l1{$2M5zYiO}76fki$QfNUHS- zQbyjiLmiuL|EID}XXS3f?K)3qwUn5-ps)~rvUAeh{7~caYS(D!6SnWO;C_VOHZEu$ zd}jAmlRS4kgA`2%7I+lLZ`<)0?W&b+(zH+Vyy`aT{8tF+?7bOC1#7yphvfd*c#;}# zz(z>?C7Z#sqJZzp4RwXQdx&6x2)Qlq$7~Xv_NkD3_I(?nzu7z0 z^dYwe|8oji_mprv;*V+>xGM;p%OiLR< z)ID%kCn!ZU;&yw>E0C$lsLl|^ zV|OMQwmwRc^x_ZgdXazdWiXuLFS~MItA2TqKmPpc8~^$z*bB1h8iqcfUB`hkC!d+} z{!Bh-+|?M_HlfuPSC^U{9(z|HmLB95emtlDyT`oWYS8E|&M6baz~b_R+xDa3$!)02 zOP217l2EQ~GCOw=Eo^J`mQ}^WX~`*V^zEiCj}!T0RKQhwTS7p0(ex&gLp5k0lHPiZSUGV+ zt~;GFar>aG7gU5x^>|-OZkQm1oe^0O3ll~y7%%qS_9V6~@KZXEvDgUt?m2R48rwz} z{m3Hw(&|LoQN=ppQ?+w8=wh`Vl{>!ZeL|zOmP_7S<~GrLGNoDbWNO|X`$nDQFBvy^6ABGP2RkJbpH6g?Du{MPp&T=C(_?Y(FGl zHetgUt#A*n(gx&xeE%hEX9_Rb_Q4xT?daMHcT$+| zNRw-Qp#1Wl%iAkpo&*K{>E#^Axn@)=?yyRmm@G_#LGf7*-l5=Fiq9x_4KgdysQVD5 z@G$AS4D$RAI6vAmrn(rK27K#0!e$-G8?B*2eGW_p?j`fYa_5Xg*#yviH zIN#wA7b@h-Z5kV4%Fyo`pnaI+x^X*O6t7TzL;K1T>+}k&*Q^`fXLO{RW^W%ixE9)n zu@j|MXtV)-m#dq?PEl&6*W_!WpSGj4@#6Md9hSW4j7Ki++nN>c-=ob;F-{nXKUWk1 z8xsMSkAa1)=*B&K*HAmIArEjU!tmVpoF+ZpZ|r~kmX+SeY;A-veXFcU2t=x$arj0K z%A(Ud;MNRQ?iyXD@%h$C+%zQt*SJvBtKX=Gs%=(xbs?1ll&OEoi`HM3jRW9y!Z>P4*7SBtB^bo`BxlX$nBcq7vcj$i04-Pj|`7H!2ax%_vx` zF=ylZpCftrOE}{iPr%IV^Y)3awjevG49sE~%{J|P zq?R-{q0jkyJ>wD5Sa-!TPGJMA=XZ#dOR@H+!0wikOcrccxWxmy11EexPw8-r0L39N zinzMIin1uU>3uq;huTTbl4277sKQdeN@N9+1X}sX!_qxrnjrOw^Bz<@DjW@F{QL5E zBT42;^!^;2AF=PL=li6vZ0YzDwtpgr1^gRZz(6X&2veaqFNGNfkQ@AMG9DnXb}D|g z*P-opESfxnA_Kuh#@`o4hDJusibU!-?@&ks0whdg#iy{ke@OrR;PBvCWH+AzL)Arw z=wiTEJY?xs(*wzZZNSdc=24HLAhseiGg5h=?PAq@?3=xa{^vpAlu~eeMFT`u`jB8~b&S6Z^ygmF?ky)REnhQLEl% znApqyZNHbven5yx6nZ;CDwO5JA2*6bysXo7d?n%`B<% z7skO7w^+~3iZ(aM858ZQr8(X|=v8)&C=n4u{aD|M7TQ27mg`=aE;nT*Vn~TBWpZxXlZu(yqB~3Qb|bx$Dl{l8mr4kvKs@ zvRybS0OU6Iz3XqG>Q0(wK>XzLsTb#~uKsp~=(Fe9^@d+h6Drl?DT zsMX?l^7-~OW7ly-(GK%sHT?_v!rc)Nic~yXld!&m_lg_mojKl)F`cfVZma4)WiK^7 zz2X8!&+|RS_=5}g7O>!NJ#MA&r^<6adVSh)%c%JEaN91*5_t>R=&7a4#e_!zkDuv4 z;mXjy_!gPq?n?)coBap#tpP=}(*`U|ZsF6lxmBl^lGuzVO<(-6lk~q+MhIcv9&u3o z+Xf$dgJ)>D^7%!BOi~f7XeaIb`0eIqQ~g75sPI!BvKv;GV6W?(0t!BZOlh{S>BOG^ zxZw(|tc%etpi|d|vRe5)#W~vXVNvqgcl-M3OU#czm=Peg;m_YKhVuczn6MAkO(c_! zgzz|JW8CyN{w&G8S^EiE?OH%?|9m zMKa&$F;!MjvInX0&ZV-gt)*K$!@*Ck$nQA7w$`ptZAJ6S*#89;KCB_y)@>cmAl4`u z%DtE0>1_|HN=J?wI`R*cs!-thir3<>ZX7OEuKXa}Z1mRfKB%^b<3`*j-5+ zt9jLI*M>1AG1>7QKVoc!jjQI}l61GrE3-r8B(t9GQw7~ISpM~So^J?I1J8zft1ncZ z?fpE?hU6c%`U(xMIKEB(DgPg3mYMj-Oc@tjXK^^ylAu`$XQ-W?#8oobxf(*RFRjw+ zs?e&z5^~13g7a*3M$#s^9CeIFp?%;fymcy=%eFaUHnXuar{%k|I&?o<=u{-dsDxG! zyPr%13|oxBZ*<<-B!4Zacl$eliAKQVuO~<5ux@Fnf)bXQxVNt!Nye9WPRtD^dwj3u z8W+9bN62CRN9_2Hpr(hszyC*(t=S>tVx8G6^EsH|#x8Ndb_yB>RZdB6ry6c?PPEN( z7Ct8H2}R%DR~J@QcNwM`PKHaZ%^$&qt`3(&$qO)(uougXnv}HthI>)>55EX7u9az( z4OM3H7ZeWa^Y}%tb$D7|ly=h3%!I_SruH#oEBn$i;O%1D{x@%(%Z)di(xq3@IQjUqV=|LN(QT4|1QG)aF-JA~=ITsxdzP_6UJL!j zUd3+?Zpy(zUI)7)Y@u4LbmARz?LBpZ*NhM($xftLIKmWcK5ag^P$1n@Va3BFOTk() zUc+lm;jDjJhvU6obIK8!=t_E5AYHVYhaJszwdI>VPVl!pDnqGzf)rtN#ogzMWa^j! zC|<=fgw^;4RsT{ec&Dk`6tgYElS?+9@O-gnGdL3)0EpzxDi_(p;#=%tH zCok!AEVd3oSrivY?deO!!P&e2v9-hTx?9M^>5ewfN_Lt3K0E)RSd8D#3xK2~SbyZb zt2E8|{_@m3>a;7lVsfhA(Kitg_)SDVES|=dj2=)#>;cp+>~<-Q(;>P`$nYer#7BW9 z<`o0_)H|F6f0$h_P8vyR1MiXt^?O7pd$oX$f*&I0N7``V2G_Jdm+O6ZGXNcS^GXqLHbp>i~&u|??vw4Xok z48Nh@2K+5}k9{ITLrI)3>vB)ho38+6oq72YBqHZ}co*E!&R-i}L|oCbJC%Syt+!#T z8Jsm6G1cs0&#*VF6sONSkj=r32*=CwxIj@aHIvDo6F=ayMdC1L?seGb%2Ph2yRWVK zf&vOvTmF5oCNe}dQWh7ohR&ec@%)kDrlxRx<5M}2@lfR&=TBS1cXd-OC9!nK!A?80 zerv`!j0Wj2#kR@TY)}!|`yyUqZeMP$c%s!fB zJ*ek5O}!ONZq+(^rgBT<(vunOusR%9Eyl_1-j(dMwQpLy2#iZ{EljhUxki0|vU6R- zB*5RCCH**uRp%$jhTM35aE?Jnz9=c?PsbAN1&93;GL+Y;iW%ky+kO}fwF_IC$Tko^ zVr}=pLjzgl=!S*N9CAfrOgFLnRp4`DqGrqa`W>{#v{Lv~P1vs?o^IT4D*p4Tz+W10 z?jmjHJsm}TrIt93cQhR}Bb=9%UU2^feS^rZkI=90QR9wgk*T|Bt3ptBRZ;?}Aec?N zD!LEajZEQPaF4;41vYbrcR-*aOXFmNZufLMYF`0Mf3%sa9p_SUShXmel8^x{#}J<% zkJ(^U@h~NfGStKQ)aK?@d4fJJ>YXZ*XSW#y->8&h@8|ZIK}a--q$godSC;h9958uZ z1agjU)9sh{EzD3^#8oo}Ks(gpiCZ9_GADq8dCJl_m84=Qfb#YnuZl%l_q+$BB+USdLhGk@VDN78=*JyDy z6O!W%by9ap#WO}NxhqU6+pJtb2%huiKfmv%SBt$r@4GD`zb- z%G}}QbZA{{Uy1jeV|(vpEdMIeW!TZ|dx0ezlfA{}_m^cYzdsVsnO@)hFkpl~JEQCo zeX?DZp(@e(l~af|*|Mf(lumJKuhN?;eQ}KWvrzc_9!#|-Bti2bJiY+_Q1UQ@4ndvm zy_4hW@`#bz)(8*=76TXYw%MC<@WBY()scUvYKfl^%_P#mxO1TuOrzc2?T(f36VlGV1WWety!(llWk_rtX_WKYj}StKq7tNH5>aSS@j~Y!nFO|tH*9{ zfUoyWdq0dFQQ@8tXa`0_t&`U-*M9Tn^-5MkRNdv+G+ttTXUdK&Xk|-%0DWu)JP@_; zpIw(^z$l3M{c|!7J*_J=@tJj#^EQvnQN9nuOk5btM{Drv45i6lf@A&qqk$d^RJFls zhJiaQZMRRYs}zxmn16cGnG885?(W zaG3i;=e@t))VTWV!}0?pk(knl>B$PqojR|@^)b3p=z7PooooJyxYH+=?RNcK`NIR( zeSdI;UXyBp9H-qcX4Sm+!^vYW{cq@-91N7MVXdPZEF+k4rg?5-di_c?V}jfBU>obLHC-D<1FkI_4J*fC0fo^gl=C`X{paqmvT1&R z&WvQV)4hng9$Bg1T%P1}0_U8oS`7M8*Z6ej;|AYh=wDEEBf)I$er_9YrXK3wjo3_+ z9$n9u-|udAc@fH;Hw8s`Drt+c$0@JDKMMq;Zg`RCw#A70NU7C&Qo|Y!hn^I(Ry?1= zIhv?b*-f}`VVwCM+inEPdc8sZ{XA;{V7O=7Ix_bp4N29nq=SaJyaeTxGv~AJLhYI) z$#L)(A9{)_F6ts)wN^uC*f(~wtP_3vhm6f*H{q{RscN}}Zwt`dCS1ob5|RJ*PO{3o zSRHXV)bKvy;SZ|4{faN(&kL&TI9L!DbO1S|A_UuT<>y)<GNXRc zt3qsuT-t0k=9o9h!uF<18&tB_^;XQkX|7xwy6%_#Z{08Gek3@tg5`o~X{*&c0jj*S zvT8Y!iLav6OWbHShY}X!^S8yTMgekI*{fx<6n5Z*96Rb;OLRXYvr6{ z@^g-yFhcww*$Qxh(Kx=L*<=Mi^0>#YAFZW%4 zUg`K^-po?e=;&38UnP;&-aBZR$9_H9%4%`qCPpr}@8t4s zIw({wO0jrl0eHCh^1|s;sI$H|ZKQa#-7_{no!7+v8+OOll7;b(+TA~Tc*z<`!ay~- zY>(0U6}%2_%u&}Lc~ zu@AV_UUTr(@a`r1dhEk_p_g{qY$S^{$%-0zi>DCN9@~?{&yMXg%I z6-C1`$}vWkXMmvQ)*n;r9p>duhnDOtUg@z9XwN?GjCSpAl=@!{vJG0q4|+cB+tzE# zH46swX|9cWCrm92Io%T}$@|QQCsUu!Z?X`vvmKw`81P-t1lunq#EXp#1#5i!bJ)fQ z&FDgZLadyV#9~T3+DSjA8v-8G@5qs-+(;9sz%vFWl?cK;Xdmc9$^0B>Tt*S2a3{#3aIIjJY(WQ6w@95N%sZpv+z{AXCq0e zUoe%~|_mg>LZseNbdLI?gWa zlOn;GxX6E#q?iu;$j%^q1@s}g7*`8WQE_kgv$zjighk%Z2P>5wbIdRu6d$hG+FoRl zp`K1piro*93cA*zC&YvNTXjA~six!a&*4D(OYRh-PN8k=i)&0`T|@5}w!P6Jb-`7` zoZXV;A!AC_A367$4zlMV6X|w^QhZ4rb=0a?;dk`w2w(UQF&ODVPgc;*qwN_=TJ%4~ zzWKgo!V?$00g32rHmmW9J+mi=pgo06@ks}dcnetatG5DK!4}HYV`~z1rquxQ;6u0vniV{P zHn=9HJyQ$cs{HFzC07&}HthBB=A|Sdx@^b@s0BTrwfKh2N9D3I5R3I2NdomaO=rJw zC~3P_s`TGAVndDF$%zkoyTw~b%uCnP;kF_>*1TNDq{EDDJTx~4D82!Nk)0W@+ zkDgo$eJ$tCIAC61H$nTk^$_qNTrI?g4UR_PE+%<8lL1B1^vSp@~l#y4S?ldP$)} z{}&)_BM!+dz~v1}DJNt%7X1FcCRF+3nIG08CG|IzBEAtD8uqXj>Ko7kLZSQ%uk$XI z%>$bDHK9!8xat;W*a@Fy^O~9&#@7?uUbpb=#fbf-0NCq$C{7E1_=;1+6MK6cue1Cn3TG`#N@{zHRh(ZU zUG`yOhs`9c*)gJM^HcMJB3)Izh14N=0q2ZaNn z*{p6~H|OnRKaz!&bp&4Rw(F9U3OiD5qOg`=X!{(*fwstHUO{idIUhKcJ(aT9{ zDJJ39P&6&f>H72Jjx8p@Uq%^*Pgk(rzvV* zvp+mAyp9JOg{X0MmozDh_HFNq);EUU@g3$)6y4%?(b8{Z=eau=2W795RjOY8soMYb zit~c+pSbi?{74IB27xGqcA3~T5qe-t@J+hiXHMDqWXv5e=y_fx*PY!$-6hHDGIO5l zHKDfBLSNFyhBmn41guCy3|vGSA{Td zL~S8ohAN+EN7r3*&j!oE7~v!f3L)BG;JFKJ4O;v5rH>uO7Ln=cpR2X5b zR%fV$qBTI5|8%m5J9pkClt`;wI~TnDs&hxeZu;I`f(s?G+Vk2P{l6TzZ0-{x$_ABN zS?d+8;ZErrLZAX->J1S}@uxE%34XLvj9>;Y$welVh1@q}CCL z<@+p+Tr|5mj)|$1E$o-I*5PG?oF#1A6iv$CX%r*8v7KM>x6~scnMoK4G&cn}137I+ zf@jmg?pq|pb{3ZY>*T@64g2TBKyjC8ypMG>y?o&*}++eQ)-;f)+k@HK(7jOE>;c0dEqkYvx&D& z?w6ilVbj0RDv_9YS%daU#$q;A- z3nGCDGHGyWf$pzr8OJp4S5J|loy3NzTbnJhA^8GV6H0MI9%V|W!%wG`F`u1!=?%xS zauYH$1M1ZfQgstHZX{DxKQq~>J&T-_^OooqAdTumzRy=N;nT_KCcTJL6l5~wQx9rV zO;0v}r}N)yML8K82;uiS<9v2LWv{o^4sGbsbCSFZ$IdeBI?G@G{lX9nBJXlPBeR~J ziDG2%L5X#`+#4lQc?~`5%J;gp*Kf%~ws_K_FbnAdIAzB#;tyyzU$s>bCOSNl{$)P? zKqF<#m|=fO{-PPV8-agGE84dnfqyt#kv=lJK76Matw_vmEpk)Fu7yVSO$H&>`%4U< zo94193_#YH@K2;CqgPaT&*zr0gU_;91CF>NhQvtp8JOC3xp1X&hmGqrd7_({9nbqG z0>Zc&#}qDy()&vjid`S{t9?Fq3^2+Lb>P9by-cruwS)J7r} zz>oV=0s&zdYrhnQvVl5c zXd!03#z^??kd=4iH?F=jAgL>X2vz@HxJ6Ts%FoAH*>jlWJi+{|xvvSZ9aHk|b7K6D zTOW!V&rc87$?WR(R6+~ccBWEBRB)`0VELiSfFaC)-0|?Sosr5 zN{M_+h@jNBA8ygZs@{v?N6D*}`*{K~|$DI?^QQTWWp2Sc@N_@2TEh|Ys0v?qvcjVK(VXI|Zu7*+`P(IuRU52-GnxRn# z`KQAux}j%qe0kqA$Iwy!z;z@aW8PSy4B0+rK&!UUt`pdmvdJ2_r2YcC@;>XtK^}Y^ zIf9!1Zhpw9whVV|`I;Iwrcx(kSL#;Xw_uIRp>@2!M7gOtsQec1bplL2ds_NjVCzcR z*_V>&r+O#2KbXFa46c6^xSdtawn9Ax(#c7C=?L=DNofKOO zWD(lS^SX9N49Iu3-<67NDaXD8A;AI?Dd8`I^WfMv&r3YEpnJp zoMp%J3Cb0$%$I^lKWpKN>d2x)(lwW_`mUK;}+2Har5UL0GeuNvOrIZ88Lf$be2&QqzNm!JLLZPX5L|)O7;p7k%Ec# zr1(!Xbtne4!jd3Bd+Wi9z|bcEiE?)B`s+zy#(X^6X?skaM=tgB4m!j91>}4!kDkbD zJwl+!WsRZcJO}*skubn{v?+U0=%LTOEhZ8Pv;*uhR{U`vDFS zxLblL`jVTsy}tpC!OPqo(nl2Z zkB>(={sCbhWdHSj{z!Nsk$*5yBq8ks4);ndW;r61#x&|#bHXRpW2hQ(J~V}ivOwBe zn~a#(@h8Uyq8dXkEg7?6kJ$x{A@)m)T0IZUSI5nXk`XbE_iNa8oN5(IrMMEaO5~u7 ztQp^(s>-s7U%I;LS4`cDYVN7_E$LY&g4HBlxJFeU$$DuA?sD|vH2B|#@8hr}zE;He zpo5-|M7#C63y!6``>VdGF?ok^F8cM5qq6V45WmoiuG79Y=Oi}aF`>z33z1$Q&71Z- zNO}VLBSW%RbA&yc1p5OYQTVdu&B-c7h_%{DUu>)EkqzqN|D=*$&7Sv^_8dM3wypk! z4J1~E^Mu$4^Ib{0L?cr|dYlmPbi7L|haDyU9>jWkF3g0!IzPappjy^bH))431#rP@_ z%fv34fG&Hj`RVu^)__Aj{j#Vs7DlyHp@d*ZUH)^jJ8$D_;_U$q=IQE>mGi$)`D+AW zvk}n*(YXaujQ(e&kxsN5x@>mAbNaC&Y)5pZ?)wpWTcyw6U5`HuiyQuwUq7idLNou~ zm1UgqB;(6Qg@;DoQzqUIZg#~?SgNP#!Cb%aDI}RW<%fiMIc#_$?uC&FK5prmXZi)_ z?>La(1rZiybDS}Z;1e|+qU}bt4*qv7D0#VSJh`ItnMPpy$AVyAF`@=Nqr<8Gh+}4N z#J~Tjy2)AHuD+abO$oF(v04Bo?~FkL7wgRB9xNon9XaeH34BY` ztS%}!GS~Z+2^ghfb`q#<%nS7tDTQFUjoKdiwl*o#cw{}_epU07JSDg56DC^iC(bYr=$clR{iKM84e zGZ@a4g@Uph+fs%n=I0-0KaAZy*b2n8rXoJY30k<3kdk`fkxSc}2D!CaBgtkfPVtBT zg)5+?c#IAf-anD-Qp+DblMg2e*N}>tp`O>9h1r0V1Hfm0$1Xl*VQd zq4jKjumLpN;gw$83;nJc%tT&N>?OgbzPK?6^C}_r7GSK}9y)SxXLdCKDJS0iMT&*_t&tDs6QEPLiQ|YaJHnL_Sz_6Hjt5xQyKf_VLD- zeajDabfFuM0rrM*q@S(p6C{}I@y8L|F1PN;;+2kfuLqyo)gltD+0>X;4EWAd0unQi z=5oA`9Mwr%-$yJGw=}!+XDl(9dB7hQki9<$hjndQWcMk<>5d-A4}jB32k`XY26za) z8OK~OlZ8V1XyN`uL1cDUl8zomwcVxFSb57>plg%N0)BVfpErZ<{DW(ipDPLe8l>1C zr%z$;e+q=~xDZ6+^=O~)#d2a~rp{HeXI?^!RAFc{%=3v-KADGmwV{&4m_QL50)3N= zV2T{^=tiPEIbMB9jNK|y`GNJ=BINDL`d#dVBL0O@3*=dT|EFmI$Nn52R=X)mXa#?5 zqaI@6?0A^$%gD|HJ$}iQMvhBh)>VzGMOLJh?@MSHN@6XbS2@pkXFY4$7Sq(P`Kj^y z$Iv#s{(O5Rq`5LN^tw28!uC`)4sK`bm@D*#*m98?dhT@}yZFxRug``EzH2G6$C?{9#pw~R|<*5Q>X)@dEcWv01)&~FCm zMXnt2gtit8TOph2HrR=8lqBd1`Ju>^tf$)2AI8Xfa%Ouz-c3~Jp6)rBG}L%W^ffn~ zohN$}aZQja{I4J}0O9Oy!jR4Or&ns&$_Hc&((W7`HKtC_IsqehQIV`NACntL+8A_EMsA$`dC#7%YcvU+E|zJ&ReHY(lnwai1< z$SCblG!o_eVcEI_zbl_i8?Vx!D4?(uK8^#Ky8T&}K0=UwerA&kC>KX0aC`X|P`vd7l)(DZiN zn^_Mmi5C9TOa1#TE+s0l;^O@Asa3v0cx;qgg|d#HkKLj<9V^(;m2r> z(sK>jR2&VS2``U3{=DWIg>ONmGAaqwa5Rhz6#lGuxuMQLw;i$j!*mua(nb?^dCv9x zU@3Oh2c3t#nC-7PdNWTkS->hoO`Fc!J*}aE*r$?`FP>hoGmbb;FVQrv;=!4>g|=xk z0mGW4GVz|)$c{osZxUaA0_Q(I0(nwmY_@g=e&T&&&kF^f`SSiXRn(RSIG+2w{@!uq z{T-Tpu<{vE28l`nY9P$1*2_#F9p6-RHxi98HjTjw*MV>_qr!in2ubY$QvJginfZeQ z%lc)Z@Ns^-d};bK>4*nk<6C@1RM~ZzD4!aa)MO^PmZfVpTdSb{Hyn9Ia{R^OQsKU8 zF;yadbg}Hc)CKPp_;@eAz0@oOVY1ElzXArU22Pz?3p%a75uO|JQqr8T8-XCRTOm`~ zbR}e{dQ;phu$|wjn%WbbnNMevI8Kcu!nUWmC)|B(`e$zO5xDxD|V2~_ek&k-lutcS0N z5FNO{s-NiR!he}bNJjedvG3oi{o_tZ=FgT_NY^7Ft5Z7|6x7A%O&cHY;M-$dK|2D} zzP|ng?@@xqWemmZc;C!IKaw{k!f$HXG+(jk^9fmqSDVovTF&MnmTel6qE1$_kZHmW zNBxbY!_U#%9Nq*$_4`wN@R8YE0Zf30r?HfO`n5s{MJq?=vps@`j3Gx<3J?LgpPZlZ z8N|b5k86~_o67FQK`B!z_tA)x;bPDciHSD3U02GrjW7bS-#^O~avT`x{k;o?<*?(O zyb?aORGNefJYh8v$a>1Sn@jctZfehENr8D9juut|;i|iJP*n}$djw0Hu99fgLaS7> zZXwI>rE+BLE^qdl46Bx%INydh?f4aMRntws_&=-l^D1RZG8_B1sZA`HZ+d5oejrX{ z7Pr?)Sf8Yi!{4dy&$()9^8yh>-YEnH=cMoJTODrpN#=c<7s@oND$|F-AGjn%RNwEY z;VeoyXo%k4Adch@T*)@4G2HW5U=#~SYRq!q_^Hw}bvp#Zs((`Q{6|Y&1z*a+PcRl( zvB?P!g#7)T*Lrojzu`R;$^6jCZ-tmw#b&E=F2LsEIRPf`*PmxzZ~2vjQOFP0D2cLA z4Ntc63SZuNSxjX^x(Z+vL=~dF2_{8pW#mx(Pz!IRpn$G(Pyq0O`O^}hbHnXV#Tds- zMOxi~sUi$eYXy97Kyrv(lPBT>W1H1zHec?}ppWbZLRf1HNgj93g$cY#;woJj_J)|F z9I7YC_a8QmS1NJMT|N7dcaJyIu8&ely zuhFJet65-(doqTIwjt}OCDT!Bao0Mrb1~GIo?k(wv)D|;e36mIGnOHukdqeARaq}C zUMvgYzzt?EXd+HMuch_mTn2SFS?irL9McRG%&yg;+vS-iugha`jVXG$X=;c3ImW?o z+i?ZLDJ^>6KxvS==Z@}4z?U04UDW-6gb#RNN}1gS-z5U{vsJze=8Blx5uuPsJ?gNH z2lRQ|#K}8XAS6(QX3-ggDh~fS{)cY6EquD>rK5`8b+Ew*i`lJhs3#FQ$r&bT?^#KS zjER}Gc^F9;hX4!+*u&Y3$T1leV<}lPJzT&>g*E6603wcV-1pA?K!+bClOg|x%&%b>o72s}GD3QzwSro8G@^l)I80JSC3v^dH1w-~pLZxtwI>q1XUMbu-1W1icnHY7? zDZ8$$)YVs-vJ1-w2BK%w5lf2BV>VCy6IHFJ*8ai1yq~vnNsTHwzQe|Tw`Rp*^gyDM z(#YuKQvWA5K<6=>UG#$<0zSPUF^*npJj@g-eupZ~o^CTS5J(%x%zAJJ_ zpn4M{G4+I`Nd&n1e@q0vAQ5uM|F^89(+FjkXWc#^v?JsaMI~QXP050j8%3ir)Bi^i_!9e0yy z#}UuNwPt-J9;J-MH5HY3Ca;^gOpntG7ER)G)nv2%tQL3}a)hsb@g^zK!p~yO=zIdl zNv&)eElK82>g`Dlv*VVZ0cg&vZxr%AU<-9xf90u7MBy(UugWY!0(6JR()VQwy*d}3 zB^IO4ou3kajH>zcAhi98Mmb-F0A{q>SnwBVbPsoZhD==YPM2wU7`0B6p=braAcpSb zF}%}4P8eggQsmLkM01ejR`p5rQ~-~?{4p_&Tt&Mochj0Gq@zgj|4jUN{uIb4jQ9`B zTk=sr=%#MG6P!NKz)sFB*abthe#+Wt=dq&35Mo$`$Hr+)8ZU7qvotb zlJsA{NqWdbYUoB@P^|+A0z=gDN&(LxrFAU3H98GD!JoDUUauH;G*1YNFOhD}UJ&N> zS?tIj4%j>m1sF>_^k0g!(z(uP^QZFEK87S`T^MXDwih+;g#0^p-3ySllFiqTvI9YE0&GRuXN2G&#-p;64%y{Aw}yO>j~*0 zvTQrtENNYcp#Y`=H}P#6K?MQSzEHr0^1zSw@s-T8E~Eb?Uqf8c)-_Pt=J2&9fc?na5@fq?0MC`eV+PLu%1Wq%blZo0I6D z=Jv~uSq%i7VU^4oLXio>-yXwECeu~PU#=5Ed)!qsDiU?84yyIfP6}fJ63Jvx=hX`p z)>^$n-UB~J*Z`HAEtnU^(<`psM^3-#YPHOd&x^^_t~>IKYz%!#?|^NvYGUsy%wF6uCLy2KJ9V{==M<~T^>0A z_SR&(WFoqd&}`qskV(lhjT-_Sv=Jt`Ev0BSpg_D^F#rqD_sD*eTe8ynh5khb|3%Sf>y#nl%4gkBO>K2xsul z@T#+K^z?2Gd4wcCmotMGw`D3vAiGJ8|F7cQ+G^mMs4cM1sa{%<-O}hKvQ41eU-+kB z+vhC@%*eCCQOl4$oOs^eu~C5BM{Ap!b|0_N^ILtb+@D^rAf`?4$1SuCuSu540d(Go zs3mzUmd!uodvZ6rlHGpF@i(?q_EQ3cm99))!Kh$!LbUf+hdS_}-HDw|$r&WxGE25$ z=}yyxb3WlXS#L>U9aP91aXjyn`Xkd!Lkng5Xquj)N7=xjNZi_LiIb{G{Ae!X>hoVZ ztv%VC?sXhaU$)PvVNlOua`%E5qQ{h4Dv1s1tz7oZy5;jz7-!y3j&<&N8GL?HqD!{o z$j2p6bjl^tGBLip%O_4jx&cZ{wp`*V$F#?%^-zmdC09t11_>X8|5Awa3)ZCv$2VmS zrZCG!(J$Z$Ok;hOXxyF~TNTn}Z`!^Yp%5ENw^SDUyBhVg5M#j!7$_`h zH|r?$nhgQkCp6>)50P8L(0T-az{lMAvRP5V7w>S(cw)&n9GrJC9ls zwKeFP$hynRs&E;Lqb{Drlk4Iggx5VBEZ7=Nz_V7nG13coB_sXIJ{~?joD7X}?`=gB zd6F71myW4O0KGh37X({hYtEDmPMaZyIVfpa+kEYmwNG(Da@7O**6dPj`VB{{=Hpy) z$ufo{A*HnhiC|w^?8J0>1u}~~CqQ)7>7?`%vnAIw+X&awXtG4S(h-yK0>~3A)!m7X zySw?!IV^EG%03Ea9tU$x)KVSZ?wteZ;Dz%>vBiJKe~7_Sofy0C*ZZ`xxEzUdg5DCv zIo;-iQ-+;LhzG}Y2}}3Ka_~|gy5%a3L~PMb!iHq0CYfD~vmi@C{;b9AR_fF&PQBVU zPqGEx$*E-rLXcVYer^s9lad1;w;#%(L%~QEAaDU5phDuMwpLl?Ue;-?gD_yW#ALh6 z<8zXeYGy0EvnxT-KV-x^uLE;b{P84l-Vv@mIp>C1lD(`U>q#s)?ik)&mUcgMnabh{ z8`F1b>B#5)P9<_^m*22XW25$5xBkJv8?ym&I7jp3sVok;RXBvn0}3{Jrr+U@yKR05 z4XSL8w6bKI$K-olv!Q&}re!I5(@a>LliGFvjz2JFe*G`jH|e{eX`cqskf_yl^!J** zw}!cK?^hgp0l+r1{C%@l=LxaM^TWYCyT@k%B>TOt4qEu_>jtB5*;u=Z&w-uRG@_%8 z&Op64i;ynx9^=*ICm_wQ46QR>MrSkB5ed%N zMtjm24qo*3{&eyNs=6^dq*FSHTJO;>SPDcVWZ-Fc*{ohiUYUh)N5dB*RFo=x1qe8x zAO38=33@!}5%JhR@!_2*wjSS0`w)V2);(R!1-{g&5b}jMa5!DL<;X8NLK11@`e*Gh zD*jN%j1*|Fnexfl&};HqV|64~Z4D>W>wuTX6NJikxkvPs5MwWU;^1MEZb=0w2$n$% zi!QhJhvHe~6LXbkqO6jf~{&_^wi7=m)Rr>r!r)z?T(GwO>b|ZS%z=LC7Yt@ z8!<&aR|Cu|*0og!a$N=0FW)>kuLG`H%VzS8v2*T*`Aqb&&$W&Aw(yw${!C9Em+2QH z!24uEGEn=X^he2y;sf^38x>Y`-FaG~IiW7rG%P3HNBEc7T2Vj$J9Ki{79(rvNAG^Y zYJsq9eKxK7yw$!r8Bk7VgWEbB`TnAqoe}6HAvA%N$$h9C-(pj~W6CiT9rv|TX4|Ye z#db&((G65+{gR9O@UT3M73uuCCc75S{3p7!pJ?_h(o!W35!p+**M?_(JY}cB7C-C+ zzDMS>^ViXyvau9uI5K&GZe{o62hyGPd-)#JZHJXShZr>UA2}_(i!eaQ-EIIRAr&2J z`Y_5qS6RpqkEk%@>n>^t$wou2yV%AK%JK|b)k zS(bfY+|gzmQok&_nbS-&?{J;p%Y|f*nnO^>)xm@9?^C5}geW#E()Gh9rE~M8an%=5 zqVNJI2O0=a0n}{EW$tj&Z)8HF!2Kn`bxf3;o&Gh-RFxM4&2=Soks0 zfG{bZOx^0E)Qpc|rdqI5iNRfcxe==ila9``78=VuxRx~)e5qbr(A$IEGm|#{o@qxKDRtE%TJtqpTn4C>(;Q)ux^a79cttd_im{U&edENbO5GzmJ^WpT zoR-k3IB(cZFo9avwOqYgG)5kRO0bsjze>Kc-T(?Uqu6?I=P_>+MmW{W!(*k437y6V z4eK}Ksh;9*ErC)ql(iBvcXV&936o!9-x)|u3zy6e4orXKlAKkf{5a ztX2sPEzLJ>lA`dO?c9BITGLg@bQ^BRP~=Rw4upizuU&r&XcwF0YHZRPEcB>KmY3h4 z3llGwDPwGapI7V9-JOqV97q>yAw2I6UABxub&vAS-9jW@a|y>E1}5pw8g3V2XURVO z_Ta&8ZT-3-)>wLVhDtM8>VswUoos3rpW+Azy1}Ixk0~*=pd?ffEfQ4fu!phyV-hFX zco2=g-p}=%;{ruKk*=I~F6k#Ec6H?;Zg|h3kCi~zJg!5?m!(7=lz6`$y3!az&5N=% z=k}#7=%CjJI(zCkYA+OICQAWNq&QM_4^J=ygBpj{3#~n8LWx!` zA4Gx0!4UrenS5>_4*ip5@ZrBq&J^!HnZcY{raB*WBD>|W2L2ESLZ(b_pdI{(`R_e+ zhGX=^5R9(F5y*%Z76y5iaEP&_wmgHV1bu<5hf=M+A>0eIKmKDOvy{PDp?iG8l-jAF zIG}T0=M!{;<8+ci+#jv-K*FJa z`XlA%{eF)O#-`6#-0tHc+4qOaM4ex4WE}X>MFOsX2EL=Z7oN>_eKr5>9Fq1?{S9(` z{#ycCu6@P{e337atvk38P${Sr_B$)KH=AvauzBbl9jwCE=(s-9&%euqBrk1l<4eP8jB#ib5u}t>=tD z9@t+?lQ~jY$j0i#>xZ{R-vPZdSi@Z^ZeFIfU<&L&Gbtn_s{%J5qhteYRZJk|pjf zOQ&RHpQ?~q*bwKp&uv`z&Sb+3c@N;N$rji>dHQ;LG^aGyBO3SHMxz0!bxxnB&M;l( z>d=aQ#@rEO=~zI9uAA~n5xUA3pwwJ=ygdNB+nw%n~vrN*wIr(A3DflAV4mTG`KT` z-@RzrXKe&vB)QGlEN6-e+%G!m(o9AwjLqAcJve=$WZCsb)vNoTl4XFnIl=_n2?=3W0mnl{g2)^HvXBA~lz#lK%ZH7!rLGj zLFg%29g|0B`R~s#ociY~M<>x@>=3_Ufxh+tg4o-1}gH9(}|o1n`LH9h5zVq?R5RV@c|pl;_w@a)Hb4_ z$w;db>?;A56^!Ne;i-FQP%f2J!c(acy)R|}rr*$f|MM!2J9*gb6 zwTNYAPuhE{)T)CH9+YFgTn;BUF3Mhcu1dVqv<~yE=S1(8mBivE#9tr=FL=fzvagrw zS`$?vo9!&kr_Z8GT=&)GG-|77+1NT`?*N{B6LYi@)N-Yx_MVW)N#j12M$fDgL*mku zr*H5-0R+jSs7bYz`5$K^`_mTwF!B#pxy1cpe+kGJ)MVl}B2Cmr4w;LqD zkW9&;Ql&9oU5q_fUPWiAf?(3$o>cQA;zhL!3b=|R;NoM5mRsJECzZ?@Sbs08zuoS zX#7t5cv`WATBF?=fx}*lk$naR@Nz%1BUt#~Zjvk4!+Qqe_c=vxG>JNRvl>EDFHfd{ z=wUvDQq074v>K8)Ayf|e+rWA_#OsD5hEdR5)R?;a-5xFx7~29|nyw%bjd!Xa#IloX_< z<2hYaw!71%WodIlutTmE|1IvF4rA4wQ)Pkr5kzmH_cw=Omk4WvY07N+zVsg$&-Rd$ z6mUWguJEi128g99G>R!xNL#=is=PT_0#F*5KgbuP+ zEb%b+M9Jw@!RJ-`=g{X>hc1D&x+`eUA}~>~Y{DMgW=yriH5zQndf?!^D&8l5L9LW^ z{=_OYYE0YKVZbENHS+m}D=@zT4e+Du_#aifg0A^tHz{8kQlm zHx74wiKiTZB`0l^DTuEM#wZ4^yRs4N{q!r5vsu}bI#b%WRT>4}w)OJ4Bp=il_SB|i z@V2nn?*RE@XTnY}2`$y!sEobnjdEVphzOIKc^L2Huk+otMrB4MvaFQ~C-{qWaNe_l z*<+WJ1T$}b@`E)_)f8Sa2cbvU3DTxTK}+}SGHkhelgG}ED?u;8Fl#(?J<2yPG;_+|<5uK%a*SW*NQNy(*o!)fyGf7Cr-{!*n{|9H8mUPo|sGcv|5 z#R*+s$MOFT{e4FAi>n)_B&Sh+t^w!z`qNtu>qGug`(?+)$;G>0M?+4-0}r3u!M`*Q zvxzkBP3~Z$aU?-kl!lO)!5G0QzSR~~2A%H6@AqT5_q7v!cfQbt6!_Z0o`!wHhM?`k zi-4Im?^a!>J`&I9eP$&~z6*HxJT_|7lnH%*ot%yQ*EAJ{rD>=5Cap!BEQ<+KgOX3c zZXfb6Nsq^GS}pb=G=l2AT1m&Dtvk^+J-oH5suH_AE=mQi^cJT_vF8NjHWr5JU^uaP zYlG9BBuSUALcbeYLbXP$vGpry{1e&K$Rs&VE#3|-QiuZ*#lDkJ2Fglt{6hy1@&ywL z#-tStNiLi;pF2$VW}Y2t>qENuvzb`X?FM|%R(c!#I4NPF&}iBhpWAN(mAhGaE*;j3 zjGq9g`L?UgMuKMZC-d$v7le)@3(jj@GCCX2q-6V=(UQmXP!J>qfE)YZs|Wh`I1K#H zZ{zKyWVw!5b}nB;@$+xTH|(&zmJ~@e6A3K)cNc zC`kYruzK|mAL@**{t%Rdc~0kk&QF24#T`J=x6a`P3fR}fm<%iWcc``4C4UWp2Cieh&+Z@0O!^22Xw)Q)Y`YBpM2% z;7nfbIj&J)JABW0XOLQ<_UlNDCy1$)aQo)G%kz`iot%M6hoCx_LdhRjkl*|1y+6d_ zM;P{Jxsk9MDNAn>0!L6E5qVr9ftuon)RjrknjAjU&Bs% zsJC~OhSB+uy<)HxcCTM8$+G_pk-ZvX^!+5K?BDc*2@;GHeH`HFB;t93lO20@m?)FkY4B`_ruSRCkny_O33vBUig0?q6~Fd+iCE7gfHRPtQ4ZT zYS@eTdPDDI^M`b|w{!LH97mP8f>Chzeb7+!?)q2%k^K>+GE7J!UqQwR=nfq0{cFLy z;VICYrOc2jXjs04W2e^^+t#00SqKpP^w|K}#FJ{=c+7MM%25!!DV*mUB^EU~BaRWMF4FeWoEnXk{tBRa_J zQYdF%%Db08ZKH*`OONJl-@hIGe03vcfiLDo-R9oskCqP-*mo=L+<*}`Y6*aIgbs{5 z!1cFPQD4#MD%Wk{h-|?lrDumL8<`1BoJ)q} z-1o+!0sxHkl$zd$eDC-Yg|bDiPTh5RP$zTMVOs3v3D-sRWFj}g0~ZC8-@wcbw5Dt2cj_6$9EI@B^wvhWacH4XyO4h?IHf8}EXQ=_E$>9Dz#+9Q2sQRD)#k zCQ(+ZMQ8wYia2UHN;E2NzlP@IP(ID%Ni-2|U{N%dL^)lAq$SM_cm7d1=Gbx98!;L{ zzq_FAW%u=8?0Ia<^;F$PP&EaZ;fCC>&4)7{INL8CG~aA%Av(CDk6P%QWaG^o$`X0B z0f*6QBQXQz^2atS$Z1T%cRdZdVs+tYp&Dkd{k~twGY6CE-%w?d z=hWIxC`1&$6?UkK@EFCZ1>#pc^1?yuL6@|g?La(0I!10QD?h4R!l6}}cQ6C7xmOZJ zXVLK2)#~#iolYS-+OFhlhg=-3n{$ar>4$n$MCRHzqhiZv4_Nz|V+h=QN_A(wj&OX8 zbXTq+h`qUK-qqk5f%0jW;n0vkiv0wT7}Yk=5Ok6yY9eeOW7wH#HsIsCgPxw)mVD?8 z`u&MGaUlX zT;76RZ?7k`VT(u1Swk}UfrQve7`s~;#`$8l-S5p!XQlTQhGs!D##My*S2dbhYE}pc zL7fj=?3t?mx1mw5oAMHE?11b>!CpN7@3%GGCB)}~_5vpb)47Wl6zQ=lhvzv<(OHdA z^QnCps~2k9NBRDY$~kc<(a|BHx;fkc#*vb?!w!kU*C%s2rR?7lht>JFf0cEb97KDf z<3r;Tic3R7Lta;F;DI*>m7SeSxfwz(8#iQ6<|coi*5HO8&Z$S!g!a8Z0oywax3(N1 zZk$crxS3fPk-|^Ib#L%wSG^7=A0@w>)*?t}zQh_2(vk{!ZoO0pjXK6rW4_#md}M>> zBx1I=TTwxtx8I)-y%kS>z9nZPOpd8DcOn@`?R!HP63~ZHa9bTQJ@V+595!s7??s}4 zD7~Z4=06MYqE5Mg37M=8#F4slcn{rp>+j*-OnDcOmWQ6(`;xNZ%jTzSlzVy^Es2Zs zMJyh0hk2Izk^@+<^PeK*Q?ndeZ!@rqc~~t;VlT7$i=tIv)*HUD?a;HL zr4l{}Z~mu!n%2=qEr%ytc}VxTDRZN-wd~mXZ!p&lk3su})K<+F}87DrdCh45WY;Yl0^K6OrO{8?;Hxjqo(Ba7(~&0l9Q zIRTKTxQ{I23U@|HydN<4uaXp&x(?ANVKE(Rpc}*4vrkosP)8E+;+-DSf;<8d?-=J* zH`>Co;$)u|Tre>#qkk^^j&`rmKs|h!8*Jo|Q|!?+Z#-T=O;?uK&c=!&KIt%;g+PK? zGylA^keCuQa-8N;EyF~e%mq;{$Ksmo4#Z^@@cS`asg)rvQ$-oJjXys?0RTCu30BHa z$RpJ(oJ^uxCT!pJdV)3v<#rAxg6mlz_1h$ZDxQ8o3HQTYbQA+2Ha@#by^AU`rA9dX zlAZz`mrggRecn1(4;0MA5|>EspGXjwb916b{$hJAP2mMg7G$HlOG!JhZKzwHhJ1v} zz#DW{5rYP(FdF;!WYW@3c9+lFJfTSkF)8|YAFENs+a~?AMMncjakyA5{C*dgqliZW zZc6-}oBD!Wst2!eLaz}H%jswGy#7~SPPfsT!C?zP4prD#C(M$RCeHCjEi_uzfabS= zdz`{QK$;^PrBy2CI6b`}zP9=x850#ksjoF#8h50A?h%0|;bN+&t7grSt90H@1}ypn z1ooKLifCwxN}o{6)ngi$5wn0D4bN){qb!jYa_d^dvbrX@NWtkXu#%by0f|kuaf7PP zI%hQ!g(f8Xu3l`G8%Xmb*$b)6*h&+6CdO+)>+Mk%S}g+Q32%)4iFM4w6Z8?1063t0 zX85qOi9YvBpYH(AHj`e|2Gn-p?G2{m_k?YOCgkx9HcWY_(=t8R!BGIspWSL*VseNN zF?@<8gQG?Rv9J^DM=Yr+1zp(rfDHq<-1I@MsH#pasQ(IjJ`t&Y!*jN8AP{-BFkFH4 zqRV!|kvSwB$cJbuel7eJ6m=@O7^ocas&#%xOHFUxd7Wp1g_qb~0BKwwyHW3;-*nNF zesrL<%K7<8PIh@_hyGcT-P^B6yIrJiZX!EgM?pdvaQV=_LiPB4SXUN0MvqA{+S)1j z@h$OmPsF7A@4c7`-)|A(IA1UP$sB$$p7F_#VnNR7mFpO(8LAIsWlJf6@Caa$c?c5X z3z+=HM_NblS}D~(en-~mD#qjIYXZbHM?sscsYIH?{SoyX-;Ir3gyz@Sn`G$P)aRrz^7ChkBgA@`PYw|5iR^yTvh8i?%C;kgA|0D#?_jUb+h^)_2S{4X{Iga?zz+tm-jmU9 zk#;^14BvXz9Ir4jj59cBkdJ_=I@78`98ijCll?1!Ey)fZSTFy;t#R7v%W$(ws@2uQkd7vGR z+iI6xKAn5rih=KS^USS+IP0WBC1nHSn)tP5aI6+pQSNzN!}$HV>Z{mB25BJ0jyi*m zllpU99Gar)s*tI=SOz@HQja{k7+bI&fk1@VoH^z@n7sY=EI`?OG8RQEQUaCONSvEw zf1Xyow5Z4(2QNJ6D@28o$%ENqFLgf+V+U*4IqE!TIR{PSgcW!3_UZVki0g7MIxieu zvBg2=pLQLV8&Qv_v@pUwv0zYiR!1lcwR|?>q`&r#?XW()0*Rd)beulbuiB^+hof&zf)hd*eIBIY6Q^9s24~haultg^=ca}zs zxJnKJH0$84jo-qp9Jb#WWbqMmiV)qa+0mqs3Qab~eW_!CMaT@!HPAkqo(~o8{wtU? z1;FuVi|J|@jNlOwNPJGFcF~`d74*1jUaYosk+{b20H3P5E*a#16>sG53+2Jd^eefl zIRU9GdQj$S@&iUE8-fO(8HwW7|AV2T+vnq83s3zY!%5k1f{>+1Kq)59iwwY)^@LM z>@_*c5G~1xCQ0~wgAYmZGJ5Z+s;;L6NnEuiiX#cn$O79_;eci<_W)yUnFaG0e#Y@V zk{?(s_^D7uMMYG|zi0U2>Kb%(ouE|W@b#Z|JwZ%gpA;wHFDA7)5)nK6orSM{n>;e3 zeizkx#V3hq!n~#ya+r;h*#1Sbe zBOeyFR}9Itw6uW7Rt=k#TRDNyb(iyFfi4$v$1Y%;PoqN1kg=;%`9N*4 zd+45pfrrOZ!v_h^*qvB{YgV2}`@rcVhG?pBEIe zB2JRZbEO)JYpMAGDbDFXP9j{a7k?M%HYY>Be6?R2It9yXl(QjVTGAiQ6|u_4M=Qo> z1zv5vq_WG^6WyK6%VhE@Q}1qc#R!cG2g3L1ddlPU*2iTNqns|_FWTOd1v;l#yMAW2 zn)<=4R?Mg=H712Kb0huhIs7YpG#>TfDB}E``}Gawaa~Yh0fF!k3L$K)<1)+4sb0_b zb{P+n{b1x%G(yZU0!TRiT{Bu!gIUq&RF(jC7`9HoUOCLMO_hn@dWSj5zQByqqgx#_ zO;z_?bTGU4y%%sbK4G0&{#( ztN|;n^@DcW9gy?G{&J-Z?Dy7`7EwzgF~m#Yk?5w9CS&S<)9_->NnqB zf(tb>u9kU3242_Q?WTs%uJhjn2OkJ=km__u3rRz8tMnhTfd{^Sp2dr2&@RHGS+I(F zULVT)sFc$vJq=y|EI%{JKsxe4=h)>&`j*d-y*fTHqPd>CQjLg#w;&XPA~NM1Nd;fE z0duNremy!QHDgx1i8$KfNBG4J{%7^hz`$(nW-E4r#Ihtr6htW`eHX;L-F%5LbE){G zpixb?%b0lA6B-aqkaWW^?*{&~RTXl(3wAJl`b1|-9|)ytGF9`U5vgw+<CrJV*4a$RO$}5MP6GEzfZPFA>oX6B0`Bz`LZ*J-;1s6atYSCrW%@O;CKn>~@N*g%)G9~A8hiYuzeJpZ_Ou^Xtdj;g|;un zEescAj10c(3rSmHFVb%I2O8(P1Ugbesy;a|olAQ3MkgVmNrmLnn0c770{w7p>edLT8k!>6XC6}DeT ziVoW_C7ZB?Y_zC_nE{G;F?R6MzTx=FPQ4zC2q;EKTHq3b%V0@gn8HVzL4nz!%r!Oo;x6Gz0|VeDGd-eB z_siLZKh_^L36%0r9Iv%sr`ltUy)mU@L3h03DS)l9bcjlXzWY9`_D)bsJ(8kRII_7} zg0-coLPgXqFMKqHas5PTu(dcPlCPM5#aZTlCxEOpZ7CTlBO$JZGNbHt#l{C8at~{; zye5JpxTZGs01b5fggPL+ps3^w!GW;zwKF2fg2FaqShlG3p_JfZ->~{~=U5DAU{Ev{ z>VR9DDHDUELIDVEl*2T1V%LY-`F=m2_XX&Fy$sTpG6;l|cFES+Y%_Ct@L`*~`_Yn6 zj?iV8+^3DL_8c=x{@-#L)W2F!;GI&kA?lz_MO^($WmExG@15@1UD?bML1DRvFYHQ^^l<_i$Nc#P{e>VUXwbcd>H1Pe?FT?kg z!NnZm8D`l_MJZ<5_#S7`XL935^4J^Pi%Y)9wm^w+{JqD8B;A+$aAxrR8*imv4~pur zq|Lk#ycefMer)1SXoGs4Sv(>Q6H~pN?wM9ez|R;VNRq7IjtPQ6{0-qkDd`aXr%MHW z%3b4drk_h(tHR=1cD@2eJ39szCKMdf9-g!X3|Tx|OL>7m{*8z`_j^@R6Va%Z`wy~P z^%>6d>?!HirRd}d_;8fRT~9X(i!4=?ldrOWiZjp3E#}kZLaqO4FEJ>sCJvuJ9IJeY zyrjiG-6+q$RJGzy_aG#y`zv5DF$Sf@TSk@&*Y~V*SU%D_br{|;O?ddplyj$Umi`bd z%*cCmLtmm=t||;FpEqbS!DP{WL-U%(#G_$V42sN#XF)bFv<-9a){Mz=Y-)rpjX{=| zk&$uOCF0$*a+*UQO-YIiC~AY7d8*~4!s794FI8X!ABUoiHeE} z0^938iYI`$+@yF+N#_2P5O< zx@PfA&CjCC51o12j6PAIZv0y;@ZR`laA>yC%Q$w34DIU5FlJ6|vB6|eVli7|EkJLvxh8h`bLzhI5jbqmVw&FKon;TP?ubqjuKuiR1PVWWHsqnQ2{tyR zacYd=?LoEgSU5A2NHhh_Ae&`V*d;#E*JaM(hc6-{a>OSx)MQrr%jYgTW;`1NNp|Ho zgXH$2!8!TOt9iZ3gaUY=+}UD|L|PTl)IFrX;q3v$*7HdXLD`4jP&w3M*LPizJfA6d z^1dS?q!5#BPU`n@KG1>*WzP}#rA#8o+}I!O@!;3xo0#()DQ|6;iMxuA3@bNqQ;9pH znNZ6EIqyZPeGuOZkcaFqi@zdtg()3qI^8=h(+CQ4)eo^ zZ!3}64SJOXpbo4F}t2J7ji2=ugtS1LLPfVgtV%T;D&Xs^AH} zpOOKA0>o!5f}LnwqMo(9YSoO;=MT_x44h89NXJX6HViRoOz%A~0Kg__^((0GljK0Q z&(`EV>{>`j6M3*p92ed-@{y^(l!CoIQp!R_Z$`bqtpFA_Xbhwbom%KAq10V-YcQW7 zAn`-m9&}3enK(l?-<$Y_A7{CuW|)|CQQ|cN>|^U@tl~C8)%pqe417v#C3XiT?DzW7 z7HlFF64X%Kgt(r-qeh$?A;B)4|HZLt7$#=_exb*v4m9dDS$=#n6B>=y-7+#%2;%D< z&kD(%lVC}H#~|6?1{noDB;5KzLxh0_%s}`^>hO$4Rt}`U6>aNVB}>pR&uqQv3+zn! zzNXHV_pBzg?|<+FKmLreVKW&7-Thn+X0h0;hNTBPnyA7FY4IHWu@xE!85|7t zzZR-kL>iyd3RRe5MqaED5I*1j@&33_#Gk_R3S%6bU}vsSGIb{FK2d)}Q1v6rrcotb zuMp5`wh9dB@-lpC&Ex8HMk2CQ_*ox^coU_nc=Hwa6|qiMmO^NB5znd4s~O%bh6OY% z-27W~?@(CHD2otaABL%nvYWag$H)7KmnIRYxF^KXf!3t&mpFGEJVxOGw%tAZ)7PKd z#j?}mLlU3$t2pmj?&$yw6{@iY_1SA-@U>jf$Rf!q)_N7~ra;_qq*AK2(UpY-r*c?m zdh=XJba(O>-8?VUK(cjQ=PA+#F^a@qK!@aJ_t&E0h@;E1$Nt_i$4*=np}Co8u9*%L zEY%k@P=!j6&gnf4lMw~hMCKoGzcvjoXVPKRs>RQGLrn|XPqgi%KGNjwC}kmSRi;v? z_EA(-9mWHXdA~N<(9nvN<4k$r270!hc)vXi=tH6()+5tR0@=$o@smwcwOq9!2(i zm6UpV^#(e|L;5$KJa=RrKmpj z53O>5{*th3wZFXJas@tWAa@ysa`Yg8OmzllA*1UXLccN9@?Xf!ZmQZ6tnggz(O_2F z-1w7a)zL&GLp$D9{B5kI<@BGHP;)~`ZQgRSSw=h7h)jr$@df7vwG1BqS`NGA#0-@- z&qX~i%W!dvR&ls^(}_1Ma0YDC(GXbw2{^MfQ^<^kPbkM>-u}ty=C36(9&twTq!?0C z!ZFd8jHJ`4R##m#1atD`S8ox)7}gEtZ$i>Ehv+0lAXnyO4_Ry^jV=+C<;>_zh1ahn`#S?)d?=#gQ<4&YQ=#g0?HM zBy5?AJcxFENKDFLY$Es->D-jYuBwU2Z77S$lQ9%^gOZ;23%!Cjxi$3v5OogBm33X) zjqRjkTOHfB-LY*O9e0e5ZQHi3j?EL>$$Rdn>Z|V$q$>MV?Y-7D$G9e{q}CyP{#R^d zb1&GN0j08YeaH^W4LLZDpkVR`YXmZXVfhu;TaIwUyNYr4!_LDAxi;??v-J95fbAQW z)a&H^(6uBBkbs{sPJm*AlAFb%icr%MjQGAF3otZB>5*aIL)Q) ze1n?}sI@M$3&%FZrpQ$z=c<))EM`%r23fZAme`LjK{r@PP?9#+LtJy)rh(+g60S2x z1TRw?jJB79rKiHQh8YOfW;@5n3gjC~IM^WJ)iz7i3eCze^G966XKlCSws*4Q2eUGT zH2No%b>%HdyURciRL^sw2yxX?*pmT!0-pVWnJpnPwwyK}JHAN0X=tnMe0>;pymCsdf%7zsZQTe@mi7UfPboIaT6q`K?* z69$=uTvH6bI?;6G?!>Ze*S&}Mfa+S6*o<AeOOt9K_Wd0kZ2?*sW(FE3DNU{<0IAOpBaQ!WEaP z4GpP#H&{-!iqNWzc-MAbh}f3&7>9~5&2>_Syl_w~*tVaW*u+ZPTs4a;-Y3W_;O|&V zO?XB_HIuK9!|4ou=wbyrN&){b5HeYuXiFxf&04qX`6OZ$S>cD9e z6T-$n>OmbJ+Wm*}7zF=A_?roE8ZYFu^L!`hX?-cZ1*FlpNkik9j8m0*hA{VwkiV=F zgZg%GA8w^8M6#2w-yl-l-b+$1p3*ZZgk8}CP#a`ywb=cp-@m#_o1-jZGfCp=Cv1^N z7C!7f06dATmT1&d!wKL%^EH0F^%}J~{Lsq6t%3ip>l`X+v^lJ!E7&|(uvusXpdh)^ z>$c28B~*wh4C=DeGeRyNFl^ZqL1@+#ht~)2U)OM?q$7e`N`}6}o{QYMdGd;gvFe+S z%d0hupDW>T*({?UZ4h^l9ua1?8S~71Fik8KvTVArI=ct=h*U%96ch6U2H<7zrsmDd zSf+nHigk|CL=PYuFwS3X2!mpi@!K4@^ksrB+zTjrAP~|<@>R>7d!PQG+O;FkdcKmp zX3!hX60q1O=kq9aF59xOyPR)Vw{e>9zf-3}LG4fQjdHr#^>4~|OYY~Wpi3otn}%2J z8Ld{LpKBe}%CLA;@E$y}gYci@zHHV387KNDpdJvZAn5G ztoNp)B*!D{i&DJ|k68e>yjJ1`kKfcpO1ML|KO#?`%Z~S>+<~XnO18@mTEBbPMH6`n zhf_b0)%*Dp+@hZFFX_XV9n(atg|plFw%7n^v5&V;}j;}Dj!m5GOnm*4MUh4AoPv@xG-h`vur*K<A-f2dD8dqE6HDIm2L9OaSwQz*_rU%b*8G*RZM<=`^asAQR9>h z$mm(!Q8OZgu3l*y^wx8o=Iem@>~rdFuh59M51MylDfc!L^rSlBU`CCEm&oPtN z#Aa$3Ym(LjscCM3{9%-10UvO+UEuYuZ}e(;-aLs}vG$Fk^HCl!9=Aib#jwWwfVEOi z;OCmO;AIOQG3aioT!ip$%V)q%FeX*g^gD|WIusOb!>Oo%^kX$VBIjG3xOkC$Y@TQI zXjUyFg2}@HqdRg4_MPB#DP@HWkUP9+u^)Pkc!)0O^RtR#zK~9BXgyLD)kzze($*`W zB{WDNTGsxGdf5$^@_T{Mv65S=RF$05XpWJh(4*P2r*2BE6`2Nl?rE2fK0c_x&6-7r zc|V&tnyr^0d}8F3Zw`OxdqWzIH>DffU5ME{!sV7NJspLRdsU)L#U``|q5e~S7auRmrPuw$KevD|B z<*>xBPFJ)-{BaT<7ep>#S1@Ej44iES9#u;|5DqQEr$yrx1<=H)qCMGBH6$TbEdn{Y z+|fPAGx;1?A>yCYwPRC9iJqDMM`L|}AuifQ(4p~c0}Eq3>B zqNYD7@Cq(lwu^#2O{UCGiyNPfnfq5pJ1P za8&mREK($KBJNzc{owbVU#D*VbLO&WQoi7eJ~%()#9Xd=&#n`T{O($%iB7OIoL2XO zkyTc`_@wb`nBswJGE|+jA-zmJvE~ZsN?HskbMOOdT?T-~a7U5}b&}9lL}2)n38-Eh z*}dQDu82ImTl=gg;D!TqGf8YuiQ`lRI8WpN71uH1O##Uc=NY`|MwF z54n=&WhGWSZfVho%{Dkzog4+7W&fZiWkHCdqq8L^yo!xq&vn7ZuC3>>vB2GukZ83U z_7qK7tMAr1q5lyXOmGDrgc*L(A_;Qif=m7y>jA`!p{EWb^7z(GaIUPSr;(h?LllS% z9zZ?vK*znuD&)cGl0Wt0u0k0( zqY#>F)MHM-VjoUCastIMl@^*C`Mu6w*}t?2ah9GQAuAV>5<4RaEYZOA8Q%G3W+0$zDYW z9)wJ*p5(a~(^{?;F;89ECZwcBg*>pG;$j1-L1(e)t|?bj@?n#EN_MrcGw7u*8Fc?DdjfPa0rNiuigp%Bs~_fmu>X?t@;Y`Sf01A>&t$?*So# zrR`F+aG1&<6jMo$dFeW^6#TIF)**>H_q(LFHp*I^Hjj3>+iZ{26lzO&=F%{Y-XI8= za|P1=2>4wyLs>*MO2$Y>pmA(&Db=-9qX*s5;r)##Wi>Jje0wJomXtyU)#GussxATs@tW67G>%K$SP z=g-YzXW*!RU!#zB>Nk0xRvq;6k@U)tdfzoMTx~k>aUgA1Gv%O0vET|4Alk~0M>Jm% z8R3d7oW$>lsiGGj1Nz~&@pq1xGd8Ow6NW^&VO0YyX3Z)b^oC#CfpcWEjYsl_0q=R?2umW8j zgzIfWzm5l?e>I}>0b^`a`W29>@1I-&XSgEWdI?TR8}dV$o!>SGvHgFBH2vdQ33Iyx z8;>Q8THF~mW*vvrAa$q_nnv=rkFl3V@l_=@2EC`bA+Eba=~J0-u{_EV60gCn@fP{F zQI2`M8WYxW_T|k~0|bo=x-2XrS_+4Bz`yXqgUKBuGy8t2F0|hmrO;!}z2RUx8`OJcABQ!0kG*($1$F#vsJ3fPt*C0jsyr6MUxkBat>~z`dgnBtHdw<3!-Z^aj70YpT_Xy^5 z07fn760svcsc_NjBOSS&aKU|K`+Q{3DL?#)i%X%yqJbQb&x1tXqe9;&07$j+Y89wb%@bA4OHhqJBlWQY{B)U#eKm3oPBhR;2<2DS> zawbcdj~N57f`h%`%zs_KWFKR33t@JIA`9a@0((Kk?(Xq}7Eb11ad#Z{Tn@=4WH>#% z7Bj!6UwhQP%ly%}1!Y>=LUaJ z7Wa!1+-I!cY*siUZfEbf99{``YDPscf_YzL)`dHOHl8Ks;U!!p4|>N|(&YXdxNke* z55(9=?wbttGJdS>a*ropC949m4N~_EVqKY=o}A?`ekDpES^*Y+QH%G8`9Ho|w&CLT6OWINk=ty%_@cgumiPB=n>AV)ymQGWk$Si{? z9>Pe@k<86PTJ&=VEj348OR9(VE4wi<#ZH4lZsa=aUaK{Z`>aOz3^_l&5)&|cT*0Pv z0DtY#z?QbTsu=HdRJi9O_24PAyhiPl5Z$*e4hvXfQy#5I&kN(y&O zJzrb5`GZw6Lc9C1)VdQ`B=0Qg)-8(jrJYifeD0eSJ3nVq#JV}N%uPyH1*^hid2q4% zcQC3c`dG7d+!vXIQNNs77S;Ab4@A-Cgk4dKJ>^=}U(xx^<2H6}s7WhZ_KJB3d0dY^ zzR)19Vh&Ie@~Dt%Rpl3)>z&Xq2B8UYx&)_bJg1WyQ6IpC18`ZH6^x6lEUGkf5Sbz_ zZXU<-Mx8x>nzfw-;QpVK?+3p3`La^DtzhherLj3JshmH5Jj*Y!xMUET%6`u$(xNO6 z@jEXp>?z&A4)u!soGOIqF?Sh~ucSp4$TAhNqAGpxFgo?n>QpoggadUO<+uy}SbLzP zr1DB*>Hpb+j zMVcvqp@GMdkNPMo51@)wdIObepT>=`{8-96Vb`n{z8D-GD{`(vjGohKNf_H{GUYY~ zi#&PXt6M7y9x*-8EcNEJwvq`!ktq+(5q7je1q5(vf%H4^Nx#gc4YraIGYUGt3fn!_u7D8EuY2?t$kvKpK9e%Ww0&5V2r<;wD_|V`~|7v@BUip%EL%cFX3uRJCofN)hrtqb(-9H z(RF+L!@yWa;4?TV`N`dKttEzhV)^y8GpcxaPqHMx!we(n+k?Y=YbmO(LFFc=5lnW~X}w)_eXTkTc= zAHD*OFefffY$$8g3xDGBz5$8Z!te6Jj}^Z^1|H(|I(7%f(S`g82?g8Y&K64}KUe%O zc?OmjGy)fYKNI%9^elY#B#`Z%>>=S6wVj>CI+2mT|DE zeRXYwp9bf1Yxy=Z7`T4u#R?XRypz952jcUuN*r&Yl1{xmn%zd-ioRRY z%1A)LA604%g2PnQj5(f#4AC6Ze1M_Spc-l_rAnwJTu?=?E1x$YVQ4RD!fiLo+0UNz zssWxuE{Af)NvFjslwiY7DJ_Y_l|MJa7dugooxi})o0ouh03LEjCo*6j$r`!U=6MjT z%DF2HvpWo3@H=Q=PWZ;dtt2%MM0i^t`zPcbH7)I~#@euZE+~!YbpVdk>xVR#8P?v? zt%+%?M{*!5CZogKwcP=PEm)uHB21{TJ`}%g7DjfUrH+y1{CG+rIbetH!V3vM5)7#a+%=m} z)V_q)zf7AzKHv*-wRG5 z?vmv7)fTgy6M54yHHqP%nCY!RNpc(-xLGpVUu-#%+Z1W)xy%qbFq~EL zT4F`}Dj>g;opKpUj;r)*w(T--7GznCv&4vGfF#?f$)0GriTPP96MI z)o=$Qi&QSL`DUW{0Dwg_Q;fwjOQd5bi%!=p@d!DRN-h|gqm+Cb)Uv%S>13}pv^DS<4 zsQliK4aG(0{E{@&y*>m4b0Xf#Si$RP2gdQapR&Ye5;BQexxiqzntm!FH9P1qM9We- zDEVTiF=>K+2AKDA))acRTbrrb%^{$#r1w)2Bl%bXT04>=r-u$Ob1k)YCQ)3DVn>JT zytE+vGM?3=kqZ4!z6!SB2B8LbWLajnJ5WJ}|5L44h>OGVppa9tG->0A;^H%f@%8Z) zPG<8tt0jSSoXdM-JT#lr@%~f-+3BV-n8q)KJJ0Vh%NW*# zei?Kt<@u+L%^mWcEnICL$ni-r&$GF(gxE`K?N%;X^PEuj5C?1ZjcKgD$q{qQodyP6 z+W>&CAO@AxRaC5WUxa%PQa@O>k!L^4;(L^|i}?s}K%jvHkY|A~m8WawvL8hTK#0aaux!87Mh$9iZ{@~4})cgz?2 z{R3-@f(ey32edPCh3G-3G>DJ#3w#ZUpLy#eZ4S%VYCIY_GFeyRCXG-|4vlBKws_R( z*Qy5!7LQ@M7nYx z3a)(^sEzX02rQDf-9^T$E2$AW{&WS>jotSC+3d5SZgV3T*43n5M!%v6OujV$FG2ts zH!7Y}wvfE-xlH+9qDyu>7|Y)D18v1RPKT#Xe6Ss{hwoQo2TzWA7dB`YzrkunrFL4; z95|jvnyr4;=UHfuu@MA^@uwJHLCNYmcLb&)cgH`Copb7@%>+>GN6ic}ES%7EaRtBt z^UV)5sdhswzULeXq-KJ+_8qAn1NwVe^6KzNv!^ub7yO1iX{*tso*^dttGs+`05L;_dB+;7+I7d`T(k*u#D zCN!O(wNuOvrDhxbbt!2yVXbOO;67rdY0!m4?saqhtwwyL4jX?5JN-<7HqFf=0D8X6 zKIA?0)W;bo<@Mgu7Oe}+@)3WJy6sc+g7gp)Vl&I_8}!c?_R_`QiHga5?+_)h0PPQU zHl@(Rr3Qb|i+txhzpLHl^hzxrchWNo!F-dG87}V^m_J-1cflxAIRc_p#r!^x1EG_t zL%Kf$lTA(FiOs@i9Glk}0^LAk-}8yPnS2ki#a|$07?dr({~`-bM4~%s0>ItQ?##xN z+jYODa9B|=ab?_f1r)Nlf)w;RVfd|XS7AM!o^bELgX=YY;I%oeXlH6xD&!=GxGhXO zAqU)JGPnjSg(Qf!rvw#mJF~NRv@1j&_*NZxB|iDyD0on6kgW=0ZI^Il7gX znMU0`W7AMfw;J^aBgx{V7sg& zZ1ov+OiCsU5}MqKJgQ^4V$iT(rKs(N{hMtNz5v{)swqBU>Bw~8ZrqpSWFZV9$!j`4XR#^LhHGwS z09p1I6-aD*aRk}^^-jiqTG<6-cKF}YUhw!k*|V@~m$GUuP^9RBjypEpE(4WL@&f>H zcWm$3{r`_PIpw-OZZHz%;B~-cfOmy&9^;$L!@$y}wA2|vckEZMw^6Yo`e~F;nn(zm z7^qiG6<90L51OU?SqHDT!bnX#jbfG4^BpUMQ4~f5!p{qor#K)}UZkc+2FtzE#r?Ft zo=;4IdObK$Z~n@nR(8XhkpR|Zv+Rwb`z(4GDXYb*kMkqo134qnX)muL7N3PfAW~`^ zh?Tv^>*$806^WrL-?#wB(=1c5x7G9>BB+;un8!u)0yLTzd0fulgB&)86qF>#%Qj_p zT5;Cw))AHnPm}*hHpZb7N^6Ad?hy9)R^?hyFF4MK=}tilHb3$NYAeL1BX;Bv1Z!g})M-Ua?De#8a7kEcq3UN1j1K_pWinyb zgJF+GMs}eOS++we8-k!JDxECUf(+cS>pBnOP4H{lZidng^3Uy|T$%>bhte#orlw{_ z^~(@v%JmTepO`!uKnHSma;XgR9&$3leGUB9BD>y88(3Lajkw_K5+9!erx*Owcrk;s z42L=`At%ffH9-ZBy;4(jYrR=aYy!cXBmHIRAK74=x!Y3pFK`L%btx~rprvQ!I`&2( zSzN56_ZmdJeNU3%SiOmFSXx(zA#(kV8l z70;gv0EXdyd0C@ob9N5K9SAaZ^eo5KC}{gs;82j-_l-9wx##`HdIL;1cL8l>>pR$L znl=xyo31&-O?Z)zj6~2JsbpFQT%KM2V!a}YHATCv$&Gu)n%3kwkwiB91ygli*?hK-2-?WulFT)sC{XNKy+n6@pd(HpuxKBilIz%=768T zvWfJ1M5>}t@j?akRQ-t@UkM)^kTD>=NdCIh1Kg-{p4eRiE7vpSBf_5JZkdSbvW5h`ecA0KH>Ca8|A zQa3b#$!17AgOYvUJTavYa-O;!zm345fvb;uh8Y641!Z!21h8v}xyjW|m$CdikyO6J z`X`-;BpyyF00ivr2)@O$tSHVQ7-ihft4uGBuWY67ZEeQnl66HNsG>BvU%+6I!5&*Z zMbMeNtGipAdj~;kt)7lg?otWh1P{8x%Ulu;LI0))3>zJcvl5H8gFfE|k3PhS$>2Hp zyMg3Ddwoh_it`RIQRInFBs2F~VP zTy0aRnXP@gnnwcVW*cJ_+##%9k3~=Q*pHe4KPz_`%9_-9uo}X!%3XpNEiq zKSlX8Jb(7-Xw-qvKWyQOf0KMGLIV3GTk(32K}aKx5egQIj8fg?SX#c=-(7}}j! zo-g&ILLhss&Jn~>z*CDK7Mc(Q2n}7DwcBRLq9wgjGwH-s*^L0BL|mtL--Nak+y=WT zf+Ze1Wam9Y#LLU(RAnPWdZGSG?CtITYOJt zG2SMik3D@095Bk(2#*|yb2ZMQO;!KkRKp*`3jv;KI z<5!<333mlRB6Ie$MM$P)dg4Fj+pN38QtC0IMmt>Xn^)=q>GyWQ5t!O)pe-so?FrDV zPXb2Km4lu$q(!=}qIZ!0fER97)_6JhWK@2GxQUv{8O3#;|ACij7Yse2%?y7Xgr4-# z*5YZ%;Y}K90i3phJVW1@@^<9iesO9IsIB(QD5%QV@#EpvkyoHnw{XA58`v#{o@}$< z9?6Ad8o*Vh-Ak4vpkh`?*3PVKMFuK4O)W-^PdId%Y!;uAdTbP)dLP5&rJlM&n*uwT z47v$fOr!27M0iY7M|$JLynVsw%XZ9g6S9R`nrA*JB3$kzJ9g}}YSuv3fVEOdfQ6OS zh_=+)1XLVwy25Hpv3vXz8!H>K6kFE+>Gx!SZ`{9?LM~S#L*5X?_YzE1{~VI>TN0~# zrS%Ay8TCn6d5}0L*|tqhm9s~Huu107_HPgs`$l1OC{vXlsFTIEv5DCvu_D`bjO9v= z&=Fj9F@CSusx+?E^z=fjLJ2hmw=x^c{{YZ5hm|gziurr%%74UnXD+6r6n)#?ndG@6 z=Wk~&C}$TjS$NNTb;^=sRn}$`x!hQ&3OQr2ZP1c4R06N=@1X~OQN0saUh4jtdUR1h z?&d?Vy>ds~`GC<7G5zQxZGzD-qF9xfUGI94+OQn|?jGvh5k7vc@yvj`E;c!YV*Svq zC87QN0AHy}3x2-Nh3VcqYGl*0a~td`_hEL~X8`rr;t9X#bdYaEHvVerPOEHyuEZo9 zc9->dX%iet8fEsp<3sH?f^EY=3-5bpzUjq-?Bzw>iT1Vc4u78ZfN8^u46ju|Nzfoh zf_=?vrw23hlA#9Kk4!xE;VA!=Ypop+H^g_e_{~yeXXt<6{JkEmDDXf}lyG-V-5Q`$ z(0o9YR3!g(LJ8ISw=LFj7g

    GDD9tOs7_vPIcsNeu*QD@S8S zD+f!Zc6?(jYNH&}mKL{)MBn(PgyiN}hoJaFcLrTSnRtZ);QiHqH@#oq?%sIO6L3l= z&xcGjtmXw<*80HkpZ^&?CVlp`8b)(N`2}ngm?d7z|!-q@iKIlTwi-V&X5rIW7bsR4;1= zA|CX37X%5&K*KqUk`nDZ*^3~cp5csMV3-2L?Fv3XtVLC{NDFFS2aU(Ao62;EepITB z6f9T3_`M?4r@yYc-B5S9z5`ABHfeP1j9>e1wk+F2rN;Lfsz%St)f(WLC$&SFHlUvV z<9O#5jFh~ExTfdqf#cQi!1AWK}3QL_;WOw3NIv4I3jP@GEJylzE(seX;=>MRx9{OVTmy;tqJGKeQ)#G z$2F&u$}-}0zmDx5RU~6Bjc^4hQ7iuC8hiqF-i*!~NU$`If7`>DOOI;2`XBJGO#aJ~ zCIpE@{kIrlkXQ+uP8NnN6WS#|j?rjF3>9Mso!E-#2Y@Isnv&pMvReroIa0J_m4kG7 zf*$ze?~$#)rim{eG~((m2DWg;Cq5D5Si~12CS%Q@Qm)8iHDLrjVwvF%R$hplR8>_T zTfGz)_8es-)WAZ4laaW&qU#-|fB&FVZ3Nv^sSNE6?z5W!P&>q7Vsa=kRUFew7wApw zX@VXC5!eQ5P)#NMj?^-o<9o+Ln5&yjxENN^PY!c1qR>yHRlm^dgI_$0WoPfrj1b%p zKZlWhyv4do)!dacR(+C>U0#8WlfOTJMMOyAZO*c~r`oU`^8OONVTel2R=}DU8syLP zKb{4p(Z<^W>?hq~HA(&!JlUQ_d=>C?og^lI=(C`fLK|%TmdX||e(Jc6SRduL6%ypcxHAo7; zaatRC-a(V1v3pLb58PJDNFK=>bB9-n+ZoPGx^1&@#nDV2>F=?FoJxH~<{9S@IpEl0 zc6u?y*JehVJ$*haQB@u@*}HFmj8BYsjzg3L@AypUsbHN!N*UfY*;;05Yy_#F!|i4T+`6J zmN~b1d{0w4^)iSeH<%)F6=0;0SJ? zb{8u>-kpzX)#|qXQK4QQB7>M=GNui030Y!{S1paiW^|WjDS4lQGkwOVnKAF%%$gE$ zJpNbGA+Pv@%lbKh$#WM(x9ga6g}_2PE-WrF3mmD??JOg_*7Et)rskB>FprBBm(>WZ z%N~*}edXH(t0u2A3nD9D#JewMZd5AI`3avl@+q4q^3n2YfC9$<1I-ENwQj2(`log? zreTQJ{mH@1r;-!NvPp)^+HLO1FMUR@pDaaQE2O#&61Un%LOj1A{S4fWa604+Vu&i1 z$q9g9vWA_|gN2P-l>4P&=QVM4kT?t__jIv9xl%jYI;VXYzxIgK4cth~r$s)C7!Y#X zZe@(s>!Mu;{BXrG83FbzeI7#ZcO~H^mdi72`vb97vg#c-Vzny9f%p|7-o!^NH>?HN zsnea#2#)|38&5fLDvQ366$P;z44cRO;sOgEJ z)f*SYi$&$DChED(*t4~7d)epmX|?|(q9o)Y1kHwc0=H(m_dWPlG-@@`P2!$kVxnVi z891avEZfqdFN&QEg+FlWuh8T=CWFzmQqLIJ!YOsM~W&rc*VB*0bR z`&AUsNVEWsY)$URQttrs9do`P@U0KDRnR=AEJ1nO;nG%HC;)D0(LAC+_hV7PlUGmV z$%OW~oO4;y&Cw6}Q`!k0!d?%I0Wh~I2$x^kDO7D>!B))*;+9cqxPS(Z-{y(w0c?|e z%IAdpqKZ%45T4!al`zXKoHg-u@+Z1r#}@>l@c}y9-k1C_x=<=%=!(FgmHz$_)n6zz zXoG5eyM7QpGt@C>@yEvDxrxMyyXA%#eg>j`FwS|EYebRF)leFBek-_tFu-M5t7t=wMc|x7>Txx|7J`Gb{dO4&IA| zkTelcYUZp{eyvsG5xWR)6{b?E4ztdOi`Usa6#oa2Iq#B*nsMh3;(M0k(l{0)xTWu{ zmVaL_qvpzU5%0`z84+J4j9Uch`gzCuhmofAMk}P+=;P^3c%eN`Xcd`Hx-bL+IOOtL znD?BzYnT&w;^GIDYX_*JO;H=w^OZ8~eA1Fq6huz?{N#bV5f<{lXSgU`5~#8D}pM6`t?9#j-Go#wVZTO0$-|7NKS?I zY`^`RrCAn)hSx2qvY9L;?3^eke?YW$; zsz5qI`Tc&UH(J1NwS9_z;oBt@+B@s4%>n{|%F>kN7@0~0wO;2{bkFBoo2 z9i7kwB>YYEh=b9*h0w%uWoYfoe`&x;Oh!h8yc~-EG-eBjbCrzTqh7)H%bud3^8Z!5Mhw;ULI9tGayX%Ff zU;r~r*$kh?GNTMufPWBZb>Q(OyiQ`t$m1&>cOO`-D=|B?@ID&T(JdGL^45P01v?!Bz8dHD3%OnwC} z$GW>L_l=?@0{iNIV}lwFl{Up^I=$U!&q_|gEGVMRp7`c%ea5T#^9?VRo~t-@xEwfU|GrF*E+e5B{1_H=Gs+$JVwf-YSIPoIT;(3H*9i z7k%#r_~ngmBzYG^Ri)C zb-zMU_^te~foSThR{0Bj8n80@#10svJmLl<(k+=!3A68~JES)26o%1b1&HOkg}oh~ zl}UsdC1L(FlngWA04FQQM|7hNk4|Gb2+PLd2HOQTnw#XcTP;dL>t))F9l|8t-p(y8 zh#>AKIczmHG>J)A;~JOaSIcJrtz%3~(p*rN^@}QzA}T77ku5}%&83Bp%^B223~2#e+#0ks`fM9 zPk*@Zw()N=%$&G8`-lttB?Czzk23(F5r>eSpz^Xa?<0Ry#Jx39n2kE6Y_|T=BtE)7 znJr?>VoRuxr${_JOn6($K{{m(6+3kic4Sv+q_t&zx@r%i9kUqN1<=Rl&KV@*tccHi#ACceR>8gMbZn)JYcTMzKq!Wte&f^cbG%S+yn_Dx||weu>ZVlXJU) zx=WpS7uuXf&~_#DR{)8#O*pTCVtmY>`%(=`HX!aPzwT#G-gXl2*!U@yy1k+<8 zDHjR~WJW~)g42d!RzA(K&BV2A05N^0fWNv_! zDUOUi{ymHXc8=n5X4!wh#V6hiLaVUM>IUG%JKyZi1|}!Z&ffp@#P?D;T*Sti<)l#& z0H@T`tx;v}g;3G=aK}9Q@2mU@Ov|Wz6K9V;z@)qmS%D9YGD*4@(T8RPK_n-!XA@{DKm~q- zSEE0|ds(U4T5l=AD%Qx)M@ZC+`iY^0xyv0v-zHjoQv!0RhA6DH5^C>D#7j4uHjWG( zdVh0judSR16BVI|t=L@)SXFcewBU8=;(Sap_dG}cD3!Xk82tUtlNg>TQ|ScNZaNP{ z6@@aao{-AY7Ql?-q$LrS1*O4*A~lxge4%9zw+aWO=^jWv)chg<>Q3U}puqijUI;T) z84(yYl~ZeHvd*t)^#^flTzz7&*BmngvA=NBSOyZ0xuG8bo#13p>&7vOpbU&Uu@L;U zJEm2Zqgaz~xnjPslDNl;uuRxcmQMqXYj%bk?HrUEH4$IS)+S2-YLxOh&VH%~wT@tz z!Us~%w>lOvr-7WtfwfS>F_{g_zQ%x;N(?j-T<+Pd?O##z`4!j{XZI-no()z+p>_^c`LPk$m1G<^B}Z z|53b;sT?a{HH5XNgfk8s$waCnyJ}_Ii-QNN!x%cjQo{1OfNxZ)tS5a7D@EcTJw9^4 zbDrF~D21sLdGgl4vJ1}f$sGl;%Mc1+|J4SDSXNCGn5^Ox$Jq61*sF|(V>;pfG^5u! zSL65opUEzYqgAULZc58KJ&t!Ar2&K2j(M9mn7W_5X1k&>P*S7b4(BSBV{6kmJ^eY! z!3A#7eD=yEfI*(QF|n?_dSTmXlVJA^`k$|0FMHypS%hU2p~bN9;l{VY_H zAe5ObeTjF=XI|TQsK7jU_EjnAnPX{bdC17!-JapX<4UMez~H+fRvmupl(i26MBIcF~84Gad3;^=@C#0&>`N)`Ct5t8vn z_Ah$@5+2jFAT**;!Oxq7o72c zfs=CKN69F-|<Mg@%0l)<9jsU8UdAPpP87cIu8RfU{I8A<;yurCUnsor}yKv z`03-9+6Z)j;v`l3r(Vt0XE^2mGu(CGETQhmhhyn{dlD4_b}a+ysi)#lA0}PWuVoSB z=%(UCq?u=L*7Xp=_&1t=mEWmR{{Ry~IqK&Uted&%8UG+Z zRVm}ar`QgOw^DH(;Qzt7U&*spZ+Hi=5B*_(YXf{CjLvQikRQF4N!?k_HF2i^(hn9I z)n1N3HLW~}q=>(^lg{RvxmfPPB#a1JL3bAXt>1gNdT`yJSaT(n!2Hwq&i3LF zFkrp(oVIb3#i4aj>xwsyD8eaRy;gR^~KF=#klf)=BZ?Y!0eHZBq9joz7i zJ=-rk_3gHQt`mmWj9-9?O8pm(p7A+gOUL{U@>Krr<>2qwpnO5ls@V?C245f0@Fc{;Cl(HdpMU()rWB_6$BheVy>$ zoCT@=mDcZXI43Qgb_`oOs-WNP#4r*=j%}YW8Pv@UnXWP^q>>L9`u+z+*Ff zpt?U5Z({hANU7NC+VLtpcG-SLqFjPn-G1uq7)oe`kcp|&ET;kY8$@-K_7hj za%in{xjo1uk0SlMUxf?gvr!xeI1{R_ zM75sPq`?wr%AcfCjLUp#mm?0;hqNL1cGc)T_0ThI=?U4I9W4O9 z|G94JE61|hho0wQ*MEJ;%}3-QHwDSDz63_vPHHN;nNP zUA{FNN9`wqQdSTTuf74#TmeV7M!T#54z&a9TJm}%)3+q-X2p75=P%k&b=B zhLOGcW*cMu@N+~{kfwb+vR z_pVq~DNb;eIlrm7Uf}1OHv61d&`PX3AjAS4+4a3Jp;_^QI)?<#m-r&nL#FWAW-#1N z2_C%dQ$R3%qtFM2??>R=wkf^v+2ap}&63Y`cSK&4H!te>XIOhge#y}c%{y&^_EWL( zPX{}ov#8*V<4pD6l+ocrJ&V6bE#r~?`Cx8=1)@|Yv+!=z5ISk2a~9y8<^hqW9D=dyPs;YzlT+b z-oBJU`H6i8F{&HwlUcPj23`Dx=i~2~I0Rx2r}=x)i>^S=U!j^t`u_Exh#5r>e=_j# z$MY2;5aX{ca0=He(Fj$V(W)xg#z840Z#D>y=`FqLAQny^7yT1oNX69^f{3w*$OvFc zELRa47mcbNUWR>{-rP>Qf}_r4MgA399j(o|cU+o4p63$6=NS&zKX(Atgb{MYgD-5&qoy&6br z-7>rF2(mgo!usZZVsm=|j7x_}%#Nr?ej9yIY}dqlM?G+K8^6T>CxhYvNicdvB8v?-EIHq0yvw$W=kZbNps%L;!$j zCWo*d*FLseh(Neppyb;_U7o)PRxgjq%$BP7v3a~=4hO|rgq_}dtj~+Pv$@=%cM$TA zy*~`!jD3lLd%;C;1e1upXp%N{$$RU+Yykz0!BA4kv9DVer!52Dni2yWYsU%C6@zr{&hQlGj7b-a?vjYOTv?r`D)*5u}ON$Pza{(lte>!0`qM$yfe z^l;M6KV}>616qJh018O`Vzi+jiDDuvnvsz32o;-bHo_x&y~$}Q1dSFvD|G6A^39@K z3X1m9;%K9U@CY^7;;T+)1ZA6*Sx5&DMys63?;pmb0`O1)_p+9aBA|h^Y^@)b*Nh|% z>kVNTB@Eq2z#URoMsfTlZ;*#Yq&5x>Q1JB!UlQz?!a{%Bd^;k$^M-+ zA#M;}+Z%<~BcP>6Yev0JIbOFK6kDxI$UmW(+_b+XtVH~PtwI;gWoU@p4KM6DL|LT$JwU!; zY8uh;{+qavSWrt%3|%hjl+6$B=I`4sOMHnA4r9l&d$dihOw6rrm*{Q&@Qik|4!il- zVmSg9;}3_c;CKZ@hzs{Sg`npOrD9a-iix;{^q|BAVl&%C!KATY3dIg2sS1BrdW|MF z;D?e`EJwsk2?*&mPfiJr2B`{yd3sS9)!DO&C^gkniMWX1%tynP^XNboZ|@F^Nbzpr znroD34-yp2Ol-m{&S8xXj11ZXc`#;y6n~{|&xc2eS~YDX99*oxv}sXck5f4V<_tTYl9biK&Co=OEWPEK_8!)3dg(e z_%c+YUCvns=uKIxe}|!9x~@#?^m*-xE>vnls!*!r_-uvl|6$)ZG45F%y9JL`Oxny` zsvh}9EoE+mFFG8jfG!}qqcv*k@I!v!^l2Cc5BYnh3}%T+Cne?QJzj;9cGR&rBcap} z`}sRgCpEf1tM?4-mL0gIS!902ygiP5jI=z&>CSVLikl#>gBQBp>23)TV~1Fyf|;RH z1Y7g)bTp=tei5CP{H@wB%+`F^aBq{tsgP|obsKO?Fs3&HXO!_a>;zwnDkvN55!_e( z;?A29OT{ibn_ZbLjlOh!v>Cz;TPj8n?YYG3Slw9St=7CZ^I)nQpMha;R5|)lLnY*E zXx1T!kmujJc8?K;MHt%K) z0+5o=t^$|-MTo=Li&2a}`-0$T9KsT#cwhh7e+WNqbp_pQkJkIZh6awL&J6rPd1x3^ zW>Ftkp|AH>-4l89OtWXM+#Ij#8Tm+D33vCdz7=@&8199mlbzT!-&{UhcCe#|ElbHM z*!5>;amc8aV1!Ro4{=F}oTtyh?WMDdwPWJU6kjn<%r^wC*qi=#y%W5L!?jf=z0+>0 z#hJJ*zOfHEZLP(!TjHO}J!z@211Vy&4xIYMmE)UjuHG>Sx|p)DeRvg-szprq`QZw5 zj5phY=kP7KYVW0Z_n8rHyAmzO>m%;x}rpRJ^S);(gOkg*GKnrG>`O zEUSna0sV9t>SgPyHg`w7-NR^rf8YQFv>wMO+pf?{Q8csN)}Hn?Z0@Tl+%3Lx?~8*k zm{9+zw8*IBUge9)%>;bH<1oHVbw$7zw|yoGTk_92mtgRaAdw zdi+r*_XdOV4<}_zW{76FOmLm9z$JeobV{QiIS9@nsJBm3)c>!PnV}#O*oq^C&HvD+A`OshpxP?!*zq}+; za9114NAP&PzP)>Q4%~hE@S>|gbriTj{LW*DO$_iGSEKg`Er=0?!zBb3Vf_bE0+<~R zOBC`;utyKspZcjWk*T3SwJ>C|S%0|}azn>Wq^eEf30OZoB!duvn`gveQ<%T?Ol9tL zej$#Y(gZ|j_8o8j)PPI8lB+AKl{|Tz#6l;7ly*RoqeH-~&ycHA#v~g(;-wfr;@#=e z-(=sKk2*w>bhX_;d3omsr{fWKAo)vjd1f2>zCsfzseD1edgTy(SqT7xO*rcnzavY^0aqQ5l!j8TNLt0I;HAdLlLNNEbnSv z8Z9CMY4c??#oZr&jLPZsgq}Zo43LRsF*7qDDNu^+OE4)(6i8a6_d>xD2l0qVK0Bh9 z+X{ZHIz!Mqz^rUa447J&1Os?hMnoivluAK^9-IOQ$bO?q59SA$d=P!8CS_=P#HO8ELIWHgIu zQf?bcxrk``~98?w6c9v-6a_2!Rg zkxt^?PpG0~ftpUcoEefYC4V<*gc`seNTLjbY2F0Dt8nL9#lbFc7JDJUgGb1B$J)m} zaI0bxPqJUOQit^{zZ9~B_eWD2VEL$rP@f>ousvW^+S}C)9kDDguJkV+x3^@@umf_N z$Y3$wvh)g7Ku}CH@?_q=n=N^fo0MAh;0{~1_>jPwMnm=wP2fOjrsQ;=VPE6CyH`^`0fD{-FcV_$ah1VkA94`FY z_khqpf}&}NjT0@vriv1G|N5oVIWcHIhs2SW!*LS1CJ3XCtO(LR?8kEw1Ak78C%{SS zz0`&n{+WQ5D|kRzLNkw&z;dByPX*UDHBG>0-3o%UV7my#1pCx`>I2_U6`cR{$K3!y ztw~#LqLDYqT3=+Q%H`S!|1ACu zrm8^E-$Vq)nL>zCul|wzXOUy#ts%Xt2YLrmN0FIjICGy=B45jBq2vvKAN3rVFg(TO zwkEleby=rJsL6Q$>L$b*^zt?@**(fg?3 zZ)n#qkA4oz|5--eYjP?!zHKEvzZKRNrL|4A+x_`Wrzcm?6yyU^N z-lwLoQw0}kenDCtIm|=2{RglN;!`N$ft9(ey&zdss(Ax`Pu638iO3|LF5RMdpPy8o z6<}`cD!1Blpfl$YdB1SBS?d^3M;1hnvMuxF?pRaVe8{O6WrN2L%>ldx$(5A%1@8J4 zgrbQ#tOzrECGI0f4yWOAcO ztbt*LnJR)c<${>l%3^9cJ7P2zX|LlrvoN}>K-hC-;@I7Xf5AZtxZ-QIre9P7EQ8kw z{QYq=n)llkFl~O(i5BdSU&4whdM{+*4E3~nhVmfC7_O?(i`syls+C4RMxE+Cmgp=u zS#kenQNGqmd8#;R?q*f}go$;~&!j{r_Di&8Fzgez(&2?%VwDxj!+oOrc?=sw(8(I?roNTvDn}r${Z)Cppy6iE*o1_xgaO zzB1MyGZ6!XXTK*hg^sNK{Es|u-rZPW7D~thDOoHG?{6pRA7g%Tx8g zmT7QFu9R7i#%ZwOn8R)jUp#_0@cu-oWcnEN=)c%|2w5UX7Hj_`GWWjy`7-Xxk#8*L zUhCtG%Lt`sv&QaCMAI;$c4xxc371!( zq0xBSU%hJylC?pnf_FFY@%HBm^95}T#^RB_^ciUNjX~v?mG#dynCixbu8lZm)zAr> zLP7V0sMy}&#@D~-R7wwKl{RyV$3{Yj6+vCYTB%#I$cE)6fubeSZKLFK3X$BK3GgV; z2LO0}!uvF0;KC5&?#iv=CUviNxErs12vO@}Zy?p!=g(!|-d>!M*Y)aS*rnj~H71*F zGnOU-aIqP$Iu9G=3(>cvlWoHJ;924B_p|;9U6Ro(hYVv;mNd|&`RQ@&;^X@4x)76m z?>#<=U9tZ0ZR}3Ya90^@qR+6V8UJ~OLBvjqTQ~a~)Iyc;NDhL`X!3003$8F|pv80rfIU_nU znwikkHDt#1eQyFj9ZJ0%V#<@{C-J!@L1-4zr_lXJ3<$*qTEDfXNsokNcRJ!*ga6i;dGN>{K~MQK2* ze0yr_k{)Xj6Fj}iU%G$unAeCF)pD)pb6Lc#wGE#%)`xDD#=0ME{Hv4rfGYK)n|8jX zO?XS9%bzaJodt;(wYf&w9yx9FQFM>>BX{JgVoxEX^*qu}S8ad2h;cxD$AOpXZOJdO zV2L5tL3pqYec6Pbl$s&<^s@V#_$V(=%tr~HH`0z1tSXi&f0dyHY@DHCmA*AAGWLD+s zZl5YuB1F*krhkGwF8NJn+!0J?ra3n%3SrM0^p65H$IlUk{DHz_>TY0Q)4vw?p6)s3j=pU3q6VbZbY^S2_ zIcoYO#Xsn9|MndYY5Mx$)%)%7SNB20n(z05cVGtddifbdGRW@sEDvD(EOw}}v|+k*?Y)Mzq3?6FfN8cJ^!{_p1FB1Pn+Yzlp!W`*t@Hs61K@YD z_1)`LPWJ!wQtkhNs({M>Q*j;d2cQ&?nezo##O3zu*d+u)@&OB0C}49l@a=JK?6=?X zldZVBIyFETU1g~q@53$}l?e5IMK4dGM(O#nBKfP1@m< zQ%F;Olj&^zvgy|3vXa8P<7mq*`aEU-O8gI<&kT>ooWWxM+P(f{C6__OpMF)L>i5}z zLV?^6$}2}(P$>$1_i(o8SzN^q;=m9OiwR0D8rW$vPas{kF%8UHrzVE!DS!|koh4xJ zrYBS4uFfx{@Qcf^wQYwBa@P=-k@btl#gJ>X%(uU2t5MqU3-DVLf4C01>>KQJuebkz zs?jc{y`ATN&tdaLvQR0dgjLXD?E7J07$d9J_OZ&>tkF&~Cvp!fXRUMFq2O zQbfQ+f)oraf+w2*8E+JDxzS<>!s*Gra3p4@C&3S2xBw;VCZatHHVmZ~;)IC?Vyl&k zu(Dr1!j0j4y`lTDnaPnA3&iNB7QQ2F%M~Q2qod-G;G`>~DWD0YqENdp8TTlu46*Sz z-W0x62aXu6_Z_V#09}>gsCfQvAiI!5EY%7hRQ>1IZ(bnTRRIi4kI4sGK;3}xnlbPW zGIkCTAP$ITD+;tMnG5+W7`RLBK9*2U!5BruAlD%}WI zTV2U5wmFOJ_#3oabhn4-h70A#temirUcBrn!!X!_g=Ig?B&tyRR)Z6R4o{)(1C%dJ z+uw5AD;S={t=J#|)nIbm{-!!Th6tmgc`XFdy9#<>WbLUkYJvn z#U41~j$R(c0fGhdF11+Cgsi=&9(oI@ROlaG2zwuDpcGHLNe{nUq|JYnU-Ieyo_{SN zTEkP}njRQ&Z>!nzM)b#3ySYrpV!Uk0+rM;9`wG3(5zTtj8Myi#9a6?)?_z*VefjVE zq-KmrChmA{M;|uXbk4k_pM+yF63x0+P&!#Z?u3U>_1St7T}Z_dzbp!GIsw?DN^hfC8_}sz_m(;< zeAEUrf1M;v!LB3XiP?t0UJA9}kM|-*u?-oweiK*x01VoAH|8|Lo4{RnT+t~!w5vV^ zh+xwG+mXIES3<;n_482k_$dr0xQMR$0F;Af3425^H6nj_{u z(pvGh?f;iLq>lAHRxxM{)*ByGkugU>2jGGzWc``Z`yM;DG58%E0H6s8Yd^W1CS|)>`%9C@ejZmXahxhyw(y%E~a2le7PAf8tK#(-o?`%<`twLXwNmH zw*ThVqJNACaMuFn*w?AR0ZE&0C^3i6z1jMK%zlQ|^Y7LG{oDAa7%Vna*8t-5kuKgW zbY982R_-cW-}M#O)K_k7AFf9|Vb|||j^}P9>&dr!M|&hap&5Ll3ZTt4;)E)%E$w>p zeBYn#*u6LH^4v+OkbP3<^zG;*gvWBh--vqBhX@O?Kz-0*<_{wbgZfyVX3e0Q<%AeDO{p66eX9oN57Uoz-s5hNNaoFxonvFD9LZXJu-|X6< zb31rP>JRD9UhO#vrfU@W@tJrv3&)#c#ydLICxeDb2L2lM4(jdEH=eYKB}u)<*t1_l zAS8@u*XDT#H6(Qx0+0Jnkez)5B)33?k6%Z&x7jw_%g5(>Ti}{C{YN@rW_*-m|pZ-J%_& zZmt!+DVt3He8~j##fE#D;G&X#8z?<#IC{@R_hES0GRvb!Ykm}k(G>6I6YwI2el$YY zJ_=|VXIcoWa6UtcZ2*Ws2FvM+4@7A=rq7>b@7iEq*vMKz)W6pV1%o_4vBYRx80AEU zfAjE0d>%8VKxQv4j|KE^TSBbdbkgnQ*&z_NtuBF4s6gYVX7O$Am{ugbeAgBqu8?mg zNpn38KG+M{-qj@Gp397M15b)k_#vT6;;|Pi;M|!x_X8B%vbW6;s7DeEgMsA&fK_H(Ovw9(b{=(QvcfU9d(eo@stqAJpT2etZL@v7<>Q%6&w6QJXeIwPI{Sxp+!#~JN8fiIT{Kk=LPD5EW{U9O{l zg||QnhDfof5XcD`;S`!R;n1lyW!r!?R&LuKw^7Q4;-j-zI5NLP*hpfX=Crgt-Xw*T z)rxKf`-eRi?L3$)DFBmk;=f~_H$mYsc3|B%oer=pUCb1?J1*qh z6fhXl<^gWg17EZzOO}}eSsvwT4P;DB&Cu`^tF$;6R=%8 z;$@FTUh~+HzSgR-W8=wh^01^)EBO;Vfa&2V12Wd(YW2ZPs~XDZ`2^Z2l^yzwHYEHQ zwEbE2jqN9NF~Y!<*f}~HsCqD}{$5;hYV#puBH3l{fISz3`z>#YG{<#_*;s>6D(6KF zx#fhJCG1&sNUnEdF8j#0`NZ?wwtaS&Ww)^Y2GbbB>sxp3tUn?|=lToH#WUpGUWfv( zQ=ST9zojw5VMvAzD<+L*zMgBCvP!5a51w*-i4=YK{_|Kp{U0|qG3jsk(-rRC$sT@( zyE9tpDBZw|l({|FWW5*aePR9ID>2we!?E!&QLlBZ4vz=yYTa&vOj?YfAjP*wsyV=} zV5~t&Nh#o=Nt>5HcYP_tz1bZmJg~MD>UIZqrNcM8mNI=UD*67gbUYbh>h|zn`Wy+| zEGF*1%_5M?A7xfP+$;`uJK_`K z;&!tO=`9*3lSFdx8dLmVWx^Xmu?+aC!jO9zp31wQQd;l6`H?&oQ`>t2dGEESkCg%E znOE7xFw^k}ySk*?`>Mn0!bdv)cuNGER;SFq!o+S^RzzcEQ`9qaLIEX{By3x@)d6RM zbrGm74u7A4n}0tV)Kx4} z)0+N6dub{03x%{{Rr0@6%zlJrMYFUF8wFXoRsq$AD zW#}a~z)%Ig*L3`aC~O4kWRg_PJ@Na7r#JBVXySQ34C;1u(KJm#M*SmfU_ytL$lJl3 zaOwOS|Ik%=XO|yJAc{c4mW91e+B%0=cOB_&&d}4qiFUKs^tW-swB@Aix7{fg;9K(Q z-^mGzRUN=|yninHaDhkA z$mW>8T^jhdr|dExXLP~5rJLt%Fw(5<6#w{z56ID)TDU_lKB%Raw!%20fZBRyU@>iQ z+&mFBA;v1-Lln}bOMr|F)#uRf=?JYT;N7eLurwn4zI`0 z=?PCJOe(2nT@>qoZHSRR2|nKo&N;2PRTDC>`y;mbNt68LU|Dr?Z+0GV;R!Shyp}hm z0<+ez2yE+fh|hf?&tXRg)@iu#=j;AM!WObau9^nerJk4N+Y$_=^>0v95+T6HMC|Z; z?_U={!2d>wn#DG$k~c&(JM=61HWN1i%CsFD&UrurfBmYl9EBkMj!(k#MFI$;W{Updss96YO-d7Nbmwt; zZ>8mAvkKG5Kd80dApTv<+WA3=f8bUoB>+NUTbTiGSg0b8krUY4DMPTeBnm7e_aUE> zff}$B9%&qQlUH-wudE~Z0_(o9BuL|bj5Nx4(B0Gea!SoD_8fgB8AAmT~eGd0ub zXJG#YZRXS5l0@jXF5Pj9Ic7P3NhY%F!lyNHG1k^T)8dFXd&$}Wf5$xzWWB|KDB`1j zW6mL+gB>GhD&<+=kS(!C2T{eg25i*<>AAz0BU9X6@$^Grsi2$C}#_8Rl=DGt{YFh z`TY00%IJRH4#d?Z+}xBo>RztuQYjkQa2A(6eLg1~ZrO%Ll_ya3#t%m9OCy5|8?=U_ zaYS*}i&xm8Rc*DJFAWA4zWm%HtPgu@LY+q*ia#`?nRHls8^)IMbw(BIGW`vcj}1Ca zsP7|kJB?{ZLWagrmH)Vd)tAskFX$gVsIsyJOS|9_{LjoNXpfu8DB{ z&1Q@@{|Cxcy7As#xp_61O4SacPZ&iuo+C_P%QUya8dt&A-Z8+6Y3LcYg;UY~EE?A6 z1al(9C3I)+cMkzKC(FEwb=H6>8V^UVeW`Iomi6Jgo;v^O1e`i>K2vHPFL)tN-IR-_7H% zRSaTFw0-{j&k00`PP6)Z_&Uq^`72@(c!l zsJ1y3eY!(oLQ2tX9B$Zy)l-}N_nTs>}F`PycgW`VyPNRuXH7?F;qPslHZJY3>q zOG@-5F^!f04qsm_(y_oB;Kh0y7KLliup86A7&cLayPnWqEJ$EK$+zH~JD^!wZV4Ge z{*28%JoLibz>lZN_Sg!26z7kceR^!S2n@yQUag~vni=d>Sa0W0?p>^VYS#!h7U1?` zpg?+>D%k$Dl`rDuW1smhSe6hmt5KGsUI z)qvf0c*%~58U|CGpx}^v8hi7S^*bA!Vj4oRbweJj5w)1QxZg;eP1~pS)r@`H$q+l8tuu?VCG?FIaJNF3*C|Fq4p0AO`z5|TMffEy)!1RY2mr{wO0s~;j2nU*<|%7< zzg_fMUbH#|gM>dHbSK4f0?GG1yT(RFv1!R;N3VOkdju4#S_Sl4@TT)j@>&{EGx@{x z1`Fix&((N2tSYFj&@uU>cVJ)_+6+0K)75^78q4}t1nc4wUHO+_w@P`kd6Xa69S@N~ zSQJ`~0j-sAS;@R&8X}O;a*THPT5`^HwIVC|WaPA7Aa@UEkoY8PYwfc*DkO#E9nM%D ztCp=c9{1;?&hL-?p6gTH&{6-&<+D#s__ut%!7c};g;VZlaUrm%sDO%(+7!UT9asl} zlJbF3kdTy~FPKnd@VLUmEG%@D)W`6TMF7P@_x*2BO6?{WCMSI&E$%0bn@MW;dTTEC zmn%{rii#fxTO zh{(u$mRm8nu}q_2Yw20uBI-&;mJt%BydRT(i7DpWRc>$#Ui>B=oG3|2?phdg0EA0p zHHVY~D`=RpRzHc46po>9V{xOn*;LE z?d6_}J%?_}E6JI!FBDHMrHQigC)5+}FQThQob`O(Q+J8)S7e`6v5us8SQm2qjtU^u zGX03yaS`LLQgL7JaJZ@q+c)J1Zm(_0PLCH3AAbj&MS0iyQ>yKsc97>*toOItLl{rf zm)SnLua}@t9ly{%DFl?szFK9o+)~n03}o~ttT=~+D2U?Y3HaB=+D%&lIFnf7PiVGXYt? z)EoTutac1q?cWhTOt_8KyV1Yaep5Vc{g&gX9r!D^c&Gv~u+K#_Y59(4P1g&I{2ISW zFGEOs+-jjTo6RFGwcGl|wj%E+*l{BsV3Kp4BNmT0tNA*bZHUB5en29G>**N{-~>eD zaP@A?M?_Biz_m5%$QqW4H9WW!xS<=j|Jor!uV&l9aw6e$84z_v2(RWR;E*3eMO~jg zovCA4XI|QIcf$N3v|Fp=jiJeGJ!YHbq@6#!hbP}L`abBTRONOgYxi(3{QbuF`5uEB zuw^z0i8);^f@6_y#iLE2lMVkHg0~(daqGYu)ewB)_0oi8($Z=mhAz~uN43+*4KgKD zln12mIbK?<76FeqB-_I9vi2u1+=QQ~s*BieqpW3|>>T;e?iex64NU@;4yYO(o%zUv zDYskPBj%@z|1t2Vbvm~%+P_bS9w|BeyvY1LldFU#8%=A&eK}fxWM}6R#6BqDbonD> zdKW0*@e(sRwpdwRxUJ8}AJsS_f+RcN#Ef#7I?%hTbFZmeiojFFkm*SK==WP=(ehD2 zC$!Rbsgy5vbU5G0PQkjZ;L>O{1q2oT3diL;cy+#e6d`j!UsKSk)65IYs}W8VF6^^z z#N}*G;a`)@)R$mHLCTJ=L$kAY7V@;;Swy7K*8WXG_BZ56iq2fv)HbT35t%f7vZC? zjA{c&juEnq@4_EU@EQhAECKH!o$W|09o!|+{j!^xi^ZQzX-z}V1WfeiNnEJze+ahC zuyKoqfAEX3`m4K2u8SGiI}?ZRZ3~T^NfJW;VZ=A?tp-&jWx_uY9GJ+za!~i4$n(in zv4w1iXAWqaB^~$!y~lC$X%{7fIqyk5Ej3E4i)qJ+@~vrzD(0jmZv$^p@ncrYi#yFl zxo-jT@OsS`MB@k7Ns1Up-F>4H*tZ=0g}bW&W>3O}OOlds9W1x*Gx+6*fADvMKbS@bN86v=TB71~7Gn>~rEehD zL7wG4V5JKkJ4&MW19leTE*rK$m=)Z0?n%J9{csAhgVHnnloGRfoYKEN<|Qhz{a(7; zoyZvdA747ZF|tOuRCOoY7ULb)jPQm5@HrIT<^CH@6>wjM}YsSw= zHKFSld0y}K1R5G16>`5|ZZ^|Gv7eL}N4txM@vEQg6a7o0-js-iC}CJ^a6mv&vW3R( zuwq3#*KJW(bg*_*VMm#B1%oLrBYBF=T8xZXP*PMh6pt5bl$Wanfg>h0DxH8wp8c

    fvH*c_Q>lSqyY`wa5P`z4J)UQ_$O+@yFRJ&G9^{!3pR_ey8^XIf1>VFj8 zzk7@DZQImoqusi7M?gRT&YnH1d;8xFoC}YLh)^e{b8g$;&kr%DPwU?Pe)#y|J$CQf z2^;ygLH+uuURC5)x9)G`R;P9?^{z$p=J50N!Rb@lP5M6ypFV!X?%li8DY9Iz@bdQl z+c@t2lY)#d{xLyU<$qK#bfn}W{vUPr`bGb{^jJvmNO?By36`FJ1^;93G5OS6?1_Dj zyAQs+|JL{aOJwLsd5MmcC%-sSZai}jH|{=&fB&uT|6?RFS0sOP9ru&`;{l;xg;V!WA?{@yCheJoVlKtBjfpb$PcbwFDtTAJ`0eA>=Jo53 zuEQ_f4|$#QYV)exj8D6-zY!7*OoR-U8PF)W5f-Z_i0YsMmjO$Kcami+u~ zfDsZ8ON^}cSc@G7a&Z#TW2 z+Bo^}UQZ(`+!M;M(O7`$XK@;K*FHz2Bd==p_`jZB8#^Lr~f zu^l#_+KevKx**$_Y)CRViB=YUdrO(j$CzXE%F&3q9iuj&a?Z5rgr>+iIwKMdN~H0# zU+DTrE~i}Z+~SF=53j1tvthf!P{Y55aw6o0&9C_7Z?=8d-Z15maq;d&_-yh)8TT?U z9bu}{k3Tq-_0YEq``2J2)E!?JE03*I^*9oH1XjzeP|%?uQVdU_@se0~sRs{bWj|m) zqMW!Xw&%Q_$l;hn$%O4x(5)cGY#M`OPmba4^ShWlc`^#*DS#wKNiekgP~5w4PvPMD zi|bJ_prTd}I+k=ZLi(}k)h%G$*lZYhc(LPpN2Iq*ugG_^FFt(wpbn#RTki%l3o~T0 z$^`dK?s)O(&mC(0|1ms!`w3gFzenKlcbIhM6AnMoz4%Vx5!+iHK;0@i{|0d3=eEYE zaPtGO_$t%^0SnH)!R7mJWYEKniU!x;ep5 z8at0Z!245@(CN!UlGy1hzok z%R4@=Ng?0{gB>z7ul{hbr_RL^^&vR*m zGqJ#au{HOe(4MTzqvtw?zbM>(4;;FTBTHtXr$=KX;mt){Q{m=$(JRfSHp7;SqI2c< zz3sv_w3yUF(^J%I@;;wSK3J}_#F6VqlzyU~sx!WhroVN%mHa&XGxhM)DCJfP9veJx z{?2*joWZrtGQMTBH0hu~ohN^r3^7qy&cin+?w+V{`s#zLO82B2n@;I#n>9AlcP}IM zVJv*M`k<6|DO4I)38A|~m3}&Z_q@_c#XO6lW^hf++CK~TU);xun)(jbK1doOa9x+b?Jj%%f@iu!X z84;JKMovY|keV>F7CaJJDt^{e=LAyRvp6R2nXH^Q|1ehH5UgG)SnVWOJxs8gY3uMu z@$0a9^O?;WR$mmXo-bH!Cs;j1u$pQANvyV7WrZ_$&ZzQF+%Zv=h4mx$^3ZYS#Y5Fi zhDqJoj;y<+LD~9k^Hcqa&KwgXCMsv7v?J5PWT^16-B};XN%A5_a~+U2gd zjzlA*4Jd!%tsGn#3(qdZ{SWsMu`@y)C`;41R=HZ(yKb-gnO%5x0lgOW($c2`3rYUg zkJ%E2MMoB)v`1-GpKjB;DTiT(HOJPVlxrz9X6Q1r3r^kra$xyCJ;VqsOh5lw*=uXB zzQ_I7KgKhCh?Z}s2_{Y!mAmgL@Uw_P4IVBK6L|6?CY^kXgEz%Kc}*<+F)%(9)w^DX zQ6U0Viv@g2X{KXvI4qlxo++_qb5 zr)yj7AEPiV{5_@~j?Wy<_9tn=oCeP*|cr;w_i2Me4M2xju zVTn_>PW?PqZxO84*^b=PS<}BJ%txC4)^?O~5vw_mLEDiy;I~<@+C#8fY)5sdI{Arj zq>^m5!7nt3y_}?Q+AFH&<}s>IGO@Si&@!DYBbq$7G+$ zO5whhfBt*?k;yibR&Ls+@-6%F?ESN~-=aY{cKaCGPiwC*v#fhrY&fw&#d8eWaY{!e z!*X8bu>AOP75^*z_2D;I{p#nj`kxw33G~joCb%t7y;Gp^&v~@`Z-#H}A^86gwAHp> z;cpRiZSuDZT!`d?KTlphdh{sn-P0y&eC$!xN##kZ{C7!+qQj0o40IH z@3wB;hQmjW;O^afKkcZ=lQB=8JgLfdM83Uq=gy!0vGUYSZVcJGcdv3Vq!Zw_@CbSS z9f;)#S2s$YkTzjkeERgqL5y`gefqR=JdKWyMqFInPp89Mq)wlX!S;v<)o$GIldw&< zY}u;b@x<+e2M^)atvG!A_;cw$efmr}da`VX4)NPtf7&^gw?ooFR-fk0n>T+t&1cV^ z%eQCM$>wyB{Jr|JfAjXs8#iwL^sTDTpTCIjZwC(^ly7hRiCa~F+xOqdASW*N9u6Nk zjM=Maqv!Y@DD6@j^+W0*WM?pr-a3k%7k7!#Wg`R9s;KMR1VPIu;OL=a*tvHntY(cy zIk$4C8(bGbJA!cZ<`L|?v>Vo|?1aA>>iRT6;Ii?;e-yj+?t=9kYn1b-fV$)BWBlF; zIDY3iHXh%MwmzM-Q}C(^bxJH{D1$!pdaD8XiMZpE<}Qp`GX@oWE25@P9r!E?K-8Wi z*cP!Hqi0IlJSw7ga2CM_%889^nqyXjgt6z_NeGtNsJ!h-|mNKNk39#V!zlD6~`7qjj^TSGs^|h`wk*< zUlbe`IH96fWz-0)3GZz_h`tex$g2{+-Vv4jB;Kbcyq0+5(1AnBIoffa#Cudi^>NkV zwbcuUu1oyYeQ;dwhDyG*P~EE@JQw*X{(X|ZlcZnCs|urKygXGqwr5$EBuj1uy@A+jGOI)Mh;bx zeUPcf%gIuCCKuzim{o3c^l6I8k|t$-B>E_(Z<>LwQ@f$0XDOr@l}a;K<(s5pxE33o z3+=rdBXGW#lw}t#$6Uefn|E>f?p17v*@zzVdLg+*3T=b67~AZ_`&rS`G24_eyXV3ltT?g)_N(pHZCRWo zPB}u1V5u_~?{nGbLRJ5&sNq`!g~t{~>K>`J)AF(k={A-dQqttfkvD&SbxUB`a^+F7 zbZL|*RVtoJmMV=h<+OK&3Kv1@G(YbIM@o_`8S>`KkJ8^szl34>MT){S%^$moDRI(d z$dfOhq+eE-ewp7%zX*~g{o(|gu~bbo89M<3X77Q;ijx?+@DMusEk)tR-C<;`IpdLX z=F0i|>FerNxM(q?F*A?<&XBT{sipGjKWjIJE;)(;bN8Wp$Qm>q=7NfKo1j>+q9|IZ zAc_|+o*;d;fkwq8ufnCvAy3Kj$W)*h5}Bs^W?qI=p?Md$t=y00alp!Fz%+S!9(s;` zp(|0VU3U~MSsX=+6-KG@pLmMM#3B}yP&#*AO3|6?*n_MACYzbPthn>T*}lq+8WR%6Cs$FAMDc=<9`tXhda zefyw{c<_MByAIk=jW6y_ptBjr_f&Z0;qH$2|{BN6YV;dXW=noOq%> z;z?r7jbPH!1X%}WMFp>ls5Pz*N)0THbY-Ql@HRf`0XmR1GDf-qSy0xaJZk&bM#=sq zkhY}!_5ct;@4hk^A$29mYnbHaQUW>J=0>74nqzSC?54=mOJw3&0=fIxH!e2(0ez`QrJE*Y4R}lU}!Y>%2=Vy7O{CNgR zI%Rd~mrcN*O>5Is*;65JH|cYde%^tSzK%Z?`3LIwyA?u8kt6xdbD5)bzf!0@t~Sb! zE{janq%YD*KC=*=17$pvrcVZxaxJ5H$_y(F^K$ZAl{D!fL)cAVdEKSVqooXjC0w8^?JxWRwNa|4@MrnLpQDulzm>*sHpUF4bo^!eltud78h?uP zDUiF3@H>Bx!ldDAv);GUHes$wI%acOzoLI}YD`;B~=?A5UNoy$&9ko&j>C^p1NR&aw zB>f!FGi)nbxXeV>3fgU`e+i|@gwpMYqD#nH3|o2(7OPI9|LnbJG-f<9mah5jZ@xpR zGiE{7!X=R|Pazm3{l?)429zXI9yGKJfXkly2)hN$e5l>vJZwocinQqY&2J1TdAdx< zl)o6#=gbe2ALR9SB-7MsQCP6FY~f-kQ>Yk9ajMj=*oP8!TAg6 zc;uN^FgmyB;#}XRlDP|@Y`(%MowpG3X3B=7#=n24M@my83>sjBwQG&Ac#9F*dP+P` z)NE>mbVCZFs!u&s^Qwc~f=7v4Cqbe%iI90v78G-qaglp5WVOwTq{EYH3ry4vt!2zP zI2F3g=z-?GUnth5v2AqcCpThrjwds!k$pE@eV6HZ}Q&B z=s2wdirE)KvTkBO(D|Eou;{t$R@u=cw29c^A&Qs84VxXOcSJEsKY4fQR}F=i2P()o zA$wm_Gg?3p^K>{(mV^u+%C2XP>3A3SC_pqh0FRIx1v z=VfC=#*tF~Xn1V#MK%ArsO(Wo>|Qr@P}|W%M=(R|w{BCrE8EeCi_2oWnG8&X9wB|O zIedq*J3SZrp}N@SmHn&0X`>UOVxy$Yhs4JAM)klNVxw1v?QAFP*cpYrVspC9^AcOQ zhS<=R#P)U&8$43U+(-Cpi0xd#y&}fWazF(6cOHcMG#6C2E{#eyMc}Z+614GY>(l0^ z?aw&?&INEzfO7X;>Wi8_5-;i7t+P{e2%Jmc24&7IaE^g<4V-h}+=JgzKQ*^d!CT_j z*b2YI-#h|8X`@=fbx^^xB5Vx!{g+C-cP*6nF0VGCaSnuYA>+1>L+wDpS@-f7J9{j4 z@0Iw2M^yXP_N{~RJ{2%#jl>K8vAAQHa&QV-&TNg`mU*>94Fp%yrA-HmAtQ16^v^p| zu8UcR&a*osr)5qU)sl3w%Q&QnIYv2-!nup*6wm9IuW|CkNi}~)2fT=I(pDYm|Noz) zFL`g5@@(I}UCp^%ymaYL9ANhE4V;_g93|%}IcIt1OpKbt{Fy+9JkvX6IcL*%o?c2B2;g2lasZ*z< zY>#zse?NSdZ}0G1sn^!6+L{FCuYW5yO{UwohvU@AlX(32kuHVb5B&XbeS+&0T(97| z1=lZrh5u}jF~&b8=&JmW3OuEG*|KGDb#+yTgz|t@XJ_YM^iLp12RPY|fwO}hTtlY9 zYr{$S9(@a+$a`>~y8+H_9@=|*+i!pWKSVAA_+w7=9JNW6Hy;dJJPgG= ziYZ5m%(j`8UB>*U?VY9$kO_?p6)LG%JlJunqkYpm4GPHMi6n;z+;BjutK zWVcz};J?Kmv-XLM56@SJxeQr41O=T6#?RlWbvm_XSPTQ^4^VQV11S&l8NYYDaum!q zR{8n(fHV$~A*(s+&qD z@0_gKdv?@pcy07Tb})AS+OkKL@lzT zgKgWXZQ;3*hw;t8y#4dkcVkzKRs5WDRP&D{_A3(mw6swHmjdWHr>83W?8weZJ}@%{sm7AZd!E zNNJQ3c75#d_PTcZ|K=;3QPNjzXV!r?f3($pUvy@A`sVAgJ{_%S57UW~&d2N z+YfKyzTW+dBjsjyy!`x9_tmeU4|Js5dQIm@dG-^I{J?*XmfY5dz=TV{f}2o>rv7XY zb}YWGbEG`_6j#pP0j}PV7jeK{N%VoF{!j|Qry^@9E0&@-Mo{;?+AT1M4NWp+2w2QYJb_@_FMv5OY;? z_}G66*eArl&%|mD9fnSn40KST(+ZtF_8iw7Df#`Qzj!`@j+FZ^;mD#H=;_u-bEKr+ zrGtauLTtH8-9Z?(beN`>MW5xe%Y`npyQmHGlOrc9JPOzvfL?QYDMx#SE!^v& z)5lyh8MSm2rtF@g4kehmcP6|xd8rNVbih|QL_I@&FS@gee-(w_GY)DvGHuT^g@d(& zY9qy{6iN@(3aX{_ZP@NGg^3d*Ct||h32H8s7(x9ve%E+}?hVDH$Vr$MHBH^JYqi`; zIaV-@__b8x8_U3Q6B~(<#7aH({fKnw5QphF$vjww{`31Imt!uamkYZUR(hFuM5h!U za?bLO-#s4VwvAI*P%pTi!cjVll=CTv(aT3;@-D%nLxM-qf=A(kM-v+(+0bMf9+4zp zuB|l+ZKUDR9>JsN`IvcdCLA{j9t8*|n zqj>0~SwFBoyf=Eof2%)QOm3l^VpN&f7p09j&TBfcDO}dMVA}3!sxK0c?bq0&%D5_8 z`?GA!Px8t>CObNWb%6IKZ%o}gRrNF92w!z5Vos-=3VTynr$CE&E#SD-5q-V;B1gd- zY8|3k#b!9QO>=a-_WBySE$F6UH4lCz$=`HfqGMCSu<*!2l=3L`#gX#Pbj}cbSsVKS_y54*0*6KYP7~D}CMtKIK*-PTh@~?_xTy2M)1NTu$V(hN zeIK7L3zpsxl)ZgV!w7{*I$Scq%15`~V1wkzLkW1W!PPj?#rKJ4-@{TNVxI6^z4j9G zW8NwZUvc&=?%ov4VLrrCI^P@OA&EH2Zy&tCnOmPQN1%od89M}5U*8oh(!XaOhTpN= ztOJP{^~bjg|2Vw=tmQ>4r9&j^O57txJ{7tn@jQ5dPLl_uzY|Lj#sDX!0(6+mw+Wts{WV8Q;wbS{uqBH_7IdE58Gbwb;Izp} ztryWQO=FP;4JI^z{YHC?-!oo02-0@6TxN;N{*{$&rP9&q@64k!E1eLsJw)kM>Pr4S zXyG6=_9q4z+D>^L@?zlPftVOIQQ26t_sv$iwbS%Y3J1tv#JvcHEE=NpFY_m+)7GSo zN<5+dYd5tWve}F7CNAnR^Ls=rrR_;9CGl^@@txilB{3aIk2Y+puvW@;WPUv8Q{g#n z;~l{Yr+UxnjY6)4RCzPmWK!kv+v2Cj9y~}?Z%YS71i^KkD>_Z+dS$(1J=oMxut4zg-5Ilzm>eW=Y`IW96PaJ5sTTky3g*e+DPR^Jmq(6 zKia7xGv0Vv&A%FK*4iL!e;B48oUX=<)@!ZR{6Ojvsa0RE30E1f$oC zMtT48S|1UrFrfmhHe11Jjupx`lz73?0_Fv2`A%DDuad#KY8u)s>!rh?_Q~s(1tA>rcNm1i6ScVFCv!x{$_pV=z2T0G=Q zJUoTXakV4TjY_8$!Mrw0{OiZK_wt@H0#*FzOv=FHNk-(iU+n->saIMtHeEKj;^C`@ zDvt>}CZLdGA+7Cb{D|>JnNx;LlRT6^`;OufI<&x3hA4CWDS6B*e&Rqksmpe^UuCaOr~R40lN7m7QP{Dt zmOo{ppUmKshAp3502porfF^Nrt3JlOl2A#4s^2fw8gi z4~cw<5=lBqBpvzoXXx*gzOf98I5Z-eWz2%wy{%xq`m}O*nEn8md<$@ke1vw6laZ^K zc0-z;zM0-PD8Bqc`ft;w&xD%YM`HAl%x$k(?jeJfo#na)?Ti^#^ zzh2JClP5=~PMy?k(cGLnb?Q`9uU7r5w)l#Yi2Mpl{w;+D3yqMpIMJlnBYNWGBquiY z8)Qh-In{;Cg{19x8<)DRkBdZ>LL-C{@EGToJX#A45Na%W(NQmvP#$^LMi<{m;xkDc z%a=>yTS?qN9e);yqmx>0iBFgg%b@3Hd=rVMbElr)FdgzU{hSidx--7%H~97GFyC+S zXVdXB--PLqUu0t3LKojm;E)Dn;s_mebTU``^?tz5vgr9U2~XXh;AdS}#|%PrqF|rodwoCWQ_oLlAU!|p z)mVr;bdZuZW_?XEm>_Xo(F22$BFX3^Fc~e_PWiCzd4*UuBV!~s5*`!5#9V@jjRX^g zKk-QU&GJNArl}*<7E*_=;#eofvrZNgC%-B7$Havt&E)d?HxiPx<8{S9h)mco*$zU5 zs?Gry4rDTz>G(Wi-Yl*B-{>M4;fFXBwzGF8#Z zV-`FQK35n^tmP@Mqm~^(wa!D4+U(2W0|{|R<;CTOIXgojJ{QV`AzAu@;79NwcmPAN{Gk5Lnea7Y!|khVYy7?H)kZjJyN+cNilDF zQoMha6pue8h5yc^$YU>Kq@L2Y9DnOKDRRL|^n>vr$)A+)_fEaTv9{yR;#B zI|gsBpuMK-5aA~;ztQv4uA*K2?9DTEA}VbU+Jj&557F@p6?7`3>}}eRwAmcQCZw%P z`;wbeX#d=Qc^}T}oRNN1dR10#hGgAY9&TQut(!*dJn}qv^+1u^2DkW)l)Qz4Wg&5a zki5*pajm0Dn|ZizbXRHf8_JDo(~jg~D&uKiGJVA_HlODvFJ*J3m-L<1I;&Gnd9p6; zPuij6*QZbWkoG_49MnP~ZBA+X0uBX~&8h5Cu{*stdn?(p{b(E0My9Py8Pay94NY5` zHZ{|vjZN7yp0+n_aLSQ3IpZlqn^iW-W~a?b8=kg2ZF<`FwDD={)8?lQNV#w>fO7(z z8{md2_9Mn~?tpU$><64vp#4j^bFP7N4xD>nT^LWe|X zHa6{U&V_L9gL5F93t`{p+z8`2SHd|H&Ye((j88Q@m6}_*_VAjTr{QLCo;<4-pLu|R z=(r4K8DKfo5;3P@biMue17#PuD-b2TOK1zhx^&W|PKS|$M&k6zUz>z}g zQU92rtMWf8L`FuUU%!6HkU<;2Crg$L=H}+V=pR67Oj9FyVk4wZksQUU)kmN4tKfb3 zwb-y1P`}?;WXzHc$rBkNmDu{`W@g_^TfF~qG8IZG zltHM1Ins4c2eZ*qPOFqiJT&o_4Vs*v5j!sVu;en{POC`Scw!^bCK%+5qBf;- z10xR@C#CuBni@4rc-u=vPr~Nq1^e7HZ<+I7NIS$^5{xsV;#M56#eG*)4u1rt!{%TWysBy zw41uk=%(t&dhfch3#})$*6daCbFE9tN`5*^P)>A+jgF00{Hu?z);6Hh-X*`ZH_Jo* z6vI*|dzv;YZFSnPTrV!>Tuj^8%J!3XBrj!2`-cv?+Q&)T8rR~{I<7Yo(KUA_gqTMig;>HP87usn`F1k4ZwPwh9 z3cZ{}=3LM0GrNzvfrj;FKVkn+yv&Q=iJVw2{rY_0IeoGA#9G{bb{p?My~o>+Z*k?} z6-?bf74;_9L(0KYP98)jIA>~N3j0C!cyw7ioMY9QRVd*hwi)Zq`PNoON}hVV)G+Y> z+(=9toO1@8FQ8q_+fliOOgXVnvK@E}=CAN|c=+ZsHedgMz!UE=G3EpI-RI3K-w(In z0c)-U<4%538&Z!y`FS6Qi?4wNv6>_0`~wehVc$g{>X^`BV4pnq^G3vzI^y3Fx9=!E z?LUR%(Kj*U_-l+i@eyl|KEdsSm*o9%^I&1fBkfZ8tyR z>C2D2#$O69^gaRcAaKUZ`$sQ6V9T|S2s-ro0Z@wkH(>;Ik@by0w zp1uIiUXeOWeRqk>b{_!_o&v7QZ-TdxG0*#tffMJo_uKXZ;X->wM(0HEPo8sEs4g)H zrSr2%_lb%PIaHrUFj!osE@}ay*?IwNxY-J=G@x46YG@o z8}&gv_7WSYD}|pro^w#=?w(Wo9_TDmFR-4LzaF=AM7_d;Ht7t=Il9XaE~~l3BF;tB z&C_(Uy!Yasx^G5yQ*K)4$ zblhp}cFH3w&@i~6HddhAb?FdGnHF!K;@+WakFKdXGc|W8*dLEa@{J)L@pdaZW>C*w zynj*6J96BjFhb|dp~s_ySk0R;c?*@Q&+lRNS;6Ypg4O50kJao8UtzWIuRdmg)hy2s zu$uKqh}E3?W?$gggQN~Qko+lY&Nb_A+TGwqfWTVmZJX)xE4>6znh0P$DWIO6puc9{QOakC%ERoJx86Uc2c)^eU^Vq4lO}(m*T2i z9j0`^!PtYkum0JATK?#R&zO4VgL0%?6Z;9bf6~){cP#F?2ZY9aLdfY)h!B?il@ zKNJYtB>Vw%q&)Zx`}bYLTRQG3EF~rq0}ZkC3szn~bQ#N!K83$v>Fi^#5ECtcPQLGA zss7uOID6nK<{Wwg-{T*!JW=+S6?&j~DdkwEVxT2h@xfheRBXvJ@ox&;o7+>@; zacybzQiY|*t{;QtQcL8s%cpb~^_#*Pu5(f!i%mcqLD`gVpQ(!(`poI0usR;6b=XAw z;hsh6Up+QaU+b}&{NKdP?-A2Aq;K))(qXzxpLo=CLQ^a|yiDDw$V(=;{zjWt=~~^m zghYOhO}b3)f~_%Im2G?|_7Day7>taT88v&Jq~oU^CmwOV_Tbfnihs@VHOhWdxTTX9 zaaZBd&=d-**&b(ZpHXXu)XUVr3QyP`(zbd`Nr=^4>-#CJ-YZ!B>@F_dyQE>Y*r0|O zM1DP1H=ocv-gac$e+#RLqr^SdmA06YfuzGrIM$z7uk13;AvB-ZT13 z{zu_k-#>i+5K|(jsDUXb;GEYuWBK9bSa4tg>{i;T$(pN&M6fYI2sRi!uG>N0+OUA7)He$`0HR@ze zo|w~hT32{(@D%=KSafJH9M?Icx?ebx~Pq&G&C0r zKPL|L<>yTy8_#dVy0h!xxy2Kmr|bCFf8l3)Q9k7N+wO-A=Qn8lTfgvg5o}rX*Ziz+ zJpZ|M>Xu6yuwAEjh3_U`WpGd7;&fnL&FB~7t?88JN|rmW?!bb>3zRb`4MBCnoX!a4 zi9OQ~O;FX)d}Q`(~Yte(o?=E8Lr8oD&##Mo;yqaBu>T87mztJO)jiMe4} z48^>*d6jH9iJXVdo-`=MK&&283lpLyAmT~{_FvzR-4}Ob-SKsp7!j%#?%D3`XLLl1 zsclf*zZS9#mb{u9Ax--zS?Cc6OWUzfqT>)CS>i1x{YmSfvrl2?QGY z%1G5MK&U!X_Cv%F9gzxUhL%CcfgLfV{}2oxFdTyh3_{<&ebKF3H?(ix9<5uqR@A0V zo8OTBUAuPe(6wt<^y$+F0|pFG)W3iK-;n+t)9>EB`(KtmH#jl<4jnqgr;{*keLSgc z+qUS`t~Gjg>43o&mav<)3jPP5VB$?+%0n?s?gGIvfXniI7~$Z7K0UjkOZ(Pn-}Xy7 z3DefclUV*PUAk!HmvZ*&)g67hcfp|HBViM^41Nb5X?Z;Y!lYS)&Hy$GcB04dF=*Ai zDOxnHkJc?)e3f=vp>~o#%h0NI8`Nsj8l~#BLWz29P`g!Ubnej`14R~t2T~@KPd}A! z-yU5taOen(44MnO&6nYJ9PqgWjJpVU?SBfZ(ADVPsUKREtB4jQN}*NxN@!QLCc4*Y zfc}k}qi^#zXwjrC$~S0>;&ob~YR$%IRlPR4*QklU_3ER4(-!F0tTlQxXo?Os>Yz>K z8faa)x_Y*$BE-Kd2}zo*>qxztHAh>@N7}cyLHmBIjSbtkM~@yqZeNiNiL&a_x-I&& zY=i#ITA^pdrs!C^KH5n6T1(maRK&kYHS3~H<0fb${i01r$)i;(w5i`vc;sERS{eyY zYl+j!-xi&_N%^H;vwa72?}-6zJE*++H)$c%68)RE#()l;F`#!J^b?*Qy?UWzr;e(e zO`0@8!-fsfv13OB2M4QLMDE|akI3DTaCdY^v&PMkw^&}yIgAT1ra~Evkf=~1;cbW2%}~Qm z+I+IakCyn#5-7Uv+F%6<^)9Ix^JGp!hS@%Y>Q^QeX0q7XAtvziA~?R2`%7vmP1iWK@%U zir-%2uWVHrsVk;d^(B85>na+*$Vu@_`WfqHjF(##t1245q|Yb+&-glyb&#`BPQ|Zo zo#dh$7whOqSxksdoQ&tGrfhdQ8}dmWIw%$uA`f{v$;fy*6LQ0hq?x^LcGMmt{as{O zGq@%)TI)_uB&KmQ4*BW0SX_wwb+V(Dwa8G%pJ|Nr!-V{F*wpc7tDOxst+YNkELbqOh)ccNYTMNI8H4VP)D%8EJ_G~4( zv_^~0DBZXdDm3kcMr}HyQ)lgcHzD$O?kIV+mb}`xLqBV0*e=-zf5Guk!Sl(2>l1GX z_C?;ssNi|%-nTzGv~7is9onLcjD5Ox?}qL@v}fzi-B6=VHPB-Gui=`bHd?z@CAGYW5->EDuc_0 za~SRrjP8Ql9V=Ew$12s(MKGzW;4HDWn^3D-^-;B2Jrt=@A9<@aLEhSJP`E)ylxx@l z4ePZ)$2twsy>>lxlkd9JZjgW^u2wxEc{XT_F0DkKojOU~x+<(SlWrQkKLBl$A(Xgpt zV3WpZSi6qIRYk*c)ct58%Zp0$Btdl zym=QHFLZ&OZ5JHc-&ID;q|VrRt^+J*v=E%BjC6wq7r1CdUBj{RV8N6zY1Qf9Ehn`^ zlaMATU|#@<2PIYuZ(P9R@11ts{ww>{IGo|-+n4J0c-pbtKuFt+2Lo|a(YI`FZX)5> zo|`f&cvjGKnqV?@PP3`a&~rggbePdWZPwuCM;=hL{mgc?(L-&Pq@ET2^kdThmVb6% zG#;>l*6gu4Hs59U%`{&dBf+*(<>DZ?QoWX_9jof zr_D=SnKrh*49*)R{)EI!8EA*=`DuIW`Dw%J`JFa6sX2jVr7CZQ zC>x)1175=4P58MPhjRzy=Uf8qYTDYgXF1ovIRwSOQQ}3eoMZTkf7|!@*>2?LTn24s z&TX(wY5Q~Dg?*as!?_U3pZuI7QT)dw{`3mfM%`y6;6J(o<93cyeXy!aRhZWldpn8X zU>b7_A2b}NPHLyHe=o#7j8(QSHxKZ(8lKWz-L*Q>SC`+Wk^CN$q{WclLvZrgN!=I! zTj9^nyZxE)qr89b{g1x=neb=Q|Iz!uGW<;5-{bk2Z+|BIne=~#=U4dm1Z}nLpAmFb z{znDgu03ebAY{*;T^-V4YHEtinKS>Qe*k4npAKnLCPR8NvGr>=LBG&7%8}A`<3%(c zXou{%@~HRe(xm?8_y1dz37IoxM5c@xkUo8Sq%lvARA%Xs+B^fy(@T7&%*Y~qq;G%! zAENX^W+bTN&Pt=$@XVGIRC&+)O0@K z=G%BVvEG~;mhb4OvG4Le)#nYv=5w3TZe}~A9hw$Km4(;L2q`nBMDPB+5fK@Iiw`ei z-jR7~PK0wHEEns;cH)6O+?ajm*&SVKQ2mwb`P{gx94R-rt2qxIjKq!E{GOY!=|IGK z{|aA+2XCcKZhS)Ei4O=p`3{lS-{RHtH^5sRz19)`e)9%5AHKz^OYh)!>^-K%yvNbF zxA^e#%X`K9%77TBOXtFacbI?aqvl9?@DVQTzoa=YL>&>L(3@GZTdiP=9NgO?N z9n+4!Q2DMp{17(}T!??KoG`!h?aO_qv1k7cOgQucK{21O^)B$}qptEl2oK%^n?yDN zbTU2t0a5opR zw?`i8-qW{EYfk%8Zej;-rn>R?hSKHKvr5j& zlsBD4;_-<526#lL2|ZSyzjIz4u>O}|^@~4_)%;G6)#N8OtMu6?xsJ$uC@1-w<0Rq> zoiKR-0&l|PIF9kZ!EGV-DfSzEKVzR`-(w$)$8EM5$A}^;){*<17;3D`O8_6dT~N!r@s*p5x@fSbW-d;``s~u=KP19kc&3W*?Mq=}37E zxbl;L$io>9ik!k?K49GO_gH`Z6Yl<14jJsv#5Nu-U?{&g@_%9=G4;U{;M`RW>y#s< z;3+XMM#dz(nUj3HW%G<+%^rClA-G5$9y)OGy5Q|gU1kP>H*21kG>OUNV+kASn zN{%{jQAOC`H-JB0rd@S1lkHr zo3;aO2*pSJ&+k|+>Qd@=$&0q@fhz|T*6=21rlEAK-tPT9oAM7*JpStOPV%6=!SzEP z@_FjkDP=!USH@$DUN7tLh~o?DOX3k7_PGvAM^Tk7`3>tQcvLsAt~$(t@}Lttu{FO# zes!r!JRWg>6y+)PrR}Z9qm3swsx?lQ@msdzpTTO@_eWSwyYMTl-lSnQ(;>#G^0U9` z`xt|4|Lk3!!GAnlx!&{ zjtfM#lpS&XaO`2#4s`hEy0SVT$Z$-t8ba{}Ob|ZNI|bA?VuVZxeoI@&9|_-?1s+&!qpa{aQ@=~Na)wS2GUTQ!~V~!I`oK)Qs zvjx^Gtx;`UH8c)wtZorx{MOT3)oGTTtl|V0Z`j*+N#eyA9J6vvJbysA#FL-#%Ozg; zX^c$TJqgF-j$>oYM&(?hH%NFQ8I3~@`1>U)qaZ z7k9&Zs}EXFX^jrkJE)r^SbpZq$wDsF@kBKmBRpk@r?~oT(fE0dy`G;2H#b1)`8jdO z6HWPz?^a*5nbJn_^JWW{pZqjjY0S~!@$jwhOHQVc^vYf#pD*M{A`DqxENf% zcUc)aoMdF$$_SIT=E+56z00EKoSq2T5u#495wQSx)qla7_j$fL13$OvV<%A&`d z9thqMjP2(maOTcg#67*O3|CHIbe+*nb1o8tGu6n{s1Yc#3aN)|HrZ79XsGd2JsQ)L z>ClD4kZ6b)xlCUS-9j#fRNt-UUkx?K)l?I|oCu)I&fmR&3y&`1;H_vZ*s~DbZF(S6 z=}hV-v($A{qnK4OR2f$V75pox2^F3+%oB&cWzfBT|60kA1|OY9X*{Y4Wg4d}3!gNa zB`%{?M)aTF9~<^=z?E}XaP7i1oQ*lF9J!7iJBGuD4-zke8%#a$Jl@R5@Jps zN6fLqIC|vg%YXFfQB|(fQoggNPT<_B3&!&I)LDfhY@k`gtX-;TsU(YF{d@Te91Qk z>rdRk#G}vQcJw2hP5^Evfq=uWFn{Y=?3lL>M<>n1@z7~FJb4xlPnnBT)8^s)%mp|y zXBigF+YI{!kr=UH9|GoV!{XeFXW4$+}f_K8x5>GQSn@7%5OIVv(faV7?* zPDmYgN8;4-bt23r_7nh*PIeVj#llcKG6TP%rMqE1jIE>pkRyemmDea;Sw+D2d)c5zW(_XfAL|(F=@*r#UHvk6lF)1 zRSxTPmYfkWBR(BHf3cy(FmcO770>U=Tb5V+RmN0_uNTwLKOn#2FEXSECTyCZ;wNvN zjPj#3{>s*swfahY@P=R%98^&87am*~<2R016N_Qn!cbv!1;t;{s-jkY;SbpufuDNLB)JX9whePUgwihRZc>)_9Dq9FKp6$wa`gZ3;sGf&Ue#3Z9s4}f) zMyP977c;}9uS;GtqGq6)U$rj_rFwp*t>dp_TL&|?X)>G{H51kStN$*4ZJXMdwpHr` z3l1$nv(RShG&uDQ`zo=V-?9I(j$Ei@xtR|8H~Xia^b@f9@=G63b>sJZ&))+7-$>fd zstSTP1*@^vtaY={tamdc&Yc)W>Eu0~imOSx^eYUnsa`l1bqx2f+{dH)kJQ3z=&n$d za4Vt8$OTr85ji&Ch9`~{IbqHXPA+R*)a3GnofA+kpqjdwGT+#I7&CJWw(r=Eqx+BI zVB|sU+O_K+M|*bd6nz#h&mGthbsRH}Jwl*h-^7z|vFzYw?AX0m-fzd=oe{tNcK0sq z-@6-o<+*&zPIxTdfk87OU^O=aQ`hVi*=p~j_UyuLU0zX=7V}ykc@)#5@4)-SXSkjQ z+y!U-g~Cq0!ivL^@4o$rjNFC2kupw>ip1W1k=TDA5|Q#;5wRCuEBBz^?A_=P7J&|- zThMdjMvR%Y8PnHBAbihW;f+Gn{wVC0Z}&vW7*M6P2kZAA$JE2O;c@Ie90X&Xq^@2k zKO=O{Z7f@|6A>XZ5a}C)y?!Cs6)*{Vg!Tu7A~IkiRtHUo-}uECJZU|;gl$FpDLc?* zN(4qt-iWaAi?A(lisIcTv|GO8_wh7N$ZrC6`A@{I$uqHQ*(&Uc5Sd1b-LO|kWSCIC ze~5OAoc8Wfvf8yT3cC*O$FBYRuq%8!b}w9p-Q%ZXr|$%8mojV}7m6)9;@?{t=M|(; zNEo&*UWTn(wqWa~&Dc7BF}4OvoKJ}M-fuj%$~a`}!ll~#9TC_Xu^n5(w_)qHFSNyg zwuOfyLikwD-BL~x|K7QC7sA7LAtGXzVC`-^c(_+kmM1+&;92Y@oY=MyGp9SFm2)km z9GFzoMYK~yx8!%quTI3|_b zZzkjh3y#gX*p@JS`1C<7EYY!CZQf-zk;Gr!% zDO2e{;<-F&_bxsn;~WEi+K|EF!D<1Ni;D65qDxzcwZ`JZi^Xmh{)d-v`gRPqpWA`p z?IEh3ynRC*$U<3A$BG@4&nX{Tg|$*PBo`WK^XP4TF53Nu-!UIrhP6~Sq#=Lr*}XOX zVFvtC=e&-2mAywBl6ENNP8*VoT09|?&Ze}TXm|3K%R0ezP&=qLoYp&I$Az8PD|T~W zc#!b7S2CqdPF|JoUdeZEZ&dWHsGV3Uc*Dg!&JFMsRxYw}et|Z7t-xAvT<56bnID}Y zX(Q8CrVXs(r9QOVX%Fi8X>*dF&Wg0z$xj=bwlnL(TQ2L5mw2%w9ms!vhm<)|;(dg_ zf$-OW{VMx-e%ie(5Bcra*ee^E@vIl;5IC2>IRdsbZEnsrke_o8oP!|0iYI^Y7k>65 z#&a%%a~kC590zS|%8GLzoC6`g5$`xR!g$V=aL$BtC%j!#AI~=8yiHZVsu;CulyXL0 zwQm*rj_eDw^kzt%DmD7|?T-^D#OU~)K<86#@Ga$D3Imo5P!6rD_pQc&kpp0!&KxO| zrbOSKeR2HQaordHd*RE8eE_6dJ~e?|JbdO15_tc?x23>|~IeaE2DKsyW=>k1EVUjzmC zBXFGXej}a#82Jc!3yt$b$gB`d+%ORy8$FcGk!EBX&9>m$M|bU34X&fm5srtZa9xcX z=;&<0Tix}6_8aXxbwF2rA+g7}NuT3kuGw*8CfA-g|HGTxc^jp&tGLd>d9{w3T|s+= z>z?H0H^JM3)kaEnYX#@CST5Q9JA9lp`!rHPrH+2Y}%CEB*`&4 ziJK=U?wqK~#*LlIv4nGAoHyhA80W$`2gvzA&WUj@SnR)qn_kt%RsNrKVfo0*vg!Ht z?|+Y<`I7h@^E1q!@lsE2tZWq0NX?gVGcGrj&WfA`n-w<75kuui8(25@$Bm=3|7cUO zZOG5>*p9sIQ{}67dX>Mqk(Kr%gTB^;$c7>_3a2=XX zJnHr>&S}yfC%^Os=BKYK*SDD$H^6c|kDFDQ2JKJAtNBvBoJ6*qKVbW_Z&03;6K!qw zxqSKqUO6AiwFD_6`x0&5gyH7Xn^=2#EnL^QqM<`Wm^U(qaV29E=vDyTCv=DF23G{{ z494W$lU4e34(0EM>&KJ}4|}4GNxP8Y{m1vp0f)|#Y)kf8&X>IV_)dMpjlPVh-TTXt z^0(o^2Vip?5P0e%LXW&c+$cu@au_je0L2O&fdlR6E8JK%2Tg!;lcyp>P;Y4^5gS2B=Pd@!$f%W>Fq`Vl4^eXVYm+$vq*Z|O)$Cl5L`Qf74UIIoi>Z?hut?@JEjl`0m&(vVl5|b}<~!a-8FdWUBfq`q(^@)lk}e%T6549Kk&-uM@pdhKdpJgO zD&Z|;*Cc)31orO=Z{OkbJ;^6l%6{V!@I>Msf5frF5$H9sleT%EI)u7juhXf6sDFuL zqVI?YbV}4aQge-qdvuiJ2lWoubmSX4f^ol(UY}3jGaYX0-884c5jxz~>uAZRs!vtr zR4`|srq8JdsblD*sdTO`|9JeN&XzbjC~*G~_o`68D6E$9Q>PMxsDG%Nl>-Uu!*p05 z!8C<$bPCYn-5;T^uvIT7%1)1s%tME(iihu6XL-^&g?L1r%0nGkSIUO_oYd`+EF)z@ zoRPFSPfNW^XFndaKs=(3WxbVyh5;UNyu$##KtaE859joViH3N@xypoiM28#VgdVHO z|F2**_sIM-R_~mw#y>y8YL=Vz9lw3NN`tp-#gD7VPh#CAACAY^SJ>x>+msQpo?|8+ zhNzrH^m3BE!#+iR_Axzfv+p^rb<+AX+mwBp>*!52hf}>11_PaQSw_xL^N@VbD-W17 z0EN01f^kJ-WN4NFO2z|^JC^XUhYDU5R3GNN z{+0VzR6Gx9=b8ZP!ZzTc9lwH%KZK4v2Bx0>41clL)*OF=xU-@=4RG(b#CNfHasDQD zoqmk*$KN98_&bE3euBs6ML+7^fBRbvXYZej!^Trj5pe7sCY^YT{bwKJjbNRIhoo;{ zq7Ex#FWkejQyLD>I{6wW&p*T`!PxJAD{=Dfv+%^6y^q<)UcmSGdn}3lj4MBR(c(89 zDH-`!1mYTJe(l%sD{IIr2Kc@NGZ7dS<3L4Wr>yYau6p)dUWYLycXLdhn46y+DFv;w4bPV zsY|IJsq?7Aiq-YGo z^eIPvqsK>ibOKi6H6G|Ln94B@%b{OW=YbN;gJU3{tv+h6uFWDFRJW*( zfhjZn^DO_&Wq$oBRsD!mscv{N>@) z%~Q%);l@f1x@nB?q^>IuuBb^h{Rui8d^4T{TgKBEU_1?^m+xMxcn-k1_{I}g^zpp8 zz+$n5;%7V!6B?Rah~eZYPl8p0e;Nxim@n#FR2c}oE#$_d8yK~8l$s>uL>>(ve#>~C z(4&v%2Gr+oo~u*qxM0f3xSV5ieicunlJU%sM#8f<&v5hcO%=}xVa9XdPJYI7ag6a? z7-Kw7=43q6=Rz+Rn`j{N%6!&^lWA!z(kLU2MhoM4;tbR0CR7@VG&-KVdZJE9<-{Ks z)`6Mxo4kLMkUYdHp|63gzT74L%ysG^QEPd}+K{wWvV9-s1 zvVEB*+uSg)UYu~G6N5^hZ7l{I>rUfQZ!GBp>qXhBQL&b(kP;UfYKmRO4u!;k|KiGN;e`6 zf`o*WbSQ{H2-0QK+@wpoJEZ&fpYNV?E)SQB;%oeUU+><}exB!^Gh4I!%d)tes$U4`)PxZwsuGQ?Y4@zptjK$)hp_>W-i&I-SbvT zKQ6JnSo$eUmx$Qr|9|@DC@opIMS~)at6S6=OI^Q3d!<2jBOv`0Um z>Gw=bJvc7VPv9T#^|-5-=aehmx3sIDazQ=diKwi=J09xKwaYmC+jAoEyZNrQzQpmqpsf)2@&n7qj2)vK_I%fbx9`j;fgJ+)K#A$r zEo(05BRO_IuaQI7GoQ{elXtXBy|%8OAN;)LZo_#>TD5qU-m3hTk|s*31c~f@VgF!# z$kY0I#n(D}@yalqtTBwFP(-8H#-WN7y)D`)>G@ruDCU4OcuquEp5NW!ZhgA&Q~S;H ztB+5lU8kjqTP~{G(sLSW{5JZKM-#6n?KvY&-Y)etRyBOR;rj4zmpx!p`R&e2>bv5+ z+J~Q2t0iY0?;ExLf>!)ay9cf8_q(M2t1hWy)J3(8yyP4*M{kr?9+5mXf86Py_4E53 z7u9e1S+Cv53;H1XyhajTHf)DAaL%7NVSZ@& z^JS;BB4WqovKZsIvLTs>tsD%_vv(1hH;-W@s79fBdl*uK6%y8`Z3mDv3uhzzosJKPF^=Kv77C3fG4B##W~ z4pccbG&&A#bTk_I!7_(#98euKS(M1P)Z^rB`X3wKa7cu4WNc*7Kqhp?!PkK2;0~i> zV3B9QGcqlBCr}V$)A*Jh@ZnPMp)=K7(~fwmXzOd2+q->MJh5PJD__ z@hLw2o050h_TNbUkpEK&J0F0&YT23u6q8#{HynQ>AWW>BGdH!zOR#U++H7p_ZK}UHO>+vwnjKP zhu|pe(b+3=uEjoa?)3u41bS2SSIBSH7PnYn zEXa)woI}wT{UNYx%$X49I=;-~KG9dbTXyu;`1)LEp$hyP?lQa*Poy??d}WyY?)$k*xb4Ls#H|0XBe--8MN^pOBf# z_Al!k02l{)GaM+~dyFuVKY^z$YZn<7eIfcr<_evv3#3Xvfk($kS@GYz_P@HA)D9OpFT#!KKtvW=x&|8g)dHtg;YZE-ZH{m^Z z;~08k66X*Etwlyfo}~Yr4|BH9JI*ATKh`y8w#a=1f5pLxb-+50cchFvIY-Jp(nl*V z>f^9un!j+HPR?I0%?r02;W-0vtCIUaQacu|)5P$D>K1)gLnDtXYVlT`M+X-QADV-* z_I>?=Uo?90A?Ug^4#(XlkewlKdXM+`nCMLX79KtE)2V#bl|i!W1Fq7z>#v#MV(pTzcZd@Q-}fBw94OI^ez(}m=tFTJ!Rf>HmA{#|-3mub z984&OJ{3ojxSU1)r|H)d?rc_zmr1L(NxokR=RgE;c8-+Ln&6zqr-d#<8;#dOf1u&( z_IkDj&=&%VxpFvh<49>V1pY-ZP3ZEB6*FAW0Y~qGdZBGT&7ocBIJXz0Tf=Cdpl0yF zE8yu@z=Qa1&)@^_efT_d5xo-qrJrdUq;b!o+3q>@#KpJ%hdG8+cX-~u>h_`2l)-@#lkKK5EH-W6jYHYY;~mw~xbth5ezG!@dk$h9>mR@cN7PhZE$i z<+D_&PbDRJHHoK(HynVcmxjIMZb4(*L4ptB=!D}hdk1c(!wXi1l#Nx+mG$`S{spTvebH|9j5w_UYc6Who(npC>N4NEiYNNKl+P`P z&S~5)=R8NsHRrT^?>SurCN4f4QudO4U$yU?K3#Lxl^wJ3f;Jtyz5}!~wv}75@8V@g z%B>f)_wRDZ;MQaK7fz6z`N2QAnVG!^-{F(dYo(ogJ?F**d4p zQiF%jg4f?mw2wn3XO8f1Zn-r6_m?H>!=Gz8Pnx?w}pxrHl=Eig81i z;Tt$RI=DFa5$A-+Oav$ePu!x2?CHh^Jp97P6?B#PssCkt?*_xu#~p2T4)oXHNAT)U zeuM)fJmIh6)i^@N$*ZY54qi<#(n-;i+!-Q4eCvKu*W2Tu4*{P32eP{L2^=wQ<`%w= zy%g(%fUDTPI9SFVi*Ix0$GIVGfY)*1>Hf2{t3Q=EzPPOtMuMi?f;!}Kz zPx0w5O&G<;HWWvJgi{%}LZCoH$QU0n-bG2l;E+u%iZ%=piRAS^dCtKL&#RB{BB@Y6 z?=bSj_z!{69dxqMwv9i66o+XjZgD0gq7HRpe8@9|B@WWqKm!X5b)rPWfRna}xDvo4 zs9>~7Jt(vSc%uAZgc9e=>uaWcbnPG;f%;HEC! zhZ`(I6YwZ9fX6x4^}*n|-Gj(XH~?`#h%*U76=TETm316M26hTmU+4=CwS)c>#Rx?P z^Uin~TgfgZy~A){0Oyug@Q4#qOgb$M`EZDG-Re0~W+<9L54Czo5A}IS>E27{37RIv zqAX_3fnPEQvp5-HTpJ_|0i1KG3D-n7=J$tV$6Xx?C~@t?N>wM7p6l|w`hPi4vuAv( zZ)be3DU+va(xge6^o?ac{|ot>Z!~4%*Otd?!j$Ry^!ugiz2uN{miS-=H%Li6B2Q|_ zybT&V^G8jdZ19sNXwnobJ7vlr&Qm5&bmb>Zo2gHKu(rait)&-TTb-<}?zA;`gT~DG zL6av=(3A<|H2Ir}SM__^uSp*m?R?+*81$3>=?gjN zUnhGuV2CD-8Rxb4+2@+{(Z^nywq2~e!F2h^`fo5n|EEvWq-plsp{-A?tpL7FXRi$H z^c$o}Uwn1-c~*BU+g3yu7Iw7#A_QL~oK*7#N9)pUAO-Sy#J-ffX6 zz3exgv|&;`)&D8={jsmUiSl@siw=t75FX<55tOOWP8>UkuE~K6{NlFvZqxHap4VGr z-g5Qa+|+j-K}i-IBJs(yS_yRjNB6rMrEu~a6FEk$rnS=BW8YT$8SOPDVvJK#jao8F zEvB~6+hg8#?{OZ3mkgdaSnp1L_X>EFbDu5w%!9Y*t){lpCksDuykyAyA!;_cnS0)D zMmu+7Rsi2}YD-r?pTJZ9wctlD8LgJnJovWL+vfLl~ z@Z+M!sqKumuAVm2+ql~jf#;T{ydCp8_#$14sLQM_4t{*pc-J2Fx1QcQ2A*4>0{FsR z3yT}8fd4xBYqg)*-qjC0{iFZfGL`Rxd=CEZE_bWbj7~A|?Pgm2jLSaxpXc=Vo^JPe z&V|emYrb*@0zU^tEUEKI=K@pvWcrFbV4>^c)o_$GSlgYtKveEU6FY zeCT-hv}M!W?Pu?N_KqIw`Iv5RcDrXd#i20m*7e|t0>>I~@Za`w5&GcU4jv_H2>gA$ z?$bx#f8@r(zJx<|6u6GB24k^)x&B#yTp$7ZN8hO@P#E(Jc-z-yZJAZi4SvqeMcJWc zm91qq4;FKk{K3}MvQIR7 z!)(pnJXhbZ|K1%O(@&g6IB;NZiQ=2Yp+(?GNyKD+A0%$53!>Q-?o?P`MSta<$0skb zQ<=KM_|DRE8ovIL*8G7G;v6-9dqnELS^9jJ;rcps+v{y_HOpW>HQ;W`&Z%?MIgMI< zPV4tr;nNqT3nsf@gn8ETIm`Ud=dlagy5pRFUVB#KR$bD7HB#@5(pc;JM*I`c7z`1? z&s!a5EmOumTwO=bYQu&jniaO!_JsS@eep4C_pC;)Jgc=EY!pQN-gUssI}cjsbHDpM zyZxBvZ;=LXvi7!0BW$@%Gw>*agzr0NWvp==4|f1h*^s=$>e*)Rw%fad){b@MZ%Nqi zoUyS7<34Q!ol*8kz6Q4S8#~Nn7px;aG>3hpex>cTS?VAqrn> z6K9A%;T=H!Es3hJ+l$_-eN(2X{YS4WQ{YGm4>cMB4{b8GiF1->zg=!XId^b|EP%X( zF<@xIr~&!T$wEeVkQ-wk!0&TMH>!4x+S;<-3x@RT#b5Qq`X5|WUJfaV%!^)w17D1O zT|GINz6)bf&Z#hP zM1RV85`jaIlh6k_V|oIzpl3oaYqAwOR*VZ@82o}JL``skSdiN|4{|zH;4x%0*)V`5 z2oAw%a3pd1GW1%=cbwPpiLCU_<$yEv3t5IUDvb3x`zzkXWJ2o2`4id4;Hk^$>(G~l zX7GXjEXe#H@|-p>Jmm}t!$*v4dFJ|${w);VGI#(-z)}XMIM;3fZ?MkkGUyNE17|N@ z4$8Ch@J<&X6qyS{M{of?UH_1!8JEfAyh9ejs4{GGm@_CX_mXC&KRj5nGsnY9RPA-%-qm-lOb6*IDcS7ibDo+ z4Du%P;~XTx5qe8>kS6Pb7uFw+G3W?!!bIN3aFnyl7#t(3Gxzk1wyaIghq;vl2Mg9H z=jrJDoiTGQ->xTG(kP8>nndT^`y{?u3Z z^ti{<71I~$x3)M>MBWZPizOjPqn{vP5e_HdlJyUsXq(?4-*E=zIr9-1XCo*7>m4a^ zcsp%^?TJ&D^U1#{pRsK8?9kCOnzik`cOzx^am`=6T_@3#EsXN>Qp+X}FN}1bI~K3k z#0VTI&uLiH2}Or()5S&0W8Qo4p*bkKRO=RPh;gL+oC~+E^O7bw1^P(>;}Ib5n=Kbz zz`W~8*vZb_drAFPo>#ABUNG51=vJ>I9Xx$WGq+vPN6wM*oaadCv914k!dV07l#@09 zGENtK;-tZiSKthX4;RSJzT?=)|4R(V+@J+)XyPVIZk*%>EXp|N4;(3N?zq7+)KTQO z5^IlJD7kqHrxTnygPXT5{RN8NBSr&O*!X@3(}hJ#b$Z)&Z8^M6pGA*VnSo_JIupW= zuE;*mf<`)>Ti6&ER1TdHG!CF4}s6a@130r^+W4@c*h&zFZ@qG8JoRlUjqGxAE7HmZwPIT ziyy%+(Iqe2vCKJWpi{dhKe7xCIXgyYO@MoJy6Aqvxx*cO0XOu;+F%aZ8^PFN#O`w%vi5@KNV#O6_AFj!bQJm>@Xy%UkF!qaNV(y>HvM`%C5??3Sa^sd<&-TKwD;tnM9|PWsb0ct}iMqJSl3SeNw>VIKyU26KgulWMuQ%;C$u??@cbjFj zeZt@AAN>E%@>BK<8+X`PqIL17Fr8bsQTq?=)_0rcs@Ayr%4qvtC#R7Eo)Ki|B6Qbi zJAquW(d33(0_SipShz!B-O|{jJ>04Ye+}p+b{p>ei}HT3Q0m0~FkORm&bg0$IZoEd z2FQ-sBRaYYywAg->Ck(47V?j?9ipGmMQ9iG(s!f7$aK(WC)?3~;1~luF39{Jdz^9Q zplob-zFT&3q-Ep?g2!Y3h)m920^3^XGJ6b1^C`oA#pg%ZPQnudenilhtN0P)^7#?< zbM^6)&ct%C!;c-0pGSYfYT=Hj`hbGFAl8)lDdL#W4{W# zwZ-}Fl1)pT9St&Sg@F}38ztau4B(eDQutb6YZIC{3lHBKuErA^D^nXQ2VNPsFJm*W zoPCET_L&5!cJI<4L|ZSJU3*4&$!?@=t4k6K*C9n{s-^~ zQ3&&)@Cc5;Gw0w3oT0Qt5l-YFgc2w0BRJW-AY>w}AYftm%bXI?5Jf!SIq*h^1t*l_ z|Ioy3A_%T-uE7gJmDP=qTx)bKC)|Z5;HNH|>JGbIzpW1_8dw{Qhxz8<90dhYY3Vaj ze`|eS%R4;B2=`g9912GHv$VLdAvsTUW z>am1U837()9YrjM88cVSRO1Pab$e?s5>~1*X;k3hyH&E}11fdz11eFxgo+n0uHyGv z=JP+0@4fe46)9Lig$v}<1CKtTsx5k`)wH$hxmxPCP3pZt>Nx+P-swF?PgJh1qW2V0 z;lg+6o)T96zWe@AzW2UTDpItND}VnJPwAx=-PJN^Ypds=@xj8ws?mF_o~-z?iWR=w z`juDr6f1gFzf1V}9-FKDMebGhg7@n7yY5x4LieihJ>I*LJ}hG_diPzfuKON-R8`*X zq85`@s>dp8ce~VQlhkS95!LMRrJj1Kf{JC$uEMw8s(Z5Esp2{FsD$N`IdkitTzOR> zPeElbP|Ry9Uttx_oj>M1u)mpe7!29!DPEwUiWe>Bji-da?tU)^>$0T5mnx;=_Zwb= zY`866s^pb*F-JD`;zbO9cipYxc?+m`?tGW~!}q-TRXm?P&*MK2`c};ETj3&JxzIAe z-(Bc34E>>9+A37|GW?ynEc@flf0vEdpU2QXQkK43E_QDTTO0SPQ1N2Ar;^Rzd!=-5 zw|kWHgB(iTB(-PA;42mr)=sEf-o3@qHx8>fJVdeR-W&Z56kO0TjNCEwfL0SJ8vate zYjNlJw|w_<4fuJ0yEV@#1X0?-D^cP>n^8hqJxS^$aSB9e7>8%yt^3ZE9UD2;-HZtj z{+4l(c>Kr1-{AnCYru#x~pe&*k~7(6L@&o z#HAA*JkEo)zo@Ne`WXB;51#tzd$r-!Jf1Y_O-)!j!NE_6p5Vb-{f)*o($tkx-E-=z z^JN`Jqqz~7@!%kux?yVNZI{>a)b>-`YtGs^u72R_epxqec*8aIV-Vxut$xN=@2h%l zF0KX7jajqT%y#upT0Y4sQR)A!@7@{%pQ>RhybkU~{S2)sgv!{DU*xHL)_D9aXOw^dV zTk2(ebA<8Cx7w^!Q(I?$an4he^sO77yvXz@HueTgp*6O4D()BvMXqEQ-v_j!O|Dw+p z?AM2pXEb!}1x4>ZFIz~q=d;YA;z`RG)9~Fs4_|10x^G#?B`=n6}R|R^abQ2aZZ>w|F;3My<6;u<>uO%>*}0p1oilHH>qJi1F4B z_M0OU5g+(1HhDyT#aT5-eBWwwv3P~_eVFu}<>1!J{YQMDzaiP0&`!fu*d}e6FkUUY zzpUHp-{jFM=&R8fWF-zW;iWhvIs+b~K^({+UlA}UG+~%Q^mbR?=oCSK&YrjV3Q0r> z9X@ZklL>Jo$EX87jZB5Cgh4L{rvxYp5=ObmH}1d*dg>oa!IP1vxM3wUL5DH6b+_X~ zGvVzv9_SUc9N8o^AtNCdJ9ucT506}ovmEj?WuWcI%ou@U9P9!(K#$=q)(-F(&%}o3 zfC|G+;N5|%4^RK$6<5HoHF%Sgkspa3jy&o1HOQAXW{hMghv5nl&yh!wOL6do2fG79 z4*IMN^TmN9GC2oj&KL~dzLEznaSBN9Xh`5ze&nvcUIhit3| zcpl?JPVN0|Z%6kDUcv1o)Z^f7&lJF8KnAXX2ZsSXhC09_JMx`%;o!e%<<jI8L}lf9pGM!D|!>R8Xag*>-$@tpO{b95H;hv%$ko@0c|b95T?6P&=`d5%sb zc#d8MK91b{#>h9^9H6T>cJY{7Qzwp`Q1`Cgl{s@}rA(1hP3tw)p>5vpC2Uui>U>ql z%~y_=IozQ=Jd`!-bPSso#?*82*OThiy_dV$(%ne;O8kwK@hLvVr+;7akK6te$sh86 zDs9}jQKLtXRmIszUnYJN*_j@QRlgP z)Mn@ez1zH{n$)Xp@BeqMi6%`NtA5?uYEZ9^-tW{^U(TGXMSIRG+{Bk@CbA7S5wGi# zU)5&h3^i-rUX5+6jqBI7vQ4h4>z~R^8Z}Xq22J#Cvv<{^dkeMuqMf?T?4p752fAQo zoCRX2#~BvqYB*~J25}hbVU&uTgCiHR3wk+pZS0ZR|Ffs(T$0RL7SDijauPZz&Vn#N zebozENstlXkeQIPD2Gndov9(e5P;J^pTf`|qgM92$OxP{Ir#)x2l)#)K9ObK_Ka%T zAJYfqM~v?|i=^L9=0PU(b-yvjt-g-W8KC;#ojiyPgdB-(&DXoR=O+6i^Aa$Kb_gQI zIj57s{PR609|Di=(QKp43{;417kJ+hTF*HaR)1u2jMn+b|X_9_mh|75->l8VX=XJiQ<2k?BT48+jH7>*1e^tWSgPV-F z5oz@%?~~v_e??xmMVD|8AoKqQt9#>S9sgygzFm1lA4Q+l$IH%W{@NotxoNkwb%&R? z?DVqxzC$}U?9+smo|FIZ<)^jsm&4MwUD6JFzs-Zc#BEUIE6VQFx^??Cdg*cJgz@F( zOWK0+G*$}H+{Gj`jgbdEM@sa%Q?_0VE@8SN#Z~rt9Vz1~d+gjL&Dr5OQhxkbIa1;T zfl~#yKXSt)4vWmkFWaO|0lp2N0q%nTxjifNRx6x7s0ZCB<#yQnZ8mSq)_V>hIDf3M zIgagkd98#aCFQxri}FiWc1nZwn_cwxEWm6fAi z9`7s%8W7O`&@RRKz$OB|8$G&jib zr6BXp9~1n`@h-~w|GV$tBB&z--aUtR*>}$Q;BU}-oDy7c2kM7EG7f_Qw+_FQr5t?8 z-f@129Z)DgVvGbnOVc2YS4Y5)Yz{cDf**0)6*L{ZQ5OE<_7=baZ{?uJ=n~-};0(Pj zJPdm%7kHg9fH(Mx^#}e3uSVzjKjqapEyAmD^l&)42Cv5M>Gybb(T+u3Knwbdjutx{ z>~qky!h>xA`<2vxeAvp_gXNj6Kkcx_O`t;En&{*g{|p#>pCH?Xreh%fXt) z$pt=&4HN(4+!LhetL*o|w@Y3nZtC9@#~Lsk;Ml^R3I2z^I5c7R#cv0_F=ycL zYtBt^fME@y)22=4kiN4|qrdQGY>)oc2`9?E#x!uK`>T1W%hb0?=hkgi#H#%oy!4dg zO;cAN*TKyM8#TJU-RSaG`!rtYcw#`$ecpO}(;oe_=BWBEJ)_=B&)R(as#9Bx-iGi} z$49T?r8~5L!(L5Waa27_MjW*Eq9Tk9$L3*i@ifvu;Yb-*+tCLuYVhjIj+B4ei3Mj_ zoFU;e8vA`k-sV;8N`(b+#}?#wULbJ~Y`^^3Pv5C|_VU4=9-aXGg5E)Q9sNSq^yL)x+0aUOP#`k} zcC1deg9anZ8ec$eAz&%*q1(=pu6+TIAHZ({*#vrQ{e%u7+c>%z^c_A6eM4U0lm8j7 zy?4Ac;GdRb{$~#XKZGxYz`N|@%Gpg0dcny|$YtygusvcQ0lh~if>ye7$yoe|{Q!I- zHa|iZxfVYHpR^UqkD$Ts95xm|f)@V~ULDAef0kFfOHX3)YV5%(4)S;}e2)1C$L!6J zyI2S9C%1QfaQha|JPw_N*+=;5V$lK*nY+I0tuoFl5*O zPd0~lzkj#017ob%sf`mgPHcfTEs;iQ{N-A|rjf7qCwBUiToBZ6~3IAsA363NPx!m=Xa3B^X!1 zV-Snt3MCx3k09*u9C+Ho@s7h+gaMutQI!pNn)+#6dkD9`p8nO3jr5nMGR?) z_JV@hZQ>DROn^iP2m}c-!W{w$^%E5bLB)+FE_fRk2Z>y3PrDpw;dtqsG(+JLSbca5 z7{MX^!MTvJxC39{O$dz*kHaL&Z=$OmJbus#@ytU2k1!nyPhU|m64^8~5haJQJK>eG zzEFCLp@}*XkloFV2=54D zC;$-H5s*zNV{TAdfE#dxlP3p)4#vvFC=Yxgj`?jdvBgzJ%FG?OV%@pEAs`!$SXW)Y z>#E(Sc5DBh{p!@dlQQ0tQR&j8Q=4XObYRB;ow2`9!aq@=j)lB-!B?PM0MCBA2+XWO z`idf&D8dNu^oM6>E}YSbuo1ee^Ie`mdza-@36#*b28j|Rv`@Uv8{z5vo5Y!8zIj^;jSlNRo8XoP~SQvoGYOcJ#X)DcB^mn6o;}v$r_TOwJwJo@C7siURY~%E$0M>0*J_4D1_i! z7=>`i!gHJeFvN+WW6(gWhyPLDxoBqrO|<7Iba5=dcxryh4``lc7H6lVhJpSfbDQz`ZiZp z^ta%tFBlh&9|To!yfQ9$8!rct0X~7}f2S-(F>Q0iyf8m*-Y5$X=@@8>lA7c~ zbHBg)ir=Rh6J|I*z2boFQ%Aq<)zAKcZ1r<6ON74I(bsWS;_#ArWL@)nVC?+1m;;{U z?2Ixxwlmd#Ic-0FNfS0*5RuD=u0E&8eHV0&TO6IL&$8jrCi8L*o?G5=?4l-a@|3JZ ztqZrZXRovDu9KJa&338#@(UWg+7!^MfaVx~ zMQ$KcEH{pXCipD|6&Q73%*df$Y1Uv^t?m!jV3>`Dx0z5Ka?8w(d;G}*Ik{qA+;W-#YUX9(iP5U=F2frBO7T|rH zAfv{ z-|Tn~x&X$7tPBnrJBNdz^)Pk}z%VXFzC|uZ&SXxRYv3^)3w;hwcuxK9xykzIEReO) zJ@A|WRy+qkJV%E?{X9pPz;k3zo}=U71|ObtI8U$*_KrM9rbdqfZqXy~99@Qce)_mG zLZTkV3(w`CGBoYmw@)2AbX2BHnUvzj6slLVo;IxAprhxHYS@xtE>MA+FaPkDLs$AA zYM4vfzkk0z==6cIWXa+jDPMi{)p$qB_!OVw)4why_zxw2$p5J{Z{9q;_S$Pon>MYd zBQqH?Wy+NC`9F|vNS;iIY|fJQzgCxsQ))hKy&iqNiPGJi!M#tKC_$X% z|Lyt48*gy^Pi#4B?gFax?uY8~(+-U=(d?7`Qr`_yv&n1p_}eXXOO|X7E{Ww7DN@8) z-#?L4Buk+biLL!KDV4N9QYERFM0d2gL#?K_()#`D-Ts?1GyVpNN1es+Ty$lJO}WWk(*xs$MxiY$oS$62Hcx&$m`(5usb%2^u(2*T(TIglVo=m2o2aQF)5o<4=@ zA?y>G6C+oG*>HwV;2Lz-uKoZ|{x~RuOixfl)>*LbNjNO>yI?(Yo{o+fJpc*4Hcm-t z8yb(JT?|$0SPp_Yl5Jj)vC%1Xp3(U#nV(xzSpP8w*sL`hKV^`o!40~P;2pR{uKq1O z$iE_Ce9z5C==gpNljcTxH!A(*+3KB-fq#U4UARhf7Vp+a#%n%ab5Zm6T+~Ut1uvZQ zG7c%{{O{+_X~&Usnz-?t=SaEwoR;t7y}@7d%K#smt&Dqab*wvZPNUbJb50mv{Bl8? zj>XddT_d4_jXZEsLwy6-$=qgj>iWu_ux`!WeNp{ZocqH!QerR){9o!wdF+JepusI! z-!JwubAqGAzC#|)&@H3Kl@Cp0f_z67}#_(nOmGJ_=0w3@%Xr<9Vo(H-hbXnuV$GI~-cdirgUUZ@G zB%^uoC-iL4A!rDCJZGB%40Q(dl(ww@jvvC07z=b6IveolAkz-?$;K3$f5q_9(6S-$ z?isY$zJmkK6rtCgUE-AI`UBsgTxfmdpillMG!uS=jR*V)oRAK^!gi~d-?f>)otK3+{wyii{4 zf-QjKYw&8Ee&E&UALHcJ2FF^UZuH!N9+!24&UE9xjcy+XPiBAO#?0867k}LBwK%tf zw__v2y2M_|@ug6{4ZQUYzJ=2YHc;63aNbCwkDLYKq{Eu0uLS8JVdsUzid&2PhTx%f zEeB`%j2T>6W*h|F;2b(MvHo%7z=_rEJy|n;zu42Fqh@X$4h%Q+lVAY{l|@FU$lJ5XeRs*+8N}4ms&o4 z@|=F!eolRtpHpwkKiKyZr|ccP^r8>Ozj#Ib(BDd1 zjPH)yc;0iQTzg*24_&-|N6Nz&_31jVtmjC1eT@PYi4#l6jg)`dkrJG2^?4TOgzzjJ z7dZ=rmvQz7-^5|k;SXMF`9iESLe>gSnDA0=kK{H<%5VeavUUD>q1DM5f{|TK@Mn^y^rC&{upEarz|kQhQK=P52!_X`sc}C&6!AIrxh&gSxsbBj3WWu#q`+?v%3~!G^@O2|R82 zu=Edpgfk*K0Pw-hz+CEa1wZoP!2_}ke1vn-zl>K?zrn}Ft2wKS&8u<50jhwOELr+);A08gA(qc7onGe*zAnV{7V-mtqpd%?4x!PykYVy7p8pCXqcpYol) zFwZuA@btt-PsHf}iS7j3IEQ2A!k-W30-W(YW}j*GvG&JDj=!p&`1IGO*p8I&p#9e= zNB&n+=#7*(QqJ0TL5HtXevVK7{^Xsu#ixHo^5-Nz#i#fbpZ>OlA$jZ@Dp6<+{%NpN zG;xyzMv^GUh^oQ?5{gC+ayUrga1$ZndY*HmWAGe_d?V=hV!!dPg+ z2?xAVA3{F3KuAI{jWZBVG+do!SQBpi?o~>pL{ypyNC*Pb4JwV&(%m54qfo%R7UN>Cn zl&-w*hSIyVkHp`VH)Ao$vcFT<;sdKXRjs9VJ?)mWOPZp%pReyzP}#`{g~MImcHx}_`u!asF2NhEdOE^I#j@* zm8vjYJaMx5B~MdsIQ2{3uRxt*G+;#qM5N{MGLG zbDO?O`}UnR5vA8Ar?iQ@*W&3k!K$-|@W-IGPsn-=WZh0Q4H>vF-9cEVc{^wN1SU~( zygAg;p;ITy*cy}`XtJ3TC=ZjkO;MISjyPVuh&W!lNI3{B2PsSIs-_Yj;AIUz$l;YT z#VBc47#Mp=(Ur!p8n(`?gh}i_gI{6!fnQafd%B;d9D|=`LTZEGuvFRc3n$c!TO(gUFJe*f@Hr&qM0_SA4GCHxqA)L8R;K584rC{clL@7wt$Q z$b_YZWu40I{yOw8HXKwxIRS#SvvAc+un2CnAn>Zv&mtus( z8Zh*!X#W_Y@$M0sWZ$bN+lr)1kAOpBwok(qGQJ}y&|`sp#Nht9!6V%izcJP4-aKrs zPozmLG?Z~*b0KVgnd_B#XdUSxwM$9#(o6Xi{&V5kFxz-49`xySx8Z$r^*im`GQ=*K zKPlX8%SZL{oX#517VaBCCUT?ol7-73n zPbNS3UGrzqoD`uEuRM~G=AA^}o8iz8S5G9ox@}1q@_9)~+}MAs{Gw^`3*|LI!moa} z#r?Ggav$yzDXW|5?M=Br(AIs}A7}!1BJPt>?9?3Dt3HG801_Mt<$q$amGCd8e0(-; z%H@M}U=5l=@L*g|^vRZMWED&T4f?euD|*+oJIAU`r!%g#u@Lk+4rMu)5F(BqYq_MYNU(HA>9v#8AZDJ`ev%#K+XJ#R)7kD|n0p_UJ= ze*sOC!nd#MEf>vIj65`#^I`S_$NW!LFUv*`#DLcG%LJZ-e2@i)s}MY<{{c(kZVUNtZNjS0&JOs+C+PKkG{3!=$9WT`c3D z)juDLfo3vzsY^1!L==YpM*Uum`Ot9<60qNsn}v0kjlOZepMG-FX{<7YV8xfZCT?sE zX#2rC$H*t%=;|J#)YlcwUMq{-4oL=8KP63vhxMuv2aEjv9pJeAnU057ok`iL4nd5= z3Ig@$_-U-j8ADWg( z{c1_hD4_sanOPbBDh)d{JD>)fJa!2OP<+WnR+S!z6MzQ(;KsS`^(}-D^zvK6qiAo& zO5+nAB>Wo*Vhpk6iMoj#eUL$N$stoF(wu5Lsr%UnmNZDX*0tnXJh1X4-xz}fGv`sr z+Tj_)Y6LDV;LQEvCc8*sAkZ2c5;BL_lGNHDe9OtK4#)h)c&l>sqV^ZzZVIZ#)FghZ zD}l(R>e`y+G?niZtTPla$-KMu>%GY;HEruJFJQSL>`jrDVeh+ZGd|6@*)22%L&So{ zG%{+f;<5bc|1irA$^VyGwm(|?TWZ)?anN?6TA&IxpysnuZ*ZJnI|+VeJD9CRJz1o& zW$2=D#5TMOeyBCYvQ}p>I+weRtkaHP@?EFmCwGae#-aKF|b!Rz1p29<(cU@7uf{=%7bTo2oJkHNe#%JN;<)PNjb zi^%A1ymHobuZcn(Hi!N;iwYZ>p229amH3-r*K>-3SzbMPIGjkf!|b&@voG`llbcvm z|8I+Sg;jmGqd?Gi-pbZ-umi&Xifw)VPE@P*Gsn#b^Ubfx!{WjD##XvgIN;3Ngtm-4 zCHz^JFb^A-jAe0!M=!5nd}1FxO9od-PaUN|-q3uQcfHUdyiBONm5fmN3weR&Sni}H9 zPq~xr)hlt>9Wr=eMqR#zG5H;lz7`U0NYxGWhi(p-UgJmN{fMgQr@pv=<{NUC> zqN6$uWmL!&)zC!yIWg`XF-u6*WH?{Yo>oiW?kVuFAI$xuI?VQT^B@32LUzBCG=60h zAe{efec%s`HC@AbBFb&f+L=AJf@WE=<(Nu>*Lm~{ieg>&(b8X4>GJFDWrL4^q&IGN z?|raObb%uDWx?xTdz1-Niw&4q{3d59u+Pvo>v^P}3#Z$sSCe9H2N61l`p}%!b5D2v zQLcd-QUW+g)Cv{ifUG*q1_Yd<6vTkXVk8J9ky`VBU`)C7^~THGPG(5!D{$vdeR!T! zqwP0n%}Cc17*N{c%oI`*jCcahZv05Q1)Yt+^PgtENx`QgF>$mwcd<{t$WCWqWd>-^(%;L-#3T_eO5`U}PCu&|HUHO85 z2U%Qy?Qgw18+Q92LxUCfUbGfic4|G2gS%yAdMR)t_u_KKxkfoYl{5GU+!8J`dHfS| zk53Ys3s;b&XFI8K&G2$A5r)zFC>90b#7@1int39I+Ux|LslRMzJ|xwCEU!E3!b z%lCF@L#IWCJwxYmm2{*74k}1}-Z(MmXUJ>8)16ow-M0BfBJ#DoY%S&0w1gE=VcrkP zvRhGvVjB=%1SoR!Dfq4D=?eEnsbUdRJYae1vT)dwlL`R2yPxaZumkrVAtjtnL`>Gq zx+vS`JMs->4~bSoVYAnzIeVWlth=e@6^BosPC3mV$-DmJH;o!TK|;Rxj7FoyN9o4h zdJ@6TI+6n7qdZ>rFBwF_avg50S9!R1MEuk} z+u-jaqwWb!;z*_rkG}%LU}eJrM)LeR)Y!(!Hoy8KBrL#Wc?>A1$_$!bZ4@>6(?p_+ zrWuWNd)CeSOp;qjwOsC8jyE97&CoD=`kCj`j;Le~zt5f{XxUL~`W!trP;XyOyS8@` zK|HvDVFl?dZwdLHPtaoIxtgs|bLbkliLYH#pH&=lG%*`sG9-?%TxVY>DXuNEpkD`l zgwKK%e7=7ELsfKbBQ?j&T48?jkn|@-AK5{1ZxG)(NAO6!2TDy*nX zRd`kLpuZ#e(Y8CS8r7S|?M~hEs)zp;?A<48PX6<&bS(QSwL*JV*OoslIN#EC4zBk? z%3E`_gWlqAx(;4L-<#UP+lWH8cp za?Hhqxy)2)T+Sq_F;+52Y_?;4$$za;)vl5`G!~~pSFc(|yhu%Zm|;4#A#z+aDE~$$ z@1*nZrz`0Qwx?F#0?$uVC)+VH&jc8nXT~4phoWUK$&WMhdv#W|0(0EA716JNQLS!N zD;B5xiGuvX!a?>gjRk9iDlBAzJ#dMgX?9vB3!x^qSONXG7az8^5dPX}i{UVBwO0sVY2H zii|cuz2wkf{@m`G;?AW8l=F(bMtIv0&AcyC6&D5=_vuggNwC9#mWN>FJ|OXMoZz_) z*F~Y@`4=^oU1cNyo&?}Lp4ufFP%t#UVr?2O08coL4NQiTjZ%+`cF}eNu3wJ^Rl*lj zK6ux;ms5o4y>sphZ+}s<`ywC<6DoY;ZWH53B4Ine^F9$ZA*j54%1NpQT7>ViQ3k@F zDjQU}g_gz@Z_RM6<2RNw?l-}=Mcxb1EsnL0q@D+uWLCut7FNF2>}iA^g0e79ZPb}I z>g#TCU}ClR?Ag3z5V7)R+O3wrHz!dC;evZXQRV!7qld|2b9+=PNf0)!tPKnm{6_K6-Gr)PRo^%Y)v9UP`Zjb5(g|6DjO6zeY%clZtlK$PQf zA%k!mE4U9$COwI)!am*mY^AmQbim+tWRFF5S$k2Cld_05{ZXXCF>q$VW5~9++$XJu z@Lx`(1rpG1mFX2(R0N^J-zhzRh3m`o-24j?1SE&=bV5lY0%vd>1Qc)Z?T8eZw;~oN z`sCuZhV8k|WbfHhpcT;M8=VzBue3V-sdj50@QROqMs2{h`}RMj>vE42+6rS__bkd^ zYkX3~DH;r8WVjB`*FIo82iceBxzm3vv~JTppPf6PuSZT28VH{$$c>o(Mi(o7Q&4y# z!SoS!D zkCe7)L6T(@`rwbKr&mkcVbo%u(^KcY7*C2h-sa_Stp3&e(%wzBt`Is`KrU1$m4!Vd ztb9Z2rM*YoZtEP|nV5T@)0?B@4YHDw3>pNKIn(6qKj=lse})wGLH^Ac;uP-QYD@|S zA>VRc?uzfhj2vvgGdbSM%FbiV>GkIwY%pgEGkR6r>2EbI|GB)7^2_ca*+v9Ly=hx@ z{YEB8mjL;VhLi)QIvq=T(&cH}5YByc-x6pn-{q6o)bwogyrXisUIaY9%b1p#uU-XO z?}~30_tx*-!!Gn^4s0zYFL^0m-1Wj%s6Gbs1Zeu~=ndwrZw3#|Nkqc#R1uJbi@u5e zzKPjBz72k8wy5FW?Az&&>nz|cPwny1zY*9f2bjyYkIsuPCR;BuF{Oq*DB=5#1EZ+UIy(wW}eWO*lq&5&`o&jGj5+V(?uu zG?fgmcQ$KfNFzqH9Lt_~XA|U|O~Dv{daOi~nDV4*u|HC~pT~}N{L!&734DCGIo0o^ z;Td=M!0_4blMGWS4Nyys$m_AfBMDO4U0Q2vkUwS%uD+;h z5J`!c)x!3qC89u(kcY5`68?nYwp<&|$%{~W;44d4G`jCWj@l4an*%`s%WWRdep#5< z)vB4s(^|519gmq>j{yYzy4<||yVK;OnuIRKbhjEGGif~7H(OFek-h=Ufo z_`lyiRAq#}koY66i4__^l34c>Teww zR-(u!ZOvkgl7$HPwS2M-u5|n@nP611oJ1@5W-Yfx0qmxs+uH!1m=Et%R!C4*cngS0 zB{RI6u4!Lwy=Y=3Q2og{%HcSy1a4lb@sGD3YSF5I;pm!<{kTo{#0b~*=&mUGs+wPO zwEdlh(Fctvr>nmq_HWC z7H>&Y4ZVHwKjje?sSsy`^s7JK{?|;N6RK_$pB~JLjm{Oor*6}%_6c!_OJC?>)0dGB z$8y8(6HfvW@9_*?WSM;M*q7`B4KRJ^;jLH-agUci%bXluwhL<;W*qBX26)#27`}y@ zA>zMDX4(l57u~5&itW;AfMlMXKAI=86=!mAM~9!#)I2#Rsl#80G&bMzSf z$nJN2I3rnGfJ}MTu_)CaM)Gwsh2Er8$8u0;gJmy8%7c~tY=Q3YJcnI?+@!JgFz^9P*uyxZeLs2Vzbj1GX2Qbk} z*9u|2dM_a#-@~|Kii~ITF9A$R_^dT ziuwYI8;h;FcSYY0ixPb5lie@|_2C3Yg*V=kpa;-Yk8xlIc$MXbmm~~z;GK_4m1>ppwt$YgPbf%z+4;x zAXu}>dJxUnHuKrxtU{ndoEGeGW>+SerIkmlc!eTJ^FB0u`TAj?f4}kFYL4{X9AQv2*D3+4vX3Bn>vhj9@^-{1P{OK7dd5WOe7$TB6Lnk_+AH9W zRy;eHHB2?o)hrcm&$aSt=+qMIn^9lPaMBJ^^xc;DS!~0!JblF7e)N~NR5&k(VfQwA zah*bBE2rY3c=vZ9O>0285MXuxeu0M#gkDf}DtjKM)wCFq!*E)M&qee5jtH}Nl?U7F zV-@DmPX5Ai>t;_G5phxx;B?&F@%w9{a%JForWE15tYi=}FG@N{?>p`5nUTZg@+aY( zOzx{dR2WrV$ z9*x6Lok;`H%QP)Rv3xx?X~teApFAy@p!$1WOhC)(B=Sm2Es*A@1lu{eO{}r(f9g78 zN_U?aoOqUKEYD3{f^`Cv-uK-3*-w2Mnj5mRLdr5UxMxG8rcjK6H>G(Vk+k$u29{}Y z8Zd}I^2!{>sZ#s2Uv)@7-tG4W^;vGXQ>KC1x&Oo+A&9C6F=1h=F6CX820jTXEOi}!hS(axnMM=cUNSbB?F~Y;OBLR*ltKpf zC?j(tO_6$azDb=wc#7636ZRJCSH-AL!b<>6UVrD2%5IuRUm0+DOu+Y~nk+KUj(?1h z4rZ44tlRDL*^E8+i<8L=B38OP4wTeul;~TOBopeImhY^kB|(`WK}kXNSv}JI?u=(> z%4-Q0rMf%TkZjPh6;a3|nfC#~HqEjIimd4TZ9Q}2!a^szwV&k2E$(u&TOL^{S*hR3 zZC}uS3I4SvHAZN-Nhcy@;HG5hqZsQru=x4)y(HHX{@(cxi6*5(?d$|U&B?In$fAeF z;{MfPf!*F5+G*kyb2|~VebvDMCb~D<@hP+FC>z5ioj;mnE9i(~fmYQ7vxB8v90s<$ z(xHqqA2m-;$K@ISY)os1 zejT6k#-;9s?bu5#1Cf>M?rbv8;Dl>QD{Td_1DzFUQR#VtY9>;L_orOcL)z==|~Ic(%ik7QlT$a$>mum1QA_@ zm5>uDT$Wu9J+J3i?%gKSP2V+MqUPI6eDHjK(dfR4lfy!^vEFd%(q4ak@?YrvqB$L$ zkWJGW*Gaqpx?X^?t=EMC{J-wph8Ir9(r z>H3T!4$u>&aOqIr1A*t+qB=E&&%o~- z@5lKkJR*xn8rHw-v2ndacz0|nUU!_3;htPVagT-QXOa#(wW6e4kXr_|ILh0jmZ2?0%`Sd(F>|G`29WOMZ};c{y{K(dbgB-@WD_r>K}=InrJ%9D1FDgf zPp8H;lmF9U`+TNRTp{56l83}sNm#ILaGcmm#Y+BC7h9K1$5Tkwyb6OhDs-ZDyb3~7 zJwI6<_8HQ>l)hQnDLq2sn+m%=B%wovgsy*t8f{( zC2&;*!i^D#1W3;9edu4cILWWU8E!f1zQ74OUHNnIq?%i`FJ25)O|Ux|{6#J8EaU=aXV>tJu5) z7Ka+KeRlhS1sQU4%{0XeF%XGslB6VxfR(g z?m{vD{@IT3IB?irXERhRAaIZ%3<(EO>OsnFR4&LGWetwCoNFqhAs5_Ho>2MTtD8|2K zl>_4$nPb>;EO(;Zheb0OQ?A0Ovz$q*TxC6mKAG~SRvJsOi9CJb2pgAFedkJk6ky&b zH}v7bIRa}Yc(oz)t-bTWOCH3{Wb(lDL>br@ohbJwbLFiQaXp)276S%&(GpU;tFA!Jud7f;J zsQYnrY+96u9Egc#iP=me@=joQ4Yw}5pR6TXq+Di8LVe(ufSGXcez!s}|6HDZJ-r^nev^z`|!0^94YMU^sK3}`JU5{ z1jV9JG0BwS2F7;a_@9OQ^{XHJH`XVCN^CIWFP9xeG}Y&AV)8j!u~i;l>4W3}Tvyt) zl#a72kn~Ug#~Du<{G`zlA|1K=BhV-5-xg2m%eTo}a(fdna^AyGuXic+0mvdnxqqv< z=CNd@ftPOt$;8VV5ZhD!SE3{HK_C28O%Bq%_;esVL_`CMh^XI;d%tryx$TcRKGaZgW1b% zgw;4QeH zee7qaeBuIYCgj+mCEevE-E`|V1h}zUgKoTCOM9*f(D!bNT;p9c{!u#skyg|ML?srslU5!@5OsmU(!U=qSPe?2e^Db}Btp8pLn z))2BA9txv%rpL+g>Ru87VqU_L2BLLj0ms2cu8bLi17dDbgKlkPBVD0veWOK&rfvDA zpxK2#ypL_4ZawN}bXN2lZ3PMMNIS$FE!>M3thLdK-?kmuYSU{^i$CYFu6n~oTN$`D zZY!{tr7z}j4tjZ@SNueVQ{?8UBV&{KkNSVvNB#(G$FuDyb=!!bLu$1<)iBD~c3*hd z13l`I9k1SYU+Mb0PH>HH{QW(Wu1B{4(D|FN!O1f|t1C*cN}i&Z3>cr1)c>Nxu55HPuA`&oL}xGSoBtBmWcaM9=Fg+iTF+gviP7YV z*Q?FrqUHy!#r#i?_Y_;p_cy5e2TlxXW-smEn)ZysSXHx@^saPS=%h+xcW?arpif6B}7?dXixPaO0O`r-i1E zD0H!PR21%3MT_GCdW<`ybt-gly1}ontelD48#hkc2!x9TRhB6zfL7 zhSf&X+?hucaA1RetHrU1{n+xot>N^%T!gB3RFQ%Hh7~&e z<}Y2ok86#aBM|tb+cuD=EVi)oelEzZj3ly>_x9l(m=lp9-8USdA>HI995;piS|*uH z@BNUvY~9V7vjWEpHvjB_CUUWDCt_;^UJS!Yy|{(+d`9vL>k-9V@CL z9rr!Gt7xR<^m`8STROqof1SI@FjOOds2GQtAc<`$z>M>uz7QuWlw;DhS+cBREz{ zfFMw6jk&V5U0V0@uN`Omchuaz#>qvQg<6=x-S)s@)Lzm&4ah0CptU{3z>Vd6eS}p= zYk}3?%cC}H+D%6RCEac+9)CD?&A&Pe9chQBPAR?0nmW_;_xBrK;u8>atcy1lsi-HI z5!?RzJWf*t=-lucP8IL1+6SC$eQ3Ug%n)-5{?x#uF|Ag{p|LEB#gXWDc(zjqh(IMn zRU8I&UPP}YFTQncKAfzcc`S+%infaFd)0XEUa+{)l@(vj%L7+$#9v0sJ)K1cJ8 zlOY@L*ecTX{V=>NZOuK>>PlT0Ug9u!UYakl^&JopzaWxi4fTO>4DK)(NmaRIw*IjfNRNrxLFY4RA(F4!$ZOU6AQ8wTy zIl#cV&oCY7lSJr6MEL}mF#qEH`B^`FCR>tu>0|z`l?^heihw~KYk0THcJa+Tb4X3)V0}xyL z$Id-pX}xt&J)?6zbnm(ChYx}B`x2ZgOYVcBu640(xuY}ZW_!IC1fO#f3Yb9P8AxKs zoU0O;306AtgCFy1tsK8ns?s;IW?kyD(f6;t@yasg;Je5*h?7C^FgPvIGTYz%nk6#7 zZhCxyruyJlnxW(@_-7yeb-S+|Wm9yBze7QsxFlQlp32f%!~9cKePk{-a>?F{;M3;Z z8+(*a)))T{YuJ4NiCfmDyUg?Lf6@xRkeh_wA=9LD>aBcXj;}q6?JLcoA9yW1JMs7a zKBwJ9aq+6hpw-&SdnVV#je=xjbf`mt8f8%F4gCqHIXI&9Sm}k_Vf@VZShiE`Q(cag z<;x_s?OX-!cXVg8;1Jz4vj)_xlbGjuA_lgH^{mrzRr8H8(v2+N&m3k$JxUJ~0=>}x zKA=PzWatZh(2vT#?GO_+@Dxj}p1U>BTDQzdHTXN} zb9w|Cuh+|mOmE8!<)FM+L&ocK#GzkZy4QjvD&M$zRIsFzSeM>5Wx13Ei_yzM!g z^5rAp&$WlgP&H!!CJolXgPG%Az%_Iur zjwUKJYz5tK<+uc;ZVVMdvfti1zW%nzzNnC`OF+Scp?bnfQ#N-ge#G?n`Md5y9I4NS zdqJ_GeaczT1D-~ucH-$(JwyMXsAu@_}mo0PKT*#pF@V@ zga|-fTVJPF{mhI|R-LqeEB~(U-u6Pfn22qI*hN{1BS|1zPe>%bCu6Q*z&5o2PNroQ zF5~7umUCYtJA*dg>cw@fmqng*XKJQm&?>*x&wE^!QH}V)mdmu@b$Dre8C@jZ%Gh~UhVBvg6Ue_SCyw33=JFW zn??rR*Yo@Krkb^4Rv4g-(!qvW{u!l74!OFsas}$+JU1ilO?P0nEmL$fOQg5{M~7oA=a}&X=lP;USG`qmrVvTQ$#tFGHUyd+}^` zze-;Sn1B_U3VR8`^41Mg#_ zd+gfO^*Fig7`wjk@YkR@`{SG6J4)Qgv}h6Pr}g*++^X@ie?33GPLg-+@*fv}`=TWd)T|=;v9#O6P|ip=7)}?KRM6`5#GDXF;aK5yLV%`w?OrC!E|C-wT@#L` z$ZX1cwEhVWu6=`MwR5$^8@G8BmGg=*#hsJAQBSm>#-&Ty7v$%gAfuKj^5E~!9|P|m zCqI<W{FX1G6k+VyWZnaU?$wK%RJxsO`%yBSh3y;G9u=;VIxsX=_E%*S| zFTZfjZ$#WdbzVAwQ)SBc2O}+rk=Go?tv@l1U&}?8@sX|OruGXFE&{%No;+$9zXp@p z9FAWP2mJ6A@+xIjyC&dA;RRk99=}$(wFxT>JgH|@1((3KDrWk}`=@#LQ@*MimBmQ1 zA-~PwOqEY7U^Z@ZGVeb4jMPX>A8*7j3sxIbs@N?mO}3tC{h6CzVCazZPdgk(W_&tb z?uXb}_~&b%2Wdt*XWo1u8$k~z?9Iu57f+`+xvoo>2q3%D8nM$B2aJJrr{(f*S1R0U zgJx2cD2zJ`KiBwX2q;mo1waYc`|UBHj2NTlQPIyezg|L;r54%Fsv(zfmR3RUa|xAd zUKOy&D5t}EHm_HVjY`(9BMP>XMKrJ9Nw3R^PG@-0 z5YWU%&aFW6utKJUSutyZAXV@7hDN?%vx2EGPx3YC)CNz-@j?+e7w`el$qQT{L0$o? zSQDuC>gB{EYs)YJP&)pXWgfrJ@^piR)0CjCO%lx>YJvBglm^f5!fh%t3uY!4OU4Mk zN#eZ-80M2d9uopx;fOtO3Dte?;VJ;B@r)r73t6Z0%-mVS#SfvhxJe)nzL@6N59;ie_PIQQ~zs`Ri8THCy=WJc`KG|lj@Be&H z#4MX&SHCICDTa=ghY-cx42gHOYVMWlj$xqc(sM;@uiNW4owL zZ3Z9s9efU+E6R(FYzYsSs6S!XS6ZJGea5~zc{TOhg@Q8UFqs9iv;6(ArM}yRV4Lz8 zDM#J#9Z|n!5D`|O^wxrVo&rG^E;IZS%{#g?v@B+OyWJqb^z80G6E*01IvTSQo|C`i zOnc3c&l7CDV(W!XkWh>9c0CJ|$cEGGNO`dO#>BE%<5JV0`=UaNJl z#lr8O5{ms#MGxhNto=RD{f4=hOI@0h_>MtXEOd@Y-c{0mo7#S2CN>ALk34x&i!iDT zb5DP$dOl2Q;HCQM+~o3pPtkY$e4<}3SFtb=?BhFC4BTU67A7`Cyy- zZA|$(o}YTWx_SC(kY8})wIz_YjyQ+#@bxd&Nag{)(NOwKXIGJbj4n)3-C2ohqEExU zyYmzMmqtKTu2jpiQfsfcz1ONp7D(Hf;7mIG{Usf(g!`+Tpm0vYP=+LbrBCkTqRS6BLjUPb-lW z{HWhQ`w`;PKn>`P0OJW04iipmbAyyRTU7nP!9e0a&g(b8hr$Ay(lGjaejsvtreFOM z$y_R4Bzrk~8GXdf9=;xmpAh;IpSR2M=^h*K9p|UIThen#d2aRik+%fO_7v1H zIyh(tGuRS^G#rkMv4w+%)RKDk6u|iHx z{ZuPuLqX^Y{bfZOk8#DofMUDwj-+*fV7@K;eYUlg(5dcD_2)G9g^pr;H9$GnUV|Ot z*SFH~+DJ_urQK!qh*5ztpCpwu;C^qvzTJNutgUhzRH@8nh5`?2x>4;(BbFJK`Yh08a_!m@9-^ zO9D0ne7gpn1b-ACpj%>$XiCmFXZL!O037{`q7qAPqY>q@)EF!Gxe*d)oz}_)dla(X z;AQ>i5dxuEuF9pgZo@#*G~cd}Dfb@XXB_6*!!IcOnjVazb>X*<4$do}Q4PrcRB7bq z3J<6Lrj;@}qTTi&1aG#>u(SD%b-*cbyGcaUv1TYhy>F7}5v&atZMEld^ca*_2ng(H=%DVRr73XUn2_5 zAAhH6(jpVfoNn)H^)pQ_QhZ5PLB8oeec@hO9y-1~j)he)AP*XQa>jZ>=}IYtf`F(+ zeh+hgT~8DV7p?VbXWUAzS~|dsl^kA1BS0;~!OFX?*0HrF^Y+B?wgy8WR(e;b9x&igOHlt@ zs9bf_S?u#(ZfdKJUpIBU5@WXu0pFsDer2W zTbtijTL#C4hNxO6<&b}e zcfD=6{*<%?{>*f`ZqMecY{UJ6CbgO!t{w2Q`K70NgGP`&^S`El>x|la{B3yg^~{%Z z`Ml3*MWpF=2$KS}5fZ$@#;hL}s{F%eT#PC=htu}b>pOSGLS+{2_kvUmgp^>X{(b9S zr*UdCxa$f8nG0KjStEOsLX|fiKV2}yIbApbDMt^;?&||r`RO6!b$27Y+pTZiKb>v4k)31x(k^rWuiY~l;HGc2Vbm|d&p5>WrK zUdqtQzgaF*auxXVC^NOs;a8wZ?LQ#NjZMs?ck;OXe{pr*(QNi9KS)46&~7@7{CoIXCC?SN_X6pU?Z` z^LoCXj|aduS8>Sbm%@@+#-E|!yTLyZZh4cp`je-CFn~^y}?HWR_oXy zgb}VJqr{A^hx1$}SDTK$_91R@7`0STPs{zcR3AAV-=TWWa!}A)`>cG2C&Q{{*K5AX z3boXUm05pv+DZw$FJn{Dp0qTT4Ep^((JCg2^3RE|XH0KsK?tL)s6m@HEeiF~MG zkhz-f>d0ulXaxl(?*_XYP~V#udJF;f=oy&I^eP=M3shu_Xl%FrEZw>a+}agIY_HEc zNU;&de}3K0Uv?oJh87fllEC5bcFcWqac+u*tVyPBw=WURw)!;dzXIjzl^Hm!P$rH0 zm5;RVla{_KdsONCX_i=cb6a+{!8XtKQb7LJ!r75Tx}3negrvpmj>HfPvB)sVShYZ% z5AsP#`E#k-wR<+}lUSo#D$XsA>H-#QDWDRD5wmoMo6Ic}n_PCr^Ic~Pv-{qwx9Ul? zU{8dy0wZNcykq5D1{3;|ZA5?iVpmW43Y-NaSowXj(m05t>Oa!Ti1TJ%osV6uB+^cs zeJXW-16*YXlG*MF*q(!xUL^1Bjm7Qj2N$JYoL%0#rUm&5OSykPo-VFd_sx6+R6zIy z?#ziy|cGJYl?@25q3ztWxi)UoRj({H;C?cL3IYf-;D9vOL~?AyqRJlhvp{n)(*6 zM2avWaPzwOn?P@pa~CVT+)UjBn}M^v3ceb>+mzc+Q94okqt*BlGP!3~meuU%c+s;v zTj!A)$0}B6#Th4#GQFkGqZnUrC*qfUE+aibFW;7}eIefU>uUPzamz|`#bV--CuHaI zvqO54sTom27XYV@LgK*fT9}liYkzH24!CFeXm@#^-T-&7K+9%vac`xdET!Vx1?+ZZ zxK~^^F0<9UEb^7i65*jR-ZvY?R4eDB$gj=6g7l&@=_^|)-ERA_w6y4~ysE7hH~WjA zUSreR)BU&7)?=_r-ZS5=jen3gzYPwsHxc4eQYNY?uRKKWfa;w`qiHxV$J1^y`dI(t zwN32>S;Pyn!XN#ZNrP95eJm(dTe)aC5X(Du&H z?LIK2yH66yFm(6ald13E6np?s>x$%T!i+S^FT{Sx#fnATp@PcM^vf-J{PkKPM%@iu zY)tzMDwm__DT~2H$3q(kq2V&m9xh!nraz3i)#UsZR@`#<%%Zj2PUXdt?%s13#aQzU zd;XUpQN=?rngS8aH-B#ht9%t1`j}`jN~AlzBZr>I2-{{o{wONcPm<|`$nDIoMeLr1 z`~A2ug^qz;12Kkbk}OSyo82VlLU)yK3+$N|9`F7#OmeGHs%Tk9* zmJ~>)V8#QoG2JMBp3ZGXlNsx(mpZNb=b2CUrnJn>m;9MtKu66nJ&Tj#?N6rhDhw=8 zu@~+BXHP*&jVMILYOJf~Gf)*w3To_!hM3~kT2yhgT!lY4R7$#taAjRrxRQ5$KX|l$ zeNlPLzZddDbtVW!aHL@~o41f76YBBWb%ob>^EEB^Pjf2Zb+XyM*n8H{*#fGR%n{X) zm%LLn{5(GEnN7Qsb3QoMMqM5Qvjw}x{I&m-815-^dYknh0`u+3L>y73dEn{%gG8kX zhxWS=UQ;cFT3f&U{l@1-Du!t}m(t=#n7F|i$V)3xo0ba#>CyaDR0dp)T@k9?xm0`n z0W#&IktoOGX#iXZ(s(n4c;<&LkRv|a%DqiZvreT&yitm3C{DFAJ*IjP=8=br$VF&g zk$Ld0=ZvMof|x4gRqh3O6wX*WVDEJy$ctWoR`Nkp=2JUan_->zjSuMb5`*^YeC`A* z{NQKG@-JpT2dWEA;g?lE0I77rT+r{=3=NPc_p?95TmW#O<;c`FN|}7XvN6 zx&!y=H+gKu;!j{M6YDknrSdP%Ln5rz>N5VhgR?)&voKPn9n!^tn8XmmWAq6JomB9B z|ICNt!r|z49ru`KLKHTZh!`v8Il`VPR-7$;=TiE${~6QSlBmNe|3thOn|c*xcKfea z|hS5AZAi3x1;T-sCFe& zc0yvAOUOCp9w=%B` zuV1?RD#82mwQIjJ1Fy)bzz^Z>PMc#4tg-S8G zEaE(@|Fx3VvqJW!LriFG8X2j$O}6-mnEd6GVtwF5|YgBvzv8B)ato0EwJ2hE@JJ%@Qv^6#{A&+NSZ3i*2$81=zDA z{$a)QEJoZn1xP4HO#KbrH}6qItS{$Bk}Ks95fXN=o51zi7>U!!fKlU5|IkQ~x+xTT z1d|0i{CQ~nS>9r&_>b6AIe-ULe%MkY>^a~vDu>`7*GTio6JczKHPgl_rTOQ{Sq4+h zY=yka`(xqZY;id;y$1xVf_Yc6n(V9bBX>hyetqkFR&*h?d&UfN{_@fvaL9Uzz3-og z`xjmvj&E;{KHX(OrZF$>(s0V2Qhb_^j3MK9_nzM1_y^7&yjd*;Jx(J%Rry!rI=o~s znVN%01U+96GuSa%avxy3XBXt^=>b#zTjUZdpDn+Xcr_z=hf3X$GtT@BJIHv|NC;kt zcg-eHUqtLE-2Qo#`MCWu==+WafhAI|i- zq&g_tX--1hPJ=k*X&OG=PTW{F3H*mUyf$aQWxa;B6;lf-6}y{e@SYuArHDTiod+I{ zK4-#?-+=+iDo;S%sQ=SPx}|UTy4*ysQk}~{CacV=mO7)#ZkK%m*B}PR>ltpP`W42v zdp!wGlm1E~D1SW>G+2jXF0{Cw<}Xs@Fh=64MRot=Mx}0B1dD1rQM^T*o;2F3A%l-Z z2CB+jYbOK!riJUS&clEL9$5Lgb+7NLMeKgX=j|S)bDO4sNhT6dnf}m!og~kz*%M@g zuNB;M+eKP)g<#=qJr>$Z&MD|RGT_*lZ*$u4@4@Cb0o#oLj{%a58ebKNqozt7(}eZ~ zeivD2%PayetHw;0mCc$uZC5P0ZOxb=cvO+E%w}GZ>27|K3tT}0Oj`G=FOmh_R5<=w z?W-8|j8LZ$Wfk?&7&+Q$6(~X`erY{GhSXK60ClzdJUMkfx16#Og&h0bLQ7>xvddgw z!{^Uz>KsQ@+zdiM5h}FWe>2G-IbrZ#S<1BDsVvV(y<+vJaGe|0x^lEO#7S?GuWDgA zHWjGJ|NGzX`Zf*y=V>Yv+__CB+ob_l>pVJnG1{$;A*6918lUJ4_h{DmDvQ`pyd82B z)kzz(@%H+ZA^lv@mG_AOQXKyYLrH@;8Ms z@Ax^ie_haUTfAmA2eS9zLv)TZbiwZe>Mjcl98arCe(8PZ@j!K{By^yPV8IzSm{omF zCjh9~IWXYQ(oTkcAiQ_G-{5sN;06F{?Gqdh^E2vcpTK5Tc>&=kNRZ>XkE-65rov42 zVCCJJV8i~0X9d4)$YsJWf5Lx&2`7!DUqd|HAc&2h$EOQ_YtJ7G4M9M z@L9$F92fMbz;?~7z*9P+ujuwdSHL2d`MK#K;~xqxQcpiW6vSOi_~9vJiolYw-&%}5 z(3*#8Rkh*k&8n^=&A{h2dS&{%p6fRTrDeuG7s0tTr4X<_k}c2#xfk>fxfiO7WDDNe z@0(C#{&Oq5DLu8M)eG9y(+j#1**`)WT>rSNSu-#{@{B0uFFO;W_1Un3c;g0!bbUr} znBS{knqG_L_>snpJGo71LsZsI#vahJx|X);D(LZ~=pgBNw|5I&CN69Dks><{O%+MN z-}4g7KbSO3O8g{(%Tvt*^!UZ6>04^_c$#aFrFwb`l<*0Q9;S_UtOP|{dZdjKNEjLudNrChf26T~DYh{>-)YomK^~T6j{rZ;DS%342 z@%KLX&^#P?y2^J_pFSJla|SMBI%TXdaGKPD(DQC_ga#X|exx|)V+x!#moH#DJtPvH z(g5Z;Ldwf`_bW54E>?pQ0u-~>TqvPjyAU{flFVtnx=437z}<1bq{xzEpK;&5_FG%z zKV*eWZV36%aT0DdwKUL0>-wNbZ&#}+i%>uC6ZCiR9si7Yf)b_5c`B`TcP< z^`@y);aDC8n_gZ|EQ?IwX_}qSfN9VE;=l;Bo$Lge+Ps-}dk*sd>OC4S7MH3clo-Il8w}L3O3Jgs9guay5;7wU}HC^=w^cHhNg^Msw zEw9lg27q#DNj&&OmCa7OW%kae2dQ=RW=OA6L3{zfSi!asvDewQs5U=leVoDE$F_sZ zVbZWKFU%G}>QF$nn}Wszj!2|n&rud>AwQO0*sLV;NH_e+n-kLlVpDd@?$5UJb^Ls6) zCQ2pXMBjV`nG|CXU;4}0<%+q<{oSXcar#qFEIXF8GR=JDO?NY`0o>yxb7jvfHhY|} z+ohtt%!qfhHBEKBcQI*Fy;KP=_3I@~dhx-(p1_vj6%`%fSitQ->xw)1?YYycK{o;I z$#8<7ZjmV~vO>#1EkhW2L4-1Bj^3T;b58?VpHA{!CTZZi_PhQ1KOFzLiYvWndyc2R zj9WaqHY%Lk9-W$V$%6D1Oa-;>odPDZ^L!}XWFD}c<+I6f25?4uwn9LNmg`J2n|ORb zNU)Fx8#uj=_1TRHlwU$voX;1bOD9#WoCe1=<@ zN46H`@JQX~n^?sZ&?v{Us&0~%%0PFtcc9d{fG2LMeTt>(4e;#ts9iBu)?3SPh2jtr^PL^Zx14W$_1l0} zBDAD=0ABGqJ_TuoFuv-+*(cRIz#M6}`GaSGPW71bNt(PEs%+$imuQLElx$!lo);M3 zmR{8nU-Eq)(WsN%k4A4gW3!>nD} zriwa`*T7Po;_xI$4P+$a;-)oo78lF+XNY%FlQsxvVx$+;HTm%90sk5en_hhj=>QAq zWi$&`2CxrgCtsLq^s(RmA`u=!zh=L^C5Q_Ad_(NCDN@FaVw>%q7T**?FCExGag$G5 z(#ZGudrfA8Fc;F-Ea~#tgPH>jpkHs4Sp#A)Q^ck_e7|QrhamIHozk-H=nHX7YpN*T z)f+X+x5{nbFe;@4iWQXwv14!v&1W|%kS-@@a8IO=`#Al_Vwe~Cj*>zw{W06BC?0JM$Rwl_sV?1o+J!&2ep z&>iBJaT=XLJ$^!^V_20qx2a7X`3PQo&GQC}y$~tVwLSZgC^N>BZ^mkW;OeOckPQbA z?;_cJj)BGNzV?gl#jGln*1!RuUSo)jO^8$(K;Jdt1qdyuH<-`a3@iaCwUj7IGnWL6 zuRD(C$4cNZvuZT;;Kb zs{}g_6NOMque4VGKY>VmCV*68`c_7#uj`cs~0i@ z9!H`^%8)THyG}0R5jQI=&y|>D9FIqfFqh-%KB8t6KasCRB;F|LOwuc!8 zH-17jGGak%Lw*xE8=M&IuB~4XXVBx-WgJ*4M}mlRyRJzs25sPdkyhq^cJtSyM4JP` z2hy)!k1}{R@|Hqbduw|Yo@S!W`aqj~brZ-PknfzI1Fu_{A zF0PG@;d2%K>R%*X7oJFvk$j$qx-ajLxrqPnW;9s-_j7CE!(Pu<8awp{&>fE--T?Zi z52s1y7ySRdoVj6N@rz+BVVBo)J@N!RfBNu=ce+4gt)9Bw4%1u$r0n?3Ui>Ger?yye z<285KyROy<_wj4BJrdbUI90{XaEf0ODCR3UO?o}c{IB|?If<1X27el^4q8gK#pl8Y zGQ+fsFCRm1I*3jpUe0zVhT~a)!Tz%=0Xw`nkFf61i0jC|Lf}kcQP$~I`9LyxRXo|X z+*0jrx6Jh&L1?bS2KAg2Vsj_+#&S`OYheCWZ6`Bzq#VsK#k@YGxsGh)-dCWM)19;F zD?6aTLm$P~r&t&~C?lhH8XFY1=WzsusiAlmJ#rS;lcp70#YC6pw_`q2pK89Ez7#Zb zbtQ}Fy(4nWbC^@kEa?@6wUe{zdC8b{51w!WgJgu z3?VJo73nSNFj3}Q+os)BT+HciAF|Xr%*zV`%_GW+_@ZSMf8d#C{6kT)diK(9jVy8E zlRs(B#j9_&u!|eFEUJ_F1fEzG-9XSun_+qUrLRoA?;Jv={M&A%i8M+K^S!U-rh>&F zZ6x!na|8Kxd>N&uecH#YDByQf#K~&I?9*Q2Ab1+^1=j0PrzEL7%TEhu&0az3oO3>T zofzmMOIY3=bt1drY!ASRIH?qPgN0K6^rK@;CAB=DVeLMrN~TnU+Pw```+JmZ!{Q^G zL~$`6P5d-6m9X2>t85HVgL&77k)x%2~L- zb$5?>5E$`!u+?gZdj4yKP-slJ*k!v+i?{zB6sAI=yp;JtNGCO0(WBm#DuSD_kyK09 zk!K79pJ>1jpv;-=WYLS{EQFF9(G80(}S*< zH4wv)5YW0SlArn-Ot7~zSGsR@ydg1J()^Uvqle|v)EZ>kb6;;~{Blrp+SoUy@M8rY zn+QCRTrB*pv+)}3PAeHkpmkVEUbf6g>G6-u9CqqH$ifUfy?-`s(*fv^&J3sAX1^TM zm=tr$5950{7sT$f_vMn=HP+SNba?FEb_ZTu#9lhLb+)~VQk5}+ zpEib|9MudP3>Kat>^J$fS1mfd%J)oocg3En&F_eFP#T4pQD5+z!Gu=hlEwhwnOiO| zuX8p8Ue7*48_k@<+07HQE)jzFJ&#MgRk#Q6RoC47e9qAjEj`ssK|L?p=)MK@|6m3t*&gf`B zV(0%x4L9>+Dg0MKt9c{u1Q;o2658aJ5)ldGmN@nR%EkxhuSrnYxLY}9oD@TP0(~NL zVi8No$x;b>+6^|kQ{uKFd$DIdn;_x!XK=~dzu zup+7NlhQgY;Fq-Z`m~_$hUX`gT!4)Doh0~aJ}7pT!60V@x0PBVp-fBY25I*5}B zYy#WSFYf2Xj=i00!qxB2HYe$CjRMlSLloy~FMi+Z#Nnltq0cxqQcU`2IHxGcTs3Q$ zlLAOWcF;4up4+k#1JQg|44d2SnTf25!ODDAhIWF4k>vm>kMJ>T<-Fs*@$JGd0Pc{n zW0j0I723cb+JF|H@JDSH7b}lQLi21w=arLQ=pE+wL4;+sGrW>6?5T)CX65WaXwTid zD%#@F+O%o~-dp3`F2DX%wo$U>y}wnUMtO-6wLnyjfhF2#i1F5VzCrq3hh!;P&;7wW zE2=RvjO>9Hi)xf=*SeksLn-=O+6CjWekcZ-@~*9dgy%CsM+>*2idBmE`J6v#;tU>PdZJu)X9r2LUu9{(c%R!vMowR{BTbUNnepkQH@(>L zSpip~+?H!~^-JY4W}5lpcVs($M6T|>Z(nvs*L)(){v9lbP!^89%AORAjGqKA_e4W# z6jTbFeyBT`3A3zfRDMyW8197+FmzdB9S;4*3L|UjB5NbJAWbHY9L?jC^8duY4>0Ik z&O3^!qh2+R3B%4SQ_V_LinPZDm8-rKyi%w5=QN4vQlsHDvI6B%9ddRJ7P&$w8`BV>(FJJWMgt97X#KIhtU9Ic$uKMGYVt)q8vnIm8W<=B@T zYJoQOX!t;|My8=M%}czUkW1w03jZ*Hi|XnTlV_xfUzyy+5~g9Zcs3X&uQ3&1z9ne; zEr4o4eWyysAHf=-y46kDdGe{lOK~c+Xu_uPc=&6!-f^RWxBR!{)PY$;E}99Qv#tKp ziy5hn_eORTQVUnQHXI*<)A;XX#~fUU`$In;b=-F=McF-=@TwF3m^xwieZqF{Da1|4 z&`5rml!jAi4@eYe5|AR8VwnW4Z^tqU#KBj407Xd$Vuk;%iszbZ~*oZ%VSt;`P zcsEecC&Cu0MSAsZ0e1U{bBIFI+b7L`<*OujgEtt^@}v#KWK2K)O-{Ke5<#o|F2MnD z)xd_Hr+1XZgP_IA&o~@@yz6t~F!)xa!=*MU9EZvu&NjZ4E@&w`%{F~fTFO~R=va1A zTuK?qfiAEQ>>mU(qrO1vpf>iKWzm(3O58O0$7fn9LGeeV-d|fg;$tdbR5}Ld8>$p& zxE&v?3oBZY)1^P4_*^MFuoHBPkbu-H8!wn#+1hYr$^r`D%G^S;`*%0!Hko`#u*ale zm*5vOM}5f>sRvoQTM)tT9i*4ykD>KpFod-YIS|%SBn*VKpCvEN9ROL_+!e#jh4k@m zXd0ATL!=*J_&DM4U48mX-rb4m)rfW=l$`u1i^1m%Mf1NPItcT~SYwNh?dmJ4Btbm|MC|3$^dNzg`;5 zY_!<7X;7pOm480BEi5F36p(_{)cxr1p>Q<>VSU$oqBL~J&uS02d$RT@I+J#-9u>5H z@G~idD@oaPGn+Mb>~4=0DwiFnjcu=Ux=pJ2xGXT-_#O?-_pTQVR#kleA`)VDpK8^w z$1DNw0i*!?1s^iM34SqPE5_v}CIF;r(=eU_%a^~wBRJDUjU*=(e<{)MD%)Q0alSEg zyy&%7Ud|!S{nKmTl4qLhjolw^Q?R>nu75-9l`^)zk!!#tAx;rcVI1$#uf2Kw6G!H< z8z2~ia4Xm7XwDF{LDEd0egG8#nWfCekLXuK#zip)#=AaVDUy~SlU^u0d+!_uHcE$T z!6zK@CHTbGcfPTejT&>i^)nnnfsuy|@2^0Sm5X#1dx zgqmtE@~S_XKUw~&%A=FQ1GNj4iJpqgPi z%*{Me9?QDHw0xt@+Kd!jHZ#_OxYunq?pcF^>PL!_k3@vcW`(04ba`5> zF+-Z(Iu@v9P4<;?XDe^LzF17Lr|;^`=CoFY#S4R>FFsY+*gKijqd4@uA_-8`o_rnN;h?z9(78Jg_ZL&QMgoZ*0DZ z7}>l7#pkvuHnUW{b`0)aT`lt$u_5$dRT_xqjcVsqSvg^4v99;C`EV^b|Dw$C&_FhO z{o>4n5!?mt#=zx#U%83>qmJ77YQ;9}kG=GZrHks1GkSdkH7R^0NZm5)9?}^US-T#} zUZp-dzhZ>NFn{~m!jNGQg<+JlQA!d?{+|t2>{Y_TKE4hCC%1g6sIZMJYKkl>BG*W>T#ByWfhBCNy;CuJ-lz>s z8QU@$D-tpb+#hN>rvL%eTv#Vy2emz$R8F7B_Z5M#z-gx!EmMMk0xxK^S(Ny1^v@(E zM!+_uzmt%M1PBm6-Be<=-Gf(>S!k3#!H4)ck()WLD4zw(ar6sTcC`Xsei#Qg z>)50pkGP0G=xTqa)T=jjQ^+E;C+u)M336+kL^gcciApZ2-3<;AR84J*zfq=x0*p>KifOCHhx53{zTegjeXf2ur z7p8f~h$Tswffl75k?Uu7fai1%3z2T7b}{?&5LYLA!OG}x55+5%$HrO78>jKp!@**N z!c61;7QjoQ%g)mk2*gRy@B#@Py%Zp-VJ>g_w@w?`SB3-Jb){cSl2U^EO9#RFXs^#? z5gQ~NJ&4$sx&GZFZCee6d$u3!u-lzp-#G!k#BqYMf79+NNxRm%4^y`@n-QKVWYC!| z{kfH3i8%pBx5=nv7?jf65iYb0q$}i})K-o%2QnnBEq*=e6K>c)q*CfHa$$VSzdLt% zZviz3e}R{UZS!4^Ui6?X<-V6KHj>>Z8foX|e7{IGlD6OM_=>cy3n5d2ZS}dCZr=5PsLjRiaRH%Grkfr;yM~C*u)SO7mW2(S1Ga9(zDWhKS9=BexJr-i#kZJye zr@uDvx^XOU2?@}xMbJBn__fU{K=nHL*Gr7U*LgtK0k~F^@*3K5XW}wkgkAOoFS4vm zt11z{xUrz_9_)9lQEG-Wg@l|kO5=`ccyKMT@RreDL5=P6F^%FeRsQV?DiRFbVH@d@ zHZk;1HU30W#_AkU zo}w`X^M{DTQtLF0ekr$IZG!?HJ-wswYez||il(YRq5u`sNfj6R%hJG3+Y;lfLLQt6 zqcpox^J~&0J2CznZwE-|$RzVo@P3HsR7!Xqt{iezaT_n|SMFfi3qRzP-(vg8nm!oD}ALfNlEAFV| zme_?C#HeP$WX2|+gR-6z40}`bicU0adZfc$>(kX73uA_aB+|3n_Ho2>iUgxK1{3)pNu-mv}~Ye?lAI^s2Q2k4$4FxxX^ ziX|p>3nE~V3kxmYkT=uS#F9{a8D<84_kD%I+-2L)?q6=F=WGd~+tUV2C|t2R@Lx>|c1~AOHX~&4?2(zcTcFYqVyc z(YX!s88{wt_cgd^Hn~IM%C^#@i6OWijb}sd^10z2uRq1k=R}6TyMuO8=ZE2ze2ZW4 zRk*c>n+smO3mC%J?u&s1>;_F6KdqUBKANn)BHnlC?EkGqV32#V;(uUR)oT)J*;#8x zXh0wZlO{yq`zCoZNaGKa?RV=VJz{3^+rmbCmv@f-BpBwnP5QMl| zKOO(M`6j)=`B#rptlx0rc#7J1%gNC^pBWsySN%(e418+nYer-M)tNTSTc)o{&Z?9&{p~sE@jLDe zJbhZ$OwL@rk&f`0+ev%+6SrX%kOr*_!AA?36|zkZQqF~I`wp;Wu*?lWrMBUDQiy<1 zJe7pZUVY7IWO;yU0lWL(*dHmgFE_$MLsqlZnq3rs0XD~eak+dqE_O>D)|_$++8hOH z_^a>#OB}Jkxbkf10YX9BiL8xpet^KNri8;Xn=9+9rSfG>83c(2Ge>RszOvz^1ke+Y zy>&?d!J}i+845In%Mjg1dy_gR+108FtgQO_-Q zZkJ4jQ<#5}y?cjVH2?SEA9wPD%yZ=tu~nv3X1}B7mm~HsE-23g4rx5K5{Avi_FcKY z;3OI+H^dizh$bN9F5)jES$Z3?Oa_1*i;3tkJDG46h8L^ycv60!OKSgZDj4_QB=`Om zIu+N@cZ!zrOJ^~oA1VJONGJBm^?v>Ey?oM3U1NXUdVL&!hp(+_JA{(+wkfw{_zfJE z!4r<}kPMMqS3IRu6T9!}#0ik@ zdlx?0o!vRvq+1cx{9N)GNuY^M8!?t z=q<{lbY#{0U3FF~-~Xle0AAcQ?8+owKD{Y=6m=TQB%<9KeC|EK2irWIb2HeRZ(O-m zDQ&bIQQ8b7Yx&tWo8n|HK08vRSH7k$LOQN1Lfl4V&-a@^Gp@}Fe%3?3<{Le`|FbD= z45rB&2`!YCr@84IM6v1Y4u=cBux#r|yNBzx*jgFV9x%$#t=@`ix_~~Sq@Ss^)~>vX zw;H;&$*c{g=rkU!>F0Vz=+@XM)9&k<`02xS`f53GEbMPI71ZUkBMx2+vpcAXa;0dJ znX7N^)``0TDkJro~&wSJG`@Vd|A9jVfm8Fw7$-YG|0ZD3P7XU9bF)byKT4Qxu2lIF5 z&FfQqF0KceL++=Y)r9h$cYp;j(YFE1rO~GwK_i$Vnq%%J9^5(wXxf}}jTI!Mxhm-qH zd0 zd)M)Z2kTByP?kKLA%hm1*cyDpFzk9#pi&GPL;Y&5U8r~zp~8i*3P{E%bP{ae@dp~w zi&_7E>8vhTI8-d>_gFjn7f13+2}@IT`cjTBVSz)UqF+mBlxJ&(4r9NyyVve|)S%%T zR~s;&l|cGZA9?>MSCnT+I!|c*#7yo_>}b zFVV}F6)Eo+_cUDooanC&CFlhRp-bk)V=GsqPco?NDG*2NdV81WuQqTpH^VzH*$mn2 zYm%l^-qchtX1?Ko=A~yrw$f*-LZzcYF%taZg}yR-li&G*%nRFKLC1hdfDNKlyMPyS z0<}8s_+>6)-7LJGaEuh)>MYR;%(c~78!&%s&TS)ZOUJWnv1zs-T2j;QdpMMV}k*bAd3r{a{s+wSI?|rNAjog3!35H@yLsujE@h zC#`KeJ1WxP8rK`**ba%@J!eti%7eyQ8w1yESa`GpXED0!D8BsZM+YG%(Y0aAlO%^I z#GLbMx1s#`w4Eg175wg{`mj$_MI)$|+n}(VM^i9$uflXsKzbD0 zoSXR7z!ob-WX~$UjR5Uf6Z)3Bz@2<@Ro;)}NgYcSVxtxNu>K*3yvoLXBP_y^PJ2}8 zd#OF@7f)v1@4fz8B=dI`6~CmqaH~%T8OKx%6>bUWh4SRAeeO`H{>?s=Q=BNqu#1i_Pc`>(ZqA)6+D56t{| zTGDF;GtXH<|E4A2!&^b>$)bs_@O^#SQoG>ZGdE~uK=L|V*eulDzJ03`KTKgu#>=?- zh5s*mZb=MPu%UTU!H2?ffSq2oNw@bt9LF;!G?Tn)GZ#AY`cDde`n&r@!RR%!4yu@i z(Q6acf-AM}`_+FLY#X0?_fOis80YfOkC!|?r4#*LAU4Q9bGe8;{(MkBdKpRf%7)O4 zg6ehx#iPKJx6)G^V}L%vnyQ%h%~j1~_bB?uP?HYZ^Zh1#wO%dWakYyH8Y_Nc;V)EN z&p5*F97X9o-RJ@$+=K3(N{ikK5d;@fl@*w1Jph`h8PqA*r$QZ1XYE}jCNLxR!|r|M zj>a^qpmEoxqqc_uFw9q9rIIKZvDdIhxsbHM1_{hh-V3;3TXV|N zNOPRKuKc+~-%8bHh3*VCys7!qzdU?@u>j|HG^O@^Ud8-5{E>cLYi*U~HMyIE`TMf@ z0?%gjsC)tYn7kUhr^NES+nRpqWxY88XZf3+?QB&sGkn}qapZ35?<2ySOe)Y^G&#T_ zy9WJ{?V@7gheCjxNT7Gos4RFRSC}C1(MWcS;{J!i6Z;ADkj6?*Z6*KHkc-+GOgP|a z{L@s3&^ftyh1047l2y<&Ui#+qAv#S@yw+N`lEsfJ72***6|yeexGril;M~@?j4QFM z-E(xXxo}AU$~=jk+>op=JN;-*=pQ=7HR-iY$(_>)24V%;yXp2iVwpBZj3ZwF*+#cWU z>2(z{W5T||kX3}&5wwN(b{w6twcmAuAa44Y2q{q?nyUl2uRisT1Mm!3tju2x7gsNv zdLPK>>FrUbIa=yhrb{{(1$+H#4q5}hRy)mzhFHpUr21d=BU3?Fz)p6pFHH$H z%cSZVKFXQL4p?oI6*n7L+A%-$9V*K(My-yLpRuZ^JiX+ynElePDHwh@C5W1vS&bZS ze0}sBKd{^KFD^od%RDPM4k10U@s!ialQMltI!Wy})#s@Z^K4*YGk?~et0!#lW)s{S zx&-%who%bF^{-~m-0sTDjBFDqX&ludiemT#o9+ls{V3B}Rn2|HZ|89lUtU+sV-uZB zIaQ)lsE_(^dlEU%P%~mEJ3sMD(hcg5^u-0R4{Z0vi5(MA?MBi)mtnkqR3yxnjPf7J z>IwfwXE4m^g^4VENk!U{>Pb)~3I;E<%x)MBTGf-!uu$>nm;S7Q46J{~H^A>4-IF16 zHGM~ahcYBMf7mLxIsIUFy2k2>)8GBu$L?28kXyfB()||O3yHbj$D1bY#6R7yiZt58 zIeT1I-v5-y6{HhMYfNeL+xn@*&CJmHiNbnZCB@@KJ>2R?vT`!F=}S(l)FxQ6N{g-> zF`BnnGJRnwlh1VIp0%U3ZjaT~2lOlW-k$w-&l%Ttjz4ah2kT0(fS;&PNk>|%o$Bl) zIZFXrz~MF05Vh|6wBtlnQaMqMQu!AW=%Ua2bQ643v*3uUyKR!EZ+FPrKOv%6`6;Y* zCi!bhhKMN#clbTiT9R;aE&WN)DFf-UZ#HH&eaWj{`@?vIcXC8)MN7Q8xZ$&I-wvg< z)l1xli;uV5w0&1(bES^j(xus;eSMdHw2i%|09NGuDjLk}5^s43^gQ@oEMGch^+iP* zpPZE81~6RRY`|Qge=q`k?_|OszcSPu$^cA_6{ueQPlImL|Nk2T{*Mdnz30GF*o)Yo zGIA8X!rqGEd-bHEdo2Akg{*c;@-zD5qO*}vxy|#>yQXQM&yILw&t#)A0?j9nZle#rFJ|kHTb>Kd)sn{w zZr-AEFx%NL9c;P;E7HUb5}&tfPcLfSXO>a6kU{7eTIc^wQQ&>Wqhj%Zj>j4EAtL0k z;4eO2bGkQ$6pY8M<-}U#(TZAp`@24teQ*2w3AdDqg@r|^QQUn{zWu<1zrS{1ZEEm5 z_0phYqY%0}0~w|AiJGt7ua({zHd9YV{bZ>u{>t&K-tWP)Um~K8&4G&9+NqmfOw@Je zXoX6B>vunc*qfajuGn2{Qlt3CethLpiIR zVt(mY`Y1`H02j{y*hHVT(A$03MGP7r&sOEf2`?)m0T#4m9W}i#-g`nd>mW=Vb$oa= zASuxh-0Mk^Ci9LXDCPL^XD(*GaX_ZnQdN69mHTV&6nXtQvGaku zrz~7sa*J+`-bs?eodJYRd#;AU4C}N-_xr)r*AY-Q`<4Wehk|sOFMkG|F~A%aUn{>6_oVx3He>m^SNof_*+LK25&Eie9ADz3g-W-~9r_5|+w&KbA z@IPOlEi63w`YHcgzPI0hpQ-lt5sJ^mFG-}k_D#_tP@&8KhKZmX912%jd+rRigVy@) z*M(R>30#!Qc$;&q!_;yTZvqIJ@l+Hd|!PZa3 zbJ7jJD*{%py`;4425AU;yT5DK4y>l?@Hn`Wk)iR8g61$=@|B8xd=gB9Z3rzRlYHnG znLVu9+g2Y-O7hiQIU9;cbXjr2FVCgU!@bwD8$^z79)cyF4@m}gyNeokQmI~8@$0lP zxl6u5HxY;TSddmZpk*w+L|-ivI#s%TX~Z?%d`FX`p>6+*qq7ccvVGt9>x+U)Dk{ws zUInGQhl+sYK%`T;J4dM~sFX;zG>jNMYD1iabjJpxYcNJ^3`%XrUHjaZM@iYonDC^B(fmOd`R zSk~=x_(io$I>S3r7q-Y#ctCLgI3u^1Rz@ItM@FMJ%;!ivB~jUF|FbVjeqBOfCl+4} zsJ`EMbhn@5H%VGFw7P+3Hy2%Nj7Y)taz$(*c^A)a({RFi9aC|%~fBoX7+DlKRPrb-mzy%|M z2}7guobL8=P%E72d|*N#QG)+^x|90Qad6xyINso1+(D}khH?IT>;!=GR`)!?TJb~s zBbFGcWf<`H)z810>eXcobI!y4G;4(rk%I17z6uY>oO_luH>+YZD zj84~VV$HQw+yJugm*2@MwNL>zJ@*(#8)}fG8(w>Sf(uRryWd;%MO#!@Mhs|HvFqT+ z{`_!l?tw&3!H^B{Lo&_F){qa)Nc8Vj`LIWzBAU&gjGX~KxPm6n-7e>t)BQL##^zrN zNEI5Y=udqT;*A4K@17E(yW`|K!dj5rbXr%Nm7FhcY*i?Smh>?v)c`V$S;rVGq(+Ii z7@}=C&spKtk#U#d;0@fbDJsj$pZpvuUPsyC)Q3!4t@`(J>=KbVPQyGb@7xQzYEwAm zqWm7$)Vkxv;mUjAGscl;`(B=)fS{sw!{FENU^4R&w(YBitG9jreqEt|x~dZPg)#CI zlf37d)+fE>%Qwqwf0NCcTvOHJ*-KtTQM+u77O>0t+J~JB{JDdaa@~3u&klU6@?^vi zYN$RR|7bz_^5?~owCkU(I?T~Kl@;AfWo~tovuK89IS-%1MmAK-&QG9}bi%^f8#~(= z*0WhZr$(|!!uY;VvZQO@^LS4AS+c{coKuR-%9mwcN!#*NW!K_Tp`6ki=m*Z{r3c`4 z5*l1Ss*-o-akOs_^l_L%!QC|7t*6CKO`Wu#J;k15K9BSCN9443*oe9O`*=9hM2>w! zFS$ZT(!2XPeYTXgN;KWrmk3_TkS%*1cA$obLgUmxiN{F7Z@b~^&!*cl$ileFnt^u6 z{?hNfH;+O+a_oEuZW3RdN~rt`H(6GlV$)NPGNw1mR`tjchxn~gb$+(BF^lCdyesio zFPfcg(%GK3S6MO-ZNetc;dM>q_t2x1eBlq{hFWD0R?VFqC$)v-YF|kdI+kDdUqGZw zvo_=CFK(4+#9bF0G2ZEb2urj(@71)Lbwt*cdS+vDYErn2ru_tIBER}v?@1xhf2&D5 zF8G(R!fex(9S*)K%5g?b2U7$+l^riS=D&-m%@p|pSeughq3P6)H7HqH=gnmkB^4HF z1zi+lSA8ns_wVEW%-3Hv+3`Ji#7F)^txn~wsJm>Fr2!l|9CA9ivnJ!V#EB4b&Hj>_ zeZ1_$CNWUP;{vP7{j9biY)wT`DZ~fbb5(eW*A#^g2-d;^+OSw{-@ppzUN1VP^m=>Zi?Muqp78f1fec%h-yNPB6Zd zq8NIW^K+D8(w+%lfJAdSL~_pG!1L` zsN=l~58aOWZHK0;nf|{I(sp4X4SvyNp`9{FE(AOGaRh7!;;80a>pL~uFd!ioq`ZDB zR+~1d4Fn2K>`NgHYwY9}a?#{yx#2K%wg(}%1DcMzvK20J>K=lxGGzQbh94 zyeE<4rpaxLwE_MuY6)!BNku|E2YZQ}O8ucgM{WK?it-(*Qv*kffK!?7I|Z`+(~~|( z8n@qlkJy#wFw6JPrX0{A0Sku>WTCjp{W|7hR@0T92Ux8fMl^yxl?$LokiW z@&qhYUrjk;kUzeec!dEw?7CL#_3K;*+k}gw&iTIa=(ohYtdGVwMM7rjNG86YL)`gi zG$YKXsnM=lq}SAnDu%&0N|*As{+-Nyk5R(_G|_PJ1=2Rp;K{6{{r)djcU0rqcc7H^ z%QuZ{g_0)%$kHzoSY_L~Xc-TvK0s!=PdB$Z0{L>)F^L@A+p@vb*xczia=IpiAnjEq z387rpdh>(x;b$S8iGZ>sX2BmdiIiywTus`uBFRI+#lG{J6}O#`*9vrM-SG~XCv?bD zNvb}?Jl=hFJ~m~d{Ii<0b7>O5)P35Fx1D`R&kdF@T%$za2 zxjks&Ts2wJ4XxdjfzotwEhbLWPSewM;Btq~H5_5oAPy;=>d+=52%|x3ki22cTUY7M zOtmVGW$%*&#UR-tY-GxLj4LA)m(!?gDyMq2tvCKXLPgSZR91%#s7ghq(>nLq7@BESUDiDPtBHzuTnr-;_(0aZymWU>l1-fG61n?G@B15{7Fp4TR*)bV&ml^0 z@8$k<-e^|n2nVU$0`1F=aBX>jGcW#p_CS7fD}V6fm1#SeL7R)a&XbK&^cgUvptLyF zLchu>J&cwZ$zAn1PHEM0TsTBbC7a6?z#>8l25HIOeKA>W0T3icPGM8Fsz$0J?M+hy z;$1b;=by7XQww>H|MfXn$QW^NF)9FaiTEt5!FAYAo$H(bPI~LA%%sz+NqP_eH*a+f87ex7%98c7eLS2pin>Njhf6*D(A450>=yl07%g{HBM!4zAaZyCjD6K2oM zbKSXx-$d4;$REQeLYXmszNGFmcg${X*&PnG@~&Fyev`*Vcgvar$8>AQHeR86T&Bv+ zd1pWZEH#r5kIH$u(->ahB<;FNIMTw&T6JNz;VlffPyaN0HIXR|bFo+5e;Q%d1TofG z<$z74B!o*j{;cM@Fx!MK)J=boo$k6ciL=Y4Futn2V?mX@G}6YFKiz%Ogs4n(UTbWP zu2!M9qnDsJ51S8gp{G%&=h$7Ttz(|@^ZX?5eqt5Q@R}CHq3mxcA83TCwd;JMoB04K z5q~Ac$!en>u}iz8QP%*A_w-q&+=oaIdHc_|rLfiY^z!8{WmfFAXB@Tna{SgmBKO}O z%lS`>(|NE;ou6psI3@ zWcZwlU!nKommKaA3(T;OWNf6UDIlFht26|VRovW0QAx}_=uMM&PJ7($Xm=h*Pm}22 z&KR29L(T|`G9ix)KI%+}yKk~kS`?EHFjqRc4(cK?W?wN{VTUv(mK1*M5@?dEeZb*yCC zZ4XgyL@3a>DOERFMO-=r9XXBvt1(q<%G|3ExV89VTUi$%gLkG}!)G*v=?3h~4jm-u zgi1}(65WN4L)4u$qPpPkCb{PDddse#rGEDQqr)!s_aK3B27J<1!#I1h^mh^Efssl~ z0v)C6y!N6+0-XzaQ~9lWRT}Scwb%Ie@4}but-gH3KMkw^vS?$>vP8(|HCM%|y3$wL z`ib|I2%0eC8oTzyqxn1ydE}|~ltjhCNuX|iC9be}_{-SX3sB@(Aj$*xI!CGwQ+^Z; z9wHnI$2&@mHw?vD7jyN!{UrdbNFT~qjF$g7~FJGiNSmd`OO_CJrOkM7RqA#?rDeY=I`Us!^GrDBbXVx zr`uUdoq=RTV>M_QA0BqD-2Kpq(W+J>ygAS4tdABVWQ+JSNZ(%$6-zWuHO#U1x>F&WJS&#rPjNdB*$HDPYCQRbZ15D)F z7rF;=oOWmLo7`sPDesm2ZgRo8V=g~4u_vD}0ajGPPV#A4(FJSUDKc|a98pW2te@uW zn7y=Vqoes9^AdqjXvBH{(<0#b(w)SsG-{aQ4`~qA6Gz@i_j*|p{K1^3^)YgR>4wm6 ziL+M~cQ<0Q@9I**`o%(qUni5jO&lcop}sqJ`|G>BciZiTS(hr*>b4J9{|IHV#!(~7 z7Q-PM4cVd8Ue~~JyP`~#-I$S=DOR!<6d$MaUqgCggr4h)=rvQPr4RpliSEnDs2?i6 z28Hlz{IC|)C7t}ikv+5H;gQ}0w_ zFC<)1^*bM*{`WTkY^T|JBQAoWbDQ~wZO_0Ma_e&j5T&JTN5@8279qdx`NgNdb2R2q z{b?45{_oTfG4A!A`|5a!f;45^dUTpM*~Z(2CBTRKWZvYL344)-DK5Qf=I;?-06BXC zyV%-k71n>)n>;%4;N8mugfU;iU4#=Iw_i44+N*vV2_|9^{dlO0cd~Rl8>cA=x{|t&mXOHf83ElTeAbKruxfh(|UG* z$J7H$b2w3%+1TVFrS6gDOhT9=Q4Y`!EXdZnfR0_zuJh-{B1-AYYMg)NIR~KcREP9J zw+zsIS!MOZ1vUQp-B z4+E|+Au}Cb;fn5QXCmr;_2c}@3;ATwr&NV6zD2?=({%&n!@;2ec<_2wU|5i05hHkA zx@cZ#Ot;Q)%*i;S2`=1bEo6l#bmQxsYQmlQdz1_nJm&EC*jCF9MSJXpL)?_}TXnTM zOo7JdVaJOZBg@Qffx{bg1nWqsn>h)K%6e^y4mX*JI6s{^V(hUoEkjH+@e9O;01VRL zB4>%)C{XA5h2rWLVSRp!FNmK9*?hrjQ8#^eErWiGUvjDStpc+4IbvKoX4GOnD#GZi z<(SY+%JTB^grS~;Lsgx)jB?Ig6}8KY7tA3^iPc&+lUp9qQr*DD*oGnUPCEh;kx%pU zuIjgn_*M}RMw;3Zd&56pwjV%s!(2eDdCdrl%bz=8rKe7uk61e_h+pF>r^2m1c}#b+ zDm_Q+y~KPB^`P2`s`7W?S0J=c%JU0Wpw0X?ndaS-@U4j>*qEI?-qT+i>?t5P*SR*d zU2WkRe3;8`!~enO8%NAO`O{YGwQO@}A3%leB2e-Lc}X^!oV@rn7Ml5YEK;5T>+tE7;UJ(6678k$PA|YBD(h|l1>Q10L6|; zG+%`Aaz&cMMgLj;B`*uRH9!(HuDB*GJSt(_rA(GqJj`+Sh&|ZqH`$L1lwb^z*;R2~i;#Ch-Ijv@XzOY%Q zY&qRnG={Yemie>qG*IE3+wNRq)fD`=%1h7+zN^&knBN=uZQ5Up)`+3G@lM+Du5wbK z8oy8r>~zhmxMcW|8&YK0YN84R4R)d5lrcp~utcc09`F7ErAw~v-w3!$3cXMmaYLrF z5VcHD0@uhRkqOd@$5=UUyaO6w(WFG(^p8NzmamCv9S_9=Z$X$6_y(jT7m~OP8*vqd zEY*gDb16(1s>5K65Al}pnHJL#1r#+BX5VOaTC>YwgD)PMssN>}Er2`lFvK}3Tf%7= zEGfWy&!dGkoFJi^Pdb9N-ZQqOybc-FOMB#2=W!)6H^aaC(FTk*l}& z#xB(Ix>)!1$}-O3(v z4W!Dyl|S!orlLg9f9;YgZ=xh-!v{FN?0VnD4YTo`c)ac-!Q104L(94-cNHETy6jga zvQ)Axu$2Ar8rX9;2&USQW&qfVzuamppP&!_SjTGcKdI(EDWMW>=@2mD1?u z+6evS{4b3)X{X*DYUd?*>yU)76<04+P5d=*uxaqpNMuWram-9W2l##7;fd1Kv%L8O z>=mTwaU_x><_NQoot&5gbkL6(aCeBU(@=%KT9n6dfY(^m5_dl!8>s1Yk@Q+#56U@?zMro2uhfov6ABo)$9oNc7ly!7$48j& z;=n&KC;RF*F)nCp-cMQQ>9_YA(&s=Z#NiKI=<2F9pVe1{y&~%I>SK{@iPgtW(FX;a z!r&2yk)n9%8pR9#gKw+h@L!Zw0YoYpHSO`UJD{wFz4Ko@xpTq@qpti*>s?MpTZk)& z`j6ag@!X)h!M9Js_;_|+Y((wSWYTwbk&=2=?1%t^qKfz&KM_pmt7}ffIb)|1n+rj+ z2bR5o+e2Mm@==2{uF5|Xj^wX~Rfs@c^W~;JXk;gh@d*$9Fyz@;SP4a7Sd`(yVdrZ# z1lZQbr}fe4Jp&k_;-zRchEZ*D9}%a7Ejw*iHEz8xw4yAteB;2IDb;C`1gh6V?G(f* z$LU^D_Bf`^+M%YTBfiyZdm(skxB}KvHG-Y(s_vfOI{#O(WQXxY5=cSRQ50qF2U^cA ztW$8AUJFAPAemda4sV?71A2H{+sw(5Lle$~wy}gnAbCN5^ZSFmNu`*?rsf{jCUNer z*UF#PCU3Mo@Ky^QlfIPZnlB!w(hT)=yiyT$QfH8Z+O-Yrv7@WF_U9qfKKr6!@mdUUIf3%-3S_QppQ!| zv0jqc&i>UNT_u&)kqSm1{RaS6=)&Ewv8_kNeY~8kJBO{bZT>ijuqC`uYdLse@lx5Y zR`~KmFk98T@TOoaOLlv2(Ujel{EADMMu`+bp`8y*Bco>h&wI79BG!Jiy;^qPg9M`f z-O~_*FX1eOdr|_X#=ob9F;JMQUDQPsRQgLfMkQdZ-pI@kGePO=g_3Tt;9(P#u=B4=^d2Gbg zc)nbvmb&sp2|YT%ne+q-fiIuD{QCMsW)i*%m88FG@Gfd}A;zY& z@PpOjZinQ*3>>6Lgf%`sWJKayOrHG9k(1hE^Q;*KM9meFO^(hC7MXiU8oW9jDhH3x z(qzlt3y`Yce&D{*Auk3!X>6`%@jknT;)?51;s~V|IKyO-Aj@mf^2bABu+Cp>`F`Kk zttNA&M?vQv1W?%ir?x@-%G#;y)7`e>O1{ogu2-mE^R`esN=lW!ctAE>b~ZXz?a~d>~|5JYJ%?Gp*o7^vql{5_DtKeeC^>o3_$J z$3YlA{r6ZP4iIvr0l2>Lf-ddu=|bKmj-o&ejN$o~AvrI-)6P_gE}flD5NLkT62PB8 zeApsPf!PIHUeMoh_dRiLp|+-uq|T@Aq+X=H+^8MMi^}yWZ3-sOKdwGG{{hCg62xvqwIi1H$n{{Ug+8l;=fo&wa`! zgPUODMB2=rN?W7&%k*h42@8oqc_$N(ojT%2^`iwBOO($qXF4qz_L|%i&2-_}i>?t~ z#mhxz3fa2x>T+Y2&O-`5X`S8on^R+irL!j-k|08Djig#(%6?G^txd4S>D>x-rb@;L zh}IQQs^1J98|ImP5B-Tt98vP^Qff!XNMl7XU`x7dQAX3d~d%>3s zL5@`Tz~gb#7{+y)v>-R3;2Argt5`4p?%JN(dxB3a+Jki(cpRUaXS;jis0U`xkP0=5 zE#upMS4(12-J4RUp22}T<}mC~f!DJY=T8xemqqQ8ywr&=J60F)3)L|7j%uM^cj*0_ zISyAr<6bTuycy3q=vTRG&T83pT>PU{42Jo#2i;eO3+Ksn@ais~cT(3V9K#Z=g5B@# zCACdZ*Unxz=8J`*-OIF^h!fS%rl2Wz4MD|mLK5@7jMbDz2-V=&C2M*r}Ka-i_FaX5>mDl#~(8ygKb|QAuj)`z} z5<0(5E!{Vvp=Qei61xnr4Yi57`M~Pr#oV{;ayZ2Wr$gFzj#aPWFDXgz@W7$CoQl{n zmZr0Th2d+Jm9!yeEjsKd2b{oo%G?=G;)4Qp8ApPL) z5YcqZpEz~zD?J*1#o3en9o&n2*RcxX;~L4S(h!Go9^0fvOT6AtZ;`M16#+&sNOYP6wmw#lZ@pmaZfixHRU)WUohPIZ3& z!@>1NR_?dlR&zz_zy2;)czhQ_WH5;>Q?I{_Q)&_8u{(>ysAa{sh}~SHS?w#h3wz+Z z)H_7C_dRw9CYGG?;Vz#VM_28}68k&4tO}JUiE}r(mV9Y%e9My=1(+2jmWHiydDn-; zU@{mormY6Ra=eK)zrJ5vZ58Bd_*1Ap*11`qH~gMw7RvhiXUpFqQ?YQ{L8>^0yeJ#Z zD45nm)>mCA=0dg%a`AcQGUS@AO4ZN@tr4azp#{tg6Eu@Fyf^tiMPpb6J>fR)qSsr& zMDRsQZ}rpp2iQ2P3ebCQJ7!P411elsc@o+7%j#CVspgDyuEgDS=^sCRsBo$Wjf9`$ zHS}@JJ@Qh$Q~sxZ?E~9>obcce$F|osE?dn@UdCBxNxN78Zw`)FbVPXEA+9=Vcrw~0 zh}Wz!+9m!VOqLe!|;IxkD>~*vNqsi6;AGK<87jpl4S6O4cv59M~MtmS5oQk z6?y>rsQ_$I5or$9Zv}7o663a=c4)}-k3?6mA$LYh>C$ov#pwhGdDg`M?-)FQ7;92Q zD%MCX>Ivi6_#ACh*xJ1z{JgrKy#GwjH5DnR{#9@hd^$YcVJaaR zIqg4`1AEPDgf}GhSx-8gsnVN8S{OX29`BfwSU75JaH43UKC{=kCW1eWGgw6a9z84 zXxEPN)Y@Y=shRPie1!?1M;xmNkW#;JG6ZY24`7!v^1HI!y~@1&Ot@K)Lv`lVQ7JW72nu!NnrJL# zL;Od5!Y+i-VJBidU_L=9scUXVRp@vb>-+!nG zo(cJt4PqN0i36RaK}^5CLQJ~I9rkGzGA*YVIgU#LLh8pPAV#Wy;HKkBZXu$YjrIi) z9`0*?uSGlj7tm?9-$ak)Q{F|;v{TWhG$c(#lF+ylI!;cjd&n3uoSsYSr2(Jz#HTuDFGBrin3kgZ;a8fSX)eXYi~tT-d$=0=>5Jq`?W#o+ z-W?ORu$6UoMs)C5j%?S_ZF$e#PN4*L1+yY`#+h=lB1!uVTcTO0MQ)LB1-caPfdIoo z;(BOz_c^Yjg`{j-l#qVAqV$>a)^H<0djxaexemLS2M2R(=* z&Q2|Uvbc^{%4hI3kwg3qz@MY0-Xpp~v;CbbCiGJ@9iYOe=%9aZEYyR55)B>~46v?O z=Yr);{ZtwM;Z>}ptkDj~r;SwPS2i5^r4I`8nxv&G~P;hnHe1(Qan27g>{q zv1T)&S}p?0%ndA&1%_{&I;`KB+$j5Ut@H1@sdl@?fO7_J{ni@?U5wmuO@Tg@qM@G7 zOD|fM?^w|%=CL++W&1`c$~;+Z3K&n*7}ldlf+KprFqrY&uG$yaza7R0%E$laD=fIzhd)JY~DJsIldlP>j!H z>G~&;5x;kQcS*=^%sWb&m*cq_6CG=zuRwWw>%9o!|6tEI-tT@8W2C0jKWIy|i+ZTk z51fCmae0F(L7*?6!$|*P6D2`p`Vh2!D5lO2?9$YgNz|N-2*t@#{m7!#`5dGONL{;bW$ZyMB`{~=qY__})8z}&d2M&g_~jj*qpX(5MJulP zCd*JB8Mp4N0IZT<{MG%UI+3qxW@{bY7(QdgrQnWJvM2bg9a+boy*&68!KL7%ogaRb z85mJ#P{gBFLH>gUx1tBYjem>UijKMRcA4ZrLa_mY2(Kh`?g>4ONt4Q*%r)~{gtxI} zlRg@~CQfQy`%|aT#*;qVsa#WIQ?R4{cG8vcrPe7fTEgf=saJqUWBK_AbbA9X<E=Z; z@rW+A!7GO^LDOmV-S^EGUJmm{m(r>1R+U154sgStplk5a z^BeeSaL*^iEw#uF{^USZFdRiPjK@fusYl2c5_@MVyXPMy1cB?9liT2>L@q^!b@NC* zNcQW`G54n@7YqWH2-mJGr`7>wlL4F6iPFK7e+T|v)_{YyTa8AZj{H)@c0d}WBb!VW zI_D~kXwA3(J^^8yvtV+){sJVZ|M|=sF4-`^!mwm7$Ba=j;#aoTWU{=OWxo4GvDu(k z0hjfajIq2VkQ-&Q78{q`B;bNB_Vg@Z`u6U>&B}d$*?Vp6!wXi~nG%iNWHFT)I&4E* zVo~olAWUX6dmoeYZlbg-8;B#ecE>4+yZ$P@R+B$$sZi#ZJ|bu3J&JnKKh2x1u5S6# z_rtuBuL9riWd`rf#C&Lui!a#eN03>V(1*Q?eNV#-y%!C~BjaBs_y`6B&~Qc?DFMCO zpYUu4(@w}8S3t;kWH%1q`H_uojNM8US#|JR%WO-;u1eo;jOeoom$PdHTDR-a1fu1^ zC*N5Zxf_G#rytu|?EK0XtS{xh_3m$4xVn_f3<>LKGU3*HV+ccO3fxn;cf?74DD5#D zWMLO{xyCs~TsTg4^pY#C&X#fUT2sR<-d|tGLywMgCDj>seH>~qelLpxa{{ANJc6`x z88pH@G_~cxsvHav4w7g7_LrUlBLbu^)tC9~47-?ikb#kU#av1}M9MW@9%Np9Ltw^b zW?DzS#V`99-*isp@Me0M5-JE)LWL}S+2A6pMkQ)e2@WgzTA>-ZgFK4jX&B7dV`hge;;})lCd=3OuHZ-P>tfp#K_dDABJ_HarDjnNL4hb2j zjkP&1GMb`zW0bP!vzsD&!f*fy!!sx0(F+}O#Wql)>*W})5i}gtIZY16S|mCi^WYM{ z5DtC(W`W27O7&}aIhD_hC(gRn=&WpT>_Xq5LpCrg)xl@YUgXeyN*-6XFq!SE63*>Z ztUH=?X~a<`7i$?2<0LZa)E*>HGkL@y{HExJ4#8}3hG5drYl~U9;%C=r=qLLVHjA=q z!#@V)IgNZTiN}bd*mSgGvr50&J$q(A(gyp@XJ<7|ZFMR^D(kdEOY)(Vsy>4xY-4@0 zFJoV|@mU9?i-Wp%bO;3;$n9(;GMVxQ{FC@{hh~RV8;|4^dbgETkZ0hRa+nmt77e`k zyGr)%i5d338>OmjqZgxikS9+Hb_yyHM6nZX(JWJr?>JyJH;@GeF>mes=|{eN?=V%N zUlk7=+8XZr^~L7-#@3bfn6auA*gQd9c~(vIGCb8^U(oqgH8z>spfY2$pAv8vJnbIY z`P=IiB8I#K(77leH|)4gq-XnY5gx@~xuXBp8#HTIoM9I>$IhI<(}+!!V%fBoxm&M{ zLgOY{Y0uGq4Ix|M7ruPe{Tm4f&xGp);m!lgq$3e?%f@+mAq0!$&3U2j$@OV7SR2JC zjob9Cmywc?Vu#)t2tPC1SJ#h`YA^ab|EK|N{WG}(zwT?yw%EW7&%(*4sdO<-}vS$d#FegSOYc%A0p1#4FM*4kATzD$>zk>bwI!Y zR&_JS^J4E5A`1DqcQSgM_9#TrPK|4<2o-3XU1PI+@Am&vBhe+8>FQhVi`Vje?J}k| zQzM||mm3!-5)zo30IZ&1(QOa12T%ILm5JdqGA;@whNZfhoYSihnKt7*71?+rxlODF zKexML3hIY^UBLMaWd9Y#@Fw?$1oy@D>JNLCjMW~U&BEumcuuZ8`JLXC-&NKH?Sgf6 zhO{`D*yeZn1CQ@s6`|=trA5fha4fc&($V8}s=Xtv?n+9dM|Ij&E@v$#6YZF0KDHratd5yXOYBg{23uqeyPb9fAMOE^JO>3gi@d>R*<{2;7&bS|veY3d(POR$j&1`bT~ z0hGJku~an)8O4;Ga!5JjEK^yU895%vrzkL_F>Ph z;GvgMv=y7*^7g6@21|D2zkM1w#_xk-q#V(#sT>BnZ0$~y>J7m0uM-#t4%zN1#j1Lk z=P&dJ0MN^6=fALb@NJ?$mSx1{*=EE(%?r$(!Dn(+#pXr7MV>96-=t|2_SzC!fCCmQ zv8$DCS8G1x^>dL&nsS^Qioj5S|8ZF-t8xc;qmf`MAz|5nst6cdm=W)G$b>iH~J3i&EyE>=}`P9H*e#E~CyY zvjJ}V848JEtx>SHA09KR!CGR`2T+6V7&d!IH&UHzpyE8bqsm zE(c!pW(+~reEmFG>?2Fy(G4@VpcU{}!!T!`p;<8`jx;)?26#YMg0oS|AU~&CO|I63 zO?IkY1?G&;Q~3p8e?PTsj8wKf`J1aCojsuqf=P0xfg8%iBQlRLuDq3UTr+^-3#P0a z;Q+A$=N|xxYvCZYGG0~ILjgfZrXcrbx7&!_`kMK=!PRChq1Rr)#9X+$$^ar<2 z40sC4am2_3tuiN+G>%0Kj7!Io2XS^gc&l8+h&R27oQY@u6A77BkC9!kMH)H_S6tNlCByGihRz-(P;eoys$O*FGi`SI2iR?IFw zt;VWsp$CBELyFLl_#+8@nY2zKH1q?VWUN50awM?v*|}Vlf_p6ECC4MlCkK!Ie-3;p zwRA&RacSr}l$TYuQ1;s!Zp}EAX*&Jk8aXBEKhiVq=Xc5r=H-KjY|5O!7;}u;ADb3lo`5&Yda<~vUb2$U!Kr0e;D3r&CR3oz@mj-Sy2Z+xj9g?}`L zJq-V5^puk->bHlecl-6*ZLHby)St;5yw|B-0HP;~^kVDc+lA<&pHA}eyKd}dqQzdj zpi2Y(H6|xSy}aiwW*ZQ9^VD1}xFI}r5Iro)!`hXn*!cy5;iG65Ky0Jc&iFS(ShyOEi-5~mCV}>6j_N6J=Ie{w3JQa9Z%7 z!E3#L{0yri#b#I{p4UC-y3zB9z@b^ry1g>QG|G52LThQ2bYBpK3K8yq zG`6!yZqzB91e8r1%;k^czIu{ARe?+dZE^1B-!XaT&7~gs8`zJ9Fgt>vP)~VdEaJby z4%(w{L3~a(wb)*5eZ8qAh%uuWDH*N!l>E&!niIFzZ@$Nt@_1XTKS#1vyiB`#P=q5n z^KqZdE`N@>`|i#L4n_w zP{zme3~~!-Q=z-rU^&Yy*$Kzv2~-0obW=YyjWX%m&4OhO!Hf&Px$vE`Eejx2%r8Pa zj-&f!uvJg|NRVu?%y8voFjp$d<6Iw4%-q0+P525q)@4X(IXVocm_8kM8gHnO*sge+ znIh@&q+}qNa!Le)*BwVr+xn%pem-dk3SH0p4mNMa$y^p^Q8@}kcC&-!js~vAH>u)| ze~Sm_JoZGrKHBvJ4M_N*{QGlqTJ7y9VQ;*Er+y+)@lBwevH{mq`;mnia5D??AMQvM(kh3ZMNhdzd+XC`%@N3Dt+yh>2aqHTQnZk9F zbF6wQ929te%kVkd;z;?~_+r`hNZK(nVNL&6f4E3eo+~BAmoQZD5l;JTAk>ub8l|4H z6cMVs;G$A;y{)WEsee)~Sz@pcB~;1nK`u8AqT>TJ1-Ey{2o;7|PfPHqFWpdzz7x#n z_fgn8F-m}e?auU}%j~{4GA6Z2-N-nms!8yYpa$Z~tNSAM%-=@V#EZZv6~-S8G{?Dv z1*3=qRL6qC;2o!XpY`Z`h*!k3f2UXr%=P|Jr^Mx{D1Hj4xyXGO>z3{Rzd7@rC``u>B7Fen|l=K(!adE1oa@ z3to>hCfKL(rS5kzDfQ&~j5_V6jg4(Oe^&u*NRlI2!)-%{!`g>p(Z29DI!mG#+_2d48`w1Gd3iue;OB7s;Q4}V|R3DXdJzzXtyqVCkfdUXkTe(m7OY`%^TN|Em~Rbnx5u;n?iWKkPZ1+f3~d z6^u`f46kAfI%`x?$%+#R6^jbz%fFX4LR%1)ArSUM{RazN{I%BEX|@wcxlB^z2?@J0 z!rSR76MJzEO4W4vVZKl66`6iKN+C}Nf#YWMaR^t+X zxsT(j>5+RUOS<8rM=BnQ&0}muQ+Xz6w%7GWNZJ$42lIl<_x?5IFh!cQdsr?9E&6C7 ztWG(hA0_}N*Wz$XY_i{f%idW}W}sD&y|{kyg@b+BXAWs6`^ASVCY_b*x4D^S zT(&u*tbxC0;?a`A)A47lk8Iyt2fUivks+{JTHBD z^8BRPUg(Eq8SL1$PcvNaeb@K9+{`xwf|#g^Vg7P_(c9dZ$dkQYGFCJFO*Y+PQ>0V=ti@_ZKI~ZHw79)>QV)gF0ekB!h z7t=T`9VF3Tr#kvl=X2X(={`ZaxsUz3+{4hD)c0CMYyLQoFVwRa#(+3mT$ktx!O7jX z?sNbt+E=F;NneWius8JY5$h?nA29*k6Z)~0(|e@~>3zJM&TX`s79T(;7o&goNH|1K z^bg0Io|r4G@Zx4yaB8RaO{r6n`?7riQJeP=!^+aTk2TA$s8m-ZE6xAug#D?{Ahwx5 zAQlBV7`I8Yp;Av@MR&BJH8$#sazDK!YP%ez=Ml|ftXgYs{=2aOvY?)#CjR&e@=W>ZUOU!0888C1@S*! z+Dl!q!xg&M#5Md@qh^svt%u60bKj4c7Dc<#EAFcJgCY)#QNr$_QHA{PmOp%kD8OqB zilGwl1aj4O(RxgJFaL0Ud+_jrCcy5w`YYj^BGjFPz<<~A`PSyT!A?JvbI&vYAj<5j zP?G-Xq1dok644Zn;XEn@QZVhg+$E|`IoG%}KkbVfZJu$=<8wS$YVXBZN4$4>Suu9- zdi2QUe9|r+U{Db8dD797BM7)2b1R!~>$9Usa2S`b*jhD#E8LDkBqd(d%zzOa$LBe` zJ+j3mdkD^nG8iyoX_e-Gn-KH3V}4&87#R>Mrw zB+7)&v;7<=u~yq$zYRr)8TsLJ_K%f&H< zEe}uN?EVXf-ITF~PtnOdYqxX4g;DUnl2y8f<6;yTGH2k4nKvUD!7-ZwQ~CPVLYuI+ z1Fb^2t4rtMhwXAJ8$9Ap{k3%z^W083#E%2hFmE5{2ds(mcJY|L{b;@@)hAiKhc$kc zKLTGvUaH*QuD<0}vGFIXrh2f|N&xKQJ83_JmI2Wo3wtLNc?5?AbZ`|2a90_h9>zdX0#XDb-s@cpP6f> z_NR`a0g^_mJ^~A^_GiS!?V=A8h7`CNgcZy{32=V~z4FRU@lC*Fo&2iPxs0nBs0=a3 zPaVFk8Gjys%o#o?4?=xgw?sWNe6~Y*U@3$%a(X>Ckd}qW*HU79UA?jQ24}Qj34dnB zouXnk9qIk^&HA%vdi5RRYeE(GpezPy9jb;c&SC;1S7=wWwjGDN0_JC$?Y@iP&KmYa zlbQVt-bNmEMp(HuMkHhHHU7~1 z`gu7w-Yye6AbHTAkW|6-Wmdl)>=v2mm=qS}CnUQGLE}eLngiEFil4O}R%mwdK;9s( z{6B&8c;>7hC5Df!-wUUG~2FBjm>nPkxFME-*5^UhYMR z6y^|n;kN!#eW9TG|JXXqhbI5HZHq|55K$PdqS7#=V<6oj-JK%c4N@X4DIL@@N-t+jk(eVrZh-7CtNUI^h#E5 zP8<@|=l+64>6Y8abtVHEN%PUznh}R~N~?z42lS4bD$=CDA9mfF1ziI~5U`OoOr(A1 zgkER6s*0AN+^yG>h?V`(o|Ze=QnL&i+U@-Z+?+1?1xMX=-HD&uPjic5;p>-t9dHWE zpco|t?`+zbdFE)%@;`^+CC>5;(s6G80g9f{74Y;yw;IB#H=dqh-9b4j3U?Xc%y@Bp@`cs%w{i#LYoeJS}tkL+svv!l9>fzXfEbp#v z*HC`7pq}I~a}4$aQnYZjos4T&u6oXOPmf_*AlyI9IbVfB#0Ln4rH;xE&`rZD{TB*r zN&PPm)cMWFtj^L)XVF@KyEVS6b1$d@RpTJxg~qa-adI^C*;6u|gQZK$M|#&y z1K}XF(g-H&R=wBak72#sBsJjpd7bH(UbQXFMH@7tcF)efkS%;fv%IVH#xT4Ll3qQt zmpkLJLo(|C*H30M?8mpcw~Tx)jPIBQn+P}kK35{!6hv3;$&CJ}xMUeFz?*(QRp(bdahrhJo1Qiomuv7&qy zu!$C|Z=>O?5A$z#&>kUUVU+-Y1d+=1yRHN{L`@`WDIgO3%su};V5#M;_q3JGwNc*% zUG*!~E%9rOE(a1#q_$Y2)vR{+cd>Nyj)58_h=!S}bMvLNF>yfd#mrI}XI?mjhB^s; z^0;8zB%fIozau?>%J>E}eP;}fs&fCf=x!irSJkZY5e3~}=e0aq%aV!)Zln5%zp0OI~; zz;%*Xa<5%z7oKNfpIADPEAB9AcrS!#saJQxgh1Q(V3leT3Ig;BnNMP1f=i|r*9mV{ zI28l-HwHEA{MZn}Cdjrt085r4CbgMxr6{c}`um2AGn7C}BFg;z)o~j5)dE)M`J{S| za3R|Nti2N#jjSlqP46^s+VhMMidHFEjr_vka(CwwH=lL()PnXnf1jXb-%5Fgn#cgG z`W`1WT7cZI=1O% zXT9M-8@5Ts9&RBKH|M1xP`=f0-dqQ(I&CV`uJshIx)c?;A2|`!npa(gO3Zv&7jx$^ zs=35d8Em|*V!5&SN7;Gnd zbNLDrI_$WYt^K-Kcer2pxOGA)=vER-E%2`rFK}uNu>245AdMR%_g^LOjR@NGDSirL z;2%&65pQ&&{ng?G{SyMw%|0sXX8jhb>!&E{(7d#ptvKVy%qwV~68MZE^K9pUbW83f z9!Z^<0q%5gU4=QXI5#!-snEAslb&hH(crW10^UtrUA2@%bchD+ObwWOOfo*|!(a{fEkL)A}yJ3W81{+E7=#$Fp z>J9qGad+{DL3&(_MKPr@<03wg-ybwF?-kl#f_23En9O&I^^%#ZI|Rxt3ENhnDI5nl z4Vd^J|5k7^a1TfVTyudMHBuNnUK`jj;#Qhznw#)vJBO+{0sT&x)z!^JFj~g>)c3HVfVe6c`UkU1=$|JbOdObY3yb+m(CR5KW+r&ZB@`YZbN#-negJn z+oj9UJe%e4v>~{#EB8Y`a(+wn`6Ai%iR0yigk#5TWIDS*)dh*QMi&GKK2ZzT;pS8F zg@+8Ed#LjmqDvL@^QOJPzdo9?re_p(?i~>$&zmXB|K=C$)|_WDdt|Q>QiX8$dLh7< zOm?l8nvSPho;?CrNi%Sx%elQS?MM}D^p~uSA9&)NQ0rj7!x#YK!jPimms_F-cU4c17BZ8Pmu^R;auI zW37Ytz^RH?`C07WUT9@AtgKJ&1&b*OOB8xfzrrqo=Z7#|z0LKzI`@Am&r;@)j&d$h zFJ38cRM2n7)+C{faBKUkpNaJiGzl2RD ziVnDo+?n&`75nXyXys%Kz{QT1%H8v?gUoo0rQ za?VxGamf6n$&&RnLNz&idY1F_8zmH+OP##8chS9i);=YY#oNw&nYK+$#+@E0Tdj3B zv91^Y0hlA3Aof0;SgqV$v?RxrH4W!tHmgNSSH9a@j9_y3KiKgo`>0Gx&bJ7Zx`j_@Mlw1V=gIkN1p8 z&|tVq)Oy5vDr?|Xw=#iHD=!u%>iBb&DCT)E?Y+QC4QW6unnnehXZW3#HajD?^-Z|Q zH-wvTrHc98GnKzYIef>Pa2i+PN}(r26Qc7dfkCba_}WtyC`Rqx!xONuK=)vOB1B=Z zEbg2rusMBs7n?StyOiO&we~+CudA-d zU?Ufp*j9;#iYN9}Z(k(o;IBbCW<4q!98MC>gv*_PsHpn~8O62!zUIZpk>A7)Jhvm9 z%d-258$tA{si)O9vyS%JY%bD^KSLYhek(*I*QCBWQ7YU%f0&NKj`|n%H*%kC4Mm9h zES%`A-`aX*#}x%^u2N!%erny15-|RTQ+LE~(c4QHd?#crqntOc{Vg=R?YMcid_TSp zD#wUXT+@&9@^b0fgVgvWBxf?!v40mPCI$kO;+Mx@j4X}Y!l1+GcDku378dfD z))@~bv@DoFvQSKUYLRayt)zW>0YZOE)IW_DRA&&$i(t68O@AGw1p%-4h} z2JN3e78;p$cvQ$zq!9lY1b$pYV&RDLH}u!Wv84KyaG>%;Lhlc19ov`t^Q$G>Pn`wj zJO4(&Ig@&IFlD%^sBeaISn@7BbtDiiEPph zo!?>wqy1h5z_J)1dmrKA2j2w}D=e>*>jM-rR3ne%ZH`>uMl}U232wlgp_FK3_hqC+ zPT*7;?xGv60Gdf`(&e32+a+Dxz@PNjyx^R5P0a%yNMbbmvE*I{C(g7nVs&!u)4Tw8PNreOMkI(1IuP{fxI$0g8lN`bw8Q_{GIGhD>2E#MCp`8(YZOJU#~dS! zn~yKwcdSl)s5|QL9Fi6w82K^@n|>-mV7s$!+2(g%*KSGN?{*1`nETQUoo$=GjcNSp z-k-hx@`<$zf4D>(wmDDqu_V)F?={6Hs!l>_%z0840*0~7JX+Uo`ecqj`s%4-&#P!iS2oRV}oiacY^dY4;Nprz3#aSFSOq6%~H|XSV&tEC$&!`LW2(A4fZLeY{=#kMBuc z8$WBM5sr|{N|4hi4I_e3l{~v98*gYoe`#RnQ z--GVGUSu^!U>z>|)fLA7#o0u0gv<+xOs4PRz=hxF+_Xk!i)XCvh?!vx)wDhzY)8)| zD_VmaYMq4S3h9J(~)>OC%qyQeS&nn2O^gERQHG;Zt?%smN zXeuZMbCu0+4d4?4?d9G3)j4Q_!~X=kg(urn7&VF@T@%vb(xvNBqc*O)WN_LL?M|dE zPBB<{;tyI=ZCH4+k*s5xaxp{=gzj$Yj3|jZ zxB#xbH0)n7x>qRWvmj3Rgt?*~fvwA?&!ul-W!{PI?oF$Q&xW0BvJxrg`B%&zhAR&j zbxnw=2(h_r4_;HWjjr)rg>~(Q(1{?s&ZtO)J$0nLlkB$Y8UE#y5GpcN_cmZV09J#R zQ^Es!C)u!L*SK0@>Iq~p!5i|O;;2yx_QTvjB*TSe*bP~mQ5W3d20o?64$y&cq~;m= zYopG$pIPd3I(5b6G3wwKsiiE7P9ZjBV16%l`0QAG(}OP#oual{kH$+?9+zjg|5^(V zBL1>1Exa!?@9^}GyY%>oby|CG6&NXIeXON`a%n9I?=0El8a7q5A-xeX+3^i04kOAj zuHgxGfBnw!$Jy$CDA+Sszprg)j+czCf15R|(M3S8x=Q!CP_kq^ZQq4RuB|Ht?5xSf-MP!szY;s=)hSK$yPT^PkC8vBF!RHtLW zX$ZKnNLu)5c^^XfxDx^#X(tM%dcm1CG66%H;^#JxxgFBBX4SkhbppNak+9iz@HyVG z=Za~jbAWvc!sqH+XUbk$algX7`IX}(uvB#z zC&cDOZ4#?fOE@Y%LE(l$9H%!2q+T+f80`YFcg;~NRlV!5pPdTS8<2x++?o?siF=S5 zh&}cVua9u&T5v4YrxvUveYIn)kf$J=+La`Sjb1#sweg;R9~p2j)=+)kikHv!yz@-XDR!@I#W@!| zkbquW^p{g_5ELMBLJ2{kzc-#g!LmfE4xM8*`%l20b`mi9rtTkx14G*wf$D*$-xXTZ zGBg+*v-Y>~aW-&cDL6v$R>J?GUivm=ddZ+~QSJail?TDuYy z6cds~F-&mf2p0s{-G-_8g!cpErUHPU0}c53tyyk%`17E6I;ld($Myj<1qQ|C{`+}%;~;^IcfeTPuz0$kW<-yKGUUHK0w$ijCl^N zDuuu4IUYsE?swL(#ZsO8F!fm z5!%jupC#c|2gYWd+I-%sunMK?Bn}k^OK+akpVog1-|50s!{<*~9DCm~f9^<=PhqV3 zcY)VwSFoj7_Z4e)A0%s^lHR=^$*XT7A4$~iuj_t1)YX?SlvkJQ1b>6MPI1!xjdC#> zDKx9z)To`u+)8P%-Xvm!hn!zk;<6U%)^WZ;YZODqpu6^Z7T z;t%3gG@utBCo!q%2@#lC+)}NlfZ}L2ydvVF!ZzreuPJuW1Z^|-^X3o|3(y>Y8m}<|&$sX+} z8FA}8gMh#6eS2IXoA<3ut-6#_X$jc@X)?P11>577d_R)pI!-{vyDDstp;@)86Z4_! za;oaefQN{nx|k;u7zSpdm{M@owwM5c3|m}VFXoG zGV$+5_l3tm0n`x0+y(!1F2kn1Y@a%N7dnIZ?MdLe{E7h;FxU$q?Ue@o&QaDbQbxt zx*7taRv(tLoAsen?G*>H}6$bCPh-7R>gJ2n<+ z&Zv_z<`e@qCRxV$iNmkI^cIzryuriK<`NT*_ERJtf4G$F2JF|n=m-AfCk-I>@f?!6Zvx~$i9S{GTKg0&p#%86*51SR@(Vw*FG>(Um$B&v zUydfo-GKD~K_Fnv(_cH$_;;~si!M<21j zSW-*?fz@?podN0^Z;1UAO75!nZHI8g;GvUXG%alwCqA|X)^XBkFVKgI!2)Xt_-7|U z>b3dz*2U1f_(}Sx9t93Q(;JLJE-(92>$oSxksp_j&mpVmtHz#n_72ulY(#JNwd2Of z*oHUGw&qTDVRC-Z7|U`9%yZel>`u%6V6l}U>E`nPOKnL?OP75vkbZ3NWn~B4*uGav z*LZ9N#l{5&r+w^KkiS54$tSRux+ItU){`JFO+5LT!zxhpkw}o)e?aj9ZQF@5`^i83 zFlm;~ZKRmM+?cJDy+nZ$b~w3Ct>D;iZpcG87v5F(za&f4RZP{BJ9|ItdIWx4OScoQ zuGOM3+H#me3;?V{dyRm!&ss|ln$4vwiuaB4#2)nlq_Jj#tozS5Dqh`(>aVnR(uIk6 ziCioIX|OSyrCewSbko#hn}t7Dg$Cx*D&x%zE6fTetLJX+N4hFT^W;X%e_|hEqu}p$ zM))=bA}T82KLVEAz9w!k?I~3uyq;cRKSop^2!#x(*#30)jQlZA5ViI|OM}-mTVkHV zh8yR1Wv`D5_IKn4e^IyxWxE|G9sfB*Cw7TzMVOVGyyG_sW>={eX8%K;-|?L*bNP$j zorK>@G=_YA=80*?kS#<6uz=!9e_(+^``PWl&8rZDE5n=kw$`SejKrMAu&xcoI3Fp0vMngrdSxjceo++% ztIACRD%1DY8hY#)(DQ~B(UFNER4m17Z2(4c3^PAUiFd5G*-ou)2tN90aNgiHi_w2d!z9;@cea#8QH3ute?fBZ`8WHn6mq4!MrPmd-h zSf;TdLy)s6Q^qCM^yH$fd2jMt++N{mX)?Q#bfH3)Sn2fd+DoA@wQdvOEvjDrZuCt4 z&g^5Ma`LdRbhMq)6=|OalRD!f!8o(`6e79clXK^^Z~Br9I$x_?rBrR?emDtS49VY= zt5B&BtumjE@+r`G^AQ$YpV0>0?J@zA?fXPl+R>#g3A5yQTbuXD=2Qk z5nk(p?XA=-ZIh%oOV`Hih>iNYX6KrQiifpN%(vNnPV156>N}qy->ttw->KM+A9zNP z=GB?yCrho`nr~~nmdYHcZol7Q73LQ1|w*z*UWh&U0S6xu zcyhSKc2YMj>M6p2k*^=j4lLMAV*))_+GEMQsZLqlqTzIgW>fxy~=JP!h zI{3v#*HssORPLG6tQ@k4Dm!$OP97wpQQ!8&QNq zhB}?o!Yk7KpD#qIKdpI2iIf|m#T8)lE^^F(?L2=}M=T8KGB$fHb(rP^v62_)xmhj@ z_6_k9RWIB+k#gVgO}x&LX^wKXq+5?x_V@dsvWK~Z2Ms~&Tc^3M)eGqtj*Fk6^5SS+ zwm4IUN;$vcV-Li1^ssrVd&$xsZ1A327=f}I=y7Ju zgp~a2uF|G!gB?QxL*g*GdZ9YDG`wJhsXCNTqwx;ZsC!wtWPkJ7(VeP#|8XS@@%O;H zENLzOux(oUOA&@+VVw^NO+o9nQ@=GQ)0JjyptPR!=Qss(jDaV7$)_g_$@^Wm zk1*ZfQ*%eV?igQ6h#DQl7(^H`==*2}0VlqVF_O41#4GtnBbKdvFJ~j>fW+GH zN(l$H?M~v8YzL+KM#4*10&~Jz<@HUWz%M`|*F<~#j>d>hk0;ql! zbV(;ecf^+C+}s~Wy@vx46wZ@$F>!gnG_2>iFuDXt`4lI@fN1!?>gA}3 zO#I{o9U6U)@ufxNYC$m;!^};7D zGTW}5NVG)yGSa6}we>Xvffrw8xFd|Csm(MO>fdA1uezh0p_rCLwxRAOnLNcM(<* z-T(k=#0eq^BtV*aP=mi!HgIbNzoMSb!Nra(M}+z4J;fe$fZgI zRQVVaeVrNv<@W|PYYk9XWp#ae9v4LU-V`VUz!ejmt@PC8OkJKqU`^?=totC`RHh;g+9Iy~LwOQin$& zFROm8nl~269`<=XeVY_Elo;vAJD{Pk9uB*>mF}poG(qJ(uexAXt;*LG08G~^)8}RSr zm|?Baa>cmk*X6Rxynr~uEveQskAOUqh$``>7PF=!nQO8VJmTo(IF_5KpDp%X8jc>S@KtPH7;IYr>WdyzcIO^aEqA6ojY0zm|86%LX^R)=*xRF$tTl2Id2?z zFgJJ+RdKK)P6+m1Vn`d;i}*tTjH^j)Tx`th5(|>1(Gjf#MB=|wOCXct_KumP3W!_c zmVex(Iz^J`)PMMvWim@e>z}tB#_fDAnfmZp;2d6Fp3_C%bqFX*Af?+pg-x?aZ1n1jDhxDxcBbxgY`{v2^YG&8h2T00 z#f9A~{cyU>QLfa@tJh@l%j1Z<;>Ilp(sEJ1#A3Oc1fxc1J(i2Gvy&i1iNCD@AWwUv zcaB*GG^uVgKQLLi&H8a)eN|U&PU`3{Fh5+nO={J&a?hDCT%L2Bw!Rgap4^bh%KKb? zU5V02EH)70M|~0q!bISaBx=?;I%gy{?>1d7&cCneCS_DWJZozX-m=}uC{tEzbU2Tl zyQC_^Bqg_*|HN*=1QfnGTeNbp0{QEz5d0QtEQuw z{+ha@3$An$Z$&ElrZ&VHY;4+LN=-q?Y`K};o-Xxbnrcc~PsoEZJlN7TwOakwnLx7> zPOVANB<)U~soFjiMcJ{Jx&2kt!IoaamanbBcX9*PPuc1~P45}xd=$qsb=Nt{kYLeF)Eb=Q=!o_cob%R-Ht+SV8y|i;0c9`fl=s&&H#NmwKY}~Dv zggjZP>K8Bi!Hx|LEa*A;D{8>d+QVAbTDvMVOj|tiRTuF+6S5~fME+)k=~Hb}Jyg%X zN7$?OID^GT^llUMoI3ZuiM^m3E1*{Z59 zzxj^J6@#O5=*c$>w3t>9Vb8&}IBMwST5AI5Gt7@z79`5fE5*&VSc3^txrF3|(ImH} z4fa!lBH4nk2Tjl+v2p+KQEcuKrDF$i;_i^VKYcW$jb3|Zv-gi45wA6jRsY*npZ1&O zrN*-yQPg+7-_ieDS0oWfwj6Mf{BHxrvFloz%036|zN-;KBcw(8L2)99N&Vl3@f+pr z-?pTKw!6RaD7malZz{o6?8_XbgDKOLP4CnaiHl{4}w)QfGd zuKs=zH2GQPmX*7^`k`!WO^#B?*=6+XaqMUQ-@7PMHa&0=M|#z-3@gD6UJGkF#p|9E zx_x`|B>Z)Zh4OFFcBJ0{`&a)u`YjZFbDcnCQkcL z0+HYISev!$%rmMHocG`)lXY)ZFDd6KKHl{X5^LdOn?o%?IRP3d3>2nPwow&9#Q;@V zHwmNQ0^Q#b8wN2{3<+U#KtqZD_HGWY1qj{+UcKMN@5ZJ<0pHckN94F-he*fuKJz2- z^?_a#Ib`idOWR!T)6{4E{DA5bi`rw@@U+DNeB>1oX=od`tniNAMnb88*8a|ksel16lCE$I{K>25F!BnOY)1afuZ zWv5$b^6>te>=R)7M}6hk_^^H2&;Jr4l?emE_&M_(OX-FyVsnU@@;^p^-ie)%LzHeZ z+oqdQ^;8nO01k_T!UMDjn@jqJ;b#{sRvHd!HLXHXe)CXr8M04?JD!A!ob36X$6KS8 z1G)2cMu*`qPygUDA!UJBc2iTzgIph#8CTyT8U)`g_c%QZq2DBXY{N&_ng>kXrx56u z4Qx^l!@=EZNwRsqN5rcQBW&*8_C~Ya>W^Ye?c(=YnG&x(??+p7?xShs7x+W}#P<_# zj$c>!4#s00v!B8^2U0F3Z{kYTnujSrnzn3@8I`oUl6~22uucEy6J6wXRKUb0Xd|Bi zO`i&^={zFkv1|ip@bVn;tdBo8ud(VF7}G1kC?_r-X(HHdIwvPG>QW~y9zV@ip*}KI zBD|A?6m9LcABGCbQ1D6xDd`8k%8=!<|EF_#TXJ2_c3_>tt9RfzB6a$vd-6;xY?xwi zD&C{5vVE$N=yANO1(*CBC`2ez?jr?ZaRnGdLnDcm$x+tTv zt|qbOHrcg`ITHS)PSrfo5SL*qKRuT|)f82PqWaCW!C+Gsb2myc%?&xF;dQ7>Crm40 z*0MT3B%SqGu!zZe;Ufa(7BzV23m$BWa6Q8%q!PHf5wEM=0A@!GM5 zP9!aI*~4_x*Xel*%{>cjtC_NVY=%JvZ^kL6*;Jw?uFj@8M5_eR*~rs68~dD(J==k| z4o0nGOFlU?6|@rJz72^VY~|s>=~HC|MGc3W{?>83h;_uylKnZA<-y_7@{;{^{E>-W z#GQ!!jpSG+zpT29`%G?v((G3a8cj+qxoWrZ(m^WG^~yn$4|X(mgm!gObG*iRwAbVV zu(h`sgI|!2xeUFOp&gO#u+Z~7PcnT4MUW=A*J-bIL%FqK4AuR zeXi1DO5jeco_TcZ=L*}sQ>F(2jDn2Pk z3lsa?yztA7sS-g6?DR-e69q9fM8S29C2ny+Ptg!r66=5&Oth=?^C9uxH;~u6QNegF zR?&RY4#1t^LgV<)A)=Z7!I5iNUNV7GBD;Ih!4>Rl@9X3&@}p_o0*nQJG4F}CkpFyT z=7*m$m#w=>k*eOkke&}n#LOdJV$VveCW2aCSeOn$Ch2}3_g1StE zb!1wjDS*1xRy42Z3=AmXBPB9Fh4VvKu6}IHN0ZqTPJ1Yohu2fJwarj#Pjg)n{w@6_ z!Ovy^y9(wqy@8B{thIhTwYUwC852TDXRPdU*F{;4Wfok!lH1*k>NWuu(#I(AANL5| zt7MFoD9ran_B5RAuec=j?Ro`|vGzkmLsTFw&%223#{vOGtMPM#MHH^wHvP2jF~a3l z%TT+uGE7QQ*MQM8syWn?I9vx}gI0LqLCr{wTilE-g6Dh%T^Y^>%a@zB3bg?f=2-3Y z%8T5_Wmgd2C~EXWNj2&blI~O@a*5I52or~jk8D2n6y<*}{QLeXJ^mu$%+HAs5P#7y z)_)MS-7~e_NXe_^t1v%kZVYHM-BN@MpM_wqGPFqmuPC-Lz=C~XX=5=hr~sfMas5p@ z$+iA8PzA-AgIe=RHJYOfPo6m

    D8rr93hAN8w3W-kmo+uXS_sV#3mixP{fbg!ue{u zmkXhX0Om|e?Y7)fAjCIis_2;84SgL(F^O%*LKXxw6n+B~@zvw_&^A}SU97oDkv;O7r(olOSD3op@b!&&t`={p&K~bQ zVlV&GO~oWIw*Od*hu+NJ_L6Wg8EP%=97~xTsD1paZ3-|zcOBX;H@gd=-V!(sy*OJI zQj{#}&89DD_Swv!SLT&4q;Uu_M7ony8r($rxK<4b=d$fit!vAew})DFXNCFSz+lgO02Zr$t9q1|Xhuy_U?QzSgpG z{=mR(3}2QT=(h2&G}E~$oY?*@>o*n`xy>B6e)BiGM{2oSN zi`)3t?3ta4&370#V0d6)!Ss=+x>JPx$$B7t=)k-Gc4HnH>UfNS1f}Y;COnB=D|i|v zkT6Y-kRW9Jm@i^A_@~ec{setm2$Q zM3b*OHZG6#2{`XVYKe*?bpK84*VBm*eD=W*`>6oMP} z>`N8V0I-J|B7FZS`0x+h_8mluz){y!JEregiAH&%Y53IEVp4B}QR@;_#?NE#y$)pt zzxM<|(t6#Rv*2?y|42+Vkmjj;=X?X$#JroNhlWI9N`O_Q=wRP##U?ip6x6nk>g~De zZJA81ssu&NVQ=I77)B|9K)j%TUV{=(o{-X1jZzUBXWsw8GdKMp@H|YHPYP9&(3nAoK#{$caR6{o#nsbAL*5lh|Q` zPa+GVz2GBs+SrLPr*V6+a!}*&BDJs7K+_gwNRC8 z`}yb^_b1HVvKzMeX)brg&VBDBpAr0*NomhtZbda-c6)KA=Z5~7?E5}^PK8Rlb&`xe zFg|?gC9>-_-72T0h-3U_%Zt;ab^hhKQiHT!t9`}FKn6$2V#?!=*j6;z*BdqCN}gEH zb28g&Y}-ZY46fx)fwQw;pJK@{&#z~Z)5ecJEn~k*JCb&Hd!^r12Lcs#qW9E7<4)I>)ih*%PP5RYx)vZ#RmUv$8z9$u4SlCvVPY&2TQmctZ;aAXSsx2 z2cE}N*{^QwR)>Tu@N%*nw3f(yo<>O@tz@6PJL0lC7s`&uHKoEa@M{ZEdiF`uCbDAZ zq6@CI$E!(gbb<}JGih-<|M{P{xFfP1@?p5n9pbGbf&5~J(=4Y&r*0_JwUAeS;kebC ztc(Xwrop*mJA(gnNQu4?9&Sv9d=PH@?CFWLOlWhhY2NQS4|)4^SONF?IV<*#z-XCp zew|S6`PpXfY^7s``*g;dRCIA~_l5r0L_4Pu0iAP0pMr5b(MmL`Ufu-=DhEZ* zr-n^|zX}kah>EeG=3u*ac*;bJgz*mUyBWK^ALw=djMVMu9J&2N~6G!*$u%TO9PSqUX{9nN|Z2Za_xn-mZRUOPE%xZ zIm}n3A>fLoPu0#4y`Ng1?z~^u280o;?4JHd&=5rqOmd3{oW)=U_V%0Y2vmNx; zG>F|KBC2dp$meH#k9ZoFJT!B@c2-<0=kwh3SRPy*TG`^I%+txytazy?gqGDYtX-i9ToAgRZY=tY48G6(LuOBd=d0E>v)3%hB$L401iLa(C~7 zc|CGEpP*8xwEWw?$@=<`wR^Y6Q)b3Vi*%Wa%JFYIA>8bUYxp}OFrV-lM_(6((G%K` zkdmD72WkkZph%*7toxG=6SmhJw?tbCUZ4xa{`7j*n%EGI(MzL|I|no~ekJ8K5DznTNoLvQXbE z$D{|sAECMuFLv9yis_imM{qnl zLd>6a<2zCtA*1J^K_{o;yVmED)^NdoqRLvlRE1 zwC=k}?j0IRz4kf*bJ=(!A_U`6l|=>FQ%gyXTz7h8>CaaSkKA@r6(}dngR%ooZC9NU zG-XbRN!ym*F8@}46z7F<)ILJ*-@2(-lG*etJUr9x{-hC;o*D0S|&isgZhVH>+@T6 zFHQ>uzNpNEPf`2foqjgPu`j^aS*>0J2mR~4sz#v?c%m7Pj;o6vPG7UzzDZ{M;K#?< z`PPoQRj?myQ=!PfQ2;oO(NcNI$_d@re&&$4sr8OQNK$Uvi)Yi4A0!pY4J@I@Z{R0$ zKajHeVlVdhRoKGX!9%EH;PqVAS?jV~d|nt7=HRjYtQ!Nqc}3Fg--Ia6`S-8b>GWH^ z?Pv^3194Ycm$Zl#{ByP>1^47V=x78q+aJCx#(;)#(JJaoI&uL5xLp(>N;;DCUS}uz z84k*Bg%SyB6xGT6{x2_68#foLF|NC`$^ z8K}gRL+ea?31L3t6S0xb?RDPq+_!_BvvXGq__g$RY0esTo9=Zj5_+n_y#+VHa^`v) zpH3gKY@G0-r8N{@%Syds+^=lH$_XPDj*sAUP0Lkwh0mk7jc14Nb4G>TN@;0n@ zPadzWb>trI-v_ro!%RXJPf*no%sAx+&2^WkQn{?seB`64i}}wxV5citF457%KOBhSOHJx58C`;alb6#Sa6q-C}Hd5qm?XXl~y=$@k_Cay=|NH#zhR>BJ$8Q0f&C@bV4*(B)SY#H{T z4i2#!-xI(qYxpXpUQ3RGpc&;zF+jm~S_|9s_UCAHkUBHOltjjkIk27|ZyNANmHeR9 ziM8TQ!~pN5!5nkqQ#I6n4?YkdC6Vo}3cjr#?56w8!2(1D2mcoVYa=lCoIpcx&0!iT zkZ;IzmLF|$5;`XNVqA8RIm5l#IVC>$65?ab(&Xt^J9&{IAb#)tin5NSmw+V{5Z{sa zmRks(b$HeM79AmQJqsyJPbc@_Ta@ttEC54do2k4cLXe-NVvy6Zk#9w@3OF4gdc1%d z&CVQ?oza5lu8wXukwN{yG&;tZ$};}r^+R4UpUKafo{jxU%-Z}B*o-CkAsS6D18fG7 zabGm8_Sjwl2nJjjQ7eNYy#lv)Y?1zR7$GEp5eFX0mwDy1fjw-imBTJ;V1R$OU!U|~4`$B}Td*r@Bg^4Y-`mrN zQtg+Zq=vnD3(lxe^;X*{V%N=@1`--CXo!AW=DfqGQ1|k1NZy+5B-O3n^u!sOz27OI?n4#p0cyu>MR@-a5IJN}-+?^! z;B}H50=XK&?>#>gJkclGn)|R?Xpc>{Fjor|OpV{rZpR{A00=1$X0-`9$8pWjzHAtt zO+f@#k$fNQZ0r5wJA|lg`AbZke-=qVtUPO8de=QAOw0G5Z>X#(tV_y`c=}AE&f;Y!1T39CGBkjdUr$CeUs>VB4({7MNASA;7EeC-%Z)h*LpaFMi>a)` zX=#hieb?^EeC>Kc5g2m2fe8Lr?xu&6fWZbVa7n84GZ#XBShO|?EqkM6o9A1md;8&_$S;bGJ-~2{E(8`gAT%Y{O^53fQ7d%5bU3fbx{rJP zx3=Z8LYL(`0XApA;LX2xcoy&OPey9acEN14$?pUo`O}v!Ni(Q#?V))P{=#=BN)CAa ztK0LVhK2@%_st+oGs8MdXMYf4^oM|G^FxCTG?cBOMyXjRB^kk)Z6X|SR(2MKS4qbLC$JfOyF-3HRYClaDcCvi5w% z*11>~BX{2jLi@EoW|$sH6u>(?MIF*=1a_H>Cy-178z$S+Dh3&C1_SM!7InDW z(qz=pO>Gw^3SG4?we(6)6N?9)<7}}9r~ouD35szdBTNFKc>8v+!V7nYHDUg@)51Xg zx)dKu;eof5?__Z#Io;nHw;5JFiRg2$@)+NA%iy5*0Tu5H~rDewE~0-=%2A=7OX3Y?xJxDH)q>@h~MMwiU%22i+yq$~}l#hR}P z8m)7hl4eeB6mf=5%&j6_wey%1>|>J^H)nWR+6|JY@;emm%V+Eh>NHIXMP;5{K|Ky% zvK?P+(llz#E#{y4iJLvMJioo`PSUF$WFop)w^u#YqLgwT`Y7D3~ ztc>@F-8g*xNI*MC2UBIeKwP!xK~c>{SS$-OIeRMJ+$tw(_#;W$!&O_g=zZ;UB~0TGw4K15Sshks=k4)E zo1<0VZG6b#g+l1J#UAC;ZBHq9C7oMur&e#*I2$(%$1{wtV$Ed;tp9yZi-`US$B8BP)?#?8nmm0_uQ1T>?2!NO0vW|> z&bOP7hjSg3C3moO?T15YxeZRea%khPkSO=ka`rZ98(2$NLY@ZpjlSh|aH)I24iLjX zU1c2&jcx`mA7PL3SniokuS+p$Kl>K8KI+N8RdURTm}rQ6^T}SP5_ev%X!Y628ZJIe z_+js1yS1vQory$>5F>uQKI8IJ4$u%nHthui6Di?aNq;r40DQ(-kM6NaGVF@KU zSaNgVA(GF^_euo7f?5gqdI1S-2PkfeXzi@1jcg+vz!-IM1ESROh2F!fLQc!aFYWv{ zdWF|lnpcCX-p)J3N3Wfrdv5N=^VpEjD++`@PL?>CJVkDv9eFwt%j@C2a(3l))e38r z^~4bCvi=al<712kHdxYCXH)Z#5Lgf`Gj@q%Gj=_K#m|)q?K$&=#OT`kh`Tj|4b5Xsl$SZqBb<-jY!nb0R;|jnB%KbK6JB=DN_iUp zW^Gn{&%IwrSKOYgmR(txxs{zFt`5{%nNE8&EpyU@ty@47)7N_>tIUvRECVlZD}dMJ z75a+TRU>h&@gtUHx2yvJCYhz?a?=LlA4?9ghcqm;j-TSBw{s_4l7f=*Q<%NR4g@yQ zYX58)-IhF~!Ugt5PH$3XXBv_~(K6sWz4^c>6i%-x<&fGdDA6x1@+(^w`04|e{h(}G z`91<|iSlC{1L8mv^{^@H*)Xzn_ z@eJN$PoJ&5m&}9n>1pK<%b9i%t>$_~Ye?lLlb51HVy72CKH#oGSLTXjZ=#`n^m^&U zh`?$soCxMK=y3QAXT|$wiaSegHWdNmEBp*gg&Z4tJ&(x0T_77G;;N1b8N5We@8?6Z z?{699dy~~iyyGtFYYTn8!@*=;*SoW8Wa&|G2t}!Ihz-&Bg$=k!kmdzY1h_p#J27}% zVS3)9+vRq7(bb*YZJ{Yfn(DbV;)aZTOL;dY`alS_7j^*lchjFbpcqZAXh-B?S_9GR zb`P((NWC2yKVL@|8rgD1f?p-*X$7*+5$2sW2ei>%;#lv$fp|!<805Mkentq=8MBf|RbV?CXFXKNF(f@QsQ!cJy%@Hq((g+I zC*-X4Q>=H_T6|`X(qF3&PATLuo7no+7EfkYIxzcL-H#pmfp}p}?FdAEy*%gRKy*)7 zpb$1(Iy%nCRrAYi#{qTjZ@NMb5lyjA!bm|yzFiap0M?f4ED9dYzmVZ9-my&Nb&}>c zJI~hs7ki3iS{Rq8A@w;miy@TOT3!OPi82Ek*W|h8nVynvtBxV--dE%Q8#2n``aiP= zRUYU4NTKIzl@MW_M!UHN+m+S3`+H~%e{O^I-EH|YQN3JgF1Cg0tXnkBDT%9kZJv;d z=`8kXHMF%siun1KWcsyo@U-t#f7NK??(A~Ag?WY3LFwJykf;^ngU+8u9zL8y? zgaD1AgAV}slO^AX_1~3Ex(sEdv-yvq;!CZso_20xFL?j%13^N;$@1&!U7s6i)xK}u zf7(^wn$X*1&3MAg#Mib90s4SzMHAS2AtgK*F42ZX9Nc8YoieYVNp_ISKfU?|&_O`} z5gt!>6IgJ|l=>qU5knjGX;7A{WZoI|rA1u6sKN?(hX5AsL>moO+}|6+cwnDc;>mMC z?~nwOeiRpv{HWyFuZ3ySv&grj>dtsHz@w=J@QuYW8P*~;&pb~aTFA%=F>oW{$4j#0 zZX+>oN?#fP6m{Veu|`ZY)#$nxkY$}Y42YiJ(*5QGOD~J_r(g^;#%Ih9lT%tMWU&Mm-&g{D`p&#^ zVASWo#$V?Q#kOtav*Gr12xiOuS7+orYbUqnDlO=yr`*VF&S|toUQWRw(~2BD`_HEr zkJF(pcR*HYHh?-je9`#IxbXJhQt?{i-N^XLoW0Rsp*nUF60Rui2D!AJ>ftQhm$q~0 z*hI#* zJW6|C`OD`G{PK1d?Ug)&#iv46WUVYkNVf?-r(J4pVws}q-|--3uIMWQMyuT~XP_L& zebjYb(vuD5>c*_|8gk`YFB~#xt2gf_&Rfb^Tlws2F^7Jd%K1ZO&_y+)<4-~{NK$Zq z_s5#roqeW1*D>%62>)*Wd|Xt{-FCOd$BbwiQ>Hhx9BcI1tw)IrhCg)gFu&j2eihlu zOX3v0^-exNE($s7Bip@maKeyQx8${Enb0O`aFnP(531lmY=%G%>;4)srq8EZ4B~9V z-L97XNI-b-fvp}2hUW3}%_0sDcJ^vhRjTudLz!gK(D38||0N1X*}s>U&#TqM?kA>y zH<3=M$&UH=mUw_PhN-=rJ|+S{o+^Q*K|jb4+7gPQ!nGq02h!m82w9R|0=v*aWIYlW zJqNLH@{f<7&q)WHc+4e!MzrCLIf1^V7*c3j-H$H@^aJ|+;{NWHrC#)CWv6iud5J@R z4y_jBf_e&DPcgj<%6EyDld?mQgz_+uS(H(#`VXapOsnm@%N2m+Vv-82Q`9|^_=D}~ z^{}@B-|Wz7z;|^i&!{^PK-FDdr!Gl3q?ta_(Qtj+0_*`6{i(Y(Lm{NLLT&pe2V7sc zz2PbY(@8i-^K#3qg|!<`!OBjCYL;E6SI*cBQtnQ4=mw*VmJloKPTJ`(nr$Qf*`5b! zK}5?sMoeloZuD}xTt6OfNhjfRpn9(}p0&8} z|8qSz!*u>lHJW@3ZfEN6YyUheoU<5BCg`S`kzu1@2bi)PE{WzJXz zCDWdBH_Y~koqKvdi`j~kQCVfdW`Rqm@{TC+-?G^;m$lm)^Ivv%z;r&QMGsvBw=CD- zgkJUZ$>|@XbtXfA(?eldew4`bflNVs>(0GuF9^$Ny*Jh8M(dMsTPrS5sSr@$wEffc zt;sxjDULh3!odiJF@2mTpu@|Hz4y=f2XbV8VM90JUgdME6IBUj{eN3{JT3N>AwNzo zyibr;2$-)dx|AtuU-aTUf3w~g7y+<<;jm#M$rK+Cg@vcqPI|FsYjun`Or5)aD}of2 zj#4SI9v$pld3$wQaGwD-8trDVk2?`a<}F{nS1=S#O1Z%68ksDWht2z}2kSUzjW^t; zBL7V2t@)rf!5%zYZ%KL)%yrLy12X;bd3N``qd7R{(QbFk49>mMqZ|_t>||&RBFgH| zvCANvpZz&&EIM<^D-hkK?n5c9Lk{lU;BzI{fONWw?$)~e4^9k zJQ2R+RfV$o#PgF}%zn?0_x#WK;t&=Oe;~I6?R+Oa{t|{~&B4y+K|sT=VXR{5ysURERlu%yfHo#*bel#9;9O2UEG%CUg$gK4cM zz5mREXU$T)-9%4X9=|MTiNCp4BdzqB`4Rcv+6Ou>ZSH`c7?5+#2$Fa{X&;;Ai*J!T zEBL;GOR?f6`{;HS(_YXw_-YavHFuRKG?!hJHAi_Az9a1TZQbk+PdM4qwQ-M z$7lHcf&*AGkQ#UI>MnIxl)lsTu}{?A?fM9b!Ie==&x#tpRzbZ;{j6 zvt=B%`_M83kGB@GIN>i`@UV>7|ETLAuZPK}5lpHP-;M`!Q5msz@SC-jQs&K|V?Bec`_I zUiWSbQDLJi#6i=~O?$UMDMI4`!_UPiA*tPdC}e?WfD9+P(DN>HMWcJ*-<($^ntk|n zC=cFWoF=6aHnAMAz_bIZoZyq+G*cuEc?9ou0nUd5DjsZM0IkE~_x5Zl0G43LDJmlm z9X5{p-UW{A#q17%9iVqig;&M)-i$nu#K`Av&FyHpvGlj8TrOe^F~};yEb_3_xs=@E z-cPwf{t%#w?E!xKmPNWAHO$B+r50E`afSCR{}9PKM!D|!zlakCaE8udK5&mZU~%`c&OFiys6iI z$j=un^m6-@G;e&Lk(U9>f4AjM zpI>P&ptC<5(O*{8*B%*7?wKTrJ|3Ty5SXTZPZ9crFv1mWrW5l31nEmHO(e~P8>gRwY3%LfKT;*{I%Bo(gt8=YG|4hODD$UjJbq}!(`ej& z8BUlp9!vM&{c3KRXzOX1vKh%wNI;7$i|Dguo zq}}wsTG}BW%lQBmWku7dJ3!^?bK&NtB|;Gti!H>=WY7dF%}VYvpX( zufJC2u5U1GPi1DfqVfu}$ZgLLT#bl818%S}% ze_L)RdsgfllZG(q92+Kzcx~8%Yel7LX8+-G;|`gg*UKt&K^-q#W>+;j;@FLjLZ-3?^aH7XUz!&XX(vapbXgnx+AFw5O-eDmHk!x{>q=Xzfw} z&h8i!>neA4cS0?^J&U+0D)Z`*?q_gQ{I{vEY>I(wfric6*iY+5uMMq6V~4MG^ZoUb zPd|(clCaXcYB3Mhnxy6CDB7hn8uZ|!!$H+*OSkslSFU$YdoB&g>tAdoWps)zTT6tk zg7Vw531nhQm21ftW(ufn_uqi{@XO8>K?rY&DvJZy5HLUd29mbkK;2k zC!r(Z6rv9>lWlh00 z24eexZiZ+czw84@rw$Odoblp0Fp3ZC(6G+eKQk6iPzgj8rMnG?2v^MhIuLjP(|vh6x+S0htuj`C-h{_yoOmgw@9 z63G3a1wH=ud0W>j3K&lG!2h$cZSuXnj z{(|zuy)4pE_ak4C%Q3$-;}j5JhMyp)$qghm2L+z(-eM*=@qD6U6|exum9_HdU-%A2 z@SuPHyTyM@yNHs>+aG^Rq)Q}Z9}!3MRP5WehjK4^4=D8R)5hNx??$ zobdDxIIwv#e!oYlR(Bi8rcf$DLmmO+MD6Jg9&BB0=fZcK%*C;fmvBYwP0)nVFoWw3mDYC}0|z91!J|WKvOM)ASxH@%1=m?F-;-O@#sBN-MKMzIjD3}w zrU9rNy^V3WEv!A7FHXz!-u?lJtleJb2xHhf2RAcss z)j$ZJUin+TOwxb-C7LDQ9PwEb45%aUr_yfHiZ1$YqH%0%FEQqh*k#QaRb3!5c+57} z`F7u5r9$(iJ*p!?mGHj)l0??>8Z+tRo1Xjx8igPE_4nF2$_)C`j~M`s|0tOrW3~u0 znNs)iZHDQN&=D-gLU<&?YI^=hG=)EoBYOJzJ5ef@`>6MweJOa{@0~i7&5g+vBrUuw zC%R)-a*D#=eQJDHlZDgyoY_^5l0L;llz0J3=XUxR>OFx2psCStd-UAZO>gZMzMnb@ zr3{BS8-6E>rycp5KBbkh1qO2YDfks$A(&^KQ%0lovoSc;v1d97db!*;YG=5}*8oP-=%bS7ZeQ?I)DTZgR=~);?`@D}@FX z76{qtPu&S{!wor+-lrU&F*ehNblR4*!fYvhqTgEE7D+2aae^k-p;L$^b$2>^4e#YN5_|WXK41e}yoNpWxQdSr;l@>yy=n?mt`tPUp;U1@+2p%#%j53bCsW&N zod1+cN-Wiyj2+eJG$i&$)zlB!%}&->3a0IM)ecX1)nIcAYJ9$;e^|7p*Y9c=^g32= zH0Ld}aHN-7tdAG4j{7K>5*f6_`Y{L5diK<7d z)PM2}=^x7zdSgcLM~Jx+Rp?0yl_S%pRMf+Ikn~6xRlRg>P-Hb8qlAlg3bL-1&JIgd z+j6D<`fByh6lfHWRW2?KC{>u>z@y& zGY}^8?=f9^#pULB+@?yK59drLq>S&AcH%Ssn^G^^1c@08!7l2#+dLjT?f$UX96qdN zg}hFD&j_QoS)V~^Ls`096fX#C!zpw!M6zarij>8a86C{uztu|OXyS+EYQ>~HuwU<6* zyxT>LG@+^2MK@1Aa-M@Mqe>%Hnl?Qy?=SxK^mdeIw{e`3mFn-pY*Z?0tC?(N4#CV$d9ctU4d;P(U`$Ih%r!}!O&6n`;+|I<(K|n z3ze=?b80P~hY3xJ#t<#OM`4n}X>plBC#SKOFwna*u=BxpUt;}fVSxSTv%#W8Bf7_2 zAXEF^sm`@-J@iI0-!6|Cwu~gJFBU_jA=Ycy(t4N*E5wYG7B5EaGd{5+>Sehf4Sy-=%Enp4VR@oVG>`bmPh9TOffb5U z)x;BqOqz1(pJUrmAiF%~BcrC6eCjf+W=vraCPY6w0iC2nIg6xfHjB#fv^Sh2`2LE? z8dav2Sl^#*6VI|sd0F(iSZa(M;;?>t!Q`76w0vhDu`5MZEDXSw49MH0e|~4DEcA$J zfvyAU)~FJytVe76%NlTVd3e1reWlGY>V5VC4Qy<^@KD@+@wS>HO#X@rTE0&J% zs{7n!u;YM+Uw-=F1^FY?sez@Z(TRnSeDZO}1_dM!MA0?VGk@Oo8h7L*8-R01(SE`# z2BWx{5uDg3=$TuN(TJ6J6Z}1$juS)Lgnd*KgloKtv2Jy)gk!;O-zX5*J_&d6^Jg9l z`_1vV20`2&KOA&R!2_6hP-8YtGCr?9ON6JA9jInRx3$j3AuTDMzi4~n&7}i6)6Ij> zBcjRHVHau@CK=tt4@U>-$1VdPiVyC3oM$daPYN@1_}nZ#rw5wT8R19`4c_GErt47% zXlC=Sss{_cUBtpV_49Rh?uU|<|2v>l@f{%PcuyL#u&c`w%zAaPbW1db;NrQ7Vu`|o z-ieVpBh(noK zMR)L2ZOM8|H?d|a$_ctt*AM#x!aKtXE_fL~z?OM_wn3G7cu~9wNvIc7-${}#HvX}b zCmrPOcYkjm{{e!c;kBqmoSv0;OK`C|}PBbN2f z#98GB5INy$@RAa4XiLfpY< zo%nHlDkw?9kz6R@HrGaCMe%Hia3rP3Xm&6Ma7}8cgd9n)?{k2G2twUEZvxk_VA9Fr z$ma341rFC7z*q6nj?ClCfzaKZgCv)Q89A|a(=vHr6si_k`y85mJ!#Q1Jas}_w%f|z zcbg(+jqnxT;VIxz+vVP+$$QpVhySzs$<^Ku@DC9o011|U;bu0~D0wE(Hes{BF9Xk+ zT%&=4x(G#WCQUv?dY?4_;o)dU*wR;!^{k zjP?Vi-Lv`;{gZ)AG&R*&lCN%3_8v_D*eMP`PdH?I?N0bF_xkfUxg=7J$50N24WqJ5 zcY5*vSd!-z5Dvq_irk#bMInoAko?Y#=}2U?#R22%qu$+mW!aup@p^*ok)3#0oWv`x z^0Dhiv3sqLr+`Pxq9wxKM_f3y*q1YOcMh#K{*=Xk_Rz|n3kJhqo9YK85*bQ0NmO$McigP z^v>iwG`!?b9i{0}lYq&F<9lx~&Cq?IK>7iTwEAhnp_+e-8>BC)0QD_o6A%y+ffq4q zcla%SK((QvT<=vn2k{r*c{QCRp1M42RCuGZ7!$Tv`WyCd3ZVDrj>*!Q0PD}+Tta-x zv^H@bz>cH=M1tXzbo;o9FQPy8kv-=C0QVej+$SbnYu)o~2)`snNLM zdgr?O@TU%v56LMJEG#wIMdD2N65(Z7SRnIlrH#GiW4?fU&#EnR@9UQwv=K0mkxBM% zfG4H=lwv6|?at!MhRue$Ol4RC5sb*AW@n1q@o$3aq@oYx}ol+!0P z2NP=>>CqZSnnPYg=0?BjC){z^I8C_J>t*jHx*vZ^y|rt zpR1AzCKQM73C`aW+tCK1_!06uUqq@{xkQ^h308n=3}PZEP?7Bf%eZP%cMu#gcc4U= zLmX^O4o=*7>d!F5T^GRALydNNBqg`&CH+Bhyn_8kTv873nBPS7W#6>B4&QS(4{oG- zqucJS&O*VlG0i0Orzv@GX5?XIy35TW8tH79*$B|s$5SURAEAr0j_b)7PofG0W^Zh* z0redk!$o9>Erzph+jfTUH~$>s+?&w~?=&kwXJG6)BYBV$Zakwy+TE-VgP*q(lP)b! zMJNdo#3RMxQu6MP?267G*9r?aA~T(ZCX|Wnm!l{HRo-BY6CDxuUWMF)G`L)`=2O0J z=)4Rqv2}?Qp!(vxLL)hbW4v>ynKz<@OdccUg($viV&d?;6;rygueUwTVOFn5)7iy6 zvWKBT1y)f*oak%hU}PyilfyRdhGWH*&XZD|=PZk)qU!#}z$-xj57lX1RXn$_1y};s z7gc@}e@p>ejCn~hWscwy{#a0ZcW)nd0>bW3c3aKt@0+yWVlNW*BR$Fr6D{-D4Z>qs zvnqnO{Qbycde!x?YM5Qa5%4CneplCLKGUAdF!VhR4u_P&;gG}V)_*PXuZ(8Yqe>!k zu(4mk2?(9Pk-h0%L)(g^1q7mJ#-$KXxxWjg7FeM zDwjB8h50B+Ev|{q#CXqZ&BVt{$M4SAt#PcqE%dO3rM?)x54dug&W(g!3KM}(d?OUUe~46kI(x~%<_P#HXRZ;cp7hC)2fKc{_s?%!s4+#M=;8Z<>yZ- zE1xEuk7U=F*D$i$-seGj*AYF=+i(84vJ0fI)VzvLgv_X2%juF7#l*;YH+r+Z>Gwl? z_ynUfgnOE^7{ntuQ3##R4To8RPBbI#e8i?51l?2om9DMvQdG4+D;?ldKWbzV7KI6VUc$zXK1YPdIKwWjcar@RlZh?B&hppi_F#Uv zG1!e7?ey>aib1{GgVC8@bWmaU4Y+ehd*S8F)FAdf`#s0~*L%);uKPyK`;St5+Zz-< zVn|1Bs-tR!>6x+@1)%HmnIFbet4yat-z8ZpjpPequL64)2cAY1rwKW}8zaEZlLTS4; zF`g$J1QD;rCtv-{->GQ5YI<$5^3FISFN*s3aZ>i-WT%0n<(u>oC4DE*AaduZ`uSVe z!E6FR4<6{|EQ#j`IJ1)(%UtorgU0%+XYId}1MaHS`ZI%WD@-C2BTm;GX2~;?o8?|> zG7wXlWFsC}G!LclKd^9~ao+j@%P>?n55~}n~2|q^{_-UwTwD5|QIC~HShg&h& z;1xoNp9ul#hvje=yg{~^ztxJq*lhR+;0g5kM<9X-PNbEj2MVDkut|3HVsXnl=DGUCv!nuf0PL{vG1yCy^hF zvW?u!U)-evE&{i(*0cTn2)a4+&qbLOwmd-J-sc(nQ;dxFb&17dSQg zCi(z$_kqVL!*d!tP^X>g!g}f{n!0gux+2M3e_{g3yIYyXjy+oU504`?4K~C zawLTlFcszYGYPT@#Juil{tZRn8!Zp;D5DtBP%bAonfo+^J?%tKJVuQD*UMt{ zL~}2ZzVfL2k>9_D@hhFT{vNJ* z-kOe=*F}CDp3;)iQxe=6*~l{%W9e2bnx>S^vP+RdzWRtm;v8}u8N=Z95Sv=6OxR-Ec8 zw0Du~X5E&H)wAZ7+9X8mZLQ%~;lgsp8QLtz&962E*zBjf&2{uMnHfsL_kYP!ZnxLOH0)Var7z?Vti-_e5wUNqL$36(7F~8>d-4)V}olA0D?{tt`Js7&fjP|vRl|zAtlYZ{m8ecI7pyl z_%D^l3uTAEv?_pb6@I$0fuD2ShC|eEpwPbea;MTNyVSROa3+^haBq;IKci?)q2P7* z$osVz1mGk%?3<2McbL=U1-|D!zowz{sJl~k5T{5hYPiTX=dy&~8x3zUg=a|xLAt_X z3Qvc?-iQ;b)g}6{%rtt(z}y!L`)-~DiI|TmU`hE-!EC6cMM6u(`_&Z+T8I9FwnH z!OE$8@N12U%R4s07wvk!c{S^uxWZpNDrC!91Z|J!xc7wKnEKOw_n*AqblkhT4~i!0 zG>&j$S{2PRJG=gyYdlPp3J5*aOYA6<@rqV`oS8V^(5bhuy5_>pA8EiGZJ2Dzd?{1hLG@mp7Ah*%oEU~y|-X*Xc za^3sY2;k`M)Pju;h{)bW?zE2mw8ebXF16~NFWFeloT2H`s!5U&Xlyzv8<4&2BcB6qdcOQXZq5|A zKjH04_K$j6*_%~gE~!(SIR*>(23^DN?j=;~;Uz5Fjmgzz6WhoUkVHm#YNKjzYSe6< zm7v~Ab%*-6wViG@_!m5UXx%Vz`ln!~rGBnG=5{(=&iQMBVc9aaHT04+uFa9O=X)?y z@5_b-~I_lADbd*kcz{B2TLF23`}AC#zX<9 zL0Rg_i=F3Pg;C!%!rD0#JZl$=$QsKVTOPYqL}5Oo{6W22*cwAp^JSc}sVV;B1X~aU zzvaVMBRWpcNclrux{|uL4-C?AVC-b|%Em1xp7lwp*EOEn#fZr}pRDm80cy>j%-1OV!Mg8OpeK)+;%2JuDQ;@a}!N zma7T=KPf52==cmp+Vxh_GBS*i5RnR%)B#EyOBy3|=A0}K(@aZT6i40YXj48@*kp9rzxSS| zmb;__*n=8LhfxD70qx^3{~e$c{^{V)L$0Ck3Wk>-QQpVx#4=W|IT|L_OTBux#g&%H zr~9L+_W?c~b13kz(vE6;!Cr>t;F)^b?QJG9x|FHo?7*irdLmy!oM-5{!48{$I0Igi zJwCrt642C3_=z{6IDbk*;p`<_bZ2g(gJqe&#TbHuVsO$)=a`MZl)`(b0JK0$zh00H zchl0-?Y*z1w2D=fc0vWERj8=6YBiK*Jjs6}r{Wa{QLbC3wrWRGZwU01!O^Sh5!cH`!%;lEe*e(%So>S}fN#kzjNGTpanmu|>=R@s+z*D)39=;%NE zS%0yys#`ZrnKnid@2*|5x|OY9-`7=}i>}et6IS>^%5iT>vp$q&z9QW}V~x)0bgk-G zyQ)^KpjtI;ysd1yV?essS-D1S{kdXw{myXWm&aGtQI>ysd=(vgTqRXIzJk(EJXx7l zsw%y9ZPiVytD4q!`p}?ROZ~0)1h+$Si`M1C=cw^1XQ^hb>Z)0@s_JHjYk;*7j!y>9 zwp^=Dnog=w*8}GLZ`Hc0SkuPB+Cty>J)#fRg?*N_ZsO~#epZmP?C&~tlvXLCucWl< zwl1kJYEyho#-I1^$h4nl(Jr1})A~Z&=uh>qA7uWnXzPOCqVJ>rC+Z5@Tig1_82Fgj zcpPi}JkI7fvw|w0Z|lkU>4j4-(d5ljwC<(#?(YIm@pl;B7uwSBO#x#G0)TFg!;#ob zHod&bc^$eZ>~WOEK7~z(Tck+n?XX+Hy9qLYod=sE`bT_4um^#yaT_Ci58DjR^aNx@ zhX)>jW9ZA=7s41x>{fRw}wGBMudvt@`gvHompQHUeA6+A3 zjJ_H^jeQRM#HL0@mrHv%&~fxNM+aCI;iF*y0T$3na}zOoSjLrcVjly}IW~feV5yB0 z7{_ha%p1NkjK8;)`a=6HdR}f~1aGnLvG1Xew80O^@O-e1I;|{g1ARF3?30)`#?KE| zfG2EGroHF9^AUf8f7CEuc9OmG@V3e( zv%m|SPkal!>|4mlVPGP18S)u&8uA))8}b`+9KVB|@p9l`&8n3he{-6*(#3{NJqq4e zmx2$~;hstQQ%0_S{)glB|BgFRHEPu^B?rZEyK%cSbkY3}sq5=~`;$!OI-#;E8*i>_^OW(Ilay}R z=L{at92$Lu;ptZX^lQ85&iT)(@8i-fGd8K+r8lXL$wxJ+S61ybn`6f;oBwd0gXfxj zYq(j-@bk}xqu}XD)omOMU!&in@ky)^u(3)tr5Ub!j@D3y{RU2fk6=}VrA z57^_`XAI~6t(oW0KAsu%g)yyapY#J7FqVG#_ty64`*7?Mf0Myk<^%eZY_IUYHb=*v zq&n3qsOl+}Z?CR4V>@Wz+94`@q0nWP%O+iBy!V)Z-?LXX-VHBDCczE_9&+#!3Tp z)(VcY&*AyVhUg5CUFio{K@gsE9yrI#aH!8^P1*z>H@OgcaGfy)OF69ShySe2ZfCQx z2X6^#%iM#xU@7xY8=ThK=<^IV8b%=d5y*)(!~P1KLY~Dwn~*gle6~+~eXv#HBZi$3 zoe5)uFO9bsthO~oS#GODzrpR9?Cm(%8Qt_5Psq%Evn7}XmV&AFEcO!k{@_Q9-7re{ zNHK2AF?~a}XFLe(5ck_9ee}sk>NUNW{?zGDLEXr{_Wm4y=kdCG?%m$!NcY3~VgG^r z&t8(fko!~7f7-^{w()Z;4gJAfHU&@Z^QewT>4at{xXe^~Xr2}#MP|Jbr4Q|&}rRud@H;axI zT`fA>U;U+;{%m`Q8nwS8y4r6jSFKvrZ7#4%F}ltg>zD# z%2RnNPX|?s8*1W-h^HLBL(ud?4Qz-}%-N4216STp9pj)+StgSGU60hiXsdn_+em- z=n>SQi_@bZMref|r&5Fr@8gBRArk~XoHr4S5t4`^L*Edd=tuv>{aujthU=ATZ9jUC&n73IDIDK4)l?7J?aaB5&}MlC^#I7 z@JG}-qQT-kI;nV)E08%@h(Lv~%Xp$xK-nEn2zChI31?B}%lc0_4q0;WG@fV^0-m=A zWiEoKmE#$-Cmw;7bw&G8`g6b*0U0_Jw~RkRJ@Xn*tTzN>SK8j+AVH77m@F9LXbe5i zWXurYQ54fp1mMQ~8|$G>4+X@ZN#pyESH(Y73=UFqE1iv{3v=`%be2X*jo}*QIO_w& z0ZIv!<_Tpt^M+t;0%1bQ%D?&E@-f#Qqw|KGr)i6)Y1^i4dSc5H+OU3uNA@U{*R4~@ zdh&XeY_#9WYYS8|GfyR>A5_W62`ZU7OC>8;t7L=GY_Q)clU&btIBzT|^t`rYqaNMx zvIcDWOno+gq468v*3xy`w4tQPe_vNp{FP;=df89EvMhgZvRqKILDSd2pg|iyR<})i zb@yhe+va`hyLqQ3ZG1z^);;EBHby z3YEXASR2;`H0$j%`MERJKd-?XK2mp{yVah%P3pVhGd;NQ2`!yCN9%_^piP5EYTeK= zDj7abn}&_mmSJPGcKAe%A2n0Aj$Nj!9xl@Tc_mt~CV0+co7QQ=hH#z4b6IPFwZd8| zDpJY3MJk!_h)RZzRmp%+Dj767SXYnQx>~-{>ajYuY__~9D4V}fCF35pwvAWG!_!o< zba_CtX=70DS3UQi&D7=Xv^iL?SS6FD2G6CfeA3Qg~ad-rU9sCi6sYif^x8tmV0{Eif220u+B@RoVM8t6E zmdU!5nqRhYTdk)-SSOkN)l?n`?J?tmo|2{`Nhj}uL;ubI3$zcVa z!yW;JAcr43_ycvL_zda6ap-9ehY(Tdf|p=AYa$grxEayYANs`}gZ+Th$J&E|6?)bf z?TP4#lFr`775}mNp$899HZw=8DcXb5-}hT_dcz^F|8A?_=rQ6#M;|z_!aU=+#r^^1 zKK)18OTWN7+64YFwv4gwZ)`kS7d)GKaGC+o%{dzt9VQ`r8xed>$)0v%ugOoq8 za`77}83Wddtv{m7x)Q%%;QYn2*+YQg%nSPl6tnIS#+tG*@b!R_nl)f`*1Wr>?s=q# z))W`$iN~JS)~(xBZ0~;Y;-xB{^r(uBe-w|k`tld3xUfjY#>0w@Zxk07|5xR8#YNgs zwAMZgwQ${bjoJ9FdK*>_+O)&5u%OuH+EE}g^Qu3H4Zg^Ao z8@6`eB6YQV@8-Q4w&^3yTL0WvK6kxg@74{)+PuD4^H&+>%_`7U53cotoMRUhYE5CW zHra0**B#hSp8Md2HyqOmTF}+lSkJBdG<5CTnmJ>w){dN{4TDB#{oqly2F4oRj@2f^ z-VKJk`NJPppV9fcYWynQGPOwK78k3)Fyb-8+Rc_r4A*^)B(nXRHI>wU|IRSRX;!RM z@zmKW9yw9P14pa4|40=N9;0Hz(&D+sXKY_rY*0_*$eZH3lv)}($3eH=!pf7Xy*97x?{*?YH@#4o!I4M{rtM49TVKCvGa*8 zf8eCSK0D#mfs=*tOZMG3GvXKne|+WrS3C-6-qt*qvE0xFf50$i_zccq=tBO%fCk^= zAR>6hErL7?`3q-SjBD`S&a*rF_c-0)=CGg z_Ru!U!AFq`kq@aG2P_ufA`Izxaz6}G}3ZpI&!(CotZ(tY$R)AMHkj4}A z?19|D8h#zpV@yRxkD|s$2}3Z4KC5&wtclSxC*UV-k0$5e#=HBktMC-E+KhJ3pZ2caB!OE@O0YpNZ-{_EAlr zHOFZe8f|`xy1unM*YX_8*7k*~7HaY4#hSHcmIf{#sLLO|+z%|WhefyM`($J#%ST;v zl-tYDTOwoO?1|$(G6(h?>?YV+puyh5{+U~v&~+lSpal| zXwXGrw?p^Fzmc1;cj45@Ax-p4$c8wSqSwQoh_fg15po>|9FP;HZJg!@@>~aMG6H2d z48p#ix{*WaQxs?neHC(+>xgaNj{J%~ivy1YA;bBfdZFhzv>)4~I~!X4j018A{YI`t zu0{Sp&x_28O%T}-hfri9o`ZbHZ5_xW=#Q~6l5yBYwu9ae+M#blcCk;KMLC4WxFA!Y zV~fTH8P@9$=MUX8j=|`_=?4b_85i1yL#yjQ(T5{*ldWCI;mBVEpQX*{Cu#q!Gj8>R zovxz}^}fh#d>8ctnUJx;9*A5UjlH+s=;;ggQQFI44Q!LHCqQmR=h<<;11W0-r&taQ zAtz#Qb^E5(ht46g`=ZBXJ)vV{T#^IzkdT8}S1x06h>`;u_Du8(I0e&Y99^TtjV47e z6uFJ`hDUF39RPjA;S~FCsr02ZdDdiQo|37&rar@wETz5f2|8{%+;hWcWXueFr7|&e1V4fDt%lERBp)7w-T2!R|YhLq%ln=iqZQX71x6SEG?|-U-bsIHz?p)29 zFc~p4=M<}m{(f1#wyh)S24Neo$$J+L9%{+s5foJn>jG3UkK7)ewKkh+W z|MuN1o0kX1E3bF>{n&}Ycl3p}M)ml3*uSURT#OnU(099Ed3X0#UiZG5KXR0oEVcEt zZGpzFpQu~r-0pk{zX3+QT@29gLUbm-W?cu7;1;Cea78uYnf*CBgZ?6nH)UF6aZ%uY#-Ch}m-xxQ~4h zdk)449Ae&mFTh-f_0ukVUf9oY*c9BO{opFTJdV-eUZ}_X?Tx>6%%`pF$=r4d_Jg%n zFYAdufP?7FvDt#nU^F*F`z?_XKBIp$Ea8A8^9n}N&Iq3wKgV8c19n#S2KXqUTL+V{ zClVMUIWPgiE*N7Ud)nUAGPhA#+gQu^dSN4v^rh_U&{q@K75gq@$6*ch#EeC9TDNJP z&b{_r9Z}KNoqTj*JQelvE`DT-I`o>N zj@?G9%diJEWyWlmm&nLZaT#&ig5?^z;u-Z?|EWekE3N)Sdei3gOq5iRyT;DZr0H{m-!0Fx{`vSsYhkZ6;ex$84)?4p^0e*o^j=j*AZr8s((>~h9z5rYwVE9fyhCiUZY4$E4Tk?JXq1H!h zFW-Zgv@!mUMB_nU#v2Yp&-{R;UHT}$|6nbe{fHKAny*K;8pbW@rE|yq)qQG^dHkk8 zumt{UJpB0EkN3czU=!Gff9JVl&vi@!*N`8uT{#|XoKY(HIeU1561Y6TzB}X<+(e8I z5Eu~MC`n?kj%^Vvh5vAv5{$v-NCrQUm;4}ih)38F&l`812S(ueaoG_(;_#!(+eVMQ z2-y*y&i;VQPmp7v2UoElA%oy!`gO3H_LYUz9K8F7SbgV#SWSE2v0ycJG0K3qQ9uTG zESN?B0^~L7rXTc`x#3nt4*p@!L=FTm2*iY31vY_+_=Pg|$dZY`3ScQ1XuO*?vL~P( zw*fML;2kv9cE%GL<{o@Te?VL4gZl+D9$*96))DgrJ^L>98Q6-ktFr&Xj?LUS#vz}E z^MuTdEQxOxIEw!bvb67Atewc)w4c2J{U)d|c#1tbzMqQ{i+rlKUejh)qO29 zFRU-tGy5NO3akz0!~K`=zv6Hw?K2#9EM;FCVJUND-&ec6nrTydps!zc?>bq^9X1yalvoDZApMCv3typdE_3T-Wn{#bGQq^^+WOT3W z7iP?!tG?r>s?+@w)xOIZp{qq_+p))Z-8JG7Jv420Kx;U~9wk*>2UEu8GIQok%{R=& zpWuTJ_REI zBFbVs^T=f}dYoht)Y#C+6T&Hi7se^4M_92>jAAIh*yO*n;~f_)5$s$@L6L|sgaF5e z-M+(V6UFSOyFS&sJKl9c7(w~~dY)n5q1dE;=!tqu8&E(J`IN&a+yp{fiC}}kM^ri5 zK(sX?kRlL4>vdaw2?G`ayJZAAl&bOQL?ogbA$+;=5?cCeeW0x<43nK>U;W@!H+H&l zF-Ff=@O@lxCsCg7z3=oDfzE^(6sZWY$p$^zM?2lAmcB4wM$dep1Ykbni7`QW%6!J@ znQxS-C`03k^?}o}w?Eb%))Ql%d`la1!QmqpFzIhdkD!T=`}EtT9h2jUwqYD+u8z9) zDBYTOt6u-?b$$5mhZ@#hY9)=HH5HwH`Q zwyezWjD@uqr3mXkxnEx^eX(15(bh}h7HQ2Ud--0HK0Pp!?ZK8l+p|vvZ|&8v?R#|p zmfgyGd9U93EcF5I=*awjZe!iyUXY|zxSmkJRLrF!(QFB`AhZR zv{MU9-qKrZAD33Hvs`R>y|ikBeU|vYcdgo_b!%VH$l{OG=drz-^{Ty>2cEh6=hEK2 z_VT?aZF*8#Vb5JuAT249)`siq<4;4vFhT$N+tRviLEE<5d;j5nMa;h~efg#I_WRQ2 zr-F7awejJTHY~UC;orOqPrXQ}tgz5Ok)ryyP`{1)%B}W(J*{2K3pIIS4>hNC-+y>Ke8Qbhz!H1kQPiTC;t=2Ny}Moc$zg10IhcXMw5&vwY79syH~qO_ zl|3(Vnt{)we8OnQ0e)_?LOF?Y&v{icz41xvfgZ&chuKl^p^$SW7Dh75^Z}(Iisblh z)EKca+M!T(diXE>q;1r1{F}1WPlS0Aic*YRj(>6bvT|(PFuFzctbTTN;2Z+ar5`-c z=;LF~X98z2G(%_o=THJlJo<}+5DHEolX!b#^e7NfAd_&)!eHt2@bF}MYY)aw6ui{W zJkb9G4*13B=?DEG(Lefm0DUxHM$derxFr#N4@J5g08-Ikc$h1Mp(>43a+1mZ( zZfz>qqzg{JKu3Jv`uig*7apYi-qqjJ_pc1*(dRIF#~3%BZO>!fVZf$e9B#ot;N!sa zp`%~)kAE9I(H&8Gf3atOG-XeJ|44ew@E0Dk-uUjD?*@F}5J<0lvQIN!*rVQtS)-rW zqYZ|IUwqB3zh-4;T3PUJl$Bloj+HfBD=UG+3tri){#$qJu5|&{_Au-N1Gg9!epT6c zdfjk!mfGp7OVxkHrUz& zQ+Fptl-yzTPrhKxbE8yjc=WQ#M9DP&j>KN_dD~pDzg%YHv5fr(V`G_r)8_TtZ6UlB z>_xv$qE7Y`hSB?qwrcOoZ)?vk8_=D$=w3IxUjM9~o;6js^gTzvzxr1JKj9sY_SA8# zjlSb=c$v|1z#AiB^6iTprbHfaxfDJRJ>}tB@DuwE83p4O&O~p0{FciGIIglsM?OTB z!x0iWgzu3t;@=}LAxj_&A@6NTo1B3AwXNLilBQ+Ya+QebU6 zG*Z~*Loy-%mFGh%6M8X7`%E7EG9eElhi-UxZ%md$oieWyy^+M~~Q@2CAP^f%UYx5p8z#ShcPbTKY_p+B;JBQvoV$F>yF z6EMX0yT}_xgZ&CS8v$G2|Kxq&>tlaI219njE{EOk*6Fvpqa!y-L6a;aq5nc3$ITna zdpHp$--v{64ILkcDBXb)J*df<96sO>XFS*mI#zU`{N{ZkFpibMc@k&LWG8-POZw+d zjf@BVGg*zk;9N_vvv_*v<9FO?)c6047xc&@HXe*wvV4KP4mrhd@no!_w{fIA!CbL* z#uMX#gDLW?>;I5nOpfNj2+paDYdp~(^rZ*r*+O|1oht`#(5sTrgQ5@hu|Zy=&(I^+ zo9u$KE6;!G&8K`^arpE=xzro#FP)x$TmO-pxG@Ub9r|#_(w$wAg=o7y1KA6iiFLx^ z2j)0o-y}!+1Ik!?SZg?>QXldqL3hxr~^qC`Ct3MP199rWm|CG__3^x0>hX~LKZO0Q|_2Akw>EfeIB;I85L zFg7@SCkJD~Mw*aOZH^LSK)EP0KcTMW#Owd0-h;2uTl3~iTV9sl{zTfn*I(diujJHR+i>evtB^XZPyakHeqB zbYMG!wA--nvG?|9;*-17-JW%8$u8Zo{tNY8|EU(NeN}HQHy*Qgqx6jNj!#Oz-=A1t zR=>4RL!bCk1Gayu#jowt-*-jr3UcXZ?tkvPpIcvF-lM)-zR-|u!Pu0-Cd%*;*gI>H(&1mJ(>Q!543OdQ`)z3z4mQ-Qv2Tdduf`mP2Wn6x9js=+V|Wm+P8MI z_7!f?zL($7zCC-iZ`T*v_x!8&{ifjimtNPtFLswM8_zpF)xM`+(mr&fiwm`HQGxbh z+uX)HL1EbRLV-GtJtx2>-ecZb-ropY?Qa~{IiO7|;Yrx9+&&i`1_1kTyiau)JWBYp zaDWbs{K=I+alXba&hZ2mql-g73Loc?M{>|J4u`<6{cs`ei{TNt2EN4;_y-R9O-=BB z_^9zv@Dg4Re#R46P21d_^)<1Y!y^AKtVZ{o!0Hf>7$5Yw&%aaJmnPDcx^6YZH*Z%A zn>Y|cfQg;Ece-wpdJ?ycMf8y_(8>`&f&(vL4FTe?HG|1MmeAYS#BHRdY-?oxj@Faa z$=Gl}mb$+D(%x^Y+XD@-?x+(w=xM*vGd8r5w$f$-&w;({7dViE{*?U|_>5kgy&8vf zllL*`s@cE!x?$gdUyt>f^+?&|eM~%ItHoZ8of5yZR9L-w1`h-KQ6K?9-GN_v+q_ zJ2j@@U2R?cjP_zDTwW+GF+L3*J@$;@(}!W%Abn|nKmNf!O?>uC^?B?|J^I2vz3_2V zcaTdxGgfxzp3tAj_89jYp3Z$~kKXz$X`KB3VcuzL>IK7^0>dEi=2^p|&v%xliD%Z= z(=Xb3+o*j-V3T21GB(B9_HWP8GmXdWd&Bkt>$eB{f+t_lzE3_2zJKdI+b7uftL^(I zp4YyQZQmJhZ#>hNSKbWv70ZePEX6mdWVh#%MWAi2RUzzzo@^lso`_7?0&pAO02i|HKn~1vwcX3HVF= zA_x+A#B==cB0PsWjsJiL$SnAR#1lM{U^^br6y6`oj@T!$O(pwk!h?}1zb;l2sJ1Mu zMt1yHv6_Gk1m|}Ag4Qya#r}qah4B=PjmwS^elm~n;t-pDbNz2zHpT`AHcc#=7#!^6 zcjh~!2NQXYKbP{!*vQ|Er{M(U*&`4L0R08y=lIO}h_9!xJp>Qp;3s|M=28y&`}&CC zvtx(Rvks7**{AW2;D5t`MxM_nG9u%|K}!xJvd3d>CHs(ZdpH-S_>G>d8+?LoEF=3P zI)Jj0+beC&bEp=q=I~}RR=@P(OSLQ3Nfo0>jZXUo(%JaXJ0}dKu^*dm7=+pYrmwI!j|CC6n${%Xk z{uho$;D5Z~ojrQs@tx{wdlPiEor^xxz%4tq?A6_RA3v8wN?qTJ?+B?4t>0S z1V+Z&719Wmv>*C=)BSKQiqd%f2Sl%$5bMZO)-LHNZ2!`B9+1>=t>wcc>g{9wMM?n#K?HJ? z%=SHkts5{xfe^vi4>TcMBQTn9_@hogQk!0FHR91xTDEwFRxVzp`STW}jQ-)7C?@f! zTSUAhLRYW;K@_r?GlPg@k=K%G;Z?vxHJ4F``nWH<$ z=WD_YqUZ%}NkpqFE3+;uzo3`9!ZH@?3WtE28X0 z5$hp5(X5f6nKyffKRp9JsXfFse# zIa~m5M43niQ#hEIxc$l6K~Mqe=a44PKxv8-2o6Lc%KY007zpR^ssF z{E@c6OZl5m`!~FjLjout!6z^m>;?Zh7{Z|$A9MIUpH`Lw^(bG71jsF5ejtK6nUgT+ z0{!J63iS|Kk8x*?z%vYiu2@AWOa#G*-sTBeG)zW=CB9#6ZIG4ZA6b#S~5Lz&0!J_9YW7ugh;X6 zn1zDW=`mCiLEXlPea3=qr6Ze@P^1$@mwgQeO;-T3?ip92$uSQ$cRY`^hJrad(1b%9 z*o{+Bhfy8WV`&dfES{*lhup1t^=w~onC%OGXyxl#HVprt&i|uEgBp26Mf&B>V&8D? zVQ% zK_db_(r>8pCK-Q$j}ajgo{)-P9K<<4jC#)Jql*hPtnl^lHjfYg;cXsKSt6%Dx_GUI z7rvIb31q^GZJJ|ymw4Iyy#4gxa1hP?bM-Ks1#7{+K_&0$!R1>HC>zgU8rTNLfva~K zzJjyhZNG=+IQ|lecHsi}_`D$MD^b=Bf2S>3qtOMi=RRT2eQciwu6jccPFk!vy@xo? z&g<68F=U=)aCW}o?SuCYQRm(hb>V;+x_o4w`cBT*41ZQE%6eHjjfL?o`kIzh>G@z243l${R(L zTiajKKJXQsr5)@M_%0qvp7$jc_Q&g-K12DV#wx$hK+U#spEC3zO`MRghaS$;?1v|7 z{zF4Gd17~UA9uCR8F8kv`ey5Y?>J6By5dK{JB>jKqYC^U8O`_)GDXDq3Br)VZb9JnF(Hu@eRjh4((&KWe+lY%WVHWN{k!*#gGP$Qy29kM-r7g zI?PYPFbRG!PDGE5+Q9w+xey1>!siM@>OgmZT!?co_4-^GJ@OLcguII!8&A>gp2*|Q z(~TY;&lqu|N3vrWhxd{3J?bm;;FHngB#dr==)Lijptt=-g5LIb(4!lO_m@P_10uF2 zoN7XPBCq@S*q$v)IO&4d)X!c3Lnwg;250tYXB4|#u1pqpUrhDK$^ z8V4ozV$4BVsr(>ic`8rk=}=06we8SIVV4i7^wCEj>6vGqQBhHmR;^m4HEY(Wu&}T^ z9|F0kpg`*iR;##Rtriw<)tC)$yBs=b(ie=Zz&=MmBhB3UhE^4?ciLj3Ei5cJu&!?_7g$~!{Jr6^4chYj7Oi|{ zrG~B?>T(AAVw~q)20|}`Tw@tI2)PM66mk!GM%SycpKcxOb^z9l+Y*P zxR0F0jUCwIkS90@8Fzw5-e7-^Ue1GVTAk?PaPCV^I5_%EBItH`K6){eHIBV42)+^p zbBhvk3G$K44BSM>jSpuW=C@rT2PPjbLAT~I400?tL*!aEITpJl@<4L%Qf|6%{VsAN zb@Pl14^z9L?OZ;K2b;x?#?4{eq~dld>TVOrg3JNW{@}CyQRC6iAy48|>3TeFPO-Ky zM$7{;Rop=s=Ue0#9~fkP>Cg%13ZiI;dg zJjf7w!Kl4fFKdfi!I1gUk)mT~Y?0w2IU5-by)Qaq&6-4Br|+)Iw#>8W z8+9|UtnKK4Nphl{*f48$ujw{o*6G5>7wXN=!h^tjr0L_QE31l)B{s>UEVC|ok0Sl^ zMZuv>bfMU2IZ#QE9vr&S+hX%Zw&mcCzk}FH$;>60zpcJMUHMyGaYqN`_wB34#!c7O zxl2{F+W2Tmi3-83zxgGtEi5wLy)uDQl%Xv3%q@9RBQ|~L2PuaXzpbfDi?wuqp4KeRSHbF) z;XH)%Ve?R2xYluCtzq9>t9$Izdo}Py!;9CXewMjq@{wiFYw5UIDjqUgC6gwrXo=08 zm0!PMoi?nq`d6*hz^RLM*|0gfc*tz^p1e>Cmao?Ok|J%eT$D66{Ch)jq1IWRzoJ0> zX0Fjy6IbhohgNH3eu0)3Sh;mYUf#8PQKyC+OSU{J)f*2`nYhh z3MWhs=*K;*!g&h=8tAEyzrW2)KgcUrsc`Bn6^@*s!ch}dI6KeryO90pwAm^gJ}&re z#vJ?3`seS3wK-l7?O(cFg;QpzaKv~O4jiq*{-eCFB~zwpONs3To-5YFo2Ka6Y1jLE z%)w~-qv(LQ|G7f-;pavI_^5Z1#7?$^0i=dT#7WE1EF8mpuWtfWYiaOz;@f$8VoC%)0 zUkvsu=Z^Z4T9JF_T6rMqO!^|VEJks^2=*Gdv1MG)}*Yp)z z9)4T+IWa#Rej%Wr<4CBx_4eC(;nq`b^F${N7U5sRevG|Jln9u>GqD-7uVe29K7ys- zI^#&YqxxCrtTWaOILzJ-d`qP}Wlw{>7OX~Jj;@zON>9GCKVaSFg3Y?1!v#9xupHa1XhzbXk*w!m=w1*K1123mUj_hk9<6?tR*D&9HQo$wf1^ zy`z=In?jk%#@FhJWJJg9;?0_{@h!vcFVt`S$9iz(HZ5GRK&uwc)!G$HRN%N|W6hY` zSQi!X+*OX{3pYNa3D54)V8hY}Hh!kLGYV8Nbeu~14pwpBp~j;hP~pTWDxAN_+q};B z`^NPpDzN8I%v-J-AIR7FgJ$WD@$)og!3q`Ivo;zYmhj9{eYLXo9eBEOO@SWBU#pu8 zN3S$I?K5+&@>kmL;IQFoQB;<-5yv2~1H5^3rV3Zs9)aJ;&{Br=MCLcJhV>R<(`wsy zc>hECZ!@#L8UM95|Lh;fJ{0tWcY(ID_VX9mK4FsWGp)@JPgCJC!%Odv;c4`4PzU%5 z_RcaKW?wPTaJ26T$JC;64{6i##oF|AiSnOVpzaHMsLjweE??nm;r;_5=D`o}iA2_f zpCX%J5A^pu!W!dw+)j%x0{rf!_g->;OymK0FZ{>pBiYJ$8FtEePy#Rk`wf0Njruim zyvBbM`&)8C{>5*@c|UkgwzeWqa?wZfVSW5<@Go(m7Rio=8MJ|0_>*Nv>}!5WNrctN zj%8pqa%5Rpjr`?U9lL3mvB6g5JRPi{?a0+(P}5|&8o9-7YhVjE5`(8Umf#V44G#Cm z6NgjXCy2H%Kap$-*3lm;i_MlX1Y;5bTp3F;JRAOvjLLq=%Cg78MvK1*c;No4(1x-j zx{Js*$eeN;GdGrksqR}tozz8tZ7;y{2tI-Blm6kmgC7^Zs&3nh@CSM;M}JsHU?VnW z#ueP;yC_H$&Rg2TT8Knf(KOXAbOd^f~O`XcxhXIFwAg z+0%g4%nPpoTe=If(Zq-%KuW-7EW!)kW(xd&_Hjz+~+K$lfmp zI@{s4N13|qeJwAs{cT9=GGfa54xWt71=~xp?HQhZ_F3)N@hx1Y@*hmt+RD>+B!zQQ zp2|~sDo+P(iYqANiKruN;1PCEX1QR3Fl0gs0t1_R3_bCLBPsMQc*f|72*jaD1c`Xs z`r1}MWa;!M22DsoA<4fnrlRaZDTl(&BhVoj+lCxL03{q7dW>)w#V}kUd~m%z!ZJdG zx50!Olz?pZ<4Q@s!@&{Z8fQ-wun0FE@srJesEFgxChg&-B-)B2CGEygi%}ONBT8>q z;L%?b8W5rp%#xi*QRE@OxL}z?&v+&~krF`_!5Tweg5JgxVTaHL9w1waRMHw1qC{K2lv)yrFy7f2ylS%~S3f=c{h58vc8F z-8w1CRtw5DX|D6T4Of@tuUpyAbk*nu%027CMA{sXsTMA{@2oF4(p8~mx@y(UP$s`;)l(+( zVYyCPE!D1FUD?fAsN-#Yblcp=b^qh`+*j?>gARyB{g-LI)9eJA4Nmf|qeX!12M#;KYOC z2qO|kDwK%aNEMH?6(t<WrFu)bpS-hQL!Krefki2i}q z4+Q%y=tISAM9+A!&w-wCgdY5Mhc4(*YB#oQILkbtG*6X?RLolC@Tu>;SpT#Qhb=41 zy5kwp?AWSs1oR;KYW-g)>I`~$uLUxC*}{1M)m%qtG^oK~l{YE`XdpS9Hbyvuam#1-nbahEzT zdO_#h-dFW=8u{;aY8u}!t88s6d+w#WcKmWHyHj^9eo5!v+26`GR&6g^trUG-qX&mC zA394tia*kT=L4(-`)-@FU1!~Vuj)6nvelxphDWjICa}#iSa*`)D>w_@R;it?bi;*u z^)i*oeA&FE)yr_4t(#s~xo2Ob%ZAR-Jw+b|&o!*Q-?0AnS=-d{$}Y;Tk>)sC=TFBv zeqOn{Rpw@}0rd<^*oxf43aY2>p|Msr?Fw))^5L}SHJ zNg4PfauxUr&q4M=zJTX|k?02U#kfXdg0^V>K8W%2u=q88d$4A$*wq2Dpe}j02wZ6L~Zq zoCf)ZJqK;XsEcC^{RKPV;m{N5G)fqPIb2Hgb;lF-K-PAi11=$t#uM^)C!1F{e8R7p zOB)l$4BSh0J|@Tm2UOiC9MRicGWHlRlO3R#AENXU)F4jJeghm%Ho_tgqc?DRup^|$ zXba9K8?~8ZjKY2!Nj<=Vtm|5$f=As`+>-)&e)R^ z4&JtQnLqY|L?TX(^qQQ?4^oz=@>HGN6do?vVzggpkDa(J? zbBh+uy?;#`X6ux5FVapfQOPCqN8ZJ^v1EmGF^ zt>k8wn^> ziZg?o!uTHh96IJGAq#M*&~Fj-XnTj2eb>h2^kg}FtQ;!Q`U+fz+nxbW2CIfTFAw;i?xDW?``KdvaJ(>`9=Cp zY@-~?K-MA<5_9Ly(#$7qw{byl>~eqa`iX#j$lwH=<**Bhxkiqr4i0i~I1&d~WI8^P zeUa7NzGwZSKIAr>dy!|6=h020FG%Geja-iX8J#uuU*u+F;eo3LYKQ$tS~_j18r5zT z*d%{$xuIqBAl7GJ(>697DrL;008q3Ion)PLR8(L1ucaF#q=pm;1*Dq+X(dIZTe`cM zp#%g;DXAf)yE|p*Zjf?_p&W8(xbwYt-MfBk-L=j?=l^}qyWhRv&+|x}vGMb{1KrEx zIX&JhLSiloQ-f|78B1mVG0wL*6E#+9n>y8HlvsJdI4ccbH>&}5nut6y7e<_xXV!0u zM#hIeIadnq6j{A#c1zl9_I9d_0TunnIdGn)Gs&v7j&=7uxXJch!#MXsv}w$NE+yJ3 z5b}*c?#=FdNaku^O{v{CwOB7G>mqz*`h2e5GII4QqT?-*uTERQ<7``vhiRkznxY!* zoXY0s^Pu+3sZSo*!+>e%>!?jV?}at*tvrIKyb>`-lp7IhegPF!KgAOV#AOvmgvcOo_{DdbFa)>oj`lYK2Q15 zBW1lIC1cs&&dRCa(Wv0my&~Oq%`)P!$T`B@qk^0J$R{A~iP(vgld4k0K`9jCwJ-%` z%8!C~kJDZDFDN-fqP(Wl?c~*iNWs?SrihZ_rfJ<~ zBX+HEg9WYr^18VWQvqYXW93+$^i6T;Q1`qi_e>l;JRV+?Z}n0g*pJ_zzTR=1Kne;% z<7pY8`t<8j5b^-J`$$ZrxdrTF_98*&{PzOzSF~$nu-#NoSS?ns?q(XM*LQZ$uXOlV za_DBH*Fzy>Fx>_M$(OF2EwX>HEzz5A>I=f+Hq%Z;Z^J9ugn)gruXeZF>* zO08tovG+KuO4m%b#glr_9DjdF_e)w>V@Vz_nBYkXXB>493V+{gDP7njAp5|ERJaFE z1=T^OH2gt)0W57-{+)-d{}La_?$WF@xX&>l48McDr%Vqzd6$Z}Y%tlr5M;H1%MLkO z>BW`lMikP~o+Lsqa&efUze8w{r zSsInmMqdayUQ}<_iSK5Nc^EY`U3fuXJw-xtXC7QU&m|CXq#~zkHO@acZGypNg&6j? zmd1g6;ql4j6SwC5sexokGwAxWUf)e$CEeJ3TCV${(!sjS$)Bas{>wc&1CEAAj38uc z$`?cO`MOSZmwP5HPjFBV`^(2YNx^Lz9DVGKgu(wg#h(D{QQdC)WJQFNnQjzDH}@~5 z-fJIZ(dpP-Or+vOAaTuFYO{sY=Dum^1^0!_CVHrW?WZLZNHc{drtPRqstyOa-E(rQ zIM=5JfQlswqYER$6$Q|#RnfuP20v#HAv;^@^@^y8R-}6W*5DlCL+NTC)kX86f61@Y z9X57Iq4kS$j%MpMv!~f1Byhoc@YC+dW7T3!sk-{;v|D4Hwpc;l9iUN=&XX(lgg3Q! zdLcCvKx{3Ht%M<2IbZUNw%MNE?6s2`l$f`qYdFY^NNn^Go2Oud#Q^DYk=Wf!JIFSQ z>UVkKIybE2n(Gs{{EIpF%kH+?HPi zkaQ43A_>hs&2S{qB^4q>own`%DQ!holyaUW@-l=Og-YW_g+*ZwKqr1*nK9O}Qs15K zeb7xX{QHB9#!i{UrOrzQ{ta$``ngK=J2^XZJjNGkQQHb2&)cy}U*0By&=jN82r7OQh0{$&j=BSD6J3p$W4 zme*NH|BY;D`Q28lap>?xkcKZ{(R{@t|MZ+t)RQzmLQeK3Tyrv3((P74!xQc8Tgimn zz1(j~Qz23m&d*bMP0U|y(=?zJu=LSKN=Pl=M43EodTsoM8I7K|WMhPU4fAS1L!)J7 z7O{3^3ijuXVg8**@e9b|;TF2%%}HqU7BhWwGn5tm8G5>v^FZHCstt5@6w!U8>_E&Y z%Kade7avrB$?C2mNjgrkHA+(c8@^Aq!MHeuVyDwfwwXM1FUvMPj9y7kYQo(C z&^!?|^T7FU5ho{TQ`1V{!ue-B1rP4uu{-GzHfjd&{MK!+kn|ME#9c^N4!EW3ir|ic z`66e0<8J*i>)apQ9F&Bn*vU!+(m!as1vYkrzCC`-^B-}4vVS~H zRC@i;px);19>r;^wBixj`O?eq&L`V_5%+)QAK#A|CAVs(QFtNaXW2oN)pN>NKr=lR-P&`;>*fEE?kVxG=#7CD;nD`Z5{T` zIkO<%XI#+Ouzb3eE&AWoGIyYEg(tnV3lWGLaoY%gv>sh|T=Q7{U&M{bxCn86pwY2o zwuo!dzqJ-Ek#RrS%ZV;!>HGb}*LF$gIS$tQ)epso>qHV>=YNWmy(SuOr!|5gsMYfH zW^uaIC;n4&C<)zRk7NzvAo6>P_rbvJbfQ(-gYFrlMpYiM53$yw5W=AZNEL%~Se;&H zvTtK#9S~fglJ>!bWaA>^y>?J(R=%ox>*wyES+U2C?Y*Mgf2PCI^+p4Ar->t-Wwu9c zwYL}FHP)^pVz&5SQDd57@boZ03a={)>SqC#p9aa};)fi;xa5y67bhZgueVqO`?@z7 z8BQ>b`2K8(Q8V(Pzq}*ee0GBdV<8n_S&nZS=pHOZw;}Bsd>1tqg+`VhiP%^^jT<$V z@7f6dE_X04J9W+H`P3j<8$iex5Y@)H#C((#su2ifzR!82e;CYJ>Z#@4-D zrrT%pzywZMl(1RCPO$VDAGT!vaB50|M4~jgJ68an1BN?$1aN!GHyRF-GKa8I~ciFbthWq~AkQBi`cs|X-F7Q~oZJ*!Lo<&zs3>vCMy(|=t4wH6* z@-cce-{`ADPsaRv%zuKIq08I-HK$+cCOq`DFlBngvcz5aVVkcWzLg<+86LUo$i4DNCTYl18Fwa zeG7B52V429)m~e5zp$D^q1|nM0c@Ofk$#JZL#7#lN%?<*xC%so3+}Lf&ut;4gADye zc$gCnEewpXa_RlgCX+y?c&OTy(#Ka%B_$(&0#EMacn(a&Zy7wLAq z#gOB}{AA*Kmeiq(td@o6q3>Q{CYqblYrwC_aQ?mQBy;+<;&?2}7~Yj2e?oT=x~>S% z3u6H0PQ$3*e@wHToRo=`@AHTWugLJ~0=(o@?R7Gg*AOKFJC*A|%a3Yyp zJFHY|+}JGn_#0vbf>s6Gm^ zlS`E{d&`pHOS})Ft8f|;AIcuefdi&l{>B`^s4p{bEgW`&+X{kaNgM!?2Gjs(`09k{ z1+2;77V7&BP{|!Y9OAEMIF*{>YA{erc_T`zQL`s` zn-Dp!TSD}Q77rl{`MZ7TiLyD5a4TY{Yt1%$YSPAW%lclqr!-y$MK}HLo902?IXh#; zLr8wS=iZF&{Ps+H%+n(qD>qFx`^6f8^jwWDMz+ny;IC0=<>%N``pX9v@XtEBR%IA* zTVS8P^!s7GqPCh4bS7 zrn30H^&-paaq^nOQm5s425`>w%e2748Fg#HG3^n$HY*F;(v;PWsN(7MkW>Aznb?Sd z6F`jiQACOrJ~fqH^qb{wJJ*-u=Vqx$jyou0V@3~K#{wh9LP%J%x}LBi?r_hS7>9|z zz)pikPp!Sz{`PbdwS{E9=Y8oE>+2?{G)8kyb9PZ3{!236-!ZUuz}&~$1n(%Ka_rcP zZswhm!wz-k(Bp6jadY)dL`n7BFtvU*CWjegKSOX>>CzUN1M2~&6W$;;7 zNchA94v-8~$S7i6>Tix_kMQ3K&YW>&=5F~c!v={jW-bts4{XZW4PeaBGvh@$>F6* z4A`sYX764!jimZ**A@MbX?VzL$Y#iH$YIE7$feXa>3lNiAwZ}DuCw;zssS5FH6#;e zn&6!I)REzNP+@vM;j0?$zKu!`Xxn%)7BjF){Hexe%*%QFtNUwzgnEyBCKH~&b?&)= z>gdJ;JOxkW#ULNFZZbukua4{MWm1$WN3Bn~tqsM}Ukt{GMp*k1V!v+?!Vm(R3 z$YJPdBb1CrSA#i32a2EwQ;cU98(N#BO|N)yxzLly>R38r?qBG|2Ht9zH=4hZ(){Ow z4s?o!V*3NaM0Hqf9#|^69A~Va^s3k*Jt%WVfB*SycTG4L;b!JovlhxnC*@gT%{A2U zeQX0cX6u-+)$M_K0ZrVMp-@1wc%VpE$jY=d>A*Zr(9= zI^F$re(*X%#1XCY1=^(Z@FrvlBG-kX{kOYkC(s_#Z^Gu8MX~F9wuFDBBW&VRBtx2 zDynz(+kf9FhdAa8^_1uIi1X`l|430!s-Ws<} zj69N%sLVcF5k>Z|^b~&JRdU%lJT+Wj>hM-p^sS^lX7~v(Xx4-cer~~?2+&Po`1YH%5%>0E&#lO zUEsnl$jybKpUKOXj-YA5jo~5dm?pPz_59IrQQ(dX1YH005C0(nau)OYa)9M=MvCs6 zA6T~30i98n1K)@E;rTuaq>#U5#z}gb1-VtjwdfEPjFNpBUG)C%Mhr=0sx5hAH!0R>kKs$|r;GiRc3SJAoazu21yS{MpVWNsAn>Yv-h zCjTmnDZOC&ii>3|N%PGKy~pSk(uHD18&O#`3x!mDS28I)uaZ_P797{iG)T8kTK?$d zZdm5j?k(fID(vM<4u;IgIB7^|JFPbBuA-nm!UbI`Me|y#*s*g>JBuZI5J2Z zH#)AoFV6CctAbzUwpII&vJ9<>x}}So_-7k5+U|BY+gUxlDL+crX+p?*6&yuOdd`N8 zdLgYA4VQPL>ZY0<7vx*jXlWmo+wQw?=0F37wAX>p`I@>r^MTP)bBzVNWfsK_b8mct zmLDb?`5OJNtGvD!p>`a#GY2A{!y6*RnJW|RcN#v<>Nw3!*oq4}RC!<4%{Qq>80b`$ z{fSPQ&yx`__I5D!GCb+P?qVWhv9AEOPc8u0cRi}Q^eb1$=Br8#njI|81EW`R>~9*h z=Gx?NtePE*%VJkbzJx85%@)RHG&b1ix34ri9Oj&!p3+rfIB2Xw)xx|!EiQa`plftW z1l(I+-ul<=z2bjjtMB@2RUov`b_rfVZi#h?AFn6quXh$)g$ zLZ_L%6BQDYm$Fw5+sb@|)^u+%SvH*}IAf@CpKZGkFZY&5R8#+33d4|6WFU)H^QCFN zCd;D*M+ji!V1A;qHa8e>go@41czoIZ$wU}R#7 zZPw67e|UuI9Xds(^#<|ah5~Y*W6SjlQ3N);IAZ!sJ)t&zWyUcr7ZroOkayHSj2Y;v z8ZONr(ZKro`lh$zInHYh%+I0puU-~~o-U1+)C%%41vY`Aycoa$tQGm7UV-9bAZce~yj%a0(p^C( z*7R_%gU^w2{$OIH9Q|DUIoSnudG_Ni#(wL~k8cq%><-@^)Z`I3-(LFX|DgfOaQzfO zS{<4CQeKEg@a3SQw)ydYjD)QoC~~Up07ZTeo83xZjHfui!6Wk**U=Ve0vL%wypJ6$ z99A$*+k%UQxMo4yd8S6{IoUyD|19wO=HBi4hbEEUp6Q;t%6eqMszZM{ppR^3+bao( z&U_eI5?-NUN+KL2btT_p0bDY8WA*#n%gWU~KKl4il|}3&UIr2BYAzMTv9atYUHw-* zAAOZMVKyh^z7|ENV!SVCud(l$Um!$>_(?Skg`MCzdnTYvXTQQJm%^jE3oGLlk3!VK zcnm1tg=*{vbU2omI1*%g%vZKqof+_`%(bZ?=qrhfv$ynUQg&!I9S@BPy3g3w9GASG z7CLF~%OtN*?DdJDPidZjz<0}=>03Vm6AiUCp{rsyf+IDW&Uc0Whv%z zkvf%gUFH^zYSqOHLiT_OoloTljh;vvs{NuZKZeBYp`(6Rd7%nK)4jIw!W~++`-CaI zjbW4h*3$IE0-ETkO??yn=VpCF?J>K8GOo1sV^}iaaRnOZJdvZq2S!)7rL=fmdGn|%wIv|LzvQD0E17_ApaAU|)Sc$;hvNG7CVX148Y3SI#<+yqIFz{mx%?t1Hp!R4l1sVL zgKU(%uR)8qC4P#C2Lx?0(GYW<(4kEChSK+BMsmB)e71Jp>S{tyFu??IeH&YDW`YqC zmLzHOC5&AwY`nM6KR+v0%3|%2$q!7z6Yjq{dJ_AoL@)n%fe=Z3Wbo$&n5fWLQd+8P zYx;6E$nWm*N;)yrcm|JhtyA_J5IPJ=4ZU;Ie|{4Vo_UL4S+ctrGBYE^uKqz47L5K1 zNq*u2{n~%O`O^K3=yTR>@tB`;`-#R||^BNRCYjCncmsHA-PR}KdUg-M(8)}i%&Z(kYVp(c z1P_%zUaGxaW_mA^oWQeOOqwks<3f!N1Vx8Z$VX+SMy(v);n(mKvMcjG>>y7#NHH;t ziom>-80^#2ZtHBeTJC>)*a9$-+;G7uwVEzI9ddnY7Ks47-B=)|qF1QGfYuuz;s5CD z@>-7*{x^3F6C7d}3lPy6&k#z1K&rB`vd#i=w~uc1%XFJjSMSy(4>xItZFr;iDEfL@ zN5wgU7T#<-Uv8*%DKPUX^{HwPdwIDmO~+7w-zzy_M8BCN6DaG)w4B9 zpT(?p&$G3y@tdP3mQ1_=yKO;FQTX2VD)Ja}U*4K!lZN;Z-Z-U|SI?45cV|V+?`j*& zWS;mh#!$Egi}B{!P45oT#n)o2W)>!mT%7NtpE32ecJYvhLy>cXvDATId-wgWntuNl@g~f2lZ(zv9{m9 zZ{$t3-#wdTS^`+em{B5QA?l|4Hqt^`1`RA3WWZ$uwO)IwVQ2gI&kbYV#}4Mi=i#w7X2521%{c zFM>@kuk76&$i1l7WcD9sFUtYo7Z*j(K3U_ zVJzwS)8g#hG0J88nUZi?5&NpF2%?mvlwVl}UddXmZSG|YAJvWf4X@Q;iX(OlpT*QN zF`ufO0!!S5c0QMvW?jT=N{l3FoyH=3ofC|uw+FmXezSA0`ERWU`e#vXmHviQSG48U zl`7{On8Nd;AKTC067Bog_MAi8O@G`vCXM&9d3EHq(+WA~`+E@<^M`e+cz3Ek`Cydq zjX8)PHt8FNp`3K*V-AQq1QAu4wx;(^*+{9SMWFkOozD%9Fyn6b7xU$s+OZkJi(<{l z8K-(ikB2vtbir!%*DS_jU*c9EL8S*GHNw_&r(LIi6t^S9kf1nwOJQ7>LdV=P8lvPB z`g_H=c$MUvuDl(8Mcw)9r&BL?PuWw5s8hpZpCObaY-E6t6 zAVBp^{Mgz@Yo%me63fC27wH?+Nw*6kws4yloB?$^WldGIi=S6mn-u-$7g^)IX9_Qq z{}Q^YAeEsO?^yJo(AP2u}{OVG2>j8Oa&dNTAB z*D&d77LNDx8CaHp@s|@^KO3wkG01ZAvJan^H)Q@0X(80G$tJmh@g*|g`Sn|2u4{od z5oj!*JWi|=RYh;y?)_{{Mp$YLMqt+FikT3oa7_|pE&s4uu42~@lRp;-C!1E9^@hJ* z%+^5e|pA)!xF1uVG~A7|Ig22@*F(NhNS(+h=IWQ9>ZX8(Rux66Cp2lH61mt zw2DhTB=zk^NmuPokCA~Rcuxr_XK1m>=I|Bt;(S1lf1PgZ>W0rB(#uS-5)Mr83frJW z!%p%_T57L#yR9r;I;k-%2x|tr;)Z*D`zLWm4(q{khz6kt$Xpc0uzC#mGkF}^Cf7*k zPewE?Z(1L;Ruo%1XP4d$u2*xxW+NHBTjK7I6O|=UJpi$Uc5I~3Lah%2phIbl2R01G z0JxMH~iA+!ok3DhrrwsH)L6@tWA(2m5qa4YQq~ z`=Z}JGa=jJkj(2kO%b2!5#Tx(*-!{%f1z<3XT8n4&4>D932X~)3vCN;i)@SXk{`vS zVc;Z&^la=pX-q3z#az@qK=8MjoQsa??u8zX*ZJ(HA3d#p&7Tsgi#vynB9DaWdFJK| z_V(Aka>@=zM7*XuNlNU>o62cnge!)oos-t>ek)2IQ}jX zf-4s@N>9zZ0{=(y^rjibLmzdZAx1;Jc#De@mI@4k3;J5rw#CwY=ercp`-pyD=rUEj zyq?6D=VGU5I=`TxsP-#I+n6T%7{ut2fn7mcvZKM{a}x~g9DUDhdUZv8lN_X^N81Iv z)(MW^#O;E-RXG6!qCYxFQZ8epV}kJ~f&o(&y6Eh_Y#k)axB+A>wB41W%7~!bu2DHN zo+g29j%{gvU$m;*9TrLH-z>hKUzM(<7=o3yoVv}P9cO$N96rVUBd7DN2KPR^yHzqB zHI+S*c!bN%&+MDL%qfu29|Ljgm8RIBb;WkHxPRID{Ejjtg-+r}O~zy29ZfyP_CbP3 zJMvEu1vNboBJ@4mR9 zT22PBQBBH635{M`|4GYpur?`BYLd)%J0IMyIG>o|iMtOcmj3IiZL1^W6ws`Fs9CAq zYGd_qtqfql?Yp&fv@`TQWb3tG?&dQ&+L>~?Zi#SM-st^FGC93RSE*ddSt_HBAym2X zIkxWjL481D*mxzV&LA6H zb%?h#DPMQhn>9N#^9PA~wI-2uNq1zcKceE7=W>#0#Xpv}MGRzt4@t zlQT43J&3$;orp;W;mM3*qJI_25=&Z*pQf^SeXKl{IW>@n|J7^-MOR9HD@WT zp8NG<<5Nhzy`=d^u-PRB8W5f6f{pi!=xLAscb1hviz(r%fGBrCa2pM z4udUI2cfp_MZ*}uozO5;D0 z(P3};ERtP$tvi{2x(7#f@jc=8c=^w{VF>kod4sWU(=I(*q$^@~bWnRBT2lb_nMQ;f zR`4cgoJ7ikewUFlH~tBZbIo}EoTd2LE+!V&2C7eFd~NLndc2J=Jd`GSbCI$z1U%y;0;i)zE-zn6#FMxXv_N{x&GVyt1UFWouiK*j`a(wKbEjn*!CCT)Mf z&5w>XUJdK;+*q3h>Q-KbWh>55fBmqz#QQ%%N=31f@!fmepKCiM23XiI9l-MkW|cff zWPutI>}uMlf3142E?DNuR)f1QiHel5(^%v@o>HNI zXHvd*Ak5-A5%LNOr{AXWx!y5{zkFVC%HaaV_-Nb9zGcI)w^`3Y-upJWaJtffPBzRY z#E+V>_l+-YBnJ#B5Dbxx&Hj@3$UHZo<=R}NBcBUXMU+c+)lcUFi2u%)cT%1-vabat zB#LtZU-SNrC7mo(YZ!P`Y>k>Fiq@oP0E<3X4ShSp=TE$pOCf(c;@c7`)(R%H2s8jr zg*i;YHqlL{z{y=4JlVt;w3h%NzIt&p(p8004;QFB`?X$&3@G}omJgre8PFGjW7ZYQ z5Xs6*=zp?KY$6Z=El*B zw<9e;xee*#yIyfei)AEcWn|M^FL?L^>#DLbf`>k|I!8tT9(4xW5&mp?u|h^GQx5FgN`WqIP36sNdiISGIpcd$hrS7+tLv zG_hu}2hV5r@Ere%MVsAiQ2w3pPN#APx?i8|l14~ZO7sw6zqYAqApBZGOQ);QJmUC) z&;}8tO?#a$2z>-z)h=q+fRkWHw`Bby{WV@q#68PI$n@?uJ&-lkLD>ffuk-CjT7mA5 z<@nK!cE+{B25~FtLk(1P=9R&*@v6GD$A{63HK65+z!%kxT?)RmTMo(kL1w%`JjF*b zHAk;rObY+O3hD)put{HSB+~Qr?}e0W*_8Uu$p`Snr0uN+pb(AhdTID7%SHBv^d?Sj=|qVRwCQIi7?*-q zJU4}ww6}R58Z(I46qIokz4&%YpdY&z{Cz0l#O|7Gf+a9sfUQIDcHL?MZJb^!(I*kj z%{^q&;^VehGKPm_oA~|M?f0>M6EqqQ$P$6swVLR&aDJ-EcgUFh21UFs)rT0o2t8Zg z324~gU^TOrMLZK)XI?Nhdy)(2)mFpzt?ztFZ*f%MJT&QqVxkNkXzWxmmEcvj^C3OM z)z>6ltbjxsY2>>j@~lXKcs4@?b?ETL7{*W75=Ft_``7~VYf=qrJiOH89)5V`26aa7 z`S$yR3L(OB@Q|S5HgZiiNN1Q}sSa10IF^mAV1q^@mi}*F=|>EbFn#{J%|f`(Y$fQ^@1h#=skQZljiu z->G;OW`3!Ro--$;@fhc)s8HIYOr;t1_SuRl9b4}3U?N6`vlaHnCCh5~mDTH!phW>E zlUB<_9X(X;wg(wFS-t_)nD1|LTx-fW>afbecD{a3LM2%lKB|iY5?Xn(HBH0xTjo!i zU98mUCC4(jzLq62;nNGsycXGD_rQo?%r$!&W1y!eN)KY$VD`yf<@dv;CvMZEdsBOA zZu4@AK%D8K>?mcrIbUSpH2#6VSH2c6uQ;EJ<{{-U%(0tcKB|I@%8dlC{$zmlIjaeKa|@%TDsI|E8tF|9w(}h-z^W7nT!gI9G^IZQ?DOF0v{x-EV z6_$YFN>b=IzIRpfE~CEf{aoFV!V249;&mpiE#By?sH0d&MkDz+>qOqtt9^h-gV6rs z??o>E%WZk-8qNUI>3Z_7Q;bwcntRC{718mww@WiUO_Hec7$!%Fg|DZ{HsB-1Lrq1vfJlfE+W{ zC!0ac0in(9hW{G?@IXSg2GEF8n_ zr|qFzJsZ8FGg+r#&_xLD{9K+2m^7(Xz4@MM-!sSBWS zLd29*l;Y|yEkX3oAPApCuGM%YZ-$;>*SzYguasY}EH`Lr9cv*VhgphIC4hwZ5$I+8 zPGy5JP+sMZWN}7@CH8T8Q(~RwRyN14n@y_X7sm0``kaN=Qb2?I->^4&o$ojyMpaP& zhM8CaXLpkrdVKm{j3)8xFkF=_td7Y%7xWJN4tWyXC%IyZ{hMndBUlYfAmyEvAT^B6sWAG2l6q`^`DX zx{Fnp{l-(XAarc=J@oFZ90Ns-s7LB{3N&U>%x5|twwRq|7L8kM0i>>p$lxRt2bCtpJ&iu%zpeaD+@VQ|}7JxoQ4Ai9;k!nTP#4qIcx3*C-&qrCHP>|M|>l z_k`zBp#p#;8$y<)Z zpd6LP#wA_~fJXOAgMce4EXijk&oq9TAs+6re$`TRoo!lj)APO@B0qMqec6R!`e9dP zqnFS+#ATEAe+cjlFC>=IjVQ&w5l%>bK8Zmk{ z!y1=<-_^%k|Jn37z%_Y;@+X~;=K{a6gn6krQBF0(1LJgq6icIfk8365Bq4PVpmXvE zmwnicD|SULZeg_J#z1_1L7y&+a@DtfS7*gPOn3p}!mZ>~%yaajcyeY&6BY*A1qri{ z5jbH>Q>_H_x2Opi(esPvMy=D2Nb!%~S_f#s+0|+v!^QK4n@H#d4Mrnl7gp}m4bGR` z#{(Uk{^>8x`kwE1vF~4&`D6pUJuV!(1{&N(A9^t z7C|!-hbPG|Ma*FS-9xet8Jf1VCFxtSg((T_uSvm3-XsOnY?5A_csm-JRgOvs6O-z& z7=~(JXMW-Ahv1vASeWBn@-@WdyuSX*7!T_-$M+>%XEL-f^x814S%ESH{rGC+WO1kM zF65i4Tvc?OY~ffHc&&V;e|B42PMaFCJci~j2*MQjGq`vVvrB!G4n%Vo;@|q6AGL0> zt%iJORt!V;b;wyN$-@EQF@!)uZxrMDeV~h^4q-xH&VL)gcv;19TKcQ3_XI<4Sj$=br z4fN7%mRbw!0Tc@w$u)a*PrW3_75&AsouSk+Pbb!g!suflp~}{3pR<`G5KLNO{?W4lih>%$zo6%9MUe zX645pU8h?BW~&4UXir}x^;YH^H82z(ed&;~i{TSC9tM5i^AW{j5+XagCb=3p-(14x zG$zq6)URl#;<6>~11Lf`K1RhvkR@;gAdY+oUksVBhX&(4d#n1Amjzc(@j8^P^?~E= zHaK?eLV|SwGZ7>v0Ly$ZtZxzc9eLhgeSzvK7#uzs^EaJv* z5|cSwko&Hs0i2tq_+GV0iDsmu{R_bVKm?7HmF@7AGlhn_BmtH<{MD`2Eb!FIFn z?-(@s2@9eu*T_b0;ii}uPSB9c{z+-iJ*S1VW}LQ++-ZnxnRwBX7>TE#2e?pY7{ z5M!WG+mY_VP1WLS&hp~e>Q%{qD|g{+E*WLm5470P^t{+sB+-zMHxcOMSOEOD2RpoI z$~?N8At<^ZzGN~)bj^ZJmhanNe5nwA${ogt_gN=53<}K3suY%1tj=I4>0pjRz@`%P z`~3+XJ_pP=F#hlJ@Hd)3KI=2dJvFPTf(DjnXm|tM)7ve~1gz}%3b)^;$xw%U@_3Q? zsfbp3u3L!QGiuHc9Ne!F7T*%%_QEB}v1py%^}dh0EQt+3`p6I%2EudHY zUYi6?{21)6C3G>-oZkP+KPG!xMkcwLqsmT6+5eDd!Z-$lGtSRUia6IsQr3SLhgA|b zEcrYn^-_xxIARY?`}&fOy#ND#V|l#N;tG%ON)40{h<3{Ybs#FjT|vIq>(|?@5mpt9 zQ05HN+ktz21cwFR88jAScrrhT4tna=6A}js28Q+XQ(x$>p8jqdz}-AoTCJdO5a7GI z;Dgxlpj;~xtpyPbG_z`^T=in$Gqrt04oy(g)!KpDc6U%AD4wP}*ri8z6Kh!TBqmIB zaF#OyAV4UMa>B)dzr+i7-2Lj}4P4F{d3#rul5J;or?;%B6pMSV)) z!ruMrEKZ{lF-+Cqa;bRC30A!`@zc8VM!Z%#_Zp#ennz)gYwDT?zt8?2eUxy&*WWzQ z;#pb$(WMu#gj2(~bQ6vQUMbh?an*J1sWjm{ye!Q=y-z=O$_bjkDwR@F!6&qFGHuv- zy&q<*$PBz(_h|E5aJnP;)7$+LGSMB&Xr9&oY%n(PXfaXy8`FVg2%&INo(MyTCb=JC zjsA|F?!yof^^wur9WfoB(D;uF{QUTlqpb=FHZt+ExRY{h%p3$8EI|yQ(MipK3c9nmXHIW!Xj)eWlWGa~Z$$okOBaG2M@^qgZm(i&?~#~X zwpvJ%T_B@6q60Izqy@Sv$YWMxNN{A6NSaaMaADZwO7HLs5avc+^QIy_Bn%wdX<_93 z(Dwh2M~tEv?%OkfoPV<@u>{-pR4iNnKXsiPhTr@BJV?9C%m5+JI|eWPZo}rZz&vMw z_hAV8m2LL$pPUq((!gRenGI3TEt&q8YvkhTmO!=S#PftmN1lI8+3C&nW)5Fm;$X~Y zgm(^>uPg;DC6I8OA=HuUUIyK_BqsWT)Om)7`smVh6T>tspJdaeZ zYor0`o`pzU7-43}#P3Wht&0I&C**k|`z8Hi~&pB>)5+5RpNX82Z=>+E;tud93T z$*iSnno{4XG92F5MQ$6@^-|7kRCQ=5am=>PxzTyvs7Z~OE1Drb?CU?rQD%I&n(&S= z+lBkEMc6sFf6sQUOe777r}7s^SHb}r5k8Tj&M|3FGYUP=jIm{el4D2ek$k3#jlD!u z(y^2$KChXW!nxiexkz~p#V}+84eWj=A_)+zqvYpNFPaOXi<;#+|H_@x7+%Q(nY#RI zc7PK~1K3?y(dc2gIDsQZmH&+Og}gFNORjg5WsGIvotQgqKm}WX4X4ajL}U27EumIn zw>b~nHf^UffXlz+Joe2GS8$8?GWBZlsM@=ctMUrE*-Cj~KTq0UGweAZG}4VatP6^}YyLz}xY zp1uU2s(tmHtPeHfU#nd+1K2wra=odN^2v|wmyOi@rzFTS3`dj=`1A`Bb)O?$bJ_Ah zW4GfUaEi|j;4xQ+G_~GbkWXhhLD$)U&ALEgY+tvEIi^n+_>c^J4=Shn-6bhn>U1Zh+~^t*6=d z{)pR&jef>1IlHvezO+hM2f51kUkbwPqsqwYr_Lstklh>IUyYfC`IR%AF3Rmml*EWd z0eE$+b=3Il%ZeJY^@$GbSLG%g9|vpqFp)o=&wlEf)ZFzc2pbHR!1QG`*VqmYJ~zHj zIOV&h35>-cIQ_jPa?N!AJb=ubgIG8@WvX&b@R&_{8&7fL1Wtql-#9^R)r4%wQ}?qF zKX(mgptqAWf0Dhzdi(nn7r=rxw3%{xp{*A?&JQ8(IiT>xBz6xD>%_gM_urU(WiBN0 ziPb{r`uBA!50NCLe>wTuD>SUB^8xZ(1LxDTFxn?BomuY{3R4Wz6n#dx?y<{}>zu-0 zChj}tL9BzAqsT4a=knB9+b4wAW4-#sdUbE{n&QAe#ZZdpxEGQ;x$5pUVn{xC1&EOx z0J!J?o&~@!NtEyW2FTG^mvC;6?dh!ZDjMVEQs{Kh_h6+bzhtwoPW*09wWSx#(-rT? zME*IkK!HJo>sxWTmH0B)UV&-b*X69~CHm2~4&WB%$2Fq1!Pr(kx zM;^an0d$c*$(_eD?Gb+GChO&05qABMrSkkMCl0@(J*Y>H2xRn{&9~}Q`bZN&Gu_2= zIiqfOpmIkSIS6c2T3vrSb8xa_^83TfaK~mR;v=CC7X$eF`hnNpo3)f^`Y_)Bp3k2TP+>&w(5c~l4%j3%fi{(!31S$?bfM`|K!62t*J+SZwsRM~v4_fdg1J33$NQ(c*hP z^Nclq;ryY9QLWC&?VohRd5WR6&!3ZX^>-n?cF>3sA%=6qXo|#BDJdK(Q(EZs!B%ub z{Wvcnn;s_;#G?bJxLvqGs>GrPkFf;)I9+LcknhI?0E0TG8m@{Wwf{xcTX4k{t!=i! zAwU(9poIqu8r%s^f`{Pl?kwTU% zO$<1F6k}$i)Pkh+Kfg6OdTgM$cyGDn^%lmqr*+RLBk{NrJ;~VQIQdpj|-LNntvD;X?Sf8kh~!-R1m)ZX$SSxx?}8=>S{Z5 z(}Tj6)4;VPqVqoZ8$etO()n;*t$&l7?z&XBVr;}UcZ;?vw)k{*)5dAMY+LF6ay&c* zi|?n^G{W3g(*MV8b^K!|j94Mt_o8LbHtRTaVMRSGuGduX1EnGsrQ%=Q5b8vif7jMU zEh=#b+!sN9;a`tMmBsCd-2jaC`oZQ_;@G|Be=)Je;VGBi4+$aSsnIPJWg&b-rAPH# zX-8rTAPW(Fl?-#r<^7N`oz4`f8g+4k~LB)LM%)|U;H0daj}5WDAWNCb(`}=A{U6+OJVe_fU2Kl+j%AI zn=h-+rMK{of42=hQNKf`Rhk|~|35SXykO)A!ywsM#NW`s2hQ;)IdzeHU} z-)@#`#5%Z7rv?MmIKUT{qvIL*NKR&iHo6gYw_158xKG1)op~0K^NFAN?Q}cwmemWxX2`EK5Vy9KXnidwS0^)9L*M#LGO>AZhsZysFu=WI$D#G@w}a_fFR7= zL2_GvFC$n^yR@gf5f;zs^dDtHaA!RJP* z^X@rstNl4>mDjb3Mt*!6-iQ0~VZ`ZD`-&2;mT zQ%`HgXr0OUMIB=G@>W)N`)n@%TFL9F3u)Z%2~85#PrdX4{(P18ax)zT_+&qupLaAn zC0-WG1BV!OKRiRUX0MxpDLJrc(@zaIH`O7)rXy|yhzAgO@diuE_JK?fbl7kJ5Qeb6bzQpI`$8nd6$ z3c1BK9VBb59|kZZ$GpD2NIj0>^@D`9HiS9^l8*c(IJ}-GlOF$Fx0fUJ@IFLzJk@^V z@1acx4%Rzr;VVh9#gxC8t8^+3-zg&)xA)W|DHD9^W74>n^=cvAJm=>f3Tsz&psk)B z^kA0l5lsqs%6Oz6*$*Ad>kDTM1+Y}Td=|3v6ma=PF?3hGVyoj_{4A+i%0{ zzO}d)Y-9_y+d4o6%L!P$ui@s;7%lP>V2&licrWrUp(6*Z+H%CR>Ug}EzM3DaikVhY z2ppdfa7Tr{O#OxP^;76(*&u$wNT4P1^{3hL$0n8Xz9b*nSP<>2e|T`>borJWg5N>9 zvRC^`C8ymY=d{ma-;TgO%xIO$n(5Y^6Qn$aXYIDyp6?f_t zg)dm%f{t(1llU)PcxCUb6HasD#fPb-I2P~rq&Qp<`SA9P%2~3xc8IgW#^H`9Ysw~* z`I!IP3$5&Q1+T|izeGso3Fh9@t3ITWS1-YI=eWwj>E(8q0mJS|FtIo-r0cnJaA-tE zzp@gA0e_>Y5}VaDy|QownD~0J(8vLNnqQP(5w>NT**%Nh^>QetS%y8>&8+rn(9&0(;@%^# zS9h@-QFSaRc)A6xd4bdC1UU6@BZ?2kTJB2n4G7vf95);S-$OetzJQqGI5#5oalKK^ zDE5Pyn64~-M=3L*JUCG9s)o4-01b?XNfL<@IXmGLw%-Y=6A%t{yBJI7Wl_>%83*^R z7=sMDmCrHzK)cICvMXFyP zP&I{o5Z~r)XS9us>}1Zt+wpezWc$uI(?Q%&*e^2_?YBJ(0kply>#fWKZF-#2y4*9xFr{Mf|Plz2&ibDXaksX%r+FM)&KEr+EES} zY5!g1Ta5pl)Je^oL{`A~pYR#UcbMUl1c(0Q66XJicU*3=+2#I08t|al67RS>C6V5o z_jMZ`q6WRmE-ANZY+Y!ICe}cvwk^>9c+J@!POSo7|Nh#wCLJCV0_M4l0>{b)gV`U& zVAgknI+R%3w6Alb%sqfmW;_yVHaIS!Ye*GB#Lib+KUTtkW%yhP?vKFMe-tR!dIzHu zjd=`WvFVHmaqdFg0l$61TU!JV8@@IV+9dtEW!(V?R|JeK^|CdKrM3qN;y%#x&EQb) zusM4P0ak|ou%FoV!xK}Z-1GeQGqQ$B=I7({hMhc}ovv?AHvA|axsAzAw4w-`t=Lei z%>EE2KQ1E!N)E_t11ZZT4o89O&K`euw-zcR6Q%T`J8?s&=p1U0TmJ6mU%|gHUcT=! zuBo3y?t(k1+(2f%o?ZF0bxFdf{@6|Q6;i;W3aq1i>RD6T$Q_w=Xw3Cm5Csx-%h*Od#b)C$ zp2cPvWi(6py7a>d95+1ex->6WdwgBng^j!0otzhk`KniXooicig?gz>N~_8ryI#!;pltz$U4^U%)$Y))W}{`W zDYIskS25EY5%&*G`7&Au1{LRFyyIZ#7WWSTG46^uk|4*#!0|m7yG^9+<0UaY8K!Mc zyRWo;B%YI)SMeC?oG`}<-F~xwFch#}tx;KsWEJ@8XhhI#B{DsH^n2cMOY*yx-ugsz zV(|FKR-3^aw`Ty}j^-J`y(Jbo(K^wyMmN#3{lox?JyqeR5(t4OGlnVZGF}HAqx&_% zdm67D8zTQiQhrfuUjOzLvFYz%kvM$uWa9(e6lyF6^gkk{XD?^li;U!M!e;>-FStju z_GAR?gXx^uZERQGyJ-W^B-xMtdp1vv&SK#U;$OJ;e+h_V9tnt&bCt#CQ$P#E9a-D> zE>g|ROZBO^{W#jOIDF#Q15$cU*FG5;qnHFDdObORq7%6k1Y&bagx>Tm{%rTC-jb@$ z?Wcid{v03Da-1N!ha`z)hp*veB2ZZ%bSf@TfLEd+3MT~(ZC4dzRqK+pfU_@a3tQ)n zB5HDmnJ{NTt3PfV<@G__qERhZjm8sX!v46#D(2Fq&%Iu4y9Ng=3-FVMFTZFrjDDaX zd$a%>j0aSabdkb;5w{q?=jZS~wdW+AQ19yKKCmKsaR@E7ZEGdEo*?&S>8~U%-V0P- zktQ6EV(5y0$f#{_a1kg38I7nDSFTHY=rKg6a`oDcYpT_VFsKo$_M+z#(?2af360q& zX(4Y~>C>maA1$EQF=j2V(5fO;zYE!`)Gg)=@wdXb8|sXA z+?6v^YS~S@mG}HJ*R@H<5ld7B z*pvKzj1EP5$ss*Y2Ae(i%RzdgClMqqA2#AOGq{c3q7x%K`MH1e2ZkG(E#F^CrdY(G z|E3^&BKe(gV)ngPH7IKP64#N&-OT1)Y@FGzi!kW(xhE*LVtk=2ZhkA2ezSgX;p{jp z`d2IFRh%B>1G+|XpX*8-2E<^%5hCx^{ll1xSPEcANo%-_xP5^ZeLh@E#J8hsh421v zbo@=Rie}j<75A2YV&FyBBKrw3&?UDTXoHfHM@)Ya?C34&`rc+_?1}2RvGJXcfdZ26 z1$Tt)J0^*7_az|&!c32CF1w{+$U!d}J|BRx`SEq6pSX*1FG;J7cjVvcay%%q%HLss zf)cHrmX75(#6uKdg3*%nVO`f4#&qJBeXmBpJLPVx4*-9MWe2-6u zov9?c6hhiZd@YW%or5aMd`dnA8Ztr@1~|21>5JmRqsv23<^P>hz%Pd-QE96?dM??G ziynxXf8$zk%pjChc3Tp6_gh0m0~k!a{+^~m^Gs|Y%|-DHBFmTZ>&1$uWQQn5QHfKf zwoTyVLpe$l(0wlcoZ??|JJf5^VL6!xUWlAW(k4#R$vFIqp3eXI6)qNs^E=NdW#*>z zkhdZ}igS)wt$$6_Ilck`9dAM4RXw_TE)Idj=U(cN`QH;H)ByLIrjl5fe)ma~yy`r^ zuW;=ri#1SH@2S9qpQS$3B)4AWU1cU(Qo@~&1AMu|XqFSr{wY!$0+&Nnfv)5jOP*rC zO?BALP@CyaWzY_|@T5|)-Uj&JO42*5N`2-TO|rGrZjOE`?dg4{zuF-hs>moBsqh>c zsj419UKFXa^PR7Uva!pUby5|Z(bY@JG3aTeeXM|#%_gPYD$HDEj}a15AY~$@L_eg<-)3t*A~b)L0J8lEJ^&PoQNk zY%bo>7%z5>7%C-62G?owDJsG;H+9M*oY}_2SR#h9f@OY&(+5Ks3z_*e3-E)X;Eu#IX`qhc)*up&4Cp5NLRs{~J2ywH1;w@Zsr%bKAarby z$HYD^8o@N4@;x=Nq&i4j8_v=_9R35y9};+UE?(TV!^$GF%0ra{Q>!Z>k+)Pki~ zw9ov5^@dR<uEIMa2e5fhVT=9vpiir2jN zJZE%RrX5mWvN@%NXZ(#UD9^ire?5|qBBrksgsgGEi}ha63-Dn}>1CvzjEFwc$Nno& zQWUsmwlRnR`Ey_a+#cr1?>6fsAdAYZ;68d=jqbYIK;^-y1#akLx@^k+AqvAej_yXH zbA0i`JkB)B6>s+FrT|cLAG4FLW;=@KBTaiq+5a?rRhMdr_Bd`VNm+Xp2y=ZMVy`~9 zJZ6~(#y;f&o)Tt94ZdfD>a9J?sI(MEK+Htaz2{+<)jvu9p=O_HUgwQ<8zx4rfuYx% z|Kx^Rl2%LG@VW||pdfvd3?5ZUlb%oZc%X9Ag^MTQ>=M0aI|&tQ56CN@cf2Q&s;ky* z#8{VCTpe++3Fa_6JA0z3yH>rV%Iv80bSb{`lwiW1#gdaA9aQWv9$3&bF5@=ht4d-A zEkEfW{n>W;ugq)en~>X$e8mAzhDpobwT=J0{($7`MY?H5xh+yPxBmtjU7nKgWMH^QokAZ z=|vV%J4b#yYtU|jR;u1)g&;5pH{O7DjA~HeU$gkHZlBDIe6fTd3G6f z?AH-jn@;3zynh@$0hVR_mr%vmChru^2}0@}{2h|p8RH$U+qo3b-jgptf|8h=g}m4R+EWxdh7Bfm z{Rk48{E}xT3LJuDXDs7-@`1?Z0OD1VndI9j!l(q28n+84`wpT7^6-`V<+AbzRFlE2 z7!g-Aj}q6RFi&*|;#{tG;zW3>4xo*4tdggEX+1uWijA;!osI(6LGkYZk`A%ww*;A% z7qkZi4IDv&?ZEayxA1osUqTg*B$_Bo0JrixW+$;LuTVHIS)U76s^he%zo(!B?%L2i zH);wNJ;8^guTUvru?{jDF+53HR4|A9pvFPcK z7jlZLpjVt1o=1f_xa?c)C7G;)KZoUHKm15FkJ)!8&Dh-rr3cG-CNH(&86Nm*T>7tg z;{1J*ze>)8TL&|ar8+H8R_Xa3cBDLe?TA

    }E2CmNjQ+L-|?(EZbEp0*~2G)=O; z%ndqDZjS8yxiSY{ZJ~sxq93!ztibL8GcLqZ$J?)>NI!c@#e^p+-SKPIf zR95D-Anb9Q;IIHqoG%U@$@~@T6l)Plb*igeCv2SMD6f*|-}lym_1({O?*7|T_dVuR z9o0

    q4_GOUZTJ*C{KP8dDknMRIhPIkWFknh`!cFTY&DZhMp)!lYTE+tFIKPCh(9 z(9&GzjgIPdj?COAk1Y9tvDNnJT$cS<^>D)pLdMfh*^1cNJgu0mdD3bXXN8r&L~HJh6!0q zTZvT4b3-heRMk-`s4n4d7TlU*(dR-lr+NBZU?2-G%T@=9vKV9^$`2J>T=R0yKUZc&)YZOQ zYdyo?7J$!$v%|>fPJjWbJy?I!#W8SE!XxRg_jSQ*k=}HpPwGjCwAaupHKR0xydcM& z=V~HmNuYzszO4iK+Th1cVKHa{Gmih{oj7K#e!j+X1u(0F|$m94Ey!&6;+tm zD3N9w`upEQgkJc_5TV~Tyw+t5WgQr@#mi&TG%pX0{4|1Gi$N|p^zrNGisUjauC zznzbN%{%K>!&sF^8bd=vimERdoaR%~n6iM<6eTH*|6OX|BeeA`t72H6k+>7eDXLs5 z(;Yn;D%0lePKoGu>QU}fId9aR9U2-dD^_M{Q{tARX9K;8x{Y~h?ypSAU}7F0&3=Jc z^AJI4TXJ_%8IFxP6@yzboihzA{x%?gAAaAt?{=`YJBW#iOT=kYVcplAj!AU<=Q@P1 zQx*K*R{Qt=w%TFaX5*y_!C?A3DZ$VurXj`T#-G7283vdOH!3&YT4@(~nEKSI-}rmH zZsRsgqL+Gff8Ex_Za@z@HU#q+VV3oh31uL`u=)&FyI@NQ(M(m*^>q2??XgxqmlF%3 z8q1ty;f@us!7CR921j2T=J<}FY4u2#2lU^$y4U_@vp(_yT&&~C2DkC2n(lj~OEgEo zC5ksuyFckVfjjN^jvtW#a51Sb7<GG2TGEjcIWztmcp>;H=jGkjS4C-1BKUby}7MO+N)J09#F z{59Bj7TJ?3Vs}Z8@?~>i1MUgl*v_3FZ2Y|9gD&V2ILkGr@A64a$}8-LBd0p7AK@iA z&fYC`4Xvk{BKaNzK|)y#Rt z!$%@@KP^R0ru?p^_u)ny`ASOjRromeh0-M71y5nYV71Hqrlh+oxl!TGMs@-E=?Qw) z_!gnZIG6J`aHh^o=B0gm+~uA=w7mD`W>T9f;Py)tE>y}p^WOL*Pw>6b zID0~ELxM@)520A0eRCB{oqy-K{^@geWs-~Q;1m7@M^O1>VV*Kl`DAULmBfC8cVuQp z*)v7TV!rXr%@>RGWzBuJv+A*{?8z4e#JanVCbbsuU;fq-Xy1_Fi#G9kYt^!ipDqRL zl0->fM#gDb=4x+rwp@)x_&;z!Q70{rN9F>1c^HZtR&hU!lMx?iae1utH~fN=X)PAb z&Y1B$n2Jvg@XYyn6~^<$6Y*n|N42lICLOsUFkVahJQC(!l=1=Lglpg34%m5Jrw8>F zp^;TNOVIc4t+9!MP}q4&uoc8ZdX(@)MlAXsu?>0ruwxm;x0-09TuJF^B*(jW{o+sj zV99G9-io*!?%cqA3hM#8Sjr25PB)6rk_rVs0Y4|!+$oo^P6b|Xw*xyvucPo!WjkM@ zE+lFr?Loz6LagQk`JM5p!u`n);nVDMbjoStbvQQLMT8iEs7-VQyaI#@pmc+^7e6df zf+2Kbg{AR!S}DB&-SYOLeFL-=P9+t;3YT z8?jIz0->5_%m>DJ!*qp5i<{g`@*f|Y{~BPJbmY=0pzbG%u{L;iy3O8QcQ`Q>YY8n~ z8q_`u3Pl(F1a!TbU2&_7cYS%{34IvUd)18QUoBn6?GaLP<3YTOfxiaKHbM>R|5$;wuno~xslS9X_nsKHCAp?yte|BjJojfJ;uFM{=zTB6Q9Z!{}SUzbjzvOTY(SJ~sST?B1ngnmAPIlP#P7grXXl-|?C0*%6^ z63sk5xg?e+=SuMsuKt$YQA(^|3$Z`*)>XV+Y@hG}B~$)oe=ql;6YbIc=`ePZ9Wu$Y z@veU}9szJ8am@v2SRWX8-L^+Z@#%~p-DTRAenHIdRy>W7hQ+egB{bw-t`Wr8Z;Zai zB<5bDQwwhQ!ASs8`+<#dIVOzXqxWHvA>JNBx>>k&x(o0A)u)fVwu|b`1XH4s*?JYL zdS`P*jTz287WfBYebIZNw_dF#b89NeN{~x8foZ9|!N)<0&zbqDZh-EM)E%Q7kLa(iv~W$X(TRybA9#>PB*(5Kf8k*d{0#*Vp1^9$C0y+zf%d3N}C?+b$3CjtgAy4M@K4n=WgDE$CdeeZE>XisxP~TOX5(_bOLg{5A6%2;m8X`RI^%CP zj?alp_LoQAN;*n?(BDW#;-d?9%TzjxYpFVm$AumtYxgIts~k_PFV94`6Dl*l|J0X- zp()e$Y}YUB&&-|Bn0wJ{5??VR?*K42%0)c>7Mjtg(0>jK4nAmlY(D-}$jI_}Jvea0 zygejNo7_AP3$$!lC5RBIwV8ZiP##x?tp7+V+ZFGn{O{jj2eaD1L45h)Je=btOcMZV(I&|^lPmb;MW}cEVT4x%%Rc6N zvd;ElBT(1LBen6ozT9_DQl<0Bc%Rnx-Z=BioMHE;oXM_V|K})d>=i9W6RaatFg27! zqX%(G_BlsLSjF`kzVt5HH7YMUUU?#O(ZJzt&>bkh?jw8Spg!$M^}QL`o_Q`iYjwI9 zCNk8TQL>Xk$WF4&Y+T(nz1}SydKqvm;I{Ej_3<24a2c(zx?Xu2w-{d&^l8gpFN!4% z73Aa-G3Gv@YMPho6uBi2!*C=Bl@O>QZdkB9!F_mV=5xY8=6&mrLh@|^Cmx%sC9dm~ z7jZ?zR17(i_S%p~DQ}tbo~T*!WJyQ!?W00G^N36E4A{V&J?c7y#y9V-&j4qY8cuyO zmS)Ki?BeOHEIAJM&6x7U;9#|=D*NbBkBs=B5_EmAj}sGo%>>DZ#-&(e)LLOn<2@vb zArFQyv-kIhw3bXSi!R@fE{UIWFMai!mUg`gOyA_;6TCv|0B>3U+f;vyV8#W5jKYl^ zKAe9n*63fz4qW-Z-s>u56SmLxlr9eC@K)%m6dcsMw;OaLU&^yqG)sse$qd z*`W-NO2biP^79N3P3#{?;l!&vQCm>?hPC^sXaxYv5P;u@eu~>hO@NsljPJCaIIWm{ zF(gj5-Og$uE5IIei}5%xs(kRHhsQdf^qtTjJ6~68PzU1^ffuQ#wsXP(WU;s=w&!hd zSQJ-~{g2HpSrh|`$Ruu{Nz8I>?Y9R0wLMnaagRh?C$iyx4QNDhQUjNU z*F5pIt#AYykiexM{AACZJtnqsT!*oMLAl(5xdr+aPPCbI=8sOQTOHUrHmOJHBu3vE zJlxd;@*T*3cDdj7MSrW0(hl(s$qZ?CjrnKeTW0CC_Mze5!+zIm2a&FGxh?FB5ePxw z$?0K{ZXWg3mE&`nWAV8gNZcLl60R*9I}nl={O)yKe4Q2O0iQsU5AlqeB&D77-L5hp&Q{Mw{Iv zE?5|xK7LHR{v8WUf;IflAx(X19V!y;K91 zKA}Pfe-ILA~D3tyPk*kibmLAsxQ!-*g+FkFHF33Y1Xq_JdW)PFBN zWN`4D_@kv-)yzQrvwk5o9UyO^wSD&IT44rNk=f0wMJWrsi7`e$on!sn;u(HJQoq+3 z|EC@u^HIm@^U@_~CmZC_z&73rd-2N^a0)11iMcG_+?c#{DyyKCdT-Eh`eBk2-b;Eq zW_c2UlP+ovz2Rv$>i@q0`8FFaC%_BgL1c3~){gzPt#(#_Xj*S8ia%-1>WM>04GCKk z4Vp3b3{pfCLX3A0ApNUJ@3}nVL>|;fh*~6$&^wte21f@U-aa6LfRTCj$D$Vt1v9KQ z<%ABWQRY_V%9K7F$*1Q%Qtpr#@y>qzdncX1`!r>=b{;89!L>f zvaU&li{bNZQ?3jgVk;6#QO^Ix44!Zz|Fy0&3G6_t9YK+AjuIY<+zAp%JNQm#9X=H# znldN>N)IRQ#YVJqzeest3*nknphTh+zzGfwbEP}RZco4+-@BDl`$T?F&t=V=4z#P9 zq|U!NuM2fq{FrhG?0OcfQQ9G=4jCI}GO`yZkm8M<7+NxH$2LA)r(?ADmN*>qr|Mq- zu3YlN7gffS>0D5~?4EU%Y<7nnvE~HIx14e`3N{BWHP}>hRWdc(lyfm@mCDIeHGV5E z=5k@5rD@Au+SxC&J+bE#j?HKdJ?5n6-z{TzV@{=-cU4K80~V^ ze&M~c)|tE$anZX;UjOW|9aP9yKHX@!*k1a!R#w<3++tl{b3#bs^6-K?V`HN3y5;E7 ze%*>N)MQIy++SsLMPs?%p3CQYQeyQOGcU-nZr^j!kD^wxZ8BeO5@~8VIWyE`Svps# zS!&YZIaH~tb6THq(0dG>9%Uy}yBjE2>!*AaVz^;^m7b3tYv$6!O|~gewXu%jb5=Z7 zW?ZJdayp_vb>5@5aj|UUvRuh)EaSE=OsLl?b={sLT`a0>tk+q7d5L;m9a_@q(y&q) zwJ3~`)GPi*99uO%_c&GMfZOGx4nDpI_bykD+YPV*8?c zAU@;#{lpVkH)1FD{$mt@=f>Lx8n>Y1F>B}s&xigy5Ob6fFoesUJHEdM<>*fu0)!~G zosc>)1~hcdh20T-;8cvlEjNW?k8EO;*p00Q)A@|TdnVe0zUOA0n}KA_X$jDU@)oG_ z+N50Abz_w-XIDI4-mM z_@pt8*nA&S8wMWxG9C3pzykp`=-w5~1it>l1JPa+ffSqmAdCot_YOsycnnb!6S$ZQ zc6yNqeS?j3oJyu%FCoEE^r7}W9Y3xOUI1EDW!Cc%*a*5y69hk?a1vVC zx*E$acG=tGZi^afTSqIicv0UH(P??$6TH#k*b87UW_6$toW_$9vShriuU%@bvfd%f zEpx~r^kXP)pY%Vz8Z=4q^!kjWLbgMs6DKRM=VTJU{F+kG%BIUB7uaX||~cWi7R~Ft_fRuD%Wutu{LpCxPWs zZauq)Ah~!UlT2D1E|02m>2%GmOpRqqT4v5X3ToHcRby5{Gacj7cf>NEmL7GkAXC(< z$WWGy<&pD7uwOmJTvNSoHek-RhD$wzExkeYFLLG2zvt}3_6>!NKy4fR+xU^Lp5-DY zt@4=7FFab*#f^38%}O#p%^m7()_rOtxl1jibDiVO31H( zWP|R2w;q%hoq(`%U19H8dy3Z8pcg?$#AlQfa;Ie^e{?!@yMe=Gx=PU66_oqz4MykA zASTX7%^$=xwvXm3A!~`}3e?$1yyDvS9V{6fIzRbc5w)2hhyWIM6(yCZ3RaLp^T@1y zm%q|2oi)dOOCN>qsJlXs+!8~Ms4w3ePbWDp6x1XspHE;J|CY1w*Lh`~LA*yrY5>c_ zEQ(`~Es9~G!f9_Oo8EHqu=i}||M5YxHq2b9<*)`G!+WINR`|HONRxaz$2c((@Wu>& ze0NL2gQ2V^fL-yR@J#OJ};m?j=|Af|}vbpG!?P8E0)!q4naq zYQ1&4B5Mn;(aY!cY$v%_>p4~}rgW}3jTBuN{(DqUq<*mabLGr&Y z;HrO%(N1-<1qLyEkT>pCe%Dr0;j8fE1y{$`-Axu59ktFv`MYT4wrPH3u*4Y`A^(38 zzP7e4rMq)Xv)8>vmd`8vY&x3g>d$cn_=7`3paGf-W zuz(O>UV}n$M@^gg7*E2(;pYQU;!?RY!PB>$8D?@qL3U?!8Pm zXE_8%;qkN+wIY$;JN{m>W8L)FU_97q^ZkzW&U--FZvajQl_zTg*dA0^z3@36JV8bE zMlPc-<+LxqgAbp(_&YzgVEj7koz+5x`BS_a>0uq0Q7~yzAo;6xIRf}ISYkg?jFHdq zF)+sM$Hk`k6|c-dncQ_M*g_<}_qa+XQg9X(@)y$^zXHh+QfMLy&ZxZ*$JBd za+!7!nhQ8;&Pd<tUbv0(4TzB55+Pvfnqo3)&dATTKe8hRWX4}Zl+3tztLt=wNkU`hm5seNF&p7Bc`L) zQwB7hOL=(Y(Ncq7hiUq_)Fh#($L@3)$$8>jM{>?5U6Mkz*_PxPG9vTZN2sNjF;^+T zUH7U|kyf>?R#yI=X>2y_#jIRw5^$saR8@5({OuI?xj8p!RK@nn6poA2DvzDHMO7^p z0!87G?D{;qO2Oo#=V`x-+j_BQTg7kfY>32Jt}el3Rah#K#lbl&tvtMawK zMpn=cQwV3dO$)w)>m^m)Y_IG|3AXh!Gq~;Yif&i9PWcXc+fWY19>y)tucq?JzDEkh zU+S%u!zrg61PoUz)zd`J{uTi@n%mx}`)W<=4Ual$eeQ6OQ7OwVPhgt2Nh~j73RwSF zNkH~wZLuwCYqGnXQXzfx?%mte211l7{pwT_6~MN_R12czY{Vol_2qn zSZN(|(eI`CN?noZiCHuW5rrI6d31=7Rc{NYS#Y-m8!(?1zF4GLYH(BCSD3w-W?k{W z?1Rabi~K8-_$1ZxV>DHpwWs$o@AA{41EV!$)|wf&sVp?OXwxj6O_DXQ?W!1x^hz(N zx3dNev^J5c?gqk6e%z97+r9G*!SCB&d3#5ST;089+MxfgnNQ*wU)P@{YI&)f?OuDZ zx(8^_$QKpT5&G_3d`y!5u1NH5k`yvx%3}#vZ zqk<*e2rhqks}Qi%;Cbg~oe)ph)y1CsVQ%1D_seXyz{2@FY(C+Aze2DZFi9AUdbGc& zPJBgIFa`Dy1QcJBtg<%i{jv~V*adT96sGqeyCpD92$B zzegHi~Wa$byOo1jKr~BxX6j>`6HeJ+-l0oG@>h#dtCdisd4W;%rjt58qE%^wrPb z^(&qh87E?U{GlE(I(dHmgzu~8%lD)(WSL#a)nWru(Ap0X07AOk&e})W-fSmDnd)uG zfOh2j1lfLETO{Yg?yfJ$w`~{S&2x&i2NRSp%iioI0SLJ9!_22&MDG}VRRpbi|}H=heQH zqSAS`Tt_d7J^g6s zB)M$0p)tLI3)I+T5Njoow!khZb(P&7aWB!>x%?Q!8F6wwfq4j`kJ4PTLj)?s#|*9hP@e@1xoo9pX=>>U>&)j z{6!W8*`NnW(qf+@^AoQ39LJkjpu-8ox5YKb(f>fA{wn0ZZfGXDhe+G~VF?Y7+>KYa zJ0AlfP9~&^plnggv~4AzVw63;wuf&gKIA>*sGZD6#qhA~+m&AETA-#*)!QXDF4#0ygM$5^fh z9A7;5FHgw#{I~3nk=3{_-9=Jugfh<}i`A^54JjEQ`h7>9C(5PoTq8Nadp_(1626)b zgb{o?TqshtNTGEc$AQEGgi=R;)cWo8jYoQThoiJ;6C~o_4uoGDqjOlhye-1^X?as1as7LxPZpeI>h1!j!?@H&AEpSpG9 z@oq@}U9rCTZ~5WgAFo1t?MAP{Ui)xnCJr~gj2_CevTF_8e+l@>JMih>hkZE2!%{ra zF@i=Iij)ONLp$mjWuVq328ZMk3pKISAyeNyPKY0Xx{$hoOla`wyp59k2mZ; z?==vrnCpl{r*r&>ywc<;@`+CQF!_f6x0XofGoMPApy$kw`NjZ`=NJH&$^%t=+{?IN zTXOm$WNT;u&7__d)n`=4-g(FYlrE++YJmh7r47peO*IC;+qf6CiG; z?XXgg8AQI2Gvh>^hDJkI`sFNF`p*Lgg)nNhhSl#x3Xj*x>K6^<& zeFGm{^1)dB_>++qg8^hUQcCR>L)LgQ15$qy3O)!{>-%N0;n;5X(Gn|wqa<~_??j!* zWV8t?D{2GHGr26)hrII`+J5tiOOdLan`gQNIcZS`kDI}AolTGe0p=I$yat!PFE<(F z5xvAc_!1V5y}Td#j8XAKdHre$bFqz_q`huW9SRvl>A1@s*gp>acnt3jf&U=FmxT45 zoCR5TulGrQ+1lp$+D=&IhICe?+DR~L@m!X~ZN))}eD`n?O1dJ#N-awEvX3hQG5`+P zJ_#SAQ*-oCp@t(a{ALSoN9+03odOW!H}5S&(|kJ8v~jn{zf`UM=_+4U=X8~rW!7Nb zQb!9jcE4>7opJwM+%Bf`n#6fpy*&4lZ>p%qsnfn4(o_;B+!+k&OQ<(bz{WN6i zQ)#Wb#V+g>{N6E{fx)eiX5UWDj$wIr2yjt%oS0!_;>4bmU}n_h9ei0NH$UVr*7)3l zozCG?3ek9MBGswa16HQ+RVTILpG*xtb8}|z|Cl=(H^?M(%4d8VnJi1<)wD`XOR6-e zXLq?<*ljiV<0!~!5ckzFxTwqm_Yvu9rTGWwQcYHB4dS#Gaw-hLa7Fyg1lv3P_L$Lw{id&ID@E{FV-0i{L3dNR zDQ+Q<;XiBEJG0h&&Zo0-&e^}S_r0&{b;A@g17sglrvTIcZf0*&jX_pB#-XZx{j*Su z!3M5DX^dTxEBLn?haGrKD3gQ@YIenAwz<>V>u%D*4mkhsV2JpHyjY ze*Z6ie93hrU7CkY?+|U4j?YB~V?QiYO^)z1s{!q#ug>kv-_U}8{3=X`dZL_e1cA=zW+>f2lVmtCVca2$Cu8UwK4uppUjvT%%mGm z^&^BPvoVvaZ^w(8mrd7IPX-08P zrn35S)?kjIcknUyijm=Z6qHBog2r}x&vnS(sb+^bJKtje@JeTO*Vj8MlbL6}d{@uE zqSS}S&=6cT^W^GNn5TA`b>ZVtuqU+9H*Tj>shf5@z+Vw{`~8ox{&R?p^|$>fT>Xpa zwk*6SqPNYvvB@bG^gI>4AculV9bqdC&!@-RL1-*g2utA&cu{qY!Osy?^ZjQnHHzqt z`rW7NdCl14iJ~I8tHAoW8y3Cm)|bvUg3yH@jwfP1zSDCyIC8Xu947?xN{~g+;Q1v( z(GkXM%KrX@>6d+eYYjokUnc_hvD0;f^$mVL$-)(ed0ECbiq(WEtF)CotC`i&)!TMM z>4q)NeQdu1oQ_gMrbuuk1B!dWM62gcy%b$kw>kbjSiI>L+@U9=ni25?9XRrVX;iH` zFhURW$eAzU?_j)c~(2%0ApIE1*O+n_(2 zEpv;WeE#DS0m;uibp{117Gy{!jfOefd7VVbpK~_A&w$?D$LnT;e{;JL2DxkP;H_zs%jvlUAm2T*qSO&(8VXzDu%%v&FL6 z+#~ZIpm-xJ=Mrvyl6*O|X)C4NucgJYV6d}4GPvgKV1CBlF2C$SqNG8<-HWv^%hu8n zL3AJhSjs8@mhpr=r<4B-oA=8pW1h_O;41y3^}_mpReE1hIll3DKr!+iP-`C%D?K|~ zY3zUU+hhOJlJ+}&Jv}`#CXCRdtd!rXVrg8095$7Mc_)9i#vP5E_>C^_Z`{?ajL^wE z^+Jvf->&G}AIAVaKf51%en_R@w6R>Ru&Nn66eWMH*7+AzIAmBm^1pIXbpqp$*83Q+ zTRl9N?r7g&bx3|?GC9Y@7H?DY_P125zYy4~z-$G@)pU`Ig?ROv@qWI#evKHgr-_pW z@V8tpOHgXJNP?gVmNi4TBB?{6hTQ^}!x){KHRAOBUA< z>=v%Yn9t!cq$>=7%G@>C7`A6U)~~IY4rzSfIY=+7$2!zsUp4w3hs>dH9*$D#O_)7E z1y=+s z=TQUWLy$|Sk}MD{MC>A$UuJUCX;?X030WB+Bc$#+>~8jXywL2E;7REW6~?4^5<4!y z0J--8LM>}V%)`~H+?v~t0I5p+Jaiyd6;`44o>WGxg3U^8%dao}ZFPNh493A(>4d4|teM!AXaRn2{ElfX= z6WvB)v&=dr8Ws;mCIP=6cv>J`SITCoRi1Z;4d1totZl3nPMR$o^Tj414=um&b0?@f#Tm2*Au}tB2I4$-DoN_<<;8IV``<4EiQYG*iS)3~|cG35I_&J7x?wOZi;%xFMW9_XLO z13q0FU*%1@34EyoVz9Uf?~AH@<>1bX<-4Xy{8I7bEu=U% zv*9`4Mv+8D7U05dl@w0*zxXF7LyU*j6Ft^x2PwZk1TZwJibQ`dGM!4cAN#3_X8Q8k z50)C>@$&~YSHLFaHb`2B4o;{Y+oA1=h?8q^{9J^DkIm+*GW50$eBa;hiqHfc2H)+v z|MRnsW&~Eo$`s@aHS{0{=n3pY{qh{zveDFhIO9JHhthviSNdo4R+wVt!@Fl=?F5n< zr(Mz@6+^L7G(1|ff9!^QpJEce*ZO*~kRji%xpmNJExo?wlAJ9%2}46Tk?y~}Goyrd zgWo*cwj&2;E!LB3%df&f&|G&f@o<_i0(D+=QX$pY;XgJ5HXdKJt)1#P7+?Pj37L;s z?__ksLCf9X&icfOi%Z;Qoq>)r=VvwnW(5f!oaNqQVVuq9b~)T~dY^6V0&c%3Vt@HE z_aA6W;pVwiaO8ZQw^V9K_VnG)Gd6ElN%~G=B<4RC33f?JG%fNyZ|MmK%qu8tPf9Gc zn{N~u{Sa-A|D*sc2>E=riQjM0q|{AO>%Pcw3xL!BFxJEZW1cD307tHKG5hEl5~D?$ zoP!%rU64V<^C52+mgYqZxV}pDY;5c)_vTfv3pL|^b!(PD1c9P|Z$LSSzdzFuy-oCg z7DRFs^qFKtl3xLOXwD3`Mu{>e-4S-k{=5HZT*DrmAgm6fu^cod$f3L3jKQcN@hHX1 zU=xX}9h>hDv^$EQH?A==SKkx4B4zAh2{z~M7B@F5%gA2fX=B{FIoDEB_pa@~FVx`o zv%}8&eM`>M=*%w{gU9=x(OuG~lne!x4A(jHP(#4&OPQnUn%}J^X+m9+HOvkuMh)3# zxTy|n=*yOzGM}a$`gCEPayNZD|3}|#I#W^ffH1zKs0$pp0wf`T;7*G_N1PDX0sEwuKn-HKGErx1+9ei`v;#A(dRUosMMdP@huB4tM*Mg^v*4h6vk}3pPP-J zj+!BlQ$l_z+dZ#tfvf+EVt>7@a+GOqS7b4rD#qo3Zr+9zc!CDm(~m zrj2lQ$O%j)Nn8DwEGc0Sf`rX6VeKA3wYV-ljDAg~eC3J-;ayK?3de-FN~YT$La!|E z3HqOuXCiC$a{k_{x1?G(dfuA`1Px+hnm(G~8qsNgWIRo%#T_B)$-Q;dq| zvV%)r5$z1}j{#h30nP1f0uF@9ufbQ!l@Qk~J!iAZ%d34~kJ*BMhk=d+K&q6=8dvtC z$hO`2qxGrN_ggyBdtqKc$hSN7PP9kUHcMxq%28{#ZZP!%x^8$Q(fE<*CppI z@=Fm7f)Cu|Ss!-}UFhy}s|Y}&{&IO|Am!x+^KYZ#}A ziywVWJC^#jGr4P(r|=OAdAL=^Q9cjk){tY{N#JjQ@5HuK+;i^WfN&8*i@meM5cnBC zAD|*6#7dht&9|aMnM8LIVF{dbL$osJIl8E-4QfQ~nZ4qDYcABH<59M!_kX9p;^tH#Oxa7h>1 z^AVCb%Q>#8(?`+s65mi-mpvK%A6VTD1J&5MBcs?!?xT2e1}V>$ZhHwfgOeGu%EryL4bzI+}g-NPF!9rbXF zR|EN>Fmgv}LAu@n2#s%>KP#z9=@1SSjl=E*4& z!rEU!Mor>3pFp;)A&dWA(zSDd?0x;LE&5z{5#7VJ*m-dgaxtExLkUM*9f@kflaCkC z3r$aow3qkAHF7y8tJNb-HwV9oT4x+boksnvt5+X0-&bS#5F37nL#+P}6}8tXI3J^g ztqXZ79Ihq6GtLNRkfXJY!GcJ;1NZT&B~Q2U|aCW)n7@Ku& zXWOovdn~+L>^x&XM&D}l=qlC<`$d1q27w#VPrwLi5iK}Q`EEf#el}V#O!%nrd&fh^ zHI2jU<6oaeX%X%FU2C~Rzr*tD4nMN(fSZ%WoKC)j;g-rp^acmu#`;9+IA9m6+-6wj z%68!m7B&NXMR*6?IXOQ=ph^WOEto#h>FI-5&S2DK9HxTcj4=u`s{ zN&6uBm)M%dQQlR-g>~qqx`UincmYl~1uc#%y$nWJ!k;|yZa}8!PEdRU;K>p>s8Ix6 zqg(4c4N1U{Qa|7r2wB22iA-XZzKR1PfX5%jqBbV(7;dfnSvvs@h3g@H8fJqbUucxm zr1fIfI{2iIKN>osIi3kD&%!Xt6@VfolfsmBEKh)5sdEWs8}e;URqf7Q4bGDf5@mGekYQ z{s!bE9-W}qq+@O|Q5M@c#{n1Z5gegw+B-fs>yoEwCwOviUmefcKM|QJdo!w_URWZ> zT907x)yyhZXMpy?98p1$kztKnV3Nt*KU4pGYl=%E>$QlaV`uLSeFNcSsXNuhIyIy0 z>L%*ynan3=@^9Z1X72f7@WstRt!}FjDhy*m8?5eqM9hU{r4Mffnw4UJp*CC$*Ma3-hVM@I~?YOpU-HSnFdHK zsvOmUY*F_j#D1M#hlh*xR*i{`GQJYxxa(mzW|JmUCr@vf3l`3qR;CCq;Hr%uQvq;{ z>6Fz;{NuHY&8Hdy%z8`cCt~r)U4>Vd+J=KF%m?ZaYSbS{Z-l%#Q}Gb1ic7w*ykK@X z_Ye`%FLonJ7RM##Bb0EBjmH)9oqCsFtoAF%^-!afn>`VDRMUbtM_u`F{;R{k6k`e4 z@tdit?V{uy!#PWDmBYQYs68y&CM%y*)E*neYw2X!U?}nwttfAuRYM)8sTojOplAspFX8pHJQ{ z;NJU9=g_fsU*}z?(Z$EYmdeKu@QRf}Yl-jnyZ=HiipmaK|MNzo%Hbv=Tj7y^J^0?i z^TO-Vmem$KF_jTdUecQ_c7v`h+#?li?pMPkjM(xnpYukblICtqV};mwFXmV{Y89j( zcMxn6#GZ@wuIF1dV_O;Zn~_V(F8@AiD0jUWgAPW9n20|6dmq~No@b4+AoTfF73+mO2XyQWkhEieuG19}q>_hUrQ`jo9{N6To>e_yGA6 z^iw#rwp;38ENAb*_6hq=Tmn-o>%IHXQ#;?kb5O8sAqsf`#PetKRk)0m62@kl7Z}HI zshCm^U{JCBG+D%?K`>?d&u)J4Px`DQM_dMm4gU?4Q6~3_4~x&)%_IP{2ZZ zT_k^JxjXfYMM|Z3CM#EWBWu=zk3kEFk7~F|;T&z~mJDKwL0blNwtKY z|7W(+rcnOav346h`oIBfb)sm`sWBWCGOGJz)TxU+mBsn^)KU~VDD-Dm6#I6_+3f6#I6eznl1k#<6d)}YVu&Olr> zt2sUV09+pKQQk21A+NlbCcqtaviI(@cIe>DP^W3B+MIlv$2V1Qp${p@P5Z?hLznj{ zEu12CmK`@1I?_Jl1WVo}>vH>u2s3VfF>S|ia}8upMCXOn#Ul=PZKrD#NjSt(-|e39 zM8wngjLapQ7o|C_WQ&b<0Bx@XR zHZHw|S(l$5Lq(rne>VYS-MNd$7%+bv{16w&>@sx!$J-FiSWk}piunVVd!t6IFXV-P z4UNN>wZRAuopK2kww);Z>p8m^A$?fkwBL%8=?c6z; zulDK`Euq1Snk+Uuo+IxqvY9>6f_t_Kos9Y;yE>-cErquuTN3N__#ex$(KE_R(w%lE zyBRvC7jJHCow+sIKDQl7 z-GdQpH+J*ME6@!yyX7C?hT{aRd&{3mqT|EueTX$b1*1k&vv*_q|2Ao6c<$LejhpoC zoE4q#=NT0NpcFI!Gxk?I^9I46HNU6J;sJ|l+R~(=h0GXx6&=EFyDWI3Z?H1BI+Ty- zx-?I{&Xm_w#BgNi8GRHwL=DDz^}^JOPv5KKGC#XMGhLEUHK%ub$LZahPXAhc+ zz#~*wKb$1IFbA)qZ--FNlH= zVzu|eIB>kwkKg4WJ798y?rfsp>HZ{O{H@iv;LpeBUuYSYxLEWNmI>VY{S=3(jYHYp zH_DMA6mcO70i>Y}8Uyfl>dPn(;&ow!mAhBZ3P;J(rjswa{O0O0CwnD#@ zPYTIXjq!8NInz7}_`US7j3@E>{9XH~63Ko1 z8u8msH0hqAqLahZ5M1H^48fWBUQ?~-`)yHD3s2Dso7h^`ufA?M!&I2r8U^(!sk5n! z!Cx_S^BHcaK%6T6kQ$|~32$dM1Y`0VMuxu#=lvUL_3n~IZ~-SLVVM*Mmo<_Dn?^lZ z2KRe66!}*A!%IvP+-clM`#V==PYWCw7A6oDl)y}ZFxV_DdTf1JO6?E0&e()Nz? zRj6{P3@n+TiTl!rDDqlBij$O6R-zaBrOa3$XE3+rOX7Ft`Cp&LkzPaM} zTXq(QeAo-=Vr1U4uc*5i3fnY$^>@hfV@W^pR6=&WAx$RUxzNqK8gEEH<~TBVkh4xNo3q&2s)S6otrT$3)rzwmvm0! z|2lTMW>W}P)e=U&ebc)CexYJc&~Ej-#9^_ag!|!=Z)lvmV1Z6R2&|X>btJ2LW0+X- z=1x->Gz!Vt@Ua=K=?o{FI;sLCUF!g&8Ko53Q;x4Z` z^LA<*t)CPUu}t0>%`V)x+dmNdTI94RBAb<+l?Q!>rth=ipL*EF1e7yhK_>|z1Ep)3MmPamzd(txe(=}z zr*8<&u%|YT;)b4uS>VCCgYcfLQH+#7Nuz`r{ZPM3LVIXKDDk&nmlxj(@EUnCE>2{b z@cxNkqp~}dN7y|r0?cBF;78V??1-WK^Rsgp>oLZc_=YRfD0-F9o zmVo`}@`d5*{+73ROJPB=)d7N6^Q?71Hs|3z#txI5*p(54vU!B!p4e&nONBQv&Nux! zBS;@qn6DFC+Z=cM@P22nEvjqc zfT`8l`qu}fe$=v@a){Nw()!ZMpKunMip3zXh<9C=9n6}D#f3}#B^Jt;{(#dNay8iJ zN{(+hOMO^<%l4Oz+uB4&SbPbYDE#VL#B#W$z~owegH*Ru!5uoZ$M%zdXmz-uJ#=`#bKeLI;&|CnV@@jJ&9y<^hKTwr-5> zYUQ~Ns~ccqj}ocrpQ#xoG==MH9N?7J-P}Nu+eXV{?oqzX(E-J|KVg7uhNKnJB()|` z;Dglg&`3J3mOE0i7}nove=fi#=(6|*)b3W?;viTd&d*(7Jn}XSWX* zg)Op6>l9Q@Laa8m4b7NifCRTr5o+8q`8`iT+$sFMkj^P$Mtrq1@`diGrRD#A0N7D` zPaGQ-k}#EeEHT^~DK!#*L9F}CgU@bW8tXsc$TeR$9^Ac)w5hMMyP;eH*8#0H#tRif z8`}ul@8xcXT6rB?Hmc5Q$5Y4(3dH9%2rWpE6<@E8%3%|hju0EWzG*?VJ+aShCwrBA zBv)FC&93PQIeD(n5m$Se{nJh^61!=l^1ivE-c;9N_A+a>C! z@^;@0^*rwtSvOwRBMaVNM~fP5j%;$2_1u&)NRq${iSPF^3! zaGbwOVw40)P#7&mH>+2ovS@u5M>o-yn7R&0WbF&-=8fX?USo>8-`ls>N=^58ZBJE3 zU2Gs_T~AW_YE67Y4_IJM`ez>?MqW@cd`7G1m>Dmoo%NL%Qd7Kv?R(82igm_l^_dr! z?OixVXlQ(TEz6U9GyIx2d|+juU=T;a$;g6m!TGiY6YY?t`|s02R_lC9Sm+cFT-NkN zuq`20tWN`0Mq86WVGq0eARSaeH0#ETL9BhGl?vv~YG=RaMBbgz06CNuBKcy5kI|}c z@c-lfU;K_j&V1XSd3ol*#`+qpZ%w-Z_!pXl` zfKmiS@3i&0w_2w7%GNiJ`D76}&>CIZa=P#eZr07uh4fb6sv>Gt-4nTX&z00eKj$B8 z?ZcJ>+5>v>ds{1MKqyI}VwMd*^F()k!T#A|_4} zKo_(`>C(IfCAf5W*Q&K9Ho?&%aal2lXH0W-!4SS)1ML450Skr2WyXcHL9EJhe>4c^ zJa+AO6myJ|KhOvH3_M%j{Ob(Ec-lzWbyZ0L_C;0L@dNxf*YH^LsxE zD-n+%)>B*#S;PNK=wbdf0qX+DKt+@q29hGho1?dVZ@p4-@>-ddD$y z_nZPTl6r4ZkGIfzRv*2RM`!#}y-P}O)|42VRWqS62t*?WTY5?@Q9N*3Lex`;$lf>BaoQ6}`3#(dZw@G#-u+mPaN?H}R#V50;bpFn^LqF7$WdzC zW{P;$7e&hIalJeX{k#{mGBU^hS7)OX-}l>@c6uC0=0?&jRyq4Kznk7eC0S6SXt`TW zd8Pj<7jm#$vx4Dh$B&$F#Ax%E*d>vuUaOPT1kYUIdsyQGTBGSvDdnn7m5n2MI)C9u z!wbuxuSM)<)VGrrhRP~U@H2|U+2YR077zW!rvr)Oo+1KEAW>LC*3NyG^KI{WbiE{8 z61cs;s$j<^uW)5$zzlg}5qQ#RIitkTLg{&zb_q#NwEUi9tkEN2lLym8U#FDpr-MiA8vOitej|4vUrl#7^wjkGP{51eCRr zH#3*a?S7J9r6zUlNTdrJ`djS2R(GTeeQ7?Mq@%AFHG}W8p9CesciPJNF5KH4*B3|V zpm<7-^3@U|Y$j6#ZxUQoC*5+wnWe} zCm)WTcF`Nhno+vrUt+3<4i|K@cbW_rrNXNfXAAWO9rLM$M6~%0RqaXFw%llehkVx; z@U7R^>O>957JI#%j&uod{^Z=q^!ldQemPP21!-29)~ z0^-65^ld|+$Zbq6R)|Jd$VTNd_jBQ{-Ar^35Qff|l}*8sLIAP4xi%1|8n=ws%E3$t zj_(;Ef$zvAsJ_4S7R=deyY6TFp_GUP4DjvMC@{`B{cB6TA>Awt+4k-a;3b3Q1?(N} zQCz=2`o2ykVt1F_)%P4s*X;`f_&@LMevOHLdeQyvK!mW4gO534jRYy;@{FkxK_fmN zsy5kA56<=P7vLmGc9o@-oUI-7=92Ni-t3y{rn%)uP?LCXId@nt({<*e*4mgc{N3usklj4R$UX$@@jdSCIKsLiPNzMw9N7jL^P`4Tr6F?{7>bu?k60;`(d*)jq5hetYJCSAA5{Tz!H5?1(6nw6k-6 zT5F1vs3pAHrP)c1s{2U#?{+1o1-^FwDt^Zl)9v?NbVQ~QGL|)P5HV!$l{V}G6rPOA z7|CON%$U;q&Zv;JL6NR=?HI{Z?Sr6jMqz{W9XHzMu+PG7-EXdhCBbgT5YS!lbT4n? ziOS@u?uqSr+jhE`e;K06GWv?0DF;|k>P~HY8bveXe?@bU-l^?R<1}8Pq%CNt7@&5z zVl0q-l$Vy3GpkyY2rmZP&*pY3=kO~f?7Y=QAI&XS1JK!dD#G&3$Nc%xCT?KIqpnX< z09aauv2U~ocRO-_<3PwE|7&VR?}@pS+k)Y&(^2LVJ-NfuW-l2s7YIs|{wsCRltgkbZ9K<#}@6Rt5IW6u(NV0zeDxwzhDe76N#ehWpN@ zcUKQEBn*JiL3MMY!w^YLPOzvJEf#OURw^z5@MPm6^}1w28=BNcK=I1Z^iTk-GJ7w$ z1R$z!{{ZhGg9dkM7>KPBJp!*Ou%HWIEBkN%xJL*1vKzj~^i?sIzoRqxJR7td;^kyx z1td&1rN46vBs;BHH{Ju=xCDaQ+jrTS-)x{j13si~YU`Z?66=BY%Je6jVvOEVCxlN_ zH9!X@JhV8_ta~nA90B+NxxEWFg+54j2%OqD1m6M*>oQpGeNTNE?76Ptee$z7m_iF| zffT1sr#aV~7YGpqgLLmd{9mkC-PQ3*x7gulRG10`gc{uzRxDfW5UvJeBM34ont=N` z0(EU`#ZV)7>%cp^UhW@TNtE%zAo?xwBfhltQQRD!dA~gFKemupz^$l?sO8Azv5wHQ zZX4W%cz-;9Ysfq}P@B? zg>eX(+kQJ5Sa53}beL!fNwew0G6PyET^rqg4hzvftHnrJ5OJB}1T5F-jWcP+JX&;K z_L@4Pys2-;#4Ri9gbhTdX*!emU+%yH&5v?BILvu0EW0|M84FngN$|fCj$872#jI8M zXC&-|q}wa5k`m>BKBt&|FNNIKugTZ#@5>*>HhaIUE&f6hwi*n=IE0FsGu6k`@YUZ~ zIElG5oxT8UY1br*ADLLGCAT+K{G)S;Ey_UEdyjqTpH4*m7x8EvW%>V^WD|83gsAC0 zD!%lnb|*CE(8$Q+z|k1D)*zmf%Th}|kWzdA5KSc3z5=KVFN|58d}w!y^6hXI^gPgM zYF}3f2X=)sVqRgX|NlEBhIaJi!B`B3Qa_L%l|h6R4*whxu)x5YAXdI?%}lBt1zwdc z1#>w?x!XvN#CY78DxFuIc*(eAoWm~o(Gu}LNyjyrk#=Pcn`9^lOAXRNB1>nNJnn4K z=S1vm$__NdcCmQRIL}GRNre%SQ(wuvm+b_$nvi^MEU7H(eH0j^oS(@0+Ca6axtNaG z=QrLTazE0+_yx0b5-dn@w1}a9UJG&Jkxgs*u~y_+b$t0l#mpE<8i65^Ehs};&J_iZbNTj{m#G>kLnX)2UCc?mXM7&1UXjuyx{Q*pW>9DT6 zzs2xt0ABiji^CSNb0%`{&GN!+=nWK*`0DIeyyhzWvxxSwe8bd)NSe7J9Qm`E{jlVV z!v3DXQELFHBHrB~lv9W7nO5I>)Tq~%%nL!}gs1dm&dGf}gOWYSNTsp5sxp0dRww$6 z5bUTPs?~T~rLiZgx=Pa-TKSLPwYBl>axBG+gdf@T%pqcDJDJ&dgvz zEV7PD_qQLNZuM7$US)b&B6r>pM|O$$c6r$fQS9ET-QkR5!sXH7r)tMfDHX9E$Mp#X z!ur4JN*5hGk{hwgoB~oxKg$*;R#$ZpbvhQgtb z$DpYa4@3KaLfhkn;xF5K_ovJg(n#Yb^@e}4pzR}2UiQ?HiCF1>GhRh+vW!1%SMd}s zt{m=-HM9!4%eDZ@3bro0d{&)LLp4@iy;*L{Z6Yvw1n@#CmMRZJWRxt?-~TB65;u_f4jE zm&EzhiHkSpJuYR0IaQwprn#oUMdYXS$9(VW7o?P<)1$t8s@+QXfPB*2VAl*3r|rXK z_jfX4%ecPW0jX!td6exE(?)dNzQB0NZ^gfI(`jdjP-bxIYeD+3c*V8?DZY1mIGui* zZjG;Q;)0r;HMVOhVv`q0`kU`yi5L7BWx_vllb06YuK*!$3p{lyIpfYtGGfF@73r=O zXci#583ZdSua+*?mgpBvL03Mw*Wo4-Xm>wy%}wF zT?Dk!)1=8Yrn22MIon6=;Fng5SD$=i`=Juo(u+3?*$ix_Q?ApvpWm9+LJ?1}*%&<) z6fyg5wZokX<&Ma5lAJYx7^Gxt#N`dF=x({) zn4hrguSN5_fZb*;&rIn%NV>1jPP%C_KjYH>*$cIdI^j7=qunZD@RNP)wv9yLCO?g- z!K@j?ql^dV)Wu!UKLWM|K+Z1a=aTi}@r7}SxZNiLA3cEgHnMUn9Bl-#5^{OHT*X9w z{t~LRxIz1KKqH4X#idzBaUdw{E=~J%v@iLZV~t$t3wNr(A_0_Tt(M0^vg-vb`>Yqt zTNmsTR!k(2B5Xs8wII#Dc8&S<%)yECs!{IVaXHO+%U3-%n&VoL_WeSF#nH!Pn}4p@ z${miOxjLD3-DRYSk?vdu5$<$r?gt>S@RoT;arRb7m}2;39;)E~o&Eo0Sc-{D+&d>r z(yKPDyg%t&yUG6hgGPU$&RTcoI$7?rxA^IgDDx{NFVpF$pf~aR?L^OCtx4?Yr6H0a zc5r(_HJqem_z0HMElXAXtd+!-sGY`d?V&u#k3{TSU+!*N>$W+_>GCh&uXqR*ByM+d zmD%d7miX!>*_)~tI=$I$dbT2QVLG}ZIp^p$@S$T@0s7&4y~d^C-cIo6(2)(|$^nq5 zasCZI9Yz+-y(=csczAyGpCUw)R$3;M;w4f5lQhoD#qTBOvka}gdWow%xIMGjV1BQP zI%9>r=KC-OuG@=dSA0V%adj^T)t|jtbX( z7E~r;zm&J6Jt{_?%S3g@C$Hf_Wm3kD3tbu5nld&VqClhydY6rt1ZnB6f4(2ea9#T? z%Ajp&!l_wQ1cb3`Shsp@*G_=n zMXYX>y;#=6^uimkjN!w9S7%hFt_CmbctjToqn_iV0Rc>;?xnxQC?8eo{ti4=c`WX~2|$*XeJZRo zGWBZum;PxzDA7ypo#wFp$@$4}N}V6{`Jf{dt=}(YSXeg3t*;dDT5HU8^FMNHogtTk zPj_xr#+BbZ{VFTSXg>`OC3Bm}1-vzp<47*ee>z;0=8vifW#oJt(e0wm0T(DI>9%{& z=}N5BTsM%5BVcvN;k2ZyxjMbr4H@h5YTx|F9yh67;kCG@z=c^}epxcN=P9zLE)0&h zO~QfiD1f@UJ||AB`85TRx(o2>TY_+yd7c}K85`>k8$JHKmhkzpBq96A{|hoO+&u2Z zFkDtlssFdExO|$uxct*@)Pdl&TeJyC-KV<3qXQuAVGNMW_PQ(EB;>*iCZ+q}SRoa}r-Um4NQD|o36|zTgD)I~ zS=fcV0rL#MXSiM-jW5slS-6`^$}m+tkGT3o3+tUXAzP7zt_bXPh<(g%RDJO-e43rZ zi4LoCFMR1^g5Mue+iW2!@(vkP=jn_09xb!|+TuC+_2BHvvN`=3pbBc@0UY(v11YDP z*_M(r&)c?ce*%^SLgh+`?6J(-;2HbY@>&^GgQkDR+ds)RB^ zCG`sQ98J9KZB{^@l}jhc4wfq)HHiDUr+@Lm@@&dSpW)2Xp&8YGwGf>W19hr5iCS5& zMm>F+;(Y}#ut3RI@l|_LMvV-@x@l7yTE7$2b&{qCWwDYMInX=-i?7RUX;-@r+8^)I zd?lTWnN2T?#HG$Nzh#+7|0Elx2SQK!78y1ygwWi^d3EYi^=*&l2EO?g-%8Ju7<(UX z0>k<64TjdN-{?&YLJI=;BteaSLP_P+$DU=zon?G$d5i(Z3}s1N#RpJ85)l9{+1{Z3 z#!xGu_S`1*vu>VS{y$9|YYpvpWxBZJ@6bhv;>_wi zXYS=VHrX-%=7PN%>KA4PxRz~-3@Yx^Z_XocC6lE29BdQv{B)=*VBxU0xXrYeJb0}X zzWoxxbxLze?IXBOni{BfD=pbJUOf}R zXNmGop35mICe?^n>K3r40U!f^!vJkG4w$hU8>eoVM%{rg%2|Fuu@vI9;&r?EP?BQv z45%I+oc3pq)-m(DHQ}eO7&P8e1|JwycfO#pohGCgNBx3r{Hd>9J7U-HFn-3+%#xhu zNM5GZO)HVUx&6WYqn-MQ)quM7?PJ%#U<28`;CClfG~%)1HcE?Fg5%DP$Fj>N?{oCZ zbYH9|)hxy@wVf!>5W-BqL(a%vgZQv+Gt{6Th~p@$Lgo7H{5ay5yq~|pFly(X#rVFn z{l2Mep}y#ww5Dk@f{cP<`nc>83iN%48m1{00> z?*C7;K9X{9b)Z#OC*MIXOH=Y^yN2)rL)P1Kaq;CX|Gt~7J%(Q?i;eb&6AHi?C=uY^ z2Q~f_n0(4>$o-$Z236t5FH;&_Py%CzKd#q;GSAsL@ui$(qBw+aI5}4g`ICWIuZs~c zbM$%#rD#W7Aa6&Ub8vk*%@Z!z9Lzsnp>QEjM@w_sP%Xwj3gTSO1=PnD14u9#DHM-C zlH)OUV>~SXDL}8W4(M@}Jd>ncfqXC>aPHxNBkrvLI+=WUTkCi4QeU21ek{o1=|o>G zjeOXolpzey%#FgN+!hYvKl@V|hmGQeghi>@(;VkL-YO{%Mt0~zDCBj@-u*je*giO+^rDaOWEr^ZbL;=sA!D;^n zNKi5nJun>Hk&rFrzwduIan?OKxc59+)}Lm-5imjB;y(Pg3JW=BtUIcP6u4Kl>EDbk z{Igz?5;NjREc4UM?y0Li$<1LxcZ%Piw|Z#w34@+;fQ{g9Fs}CqpUq3{VY7$)LywDF zzpIAAd9-6`b#16ZazJkKjkJwpmAB@jcLs^v-%oxwYKi;-Wxu5;?|fB80^AtTX}-i> zQJo6m2hO>t#LV`H;n9X&$|(&eRAg0}ceHR@dpFav)?Qw!d*KU%;7>EFjxbx%+S^hi zKbtl{I31-AZ85K?#eW~;ciNs6%u`LguB{T~e^h1SRNz3iF5D&SZv2>c-CT@p%$ zANER}i}Qt+-o&i;2N`Jh*itMj*=OEWwHKoJAA%WwQYgPmj5|X$0Bxv{6dIh^1cy)2 z0aHY20}#u|4T4Eh0xaB~JC~k2w?DQ)xh8*@*e90dd>_5Xvu4o@0|>J3E zSLK#g)`vwr<(@Sb)jz%*^_)nR7nnOV-NH zUOPMcdEfiKuA2PdaMxly4w{sdIxO40a805%Ag+_uBO56XDS7dsH3Al$G=blh8z%|_ zBPQ%jMo+al?+w$ZMqA{=7yyo{ysh4g@9XL2wSes>MX&Yxz!w2*&#JR)&1Sy}!@?em z5saI|lJ6Jc!+i1T!>_)3t#C0h!Ekz|udThOe3W-GvJQgU4hb7m^MF-03X{D#3i!fI3F)zQ z>LuXMYR4*RnW#Xz_QJ4(ql?_{`_T?nqoF(9V>m)V{V9jbRzR$S*p)?7p(YFP@4p|^ zdrL`A=3xu&gY8A2c^h%R#nz-HV=>az#tXpwSBWIV`ZwcBamAS&AmUoH^7p-sxHtyS z&e3-|$+$7Pp$i*GXxkmhu0i*=_xB8Vav#V(w_V~d_ax`5eaIuPeR*&mL6M=nwG89H zyrJRb*=eg~>NTdb*?3}W_G3~0KH&EX!YfjTEaD9K!;~guD;rI}^f$xnr=(~Wzw0>> za>@PmL8m0;h<$p+tFSNPsVq<^V5~%R&mwI}s%VNXLctY@b#pNAlPR-?qkA1T( zD+y{O;8kah2HOhmKcLT>@`X=Kb;n9@+a2z#Bo5OM-a~c9=M%Sl&4C+*fPqKR_ zljqetpD1r5bAv9xRsKePqk8Twl_25qLi$6upU=(Dol0fzXa(+(EW`DD6O`@}0OzWl}G=t}CzO?cM-RCuab^~>YeR`kCBKA8!`X!4wnTjeC=!tcxRzjBg*Z?aa8Mysv{3F77cT~w-Eua{3Qr+nh z|94)5zDxf2`<~o21JW$H*`BJ_w3^Oy2+v=mN=SyWoQ^FUOaRhMdt7s8$@RDLYY%@z zuUoAmtCvSlG(3FJNS1w5T#9cTK)Na$tsytV7WxC_xkwY=0tqCOwBa4dqiN^!w*p)# zHh!0K^vO3?yvzhFl$LzS%Jo}WK3dK|zynE2_R%{(Qk?IIAvY~C zMdUKZD>NFS8PuSTvy$fTlIjX+F{@vI@1h3f%D<&XjCp$ExV+*Ekq9osUh_}zWoynnblDp|O5;{a0>eenBnlPIX2{eGIpIWF?qP{@mM zS?it$7ftlYR%>a}Nx>NysD0Kr;&qFMQV8E!{)D6bB^{5kOOk|1#R3Z+Q8YGt`fdg! zA|~q|Fy>2!yNVZ)%rO$O#sy z;hT&{ZYa|yywN|%Fj*{hqKoRhgAFVUNP#1Zzunp+bM5A990Kv9pea2>i`qQOr-ho3_7d zS0&L4003^nHj~ey5a{{nIno@`<>kE^b`(K%K6;}bN-Cm6p5b#)>{O6dgh0p!?>63# zhlET2pg_sF-fUdYCClfOkl(l+_X37i#p{3q3Gt#RQGc`Z*^OSaf0mxOxuKo7Ol!WB z6X5oMrRTKdPRRo5sB5{R(Hk7ht>fa0S$#Epn8LbOwkAZd_Og7=Ew)R3c1AydCx|s8vJee6EzRnONEJ9+uGrjc9^Drzi30PYpLZU7?%KMP zDuCZ_PGN4}eJ}2%Wl5-HL_U~$0)0AJ1HS~LhRm5b@qXD~cBTd%QjAJWomn0Ds-<#h zo!qSZ-2b^iC%!_hP)cI1h(etqD~a~A9e>_4@t~W{B3{1ks^o)Mypu;?AI^g5e*K-G zeu&h668t?Di|I5g4S`PYqyYU5<2dFb7vzLqtTKdoT>T7XTcTC9;E;k4alL2w&Ok1v zKK5VOCEt$bw+_>lN1~=s9fR5924gtEF!G%?m;e%G-U@kr@X2}A3$3|W0l)>aE+$vI ztYMgY{E6uTdBI=p=7s!3PIc+kuNN-`V>$d@In61Uxq5C0J|h?8z*9Vy&WO}dll;HkXtkFdUM+RY^ z`qWl^M6U+Hh|L)ShuZG?f1!xOqX||NekX8{%HfsW>Dh0k+_Q?V^9@P%{u==bth;+J ze3PO<+aiweH|MD4n#j1-EnXj3bqY!JPr6WjYMbbhwGiO@y?v-3Z>?SHVuWhE zQv-_v>gZjv{r|?TgMF7YbUMDq(-ZEk+5vAfC46=Zv$N$9;{X;z^O%XXAOBN(wdwX_ z`p%K^l{!uA*I#XIYeZcBu*kL8Zb2?l_$L*yX=_eN&Q5~2*-I0?9_IR>UJ63pY+JJT zruOon>Nx2rF0J=HpgsZt+?P)^phELYx^V3(Q5LZzNGM(<966N%V&x3amB+=UVzk$0 z1s08NbpC|&)4bGr*ZURO=+S#>{ce+8ApF((w_F0(JWuFT7Pd5|HP=lPPEl>rHW3HI z$Dn-2!sB0e?6^9N97bAm-yqynf9&e^BgYGzw2<8-2X|$<1bXeWPwfYm*X%Ot+XKk!sd~0B}Ndr|Y zyx?4ScMd|@yiKX(lqKsXh|T=t5r1Qcnsw22)jb3M`&cUfib4Ngj8bxWFx&QvMfBU~ zAC%Cs7=kEiZ@cU#5yE4^OTF3XzEkCD9oi;~wlh1e_u2GVkKMk(mMwb`W{G5_j z)+~kXI$V)l1mby{-FZz_o0--(RaaE*$-GbC4eE0%Z1XvGuHOZv?oLGXI_ zPc2Yw=hjl0lsiEfe~+lIV2tN(Of~PQ%!6WRbBxVN_GUjKU?RbB6-US^-Q-=n64l;P ziKF2OM}u{Z`eLuj%Ani?iP4Z{?bYU>DJ#>!e{c-{Yf1-kMyoU`(Fmpm2gDoIwJXd@ z39IB#wO6hz76x-h!V|%~a}|rxBlWe7?1MAB+;qhblk5`kg_Ysrq`QamCz>Bxw5(H$ zrwvj^9P)1(o_8PV06SlWAaJg;AXUFD!C9g)TS6!k$Si+f`JX>7^rh3WGhK%D(;FsE z9DYChX4Fgl?~N4}+W<4c_wdyv`vbxB^3BqjblUp-`hWiHd_nfpg1Z#gWs667og@@z za-E~46nA<s^mP)QvPA3mme}oP4H!(N?wUib&+KK4xD9Un!BEqvceZ zuolED6;ID;T#_{@PN0VS2VX;l(w!83*`<;an*AZ#awl9ROby-@*EB)0biO*82w80B z2DVXJzZQdexu@j0c6h~xUp_{XA)*73qOOL)DMKtkJiOdM?@gLO+FDG6G@6y4bcyxx zJt6~J#Ijs5Ggc@D*tc7Bhr3OIR?b>G+Q4(-?@EH7JPLNc&g9b~R-RXb$OmhU2U#rO z1ivmvYEO;Iuw~!tfqLqvebE2hwJiRrIX%c`&8g+)N8&=iEh$BP zZ}O{!HoYP@s#m=h-cXr~{&K+rQ4xvNa&!n>;zp>e%_W}#`QDjA6*nO-f4YVrP{EZuregLF71qo+duCS7qOeuHvFc!vqZ!B?B<8zKVFZWlw2 zs0kim<0*9C1&EM!d5% zAv6ixfg-0+?VV_R@$qz}S4+#j!Xl$)$dn&<;8W+wD%Q&`p`r@#R?&~>qXEG4sN{hX zJenvG>p5Ji)iT^`Nf`5kVSxKpFTv|zI{s7PAbBmT@<23ka(6IpcHsjHi&t1kzh&@u zUsfQ3@Zm8>QmR}xG?Ky-D&HqtO_$wR!vdVc`VFJFp6_hxac2!FW)lAqq=|ppXRmrY zaCG`cNevU?%KISrQcL}u32E=1*j&IgMIvHJA1jHq=B^oxVp}n1#g(EDu$(C z64#W!*j~LHc2QRNUzuwMVNUBoHo+t@8s?=p+4qWy%_;_l;Cic1Jh;G>y za&N-;aD3TXziFi5EML?+XjZnR+C}oA-S*FK4DcPk-A%+QCju<zq!aF}Z2tM#p)>~1yu5Eq=J$?MQ7h@UN20S{`4Gzo$k<5} zcZ72~{=_Op0O5^DHs`z>qI}11oFkuUW73A7l(09CT%3cRwa4u4aKKl2RJL*8pWXqh zc${%YadNw83y*ii_Cw-wVuhFu@`r4C6ZhBHXPrBoFI)i%(F1Wz+b4;miHbGak8^l$ zOuaq!uT2}H+EiMbYbG{`y?eI#d%zDpIZQ3YZ^v?&cDt{haz|Izs|qv%^B)KscuBq2 zlvFn8JAH_y--sqK_hfL(za`R2t;5NBAsgNQwV&mXKUS)@A}Vpf9|KSdd$#mU7HAKE z^5dkFc>6u$_-OMHtT~29Kt~_nB@-PhDq-b>SIgew4C4r9X7r@=%)A8L(&CjG-j+!A zf1aaB+FT%YrW3DVg(N{*vJ}ILhgm!^sQ*CdC2zqZt?XxH6qU^^XpH;9+T50;N$Pvs zP+Wej=x7ac?ai*J1n*AKEHIW7*Q&$)hvFx;(C5t=4+VXjFtQolCEm8Pp`6MDdtg)0 zo2uBPI1;Qk+ppOxv$wI7S@ISY%r36~stSueN*BHP)=njs00yNbV4?a8Tx%c9v#O;} z8IvH*o4)5IGk_I>rI(nTbl9}4z@=0`NE@YdUyExA1WVN9Dg3q=uKf4rY4~hs3 zZ#5~^AD731 zGI$T?RC#Dc-VI6kOx={XIGX;7GD_j8(n~GdsYT819p=T*XE7R{w^Rl==JK}9RhN`l z>JI${)zzOw@^%Zg&WBaXF)OSB-5plaW3z(`1o7;VdWq9 zL`r>wojyu6rsA3FxlI{q8r7lTP<)x>p(p4^>bqdL(k5+#&b*gVv0la5RJoF=slm|p z(phX@W9$}PKKG{cA)j+V(n#kjYtHmJcB+8MYd7x(%z=bz%0QIH0q#oTvIUX91YP4HMiTt{ryFb6PY`PvlT~n8TM?fHhH_G?7peuv|^o1gXKB4;G zS{C+7-cfi}zTM-1E+YO=>9jR*0TJB?-)s54gvzywA~i zt@g?F3??yWrmkvRnX3s2WKGo0Ik1QlAnw69o~ykTWX<4T#$(CCI5w>3f!e?^wpzZf z5j9CD6|&WWZ_f2VZZ=j%{KZ1A*q0hh6XyFZ$zY-mwQb89%GORYFN)*-g)ea_cKOD( ze7#}ob0l}{!u;WW8grj&fPa(;z6nR4|~@Yep=SyW1erZziRC@>Kx;Fnu!U#!OBnF8p^Z?WAW@G+l)5Lnu`d$6{5g9n7| z=1XwnSjRAJL%p`2cg2z`B{?3(JiFrrzK`+^?@}doeMucEKfI@KwPWDley16{!m&az z_~r8NafbNnBPs7`Q{?B5n?J|(KH-hM z1E9|=RT0`R&g4K!IEcJgHBq z=X?*WgU(mWdHnt5zxD(D1BUgkBt6&`!MpTJ3xl|@tmuyEj7l+T%5m-}c>J>V})SN~o& z`HmOgfG|vwgig`xU$$s`^uQue!ARbbBU3i^k@odPtn(N8NtS94v057qdzXFF&S)*NWD1SmU@dCku-77oR8H zhZ(ZeAl>1tAduCO-0hC2Cm9GP7WOJu`vmX1^6e{FI2!d0KsZNE-l26hzAC@z6m^o@ zK3sHhF&sVO&Gc(SK^zc^pNl<1kxQ($%M@A_?E6Tw4BV$IL?;lSUai(zgKt=q_px`{9LK7So9tJJ)Y8( zOF}fb*(rfY2?$`Q2mIy)woi#3~-pO%(+1wlvNl5 z=)+ItHU9tU=cHGLnY83`NnaRx1F9bv`@eR@-p~NY5)YDHDp6>TYhf`R_G80o34!DJ5b<=!DHdSlLCC$2d zboLK0$wr`FoEBWga*3+qa=O0+hWknZ35{^wh@DOp-V(^YQS){KUTbczzG1``SRaAB z_+o*}EIKkCoYKQS#;n5H#`g6kM@sXOR5;sy?q-C^v64ID*~e!qEP1%fufAJR_Odo+ zt{Hqk)^g{xj(wU=PyNk{lY>e?6d#2DmlH&H?zk!^pjCJB*B{!Y7W}L{C(1aujL9;) z=GJ-E?prn|mGEPD`4=Q!KcgmN&(!{+a(dE!2T6Xi2ym%7xkl7)r3xE$`m}rM zZ@qR>)Kkb*GE|zM9~=zxOi8utMAGt@mX@ndre2^i1|v}gLj*gQ)7mbqpl#-?4&A}m)-@gp~Xgg0oUcA0CG1Whs2&G&Uwq7CU z8Og|_JQGT39)^Vqo^ySiZ#&qn_b&qTUa85S!Dp7T>jwu+^4hW~2S@4!htjy5{99_D zBfR7PErVJ=rHM5iJOe)`;+DRGygLkr2j0t;Ra2?>K{2r-ji zrt2#1!oZNQb>79mRw&$y+$-rdkYh%MX4LI&=%iWXH9b>7^>1c~wK2|6h34d^*G{V{ zwigWLu>|TJ#7-JxB4YV+#zD5+!Y`)`5* zVzFK7;cM}sM9(yjWk3vK3jAXK5z~ut%DYbR8RNo|C3Ke7`jfKxOT|}m$K=JMJ;@%Y zUqp)>6Q>N_q7(zO9uWcBGzPUHP(v-lp0&q{?1QqgCb;!iSD|YuLc#qRYfly5G7VY` zCPK1^O~YuPO(bq2fB#Nol4lA+xMAzi*u^??5)l-h)WV8r?2hT~wX%}WDCX9o>Bn}b za9{V}XE~gD0)#&x9=yYDUaF6H{KUi_*>Qp-!(LN23?xh}YNJ0%x$x=;CPT!%qB({} zcLJ8Kdcl#>SQ+070P!aK9{mYc=@BpILUNz+oxCG(!}+eE_1jK*OsP7}e`&1`#XvvP zwd28(mut)5u7WGe$5{onMFFrL2y+&li8Dj61F+MqEiBBxkcs#mQIZcD&d{m3%skp- zjC|vi@knb6U*1WoCSgaisA^Z*M7s}@UhFn{HevBHV<5m5f_qopSzx~J@(x`qL!+-n z=3V}a{z}d8S#`NM7tsh%9I0yF$=7j`KjUJ83}p6F{H@EP9j4v2x9WE+a}Trw*D6uF zh3R(*tukzwrmk{C`oWa_o*05@{;+o7hJIJW3a*hMhvTDua8Q~EH{P9fYXI- zE=J%~L}x@v^lKvakrBfp==Bl%VDRT$=QK;R%1yh#ExM3Ms!O`keeft(RRGhb)TH^J z=+UuNalT@wd)^DP+sF!pejPWLC~8T=)~=$uvm$3c^CzTJ2O$!?KSu})ueUf{r9wF= zL7j}iIeTJRf4Wh^dLk=Z^la`Fff_>DfsTWo%luZEht%yF5fl1i;8V$~n|gGN;IuF% zez0k-5xfUiLy==KQBVgxJ;|wx_$wAGV6x*BP=VEe{Q2Y4?NcYN&Oa*#T+yY-PQ{gA z)FY)B=LB(wpY=gsjxy<;APj}&EDh0Ce7(UxFgV`EQ7Kya_fbK=FMzl6 zVOm2s2@`EPJ8BSDCkKxX!P$z*L6@>OUU$UOQfDm4zGDDAKl(Yz6~$4@L0_(64&zk7A09mla{BuYMGaD{rk5OVqZaO<}j6XiRccOqa>KL`qJsBn0c% zrihM)olZjBcO6u4DT8@B+G zJBYOL13QOn6joW6CqHGM9*hY`WDfUpuXf0$=u9ZQkid)?Id4+S*KJP=xaX)Lol~#w zvw~yK2#R`?lMwW=5|0m)>=A0HU-9$#@SLBnrxw9bT9<10 zY{f_;x~x<0{#L;$R-}?=>`6hdc-ge?=MLAb>i53dMkRS?nkz=lEyw@0%7u(v>R#Uj zAc*MdH{*CJeQACQPi^AT-!@NoU;N(oO~gvf3-5@2VAIYM6*9z@B-xy^Myw|2=IxD+ zy<{cI$d1y85@M`3H@M}F0I7X$&(0w z-vrQ6O*P1vxD=0QX zNI!nZ^J0Clyp*rny?K1zx1rpL`EyJ_=BLLoF-P+xi(8wratNa4K93K9y+>6miIn=7 zvh!7ADbEIJm4Itb=x%$G+_JY%h~w*q)A2s97k}@0T`So#HmC#?>9)N1^4G+xnyb;? zdm``ksVe5*4Op;TL=Po$0*#&@T?Jsm0VD7jTqVK-W2s zev`bOv;PZ^Nh`J3PvHbWh|(3{Rq9hv_yZ-j`6&ZXkVwSd3YxY1@i>x^XRat(bLrrH zwSLgd{#2chWJ>Gjh#9-ev6da7kNh^}Gg6`-_HIch7VdlM?KPsJMgmk#^@B=e_BzL6 z>#LOKK3Mov)!eDAH7P=zX3J4<(0z8e%dYux4c`0Hfro2G6Jz7!i}sNl;l~R$)YYET zj&Y`SY5)zx5@T4!hs*Q8e*-2iqcQF_F7L(h_g5P=dVWBOh`eW~rdwP-q}`DG{Pps# z&#|YvWx1k=(rb`w2H}%A zB&YG&A7mM`o9z^EM(RjeuYvH>upYG9L+2i=0fm?FZ6yq;tN@Rz@wMz|=bO3o;d7lK zn&UMyFLH4Dy|dzgF@62Oks(OC#K}e&(1IQXvZ16UpmJ_EH{6Bu^^xq=8<7uz z>e%o(I}iH|7Q4`Vxpe&cbKpq0dryN`gn}{>ZtlQ$}RZPV%<}Qv~Ki!x_^5#_4ZI zm_kToCO(yAHGk6X5uy=-F|^Xjs0Bv|w0M94n9wzY#44zJW!S72fc3;2-}}Ye9vC?T z2%X!OI2M+^W5V7|PDkO5*x&5xs1~(?Jle7>JF9tAMGf4F7HBKaSbRgUp(2Bukjnzg ziI_NFY zGJ{T-&)w<{-ETY z%Ti$@bY+@*q7I9{U5q+E-_81|3POl7Rs+XDPXc|Fq7drPcLkYVtR1z`-Gw@V!DNR( z+fvJ?Z8mdPUpX>O_5&$9C_+|z)nGlu%21wazIKaNFyECz0$o)2uY~9O`j_FRw0{nz zd5kZ^XDWuLZ^XUoKc%eoob}Xqj51doi7L$Zusd}RV_)=ND=g0*%oq$o46AkaNcd(W zqNChAxJ|7ekc~b{Lwar#{o%eC@|Z6a`Sbm8)G6UiGjXPxl1Ub(%xza;@T=0{xAGaFv5Ox&AKyDi6qpG<8>+%bcjzMZ5cmZpQJSf7Yl}PYx#aFHfSJ zapv&nLt+j^#p4-GEBZhad*f4D$?UHUf~4E-&*vE3(Np+Uls`^u8ScHbAVWU`FBjl~nMgaTdCpaD$)p_9O^P zjIgsI2rGcd8FX@f$Z@3PbLl`kkLZsnx4EwQ78ZB%7i-a?{&rJP<~+Hk=H#z$ltXUNVXwN~Ag|OJCYX>^&&`uSVtIwkT+NBV=JGqt{?g z%nG5T1wa{K{I^3-ZWu>>o|FS;2tB0_8fz?Lse!QlvUYPnadNEG{p2~fn&?#vufX(T zJf>IPl*3LY(OoMM@{2W*H#d0d6+zo-{{a>j3+4067pI`_Vab;fXcI9j1EYOTHc|z< zj%G!{Yb7-p0r79(YRLtYu}sH6earO3HvqWU+Es@AhaFB@l%QV6Alt_&7f;d6s=?Wz zEVG%di!9x5vzj@dGx+FHF`k)i&o0)TgKL27!iJh2{?kDwMUR-h~;rvn0}Mt%Vox&U(mv%ER+ z|D`n6;xqGl2VQ4#@isFI##!VA-zu#2?Rc!!O(edfEl5s9fXJ9I$~ z)X>7eTieiCk`S$7e$dcsrQA znNfq0Z^#^{ZJV;p|VrMVsyI?5*6rC_GuuH8E{VJ*z<# z5)@z5a=sGXz$l^!uM#!8Sc8rTVQTT^tI%jHws-6pTa)ho19b+z33KQpq;D*cvr-C( zo(J(tVMV?Y#ez}9Us7jE%}J**-ODD5$G@IZ#bBFb3%V4^zUss+Cc1~RbcG-3aKjjs zeozF-vZ!^1H8OSBj261MJ`x10NQ-^V4gKZ9No{JGkpib2kX3!o(GkH7^uq?Cf1@!? z%vt)q^Lq5LRd(_I1FqngN!{l9?~}9Hvx0o*EU$o=nzY<{@~N`9*H<)3R7rRDcm!vB|mUad^`amic zw(eIfH6rU6zT)#0-)Fzdt$XHMmiHV3SFR!ke;DYu0V=yltSOn8jO{ohB4n|VZ?x>s zn=SS$meHn7dOrpQ^{DMV@UMy}q%7Jk-$=2*tJirD47We7%8>%Wvf$J-y!#)l)LZVF zRUr-Lx@Ie7l~HW}H$nWi?$%i3frLwPx*Cs|*1}fbXuj&lP;kqn$YMjIfsRGYDCkTM zF|K}k4m;KSnRd|tHmg^^Ydq8k*PK+P#=WfeMwPWP7()%JQRAttZ=BEcU-J_`q3G1~ zpLF+(Iv}tY0%bV`M4H0irM34E4~?4TLpDdR@vZ@x)Y1R=7KxlNllKUwFs|AP$UX+whaL=eckL6`?f~PkuEnc z2<4Ij+Q#8oW}KnTgVq{d-LXrDg7c*79-C2oeb7y~i;rEvM8$js^IXI-m?iJ-8{no$4ejipVtC&d8Q7_= zU9D8CyjI>Hw|=)EXn$nePqmI95UeLDkZvd;Fh(jNcSj?wU-}`S9(3`oZtJmp&^LDx@bAw1f2N2Rt<-s>u5;h|J178ve=5f5>BQE;2Df8tWwz_JEfQlv=Ebyd|M=K4 zdVo=}+pyEq+~qcBNQJgRErkY7iTzof-|;@=>Z*y|P45~FU6gM}Bm%_TdwsO0`dnCN zl)|yZ#`fP4Fg%xdNq;{so=>rr+baLkM(ea-){Eh#zbG5(0r&K=sWGO2jXTqP#^L!= z>leF_$KEqpx&bItKYPDm@Eo1Baxfho?Dh2AYW26k1M8mbMEIab21BDwB;A0VTv2o- zO%5YzSAXx?6JqlCZ2C9qjI+?S7Y+!`r&#_|8_f7KxGmn;2Bz9yrR)#hZnXzqZJN^o z!u1T~#o#wL7Q8N64nR*zF9z-Y+H*R*kF$>_z@$kgBVpd>fa_=C6A>Rl9e43(8@r(XoKI)~229S;IL_e9}-O$Rih7sV|os(=I zjyK=T<)$;QCW&}>As=Y(`nNx@(R+A^^;!z!DzJZDeSOpI#cb{?+Xu}!9(aggjL!wBS^9c^-)qJ*U!xo(vOm+q<-51Cw7i?$X&lk8 z@6o<#7oc$ncQ`{&a4kd!yP5qSC(PQXZ*TC9_-)g15SD_|csN@MK+!#@Oyyu_UB$yu z^Jk6dpem*I>OP!T*BcLk5C3d0#ZeW3ebw$g>Hdz>yqdu~T*dZ=VKc8?l5Olqrj!g{$XU4qQJ`L>{;J$-_M6SHz@opu<7NmG&+$W z6lJHfKiN=4J5x}8`=0sK%1f?#QM(FM2PzG9xDu2*V$e$JMCkPF22FGjbnSES�jN zthUY<<#~_;VYoO-Wmst48^ZC!_=y~kX7uZ3X9icU(?Y^FZSVL7;|BSL$5#Ras8#}J z``E$HT@9%jwn><9mG^_X`_Sd8%pKcMg(W zeV4*8lj8a}!ITSQb}0L9T?-&mIhN^01-9rXb{*IG2gp*5i;m@Rc9>fdE1c;Y?Z>&l zsXsmWy10ED33ZLGm^=A;@<&AHIRjV2VJH%J@+!D{7bhgpMSy+gIkxV}ysAta9~j@p zk||j5|Wu(-mZwH$(^Sjz3Kr^!mvzFKC)F#3?AUY&oh4%z0l3>+keA| zGivZj3a8^_q73HynPFHTW3~tob(viG1v3^Rs`I_2Te!82y?ke0io{&IMg*veppXQm zwrXQjy@Q#cs9ylu-zcL-V=wqp6~?*KdJwd9l7>Q7Ov*S%oy9Wo3{Ui_>p7i#*T2wM zvDh+EXj~XZfm`nE2h_h z9%qb$-=x9^g+Y_)MrSLo2cL->;hk8~mvitv;8IZ@-{JIsoc#S-(TjC#1fKe7A&GHe z=i)tQgLyuQP5>%%xLSYS&Cqx@WG8xH6m-qieoZ4Hazn?myTa#u-$-*-k-lU+a9W_yYyL$!FSE{Oi%kz~>!L_%;}{{4XEK!YKMlkDmai9x>X3zC1j+Cm+B& zdAbyzfSd9DtFm7FsxmrYy@T-BiuB#fxAYx7mRR&n{>2LK=ur~;U#3EOkGZ7mPu~sL z_RVYiuNIyOR`-DHtA{+SEv2B^G(wFOwU^ADClc7ViK7G!u^h+bbozpNY(hn+LtXIA zcLs5>nX%LT`Td0!6n=>x5d?;S%;y@MDRC~rUBoEP0np#8nup^EDk}sOWW}V3vQ~_K z2NKg76Plx4e?;KA@nmwHfF_|`okj0Y^dI!TXqOqb!aN*Q{n9DvhS)gJg~ix7>#FtN znTv^5>>_|2LC1!wJE$<`WAM6n<*9$R44D$RR#XLkWy|z`;J-3S%B%%Pp4=RkU!o z>=HrZ7M=fu54n9|1{C$OrkpTbur+y1;$ji-zNijE8lt|9LBBa?lYf7w@s#Bv@BHE| z7RAW!=dwMy7g*0u{_cR*&c&;eQ3kF*Yy&JpdC%f{d&qOK8CYKt;qdE>9pX(kvQLA!s^fLkjs^2-Y*5Q z7W)D=tab6`;wzm+m5;NYH=Rho(DLtwrZ1Yi+kch?$iE<*em=g_z!2?oPQipM&nZ}p zUaF+vlN6AsNJvh3y7BbfAX+4yQ^v%hyGb5DxIsk6tlCWH14W=NNRFwSd9?0Yw!|IU zMfAqLmzig!&OrSv-Ekybd{AZdt9_b|68XOxIFk0CiY_dXY!Gx~Xe%{sOoJ2s%KC=b zb~wxKjXupEJL79ZyzQ)A8j1Hb%W~54sRD8S>Y7C~8`CQ}A9Wsie zeuL~N86XlYsGX^t{{zyC+A?M!+8UXutMm3@k}=f(Ojq$Kfq2>vKs;21hVz_jyI{=etGkb-u83s}N?M803R{tVizSVi zWI~PBVHH`EigW=n2h*E2Tv4}epssY^zlrnM`JX_y_$|Y0&Ij&3!_$NYG=KzS^BxkV zUO~|(yN-Sj#!I-PIT2WYIG5c26G-v`wss9A)ABhgh_x)p55o8BS8wh~Y73gVFPYr9 z)j|;_Tz-IAN7a}6Rj0J49P|ZhW6exJN}|eG9w^ct2!Un`7Z{^XM%!Qn-WN9dbGDPv)JOyzyMqI@u zp-HPES6_-*Q}cBtQPC3-T(4uW0ts5zUyfd6+Y`}K9epgiaJVSSq%^~?{>Rm#sNEw zu0EpC;#RzuM`hOfe+LqQzvrbLb?LelZT6KsZcc4!o)>*#Q?``u6(r@}c7hi9yecwB zbpKcT6`rK{*U-<`4y(sTr&-)z3Mer@^mn0&{iFAfIkplZ0&KtoZIN9TmZ&!xOprwolb^b=YZYPiJ1wEG{ZF(JX*A3sf<{EexZPNB1JV$UW6?q4otqx zek1N}+%L4UnT`7Ad}{!pIr(-Of?*$~Y!QpA^X zZ^Rs(bQ9o?O*GIlt2d9TPyZ&`WJ|UZW#U}5bR2$9;YYPBa3PS;58MVc+df|8Y?0hB zp;spO098%z$VG0^q*zL2aGeT855M#pTw>KxP>_`F zR=PW-ySoIWTRMl3?iNH^y1Q%W8aii&J>TCxYwxqxIe){ARt*a~=;wGDz1Q_od6(U!)7Pug;;2Lm@t~#O%cp&kyAMO|*V)f+xCnxC`}Ob-KGb zCq494UzuMb^x^sP?M8)2bm)j~W~N+rj-`o*1!Bq6=Kg{gRVz+hwrW9L6;W3^e)Uz;ziUZ^_y1yqU zH&yrQD+va3&m3n9cr=tKWS^P|1THzpK^FAEz^2E*rpf_P-fm|zgQj<#l|FDUyfbWY zcZSfaGFVWw`0`$);_}{Iq%N;73&g`sdzC;^e);#wx}!Sv@?IB?P89RctvbYAf#yUNo6T<7XX6UB7TI4$pQJnl9^i_stHe$j3?fpM0;V5FgS zZAl(>t~q}5f8~eCLp4a(hsAD*01`M_^|qoJVb!{KTHKnxNNQtI6$HH(jXwuWcu)DT zPQD`Fn%}N<$$>Lwl}mRQzJX9GyuCeooZbpQF{^r=2;WQ?%DeXuA zH`^x5Q$&*MT8H5Jz_bK_U(sl|(Y`kTj$re76fRn@y-u59t;48#Uq@JFsbs^;r?6W7 z25ROcGe!O6a{6cKrG~^M4E^eY-0hzT)M;zIs?R8c*t&a%I>YUF%H~^_#|ISYFnP(pJJ{Par@Xl}T0y|BMvo~$@Pd``k z1~J@nyz#{}XX4zYCD5hPl(XH^exNz7d-nR2vZH^aXGu{{wC#?u;{J;;cu?7P<(G{d z=%=Iqiu0#T(62&ss@Y1Xenu)E&K=$X@|;qIgv(T_Nf{`L(cFPg9MFspDPACt;TRbV zpY>0BT1FvJ2ANFeSn~{n<{{P|C9GN{JhJUTLYd-}7QER#o>P&Q%i zmq@_p?fTNs+Zz*E-h;3#_eB)4+2Ynb;2#ZTtAJmnZ#p%)iuJjDZQB{*DbKvKVvEtu z`=`mc9a^4P1BOEh{?tOHQ1)x5m%ggM2~uVi99{v|HB4Syw%=yf+uqNS7_Pciwc}8g zx}-&H_N>*WHbW4r$oA*RukAIHsBC`Mbg0L~b_MR!1O-vuIH2`usI>0d%y&M8G5SiF^&%)}Cw zH?B$jh-H=pGDUQcM!7z_d9?eo9ao1>^2Bav*1cWL`+FTpY}{ zfrQUP@k4tI6HC-ZGsbx_rz76fqaiMXW+%-DGaDucl4vsTNz=YQxCnP>f4JfPKKDOCcBZ}G*xH&wz=ilO?}MZwrklR10EOB&rT@`mOCLol z4+s0vY>y>?MMH2V;%g3j@yy9>-#TCbF-$M}zZPN8Q6+{1rzmzh?5ai<#?mn*ZK|9W ztVwgoP9cfEBN=rba;ImQwDzRW17S%8l#^a%$Gx8#cj7joGWHAB?Ga~FqTOAIli0a{9Wlcj-#qX){epTEuZe?EAIS4AspYMc$)R!(qxxNG$_YBbs^&R2cY z=qs~~od`L-*OQsIE3lUvd&^(>zMO6bm)sR|$a{Tr#! zt*EliQ&rP^aV@NXKBDFtmWQ+ct^7lkcVCgG(=5-6lUd4;ZcuKk`O)W(?NZ}JgVlUX zeQ?F9P->NmW)O?6YL`HD2Ym7H-YR9$c%mwwV6U>w6~P;~Fq7M8R2!53l&xJB4l`WX zhoxL`-)I*RXili@={0+#jZ0Pw++}9O>_SVm>Y#fpLB&}W27gP0>7H^YL-Y3BjF|O+ zW1m3HXD=eSJP@n2dTtdt?$MN1Zk@Akvai$oeIeG`*7`)*FRnxyjZNe9FeVF)c7vw8 z%4LIyPRZR`18MG2J<(zH;e}USTnyU*`FS&Ux;+C0Epc|)A3p}!y`Dc(mGzqVt!{HN zYjAXbvsPO4%IB?6)D~`R5|d^RLrVAsopwbp(D}#r^rEqb7q=CGXxX=>G(Bt>pWS6? zkk<%;)0r+Y9wd*#X9)9fAt;OwHQ&;sCr&ujdN#M?_%7cwuHc-TDo6!O*CG2yqrB4U zYFQyFzE@~tbiH`x7rTgGhYULK3lYWma^TMC{LWsc2hGU~j`mK1skk7fu%#$NvS5S@ zgTlnVBd{(Sil{T==9C78T+`$vvd?_GxqZTLHAf)LV2UDNf&=q^c+i^Ujv zlPK@X76hokc6llO>16mjBOz#N0scdq)Z*blrkXa&&w19sGcPliYb6-T28$@;Zb6C# zl`6FGVwWA=Nf68TxYa|No%Z=1b|#6g4GIz|ljCxF{(DQR9s%qzD5xHlFj;w$e%ea( zEZ$>OXNEyPozD*6ToNSuxYLapy}cWlvNpzTp?kl!FqS3tRelv*`p?ysGJsa)pA&Tv zqy?^;SLE-s+*Qm<;`$%Ip{DViPo6QyEhJuK_~PO7sP-ZTJNK5vCQJQ%*SuFn_VM2=X~$^B5?yDwA0xtMF4cobUYIa*6y9uF74+ zXB>>&wMDpr3}SNZ!0&wJ^uueQo+l3-j#8td?BIv%Pk*6b%XOstLXS8$(O_K!uz&7! z=EUP9lHS*KKHb;(+_Sl>tMoWWeKV+5Yxu#|b@_N+qgah!vsTyez&NnTkusA>5JKeP zou7U@XNQ-{-Ej-&w7jtDOD8MMsX-uj8!JGrSrbm4QI1EVtIDp&U5zc=t;sq=EL2YRE-uj?!H@$^x^EaeLmKZWP0p_ zo^kb$Wbszi>01Qu2V#X;S3Q|TAyZ}(d_htZb>HL9g_Qy=AZQ$^dV zSRHhY+)6c)?&hYfDhhghh~^KMM;I8Jrqvstv#J``;)G4oKwHCt<9{4F-t?&;Fh6T9 zZ~X-j#s8u{2Lz!3;En73W`G0|ycuFlbE~^F_!#}7M7{TjueI%s$rb=Wm*v{T!!)>}Sdg;`b9AwY& za3&CMkrZKe_bgPtV~MpPfeS@CZ*xtMW4_^#0cL!@ca;WS~MZ38RiC&R481k)AzkiC&(f2;K zVG(_%+&%i;@zoFOnhTCPp~v4TFQSIc+1GoP%3#O1)u-_iz1|e zK(&9)+vWF0(-)%<(uY}PQA3N7ln;ohh+M9&+CSyT$_bXh_6ZxGs2X4-OPl=tWPmR5 z8vMt{3Y$OAeUqs2p*t4k>CRp9T~cx`BKyb6jNR0|jcW)H zPiA#007|3LvCo>n%Ms;wMkm*4W~^)zA@D&T^rN7vPjc|_i#dsM7W;>f7eMLDFFJ?A zAKE?@kty_r9kW5rRpLJUv=7ORLT#4*Zw$JYE4c_SCIe&0r^MOBfJFX8(dkP9z8&62 z#vGjK$AVGG!LS_J)hY6w!)X6d;o(&q2dNe*$iSICDqzakz5B3d3bNBLhnFvypA{?T zjN(B%8D>Qd{x&GNuq{U7C~@h-!Jm0REvqK#p^oN9@tCB2h|*IwM~rJ$tRxDJ<8QK> zF{W^C(?xka`XAQ_M+8(R^JKu_z*7%*+0-$Sygs)O#gnqA8HtpzjrkB%9>f1$6fp&H zwWfAc6xk26N(Ay}*NYkLhRfwhCG{Xg=x(JOLAGzb=L#|c?^`$9Vxyt0d)1#$qckO67w(h(u=@04gJd1WcU78=WrlJVG6jUd%zi<- zw{Ll6y0~dGP*+h~Bi8KQ4LM-H`x0&=uHpGViR3GwnR~fvose=2DvcKV8{I2~RQ`Vt zkSNCTv9F~hPE7cF938Cc^%7FW@|9!dX$Ne({F)YWDGIwEN;!!Le1?>BFAt!Mkya_- zwmr?2JmbQCn~%Cz*qTn>oveZGiRVTaX?bh3x4xRkj-Y!B`}r_056!)-W=-g?uCgD) z{Wr~Xz?Z6>uBX%qXqRZ2QQty){U*y`$@9Lw`pIg0nLmABNwT`xY$pB06zLr~cxLxX z-(#>}69Ks!{G|zhnYk10N@i>* z+}qZiIFAqH*XnZVi=gQAd7$#A;^Y_K_6g|d@W*HEqS>>|VmbPK-YmdzW$Z+YgsvtN zu`sdy6PVPZD$msjc$?PDamzVuw-ei+6*>R-w_s{tttFf^yGt)fXOJ`5HTtG>09rb77EV!E+S%PvE zp_wk7(ss|ClQ&MTC;Ou>SEWRj%};*t@PG>4;k`;s=RDW{=AlCD5ng|wE0pXaR;sv) zIYRw_k1YYx_5Pk`iqcvgJR1hSbf+AA4Ylv4#{F(GMk?>`Q5MrOrM$AOBm5*#3tZi5 z@oMGIYasr1dU;lUVI6kpDNnBKKG&4sX)^kc6{DpH%pmroWy%uwT%LQ9;-hRJG`ibm z@oT70i{~=qw8#KWp0#M8bQRm?y^bJ8Nk6W#^M~JYILUvF!6I=*0a!X%??Q_4uHWEt zI&SCgEVq>CfFD?=83)QIXGAJys^wBzv!;P@ffgFQ7@{<|^sf-T?u1ULHLU%y)w(7z^eu$wEE5z*TtHu*rJTmS7xa&T=<))wiiVYrd1>+sYqd>0K3Ln2Z(uwLwKYUGV0LwAzyR`+LoUV#slQPJ)NeJx9fIew18}XMMWyV5_Hf!{Kd z5031F=Q6(IWf87!QesySrsQY5^Xte-Zw^eCzj=;r*z=GWb~Ur&~qM3HdXW%{s1`>3W%l*Cr~cYeEr_=#n6LKHy!fg zy60;MMkCZ@GM`i08zus81lfqs|WxHPp4+pzAwEC&2{< zM%MQ4rNML;79(^o)V1N+5pDHk_GrlzAcYMn&;AK?VE+N~CKZskZx-w%vJoIT1T>el zHypY!A$;id5^>}aSsEb$?0VL*iSX>ohR2$DTrE-m7oq1FD!C!moe#1Cn4F2ly9-(R zJH#h>B>C8gJ{|!Aia@8*OT_iEVlUm^Dxl|-C2tdD=}v4g(stio1arQXH{A@^_qdzj zP{1L1fY@TUjv1p=iR3$awsw?@H?ceU^cRmWjxSx-3e|s8q-)F_X!=6TnsNpBzNFvf zvx!eADh*}MO&X#74NE}Lu?0uvg z-xW3*vkBZiIAG91&`Ezg1CVqRRlaAg_VOp~(+lmcntSP?%5-s|x^&=wGYXQzmqwOF=$E{d+XP1@_bI>8O_tLXX8*KM9(G>5J6z^x#|A z!c^ehUw0t>x*m$kMy;}?K&p%($`toE5ZfXO3I%lokac&WUd;TN#}ewyK{@6T_-y<^ zLeo4-rQ$=q4+CF?$|+PN3t6w68vsAlgy+w!Xr@~Q8P>Nw(2Lox-O6sBHjTZ4f4+K; z>gLE013JvgQK@sI#WRBhSam8K!ulwKE|PKo72UkGss*iX>M$e3#gVa< zRpKked12cGg$9~*KV(D&Cu|(J@{liF9MU=o-LQ{qA#6HFweguE<;Wn4Q>Ii?s)^vYkF zK-45Df2iDlrT|}?x(0TFd7a#7MPYlHIcdaQ94Y-71u8dCOgf67YlSOD=4#b%J3qi9 zM^b?+;mGgyn4-vgRO+5G^1nCLUq7jqaF*N&d*pk+=LmN+Z}KekwpdRrt3}9sVSXts zB%)xXW>hQofiVl$pmKnunQIUjQ(ENgj$FdU+$=zc9FuI@ywlqm6Vrkt+V<_$2H=7x zzWL4o@U0im2C}N!B_b!g$X)e!WFt8{VOn)@H77a3C73DOo7kI|eW|e9l1lZw5PG{+ zaKSQSWanOlpApbGT`nU_PTzGBQ7EyIvC}H%0)G_l`<~3apfzZu4%_-JXlbuD-okqv z6zFR((lP9=_Ly}%W!8{4v{@`T+j3YX?>LFsOelTNN| zn~bdo%>W}epIhp-m8F;^au0n_7&3%L?)B3+5Z<{2%aK^?F4@2x%HnIZ3W?kO;%B zLeFa3JR&9CF)DViOBY{0&)^g?7vbKhF)*8Mg1?j6vtRy57I_X_BrJHAaKv!kE&jJ= zLtyw(JkUip$X#DuOYu^ScUL5bTYX)Rl)z!oC^DJF!&Op^&m2vd>`5YBHHmb}Mx2jY zFFO%GQ81DCE2>93%0~z6$7!c&_!~OJYb*rCgzo`ccCj}U!Fyok;X!=BoPW{Nn9;uZ zqagctm#%9(?4VUS=Xqq`EXB*^EvgBKGxesGO7s~I~>E{F)291$$mGaynW@ZfE_XZbV_+}(g10v!mA^86luMdPj zJC(_KejpVNMLDT+fG=SPGD6-7%yf~it0>4Cx~Igm1~tV$wq1^2CK^b<2`5QmwUsY+Gt_>c z{JNOdMLho6dOc*vzl=iwCUNtGttfeFZSewkc?3t=rA5Yn#YOqgzsG+j&Bp3#^-OV? zl37g9IS)?pzY6LE`0VWMq{QMQF{ST@fbPEG)g5tCTKc!&2nVLj=GQlbgg z!4W1lR*UT7iQXy`I&RWJXZ^xul!%YfNFp#0hd~F#_nY;h3@;y{z*LIG(V=u)`DOTm zQR(;Tu$av=XuE&2X4Ud^NYZFTW#Kr#W=cEHWpd815Cvr$yj66Y{EE`P=e>K&7!gT^ ztI(++?g`E#I4a){H{<$%(Ucph^%6A=KXQjxwn3Y^wiy(#tZ3$RwUy}o&GB;9w5Epz zSwrh@`^U?ZMZy5-+GwSXXQZ?hih5;n*_^63f!n#nLMDs#=`IQ;g2A6rA>k;dmxfo8 zq(^k%Z`U}U@ZENh_a74dLA?#)tEJ~&IDvwtsMv@vxBNKTa$Yz>I1<=_&m)a%Xr)xg zA5x5}PG;nGea-PQ_-qehcW=UnKt*XE#HiuG15*F{ga^4CW5$O+*G1m&m$#~2t<&uJ z8JCr)mav=B!E(76rFIwhG~XoxQuEsJ@4UYTxkRU41B6Nm<(7Qqc3;c(fG%EMV6#!S z(ALs5K-qP4SLMIIlgIZ#!bKPNMMuU}I4-1@lmAAK3R(LQS`_tl-g~0?KgS}loy9I@ zC@lMegD7pLM#Crf)C|tD_XF`I7`(wXacH^85R&fSI=kMkl zIY*}sWq$zcyPEA^92gb==@cCAC;3t1e`SB;?iG1jcvk|kf_|7+L1p_zDDrHDzRR;+ zTvH?31OqpU-l%zakuo8rcoc9&?|w+n`H!GCNI_fFob(ZKbau4YrlAsANSi+aOmU36 z)c&0H+#!S}oKRBIbYF}O!Iaa;s{T*^9)42me_v$<^)X8tn>Ek{C-h8WJX1}=T6E&D z9~0`xbU#0UXp5dY-`_!d@ATJAWQ88y>dppm^;~6~4sD|?+3~-R5R(icQjd>F?YsMe z=K#HMA-MjxjTd<6gjJImGdWE+=Cqg+&wQ!aP4GEC6>JlnqV}Vn>#LS&Y|a{)(D|NeVAbr!e7?2ffF~$)K81 zwyrg&VkiyciJhu|!T(u7mNWe$82HSTr@}`o<{FB+2>XLf+%x)vJT{MHRt1?B&pDdH zhl9oSCz+fWG7#^JftZwv_y;SH|73X)pZ@@%1P)RVyb2)`LdG+}Fc%|{aFG>@X6C_H zQqTcwP%3FkcgHb?V5v|%f3hrqML|jYCG)?2K~+={jTR$b8+MYw2qwJYeQaTQJD#J^ z=lx^$JNpcWWsX+NG;1n8p7ed>DL!j|0baLrq-#0?2d0=8!{!xqglwG+cPKo0jPSfs zPsO_%0s=H>XmZS?%&88UOW$zuqSpNyd70m+h2C*G;?aqQP%)w=0i~@g!~n5zOkMa_ zhEo=u3QPYE$92N8^)Wt+FAa2$JLqDZF#)kscQHblP*t!%_U0-9YYFk{#b~MSs*5pm zj#7e}C%TGV9ee3X-y@vI4J1;yciinP${DC|y7uYZv$33{gNR$i zO|(KDtCL^>3vG&;Pp2gxU9{*lD}3XRwJXZ69ayuL4L&<8O^xu*Kk_J#+banLg))3J zJRr||9rkFqrlUAfQ_3(;aIN3il9jW1(M?vz;k@HC@_xm8iQufn7`l!imal8`25L9U zG=d$ov*V1nFyp)y=~Sx@VZg4xb(}Pu6JT10GpE}dTaW^=mMpWV1)jyBa_Ou71PfgD;<8v8QQW} z21x4cBT4A=ACMwZ|F$KI_>UvRSU)yH82O&J))Ig{an%_pAIfy(#0aQZ0}|BW<5D|e_kNeKzz z179?M>`xQAXimp;ObWGMg21ca^GX*{2&5xWFsuSLy%|g`P(b36> zJP6)$F;M(?l}Gte^!djwv}ySgrK%aq_l?7d3A*!W1BiCL;yqp;QuY8lDaD~%xwIh1 z^kgN12w%G82dD}i?iK$i&uS@O3+4Pg$NKs-K|8*uigtQO1yPH+|BR~5C3 z71QU{Dg55@XcpJ#M4iFenf`5a;957kgE_wOG`ZVqgA~K!h%FY|PMPl#mAj*)Kmhmn zFnnYd$S>@QhpbOZjzKSA4xwa3Zb&!D#YX6H!Absfdx+p@+=!^=hH|09a&d8%@w$QH zw#xw-+bbuqKYivF=_hPp&zC-uLForn{~HQ$>8{N?Feo^p*KLdIV!0O@URyBn!kOUU zZ`6nSF0ay)ccWNv(DJGJCxFdcQ=9ah$8LEgNVHbGFd=wz#R}|uJ69+1nw!;F^^CP$ z%?mdRf)L0x9O4+l`d@L|%`>Pjcv~}NlOWC!FGo&wsf;3d`PsPF$%G3tv@L9f71cq$7<-g*+rwY2hgp(uv98w&L;nQev zI+#;-CR|4D#GQ15Tj)sV)9A!QU)6d(0(`#nO!L6w`BzLZq^Y*jzT$2nz1f10u=mih zqX>lq2vq=`q16}a<{FU3`PZP=PFwRs?5C1jN9zZkUi{b*WV~y^l>>AfDFpWM6qx5B z7N~i2`XQJ%ME;j9pG9zMU$?p_V2Q)un~OQ(1}}ai!FgO>yiiz4KDCw%eA*5lmkJyR zhw?T4kVKM@$a}4yxZKed1wBnw+mkhtakO+w$^o*-OuPw~rfBbW8tA?^+KNc+!N{gy zk@LMz_JaB35ZLkl5CEQcG0=hnzx4M|C zuuNxH%L#lDUT$P#%H-R4Zv*qQ*Pwh=S|2$9bj6$pV3&e4_k5UQ z%ws{(kP;$36>}OUbR)!n_cJky%p=?Js&)op0 z{lf^HlawgA&c|O-?JG0lFlIZH21g9hu(biga*P%@4K!;mpd96()D0Ei`Wy}=Ah_*T)pn5b4ZnV_#Kvw4w6%XS6Qa8Fg zpFAHR?qw+YF&=K$G-89j0u3)(E!nw}5{f_&5Rp-S^cIO@84KBkbCuC@l zM$t%6xA;#=e24HE_3F}w-bZ2W6=2Qw25@S76S%m1 z^U;YGj6%r<`4z8iKsCK5Gf*U8WG&P-{dlYc5%REKQ=nvXB!>mgr%p3ktQwTXA8-pN z9!T_gj%2MJgN7%cv_H&Y8qOF|>bGbOx@DJ1=03ImmcwbTHj?LU{$nr+$gX(3+BG%9 zX3rv;;_H+|mGe^Jc+9VsThnHA#@31WC)e}LY$~N!1U$#gZ_nVi-vu+ezoex4+BTcc z7A|_&C78CKUNHlr6e(G4PP#l!m&AER?qaF(nwS4~MH^!A$=G#yw2Cc#HN&f}E8A#J zbw1lmMY=Dly;`6w?s9JwoBUy&gLVNTzv`t@s#QH-Zfe#ncp#Lk-PKm{*|u_IT(F|& zU#|P5MSA6Is?0*Gd^N>hIeL4Yq2IiXXHqn1RxPM{-hgr5W;;k*q%@N(cU>nvXI3R0 zv?!IU*E(HHDX_HkzB(f4-XG2zc{iKRTw4cU+9Y1;Xs{&ztTxz4UQrY$J{YbzSFcIl z_eI~V3jazX#VJ7iMkKMT6W=HMb8EBpElG)I`>m_tJj~XR=T|b>okLmjx#)+6n2S#I zTyQJRL*6AC#k$KC06npfvCZ)KSXCQ{QTU~oF63GwpHAuKLb`4-bSe2FGIV6eTdJF) zh4ccYJQLq=BTw{m4e-Y8|xXi@jqLF$;H& zIC{1}6Dwvw$%$8TT~+`p!adEGhzp`}o<=Zx(+kcP-i6>z^D+WelqDEP4T=Q2%%|uB zmneA@MZb7M@}z1|qEIaB*@~2J`*bq3$;oj616mY-SC0rvucIuR(EDJQrU2}oKUuh?~GU1^iR(rP|4E;^Te zpjRUz1ts3}j%1cAPKkHNQ|=;FQlFn`K?E~U1a>t$f74LX-cj^-FuXL~<8xm6m?Rv( z$80O}0vnE2x<#yVt%dLT&&Q7Xf%#!&?g3MW>;bQXujfTw1Y%78U|e>M+;=fBuo(N& zT&t>|u2ESqI$>8retur^T*Vq@;YRAbwB2rr!qBJjlBn*U7F=-iVIvLq%uvSOiO=uW;r?iY zL;iLbk$$5m#uN!nLl%rjE;0}A zEn2$TaspSqd21InxXFzUE$ob)Gxe6qe$VaiT`>zBaWV&$@5y@p2@wt*cEQna)?7xb z_E0<9f2y3ev1(O8C;$#~8nX|38zonSO5_G}o=`HRPLs-4|0=z|Me2GtsFfsiz z&ro43CMN0pCG!^LS=}A=EbS^Sc)-Hb9oyjdHX;uwdxvuPF2wkw-+Oa~fdv40Z!79D zKD60&F0KlcGllYYWSFB$1QtB|CKTiAsY|!?9dBnkvZ%FSK$F#WOjwtTtAyo2iJUwB z17UF6X?gdBh8w_=@FCJT5r|=LHr|L4g46mv@aA7|DB?l>ihu6;O((d~>?Du74~`>R zpz2dJ$N#rc0QazLhBtK~f$ZgrsNEgce0yt}BZ*IF4XIn4t$Q{8Uqq$yFgw8YnaR_7 z<~-of?O~4W?WlebX`G!8U0qWZ{z*LSlg`LCDq`_vaEU&Drm<+jT=!N1Mh5s$_`)W^ zDm@?-5zR`J`>|cqz3NbJx-BI8&_q2|bY=)aD0o95OT7Bf8()(xEvsMafo|wM9K88b z`Lz7<%FumU+R?A=YJ{2FdWza%)$>q3zJlq99)9PmXItG`_V_ z#p?J6F$t|?Qj9Qgm{#y|@C5ig00pzkF@2G?z3=2ib1pGsyQewx*j+DKCMO$NM3pL_ zK>C}9j!RZ-@L2SeE=jjlFJCY{Qxv8^6rc#KgsslU_Z%mRO?Jw*glT5w@`59F%j8$QU` zeBT<_Tr;pxfdzFviLSf$ebP&5{K9NxSn$kszWDV%_w6|FgqDO;rI(~$MovU$1~lk4 zDq^H1+66mEO5)sR`QFe9OS~fki5l4%=@#AUFEV>s)HGHm!E%Rgj0J0 zPbssc11{Ng(}qtbY8vKS{UD}XyIx)JKe%zdz4ylxEu3(nrxXW^YJW%1lB))7Ynur< zMV-fJ-|CI>n%BlQU*M}**^ zOmL1&h=S?HE^J)($=(77NPJtl_{!pzs;ufDLK-4*NM}cZ^(dRTx$wx9cE2n>a%^Vt zNM3kK!Ys)6F}tf?%+AQ@q@&}d0q$rn$v^Z-sfM~26GO!LYrhlwO@Z}~ApD{5q&Kl4 zY}+KLAmoU(X=JKzP!x2U5E18=L3|W+!jOT*uKJBo0d|RY)rkk$Zoyc6CU#ZpOBQcB zEN*tZFjMxQAv9Y=avUM-&Qq`Ge&c_5{9#YL`=ZpiAO$!!GA$n)jJ!*$NCnXbl z5RpgD+JyiYNg`V1hx=U~`ar1&y+QKyuyPrlByx)@^1&#F) z5R0_o|!C8?BX-isn}n{bV&uWUJ(3)u%^y6%4V zxB~w`ooE;o%Z!=H7I5jtdM3y2+;&E)BYe#|Vp~TjEqGS)X^YF@+KN8*RV;eA+`zkH z&TyBv(u39i#rK2t09>|z5g`+4jDR^>zqa@ryI8LB^IF}PsxY$WiVk3H~ zb~fGJNP5iysSH)w`THnYvaQA;qg6zAIwnk@yA9L#7Mp_^7xpQ=j*(k`lY(v)ka+cJ2ab-jhP608h$5I(2w+33gBh5cu@+snO47YP7qmAx<3?{$7R)d znfdlnxOPuIaNq08mQHu$GXDuT(~I!nA1ke(imyJaO$hRS5PCw71q5)`GI`o|ox-vN}bK0ZM&1V+Vz7Lt-gyF2$=`j6bgIk zMmHeY^|XYnu~c(rHS?((ma;73(53OC;VKz#XJFg~mtS^Dn6P!J%C79N2y+X|^Try~ z2%=5Q=Zk=wcb9ucfI<>JvkrhJ`!-j5+-vQ9sYhZ;?*+=Dbenu(JC_|@=Dx=6)jG6& zw$IQElI_t~efoErTBWsbBHOHlm?W3`NUdl#$w@xxRUxzog(AVpCZCr0&6^rZN}s95 zp3}QH(}H|cK>mqmR$4cth4jK`HoCPvdZI2(o{rfz6v%Ci${@RHo{nZYb*=HK0Cgaz zg8dFO5!P^xbhl#Kg>&9;fGdO)nh>xf_Qom1s&~<)!{b1O871hu@|MC8+ULqpWr|4l z_oh?5k&$$4Py%e^^duU-BO)-NP6RfJk~*4^LU-Xc#ftbJYIqBTeXL&l6{4k%7)?kI zS@ZqG>&8qN@J45)o(-ng#_rnrZc5ZPc`UVn^}EkzJtedk3AG7R$YsNJa_}n+WRYJZ;aQ?;X5% zk98g{-J7x6)%E&_`{;0*=tml}^_hqu;FG(Sg>e5>Xw1;^WqyB{J}rOkg}Ls<;K+38 zu0HcJp{czk4m;HtX}p1ngTXt?^B&59WZ$Kte8847mOFYCeO)RyD!ec`A96!f|e>@w(YRf0) zahhrQMBY3v(#YeuIOG9rXIZuyKgep{{^YO(4S9hVs#aWWGOjdOG#YKR>#iCtRh>3x ze|DahSr-oYOZ7#+c6yY@_BI@)%jv5sT(C$Hv*E7u>8aB9B(zoI!Z$cC118m^Z3T&= ziVzmzG{_-npcK&9{cH{#q68~?QcorQ<$JcW4@Twlue(O^8tN;bvtSLupVBpYdo*3g zOV8-8e)`YQv0oQIV)9L)2`cW`HUs93JE~p2zQkZ;9yU3#QpJ$!(#TRD<7)etAqGC? zLP4oOvBenZzipOFVNu@qG^H05>C&O0Wy1_>feA*OYhS+ZcuL^5iYvMP<(i1QnKuVg z81XAaNXkn}#EYX9d>S?jrHJTc%iDN44FG(Tl0CelQ;Op&%rc0}iGWHL0uRxr?|ti# zJs1W6l$$XS3XHe!IgA~<`lARUW_)EFVtl^aT$wh$H)q2ob|=MIr@l6G`?pq#W%OQW z>UQ|1Ha@8t9o^882-8;;_g~NXpisL|oMYl;Nx~h)uA6(vJ`B4znra-))6A45yhAlN^<%KZLf@?0AE>3MRZDn} zdr|}$f(j+yCmo>YhD{qoo+{5td?K`}^a?0fy$)~FjlE(OA18tcNr6iWZN#xHj`REJ zMzL^EZt1wXmCbcz)QS+lVRoZykr0~`m+yy0D;>_|JPDwh@z6nA@HJhN%a*l6HjgaOAwcuTlQU#3r%PU#_f$JU6J&Fo#oKH- ztMzwRr*U}oE@Zlen8&8_5z%z7d$Y60mQ_6~3aka|>ow^WABL5{u6e39 z{d{hR#bR1T(}%wxg#nFX#I^cYqbNoPLpi8_G0AKqh_?dO~>`L<_+d|)$QSKrcR6@B%y#rfO$uDZ_nr#{t>#ae`7h4Qy4o}QYR}qhy^mhTO-?`D?fiR+bg$`*ct>#u?um3^o`;0d7fS=KaD~3%$LT%DG8 zDx*?~nnT?q(*k&4t0fkmOk9wF8T7xf`4aWW+QnmEzUkrcz-OuJQIydb#=A``S)}Ng zJewK8SB#=KKj>x7PNqoeivS$-$jQvA_^P9#yVO1zxWma|^3Y&5<4ZkeUggI_Q^Agr zc!EB`hu0SO>^El?nwq|vnvZQZhr62TJQ-tvu|)2nfii+ThDs%#v<1}o(M68>+0XYV z@C#c$t;3*SB8b+F!^pp;b`M3~6MjoNVDE0(pG!eWC#K}8f1x;~W8GPGc58ljUR&~a z5*9ZQr&;h=yo2-DJu*}WcKrIT-LADcvirr49QPu!&U-iJZ*{14Sd&04Ff+5DBTCq8_vYSoi)5vKsxYAT2Q= zHoFFT7p+8;ZWP4l*?Zrtk=TleW1gJ1R2H#xgwPYAncyQ#vXllfqePn8MjPF?5}2^~ z+xzfcbh_jx4$XCvOVyf%08d$?IIe>la?0rksd@5$tdHXkF=}6(Ri(nv7K_lnqQ4** zim)xHeA#VAoi9|Xe@h904Lq=P?vjXdBi6g-nUn4{QWroMrZWqpj?a5#Nmh>IQfpc8 zEG7&aUGr#JI~|rx{B(wbGWk#6P4k)~343!u2nSfK$-gwwi1j|SnmN`j!b%gTL>?%w zak+|DWgC}_>o*=FQ+MT*h9tzD@$0I5Xxi6|dv?h`ZpzizQYfHJ#^A(+{Z!+#VbxFX zxEuW7v<3XraB=7rJi2$n>$7gPl!WO4JOgaSeFkLA_Xv74{h`3HFIHLZyi#X;ee&`# zfXipPPei4b3Tq54U+`a>bBO7CmG2q-Yy2#5@O~1i*!E<@4Db(X*=M5cu&aNY*QC9Y z-L8!oS!9l;s|&&!Tp`D__Jm&7so&81UmqnED+T=`=}3%mb@`euC3lt&YLHj`Z#{&{ zDr%Bud_S`HJa&bvW6C6zQU#%6Ba8L`_!ujaTLGez_}MlP?-T*e8x>qWL0 z@@;->;S&lCC0uI3kYQyr7&9Ow)y}h3D+9`U8bN8eP?M~FG+0rE^X+`~UZ07ai1T)B z*p1K{ypYQj3Iiz09}vO6xM>~#ON7fSomGQ!S;W3vkQjSkk zAdd%|eefZjaeR&hcl5mmXiOb6vEIv^_4J2*-gk>yqaOrN@Hu{M+$a;zW5lFN9>^h? z5yVR)l$Y#?P&t(xV;B8(W8V3kdbtpwvv3G0I}u^=AM;+77X3b3RpXR$w7{O9pDGzO zcDk@xCT|ePG~vJK=-7qGRI_L|^%Ow4olqumac0xzvyJ`0Q-i{fTLA#FG z6VK(tk`=4jz@*ny3S`w}^A)F;Hx@qsy1eerl#(9B+z*>#xQy6|yY%d?>ja%{@3ji7 zTAr4+S5_6o02hXS&l=;R&mZnJcQCeD0NW;sg`0rUFId(8f=KK_dND$f*#=*R_u#Oe zgf(g8&0AVq`Z7urak%H|zffJjK{hfjHfe30Z=vJU&lwq5X%!wKpT=4H*-2BDaJCO7 zG&8={dLBgScAQ#je!>yFW*V7d`-c+(sSl%3 z{DHcJug2uN5o!MAbDEydXI7QN0$uV5?_9I|MmSwQ-^_bGor+4Wy3mnqF-;B5pqI-~ zN4waDzOM6P{o0#;V%D7m_cQVh@fFpoe~qeZr08L5Mo(qu$1+KAF|+U({cQPbYWVHo ze9ZK0$N1gMa}b9mtr#~+bAGB%jPYYrPUX5&qaq%f{WAl zy}W`)^gjpotAjCU`7r)vLD&J_Ld_5kz?+wd&Hw5}815f(JWRSd56EeJaGUE*__MI% z@##W!Iip7EuAKZjE&nH1uZ3rojdMT|Okz8`;y72#^@t&CTe;v#;*b6TkJ&cSvq8IL zheyi8?H{11H`jLK(V2T4f7$Enk+nqsW+tLjsjJk1^&D#~^W=Dw_ZnMBDK`%t&DLy+ zTDLEqJ*NqRIQWTEyM0(G+)%FkC<^z=bNU5eEGrRqRFr(to|eFg47b$}NP~~ zic!DC%2XQdp-`GYTPP-Ia1;OpP&tqL@H1W;jrYdp!E#`UFzuZFkR_Nm>@6(3A*0fu zY3tN6gR2SllI{y{@uJOXf0G`P+y2qktDmo9X9m6b+a|VvNzIEY=e=8sE6P=F`x{W_ zwttH2eugC-_)V)Y*Xp_Ji4!0W{qXQ{4{(fzb7N~JbS(|pAs_fr(>`hE6K7*|O= zZhB<5*XUO>FyEnYV5I&G+oIwUkvt3FPu%#Rn5zs3e%5ArqfE*DkC>ZWm)~|#LFa+N zQV*?T;HPdGGdnxG3OVJYpN@Uxq)J)x)^Hd|!0~sPuL7XW!!r3~ckCfhpyw)xMaeDbQm{dfkp7BMq3#7{c9S$^@I&1-%wYi? zd_2#K&nU4&Z6o}FoZuOun}>PLeA~Tc?Unp;K$p)A_F#QnT3Qovvc1}kZ0%X0Oiy#` z`4j2j*}F8^Im$j-BVx?xU&O>xNU&M|ba258-=vd#wl1?lyK;uLGG{_XXF_={SN7>h8<={)%A zzD4c^EMvnzAL@tT{es3iU-J~RXh1QV0-%CJ;fP#Ebz4X&cxxE41|bT zbL>bL3RE2_lPU|+oA4o^ODY-jQPJ5D1I1{jrqmN}Z8<+?w-{{IAA20!KMz%%CcqkK z042pX>Nn~D`?I_h1Xts%)MwOZJex`KRls21Sh8Bzg0E_F#X^vavsmTo8P&1#9V;mE zlWLu`%G0@P=dSMoT6Hnat(1uLJ?9N=#tKz}O7^sp{R3dYZon5|;c<}t^u%QAvpI7o z5q+__YZ=4!lBECFnEhfG!evg}m4<(qFkF!f*e>r4TvV}2S|{3^1_nU3Qn@B(3vLD& zxzirsE;CN-FeO1hAOB*>A11gk`1spN-sdPaWgs3rmT>R!EdBgEVduG@a2VB_e7R4a z++bH(RmhV3)~S|x|BZ^tbs_Wd95+ZQKF@ep>f_@nzRHABK4_vyKbdrQHosmi*Ml2<@OVXzz>bwx-li8pGdPi|f@` zr66Y?*>U;(aINq4iNRxo75^7mPL+iwE|6i8@9wF2%9&Z^%&JtfzvoZxjH-A_7w|t2XVU{t>$8BreGo9!m22*El8Cc=r?-8cDB^AR=wk z3!5MPK_pqKh_QCvd`Lo|VGG1MtKGhi`uVJ-Ld}R>Gc`z7r%Z(#jgE~?cR+pmMg)|8 zYRr>{VR(J?5A_QN{zVqapmH))%qstbjGWbXe~d6AZ1L2*p{`z z4Dbr74;)pbZ1Kx)FrcQrn+M(9iW3C2UN=}cwczbI(Z%P9u+s&4nkYJxJN`DV)!Rq; zGeH|u?wN6#0=bxt=9=)n{0C?w*Ish-d^81iNujj5)6Bj@MXy@evSysaRIEFZT44Z1 zc`etB`W#xg|3R;;pSu18x@h3>S9K#t{t8wi%?=~;bC#NWF?WXK%Rqf^&9iCnI-X81Prh z2#5AO;GTp{@gJqktyO4`pC}UX{Dhz6l{XE&cvFIFz74SZBO(>K-1}sY7ifga9$NY` zxJ=Ga-(z)1-D9b`l&{v}k255q?mFKyW4EZgG5RCTkbwf1kXT@|>%B_wGsckWI|T*@ zj?wiDxuE8wWg8IhoRjf}Ssu$_4!<0%PON!$x>aLg)QJ-#o-Pq0elvLAxSFB1F92%d znlJfO-*moO{lxh@;V6B_{ci`oc0Y!Tlm7^%Ob2tHtOFE6*(_6TNM5q6^L{<6!m|$iS z5%P@^)r4xDyOl*9^JZB%U#K^UJboQlNN=iPVl8vBO09S1oEoUrK?9%J_YLT^OxM|VWvHl5cFt=_VyFv zN?R@J(39Z66A^($)+sF;j2qv>mgt_2N4|qC$1N*_ByGpdD93~C70T5Mu%iD_%=P5p zS`C!txFXuX>c*AAd3p0qjKhI#K)-c{GzsdBatJNvZ`A672Zb*U2r9-|rC{1dk5qPk zM>-aXvhbo2g_fx4}6fZyN9OKoz?T&K{r?gJo|C8_&p;>VrGHr`8;P~ zQLrQYT>H=W76NvC0sE=&MoQlMiX|qJT^5yx3d$LurHZWGmQ!lVwp*rX1%8{8XU+N+ybyC4c&RJ!%YT2TyZF?QR z4m&KS{om0_$VDo(%{oJTJa_%_qY~2RGV_QR4OX8mP?&ul@Wh|;~w%Bm28=2V9clF+}O zbGY#Bw{s`gT+4WBjwIPtO>6-?U3{gh*YkP}q6E6E7%+8%F^Ev*MQ;-H(~^3_d1KAt zA44BJn_Bhbp8uC2lUFXFuoN)6P>tPi1K8Ggz5@ELili>aDfGxEW-f62kXo5 z>Yi+VBd9BX-a0i>J{~!#0|f}mANq#xA(#?w&WD0QTvwkRf~WAt4{bD5?#Yt0lVThe zt}@@|T7EWf7wdhcy|gcqNwR|upmKX9QA00&z(*IWd-xB7Jh(fj`(i(V{q6eiDxhc4 z*efm%e1$bEBkLEwg}e}mR5iM$`siNXZyW?`&xmcD;y#9;7lUcnk-vvMM?SIyOdw)8 zsi{?w{I#%6APYORZRvg*4`e(I)}tRx@>>;U#=iAYa(u}~oph6wVc8LpyKnd#AV8Lj zvJ>yHnVLn4tgJ<9%ccna8iG_TCK7E!W&dfMFaBR{2QuY!N{YmXduEAlzL>i7cM)<# zhbfX&hY44D4RYFpCQ{{P^xQ?M2B6v|x4fQ-RwW1H+kdi@4WASB_Vl5G;}b%sF}-F_ zvTIz}1~bh0;7(>)dg+u^?_+mj*^a@h5hRzv?<{pz`^X7VA{BTS>GYIAdQZ?*cM|3% zhoEhuA%NJ;`7QecYCt%(=7wiWAbBOh>bn0ep+v@Y5dLlu0C|8qFD}1X?KAhHE#}v#Pd0Y42}u+F2v+f=x{EeB0p~#_ z0VbEGM!Xlzd(x@69P5ZVM@PnS(|vyhkgb=@6}a1I^=1yeKcw7>Rs?4yG{TCpYwX`@ z*zA1c+D48@R;7`+jY<1kN+N*%XLf^u*o@Inh~cT3nEG7*p&V-_7K5ADXC|&IOMbs+ zl{?6dEJ}C1z^13u;3>6U1nG^(Sek|*s&}B zI-C9ALRw&GtrfQ)R(kw+W90>%xNDV7W%BeanBwioqNi5EO|YnTjMJj=hL@{ghpsE- zs%L5}wS>8%<~Jt6bgtBSs@rwQ#P;Pm*SS&Rjuj(A>Wp&X#&KLwaXk3X z`Tc)xA=>a0i<1(dYgX=8&<3~rBmK-nw>p+<*@o@d{1+XY7`7+!HB(rTT=zbv5?4-U z5>t`_RN`P<$b+TrztS+Zjc^jKq)VzU!)SCJ#TPVn_>bPmoKF&I5R61#T#B@YR! zT4EBD($L<&V*7{NGwn9a4?Qil0`4QqS$saRxcNZCHb8*2*rEn!R|-=7ENl)dTUAZ!p$Rz=6W<2tBaTU;4?uE8OSCQw{L}-v4G?b)p++f$u&EV)IsA?KS!3I+5O9) z!5(x(D2i)WV{<%^9>cmEo7@g_OTdnI3wui-Ig(*9{5XL$xq?tWDvu(U0%Tm%$g;?% z->)EL7Ni52tYzr3c9JKEYL6}k9IvjdUE6^(nVq=bH}FaFU4%-&miR1o&_!9mE-RPF zN?BBw#jr22RFAy2(oZr8&R19Si6xO%*a%fP&N+!jCMw^CR91efBeebbxWU^ zB#HFr0sPnZ`o730wLh6yq4I!u+T>R%)Gk>B$c)NXwpUk_XBB?$VyX2 zGoJqOc(5Xc!yc!YwEk=wxX zOtyTtZ2O^Y@+Y3>)NKd*hh?UV@5SHe9)4#1fIgtT6KVJBeboEE#jD{)p0FL*FGQ4vhH?AGZf`#^Gz#z*_xMuyz-y{4?CRT=P@uhK z&s*)qa7A{5%LBlssc-U`y2wy+&p?F$CvJmPDpZBe@6*}ex3 z#3l_w5QC{gH1>XzJ`?R=0&wFMADI1p&f5cF3-pBRng}Oo(b?uDO&Ni$;^3a6yMWui z&wj0X$wdYuzrRA;-l~OD0xVG*$KLsnN1#@LGi$uKYKt=KbZ(u2pjL=uauLeDCHJfv2$RmCwbURjp^oZLr(Uu!bj*EMj4G z8^iwLt;UqnyOAB83J5>%JfJ<)S2(zMmzgf8HGPf1k5&S&;+1VTvR}aDPjzmP6Sl<1 zyv196ii9=jTue7pC?Pd%NZOphPq}W1YpvU@$G@k-9Xl+pW;PE(W^iMfs2L|~-d^qn zG)*YZQPFx2D4bcg)N+mTvo;)AJQvM;kD6!>YqZxBDhkKXv5}IdgR{sgIfkH#NyVZ( z;j{9O!k2=l$?ORG4x))_J_iyU3j2k9A~z)qpzL5kSbP}^7;v3}R)2A7nK^P47I;d*=PWDw}X?5s}r3IJFORYOF%e9JZ!D$w+dW zEbq?@BcpT40~sHcG?UjK{fGCUk9JERXtJ+qvR0gQ&s>h!ivOjQspj8hm-Zg?rYnEH z>G>q^aj0Tz^=Ebw??O~`jP}|&?C05p=a~Zs6KzU-Ilf9)>!JNfAA4jeesdVAVrc2Z z@jK_7_C-jn#B5+}`@DUu*PFK*{p|hc;+Y?3TkdAYQqb|zf71Mhi7fx_dwa~~+Dy$n z#*ROG^v>cl?yRrixX=~!Uv#k&tR*_delPTD!he&0zfG>zE5YW#CF!J%hKA3!I5vio zjpSQna&#`KY9zr;9?gvxq($pxpVT5G?m5w$47pHApo)CS-mAq4&6f4v-@WHJbucPE zefL+qjthwF-Z(+TCW$hvEGo}YvaUevjF)*+ z^hjS)h%n7$oSdJB| zUmRDA+K^eOaeB9YK0zI)09T8=WzwfCCq%acKzzb-2gMmx_w34^0D22maLW&*Cl5`S z^8JibRSZEW_)F0BD_DkoM(6w1HNxW0h)^+q`UDi)6Z2X=8*xnW*P^3-PuJ7=m7iYI z!$|psf9`8rPgTBtbEMF%y=XfUU+eC6{)2OmGt=_m+wnK=3i(h}VX~cGVu_mk;vg&b zpfiAuM90J5;q83}&EW$5Fgy4N^n9u-KiTW&1gL*laOk31g12`EHwB3k112b!mlS)- zW)b$)sl2yQDfe+sgpvADgiPh zF?IXuFA~fu&w~F&jQ?)~Kc|?XCi=9beE1=+qR06etah_h|GDY)TIFZ;@n-B$7m=H`SJ7UtF&$Gj79GV8y*WiB_1qeg{YY~vwM0H9x=>umID+jP zQG2gO;ncu*<`HQOvgSZcO;{aeh@cr}4gDdFX9N>KQ5sc~G@dOw0Z=;P^Mxoe7#k52 zLK4A$MHKte?m(Hu21VpdY#d}9o6UrSGF}6WFmIVn&1)dksdv6Dz_I*pYHA-Mbg+=f zW6>HwmpBwKgcLgml|>gPT|fnAy3?Wo@c(hZ3Q1Xq%HS`S(%a%crAF&EIu!*v+NCxQg&QW-sCu%8uhVS6 z7oggE4^XYYr%LS-xG}D0#g#=>rB(+hd0XJS3~o*VjK^E}SNQ}Aa&SbPZzqL@jA`$z$f{=r;iC)%Nvx<(hbjQ&^q#6sa4*6DcdIY@^7ucj_Rj=h^5Pl>bKF= zZFBMNuBL+DO(_B^L+Z~4cgqRxBCJV2IrbWqz*vb}#|6;fyzd5K0yWlzMoEU0 zK9KxjLV{FvKXdKHi-}z6X?-3N{Nf=?*3XRV2$%8W7lHaNVbFfDiFICq>jr7ILKi0CS{6ynW}>q%Qw_Y;2v%r;=OiCa3f*YE zqUp5f+NK;V9a#s(|cjoRC$#gW`I^gT)xfDM9P+9(f^BnDy385^c zd){N-KWzx8C^)t1g?sOK>OU-<8~#(G)2yQNONqU;^o%>tUHnU>)i0*9HE(pnP_}aR zj?%47kN$6z+b3kcs{gJPO7+5Y&aJjFN<~^QMnjurR*1jT2Zaaq7*dbH$^yd0tcrS- zFoBx~y{bP5EMFq52jNAlua$!Gy7}Q1%7vji``gXi%Y`_lf4^-`_>JO&3mpyF?>>mK z8__4M=b77H6qE#g-5Ca;owm!xEZzBnAI^45-{*@s)*~|C=G{lM&&gLqT4Ec`MY_(r z>sOkCC7x{be~Q|5uH0n4&AKnOSX8g(_MdT*biQyStw6cx2J#WUoXulapY}|S{jnfC zR-ZzMS+-YX35F`cq*9)*lb3FQ^a@v$Y2ywijFy4yRsMw=z|jc<=voKq;;Ck5hSEzd z8d09)m_apry3&o#QE_)syI9mw^^eUl>l)Xfk44okkK_gt0n+(6wr%3Uxi8m8mujd4 zpT=^^ym*gzN^E6osYpqFVUei!s<)-gpl^`&psvpDHQMszJ05yXya1KYJo}Ck#KlyU zbD!u4gy1U|RdVe&a8x%^VC4fnwQ@8Pc5(LLD>#(iWxr7bYGvk$COW3kgPQTU1z6(( zyk@dR%N1YCqNs?y`NzAk%?t66z>=lavKXLPi-Wtv$!y8mX=!6faM9ajD{;UQ3AG1} zFl7pjq^z}Jm<`NOB+aevUDx{3h4QY_kubGVmhd&370LtohCj8Wdq47KoGZsavX_Wv z|1h+X9=_-bdyOBLN&`sm|DD430kJ4b-$<{#@#ikAL~tF-FnH&Aw?6KOmuOk;Vg}eh zhqhC3tQ;M18SXTG7bl1Fx< zk^)k2+ZWPGL%s9nLEdKJ4)cj=<=sKqqw>42fjiFfG5ov>b@3?(y(y=6RCSjUgiTe0-1h{+pn z296u0bh#>B0YB2CJ%pu|=$v>$5 z*%rqqe4Km}^Xtml4no-9*1$MpQqxUuyMf;sCQ|A_;~^P8zNh%Lnb&2|p%?A9AZRyH zFspbM1L!)IKmu^gXzc@10`I~RdzhJ=rkY}|!qXjtJCVEg0E0Sgv_Aj6FO|MW_Hg(r-f{Ewra#DXoZw<4QOQ_J zxFaaV>@FUt>h(P$)dl{uhIqA5u(C?;U7Fe5Mw-W@?ZSW!xa31;kq&bZ(Y8zkW7oy5 zYQ*JdrpFxNeA1DhMi5v0Q{LzA8tXl@XQHkakLm+76)8*o)kmtCX4z=i%214RWhnLM zReulVLjRNcF0_+YLyuMW?5)n5QyoNY&>+<^t4jH6Ddh`=`|WK4gi3VO@Efx24ym#x z!!=x??LqGCe2Do++&l-ww4!@HXuIvWMEQ*P{MFoLx8@D!-Gziz*T0M)y-H4RKvn(! zlN&@-b8p#7BR%E_y2`YwpRKe0iT>?Do{Dk)B4+9GQMX!(@Lr1W+)918*-G_4{mb3F z1>+Uo)v3@9%;OE_wbBlGxbqGeGCUn)AlMJkbCl8pDnBT;9TV=iYL;9$Fvi(RrR+L2 zr){9csN|WtCbi!@EN5H#YIcp0d?%th@)c;%?7NXFZ_K_O zE+5E5N$rn*6ajy6_ToGqe_a6?98sM&z-+H5QIM$Cc$)Nf*wGB4b|O1lTCIbEHU4Ro zO|&r&*mg1iUpmaRF*-^ouO`r;X$^lZuW>Iu_sdjMZg|$1#mskt`wrF^MHE*-^j|IU z{h8qLqGnxA9cVLa_dRCgLic37AD6!p5~3IsQ$3!pKY!~WFnt^HS~Pp70TO1N0<=UZ zonvX#BCA4uoChVOc0wF_c9&N_4|<28NpcR(&)*Yjy|RM2?f$lFg*f?zQ_I7EhP`(pSf^QX85k6Da1)fOlnfoeH&v3cHua6T_?d zdQVfo3bsC^$klabO#52~aBU0TSVQdf&KDL}^b^w`sqG40zk#WGK&ZP!yU(zAmB~U_F!@=eE&R6UFt&&{c0c=J9zXVHF zs1ROnS^~S&uy6SZhU*ZI0v(KZY{t3twq|*&@gvGc>4+{b3?(L`^|V9ve0REY|0=?a zN{q*iRkP~8#BM(3{No~Y!e(%G!ejpCJb%=-#OqHG>$Alxx_oI%?5(lg^}3;8`=`5# z_G$lb4JKftZ#;8Myh4ZUe^jN2yN?BDWn?N)#ZUEc(NtZ3cLi_hIG1Y3b8VX}my?c` zz6fzfy~iFs?jhjg1#vx>VH%{UeY#AG26+(py9TGD$Rktqol&hKNKB2s4It+OpZsHXLM_+$h;t&_GgiT*U2jgK~8a{n_F$q5h z-!23lRl`3V2+qKl)=aT|a&gL&xRWk2sq8dT%DkS|Z>(q`R`D;fw9-Bbk)=|XIP+v` zHnfSFUz<5yK*-8N@^H4f&}x#~lcVo&e>*p~Z)b2xe;65x}-2wrp?{G!m@PB)LL z4iHV#E$2qgg~l{*s!g}LS@G_*LnaeT6|@Yui~3sn_9KJ1jX0VE^g|wGXQ>(Z`M4bal`nlmV#Bo}c z#ou-;>fNb7c)K52*d#Q6n77r;g(EO!%c)?sd5m+O%D%ZkwO1QH6=eA#|9-FQm z8nV#oX^c^R^B0i7jYL#<;))Q5}T4bybHHJLU3b8`a zH=S#MvXmNSJoJ69Ni*2ch_wDwr=t>3OKIaW{%{-VqC6!Oc@V>NwTpE}BB(0xlQ()N z!>UEcfKtej^EW+c^R1Jy?~eq}A5RMIDr-tDfi>ensNSS=6i3f9^q7i+9{>0OVH%cI$pHHKSSk9J=7W1 z{n4YTMI7NLi(O4MENHw7_5?!aXcc~f2h&7?msCf~#zZ7rnK4v>#x@|#5oz26y(0Wk=}W+m%WXjr4(3#GeEC=-8=t!# zHafRfzUX?h^3`1&5Cg|EG9~?ra;3U&_vOKe+h=1TL1;8KbVk8I+J*Q-;rZfhVcbk?4>&NcQ7k^#v9d2k39i^;upj8d;%wzHDa}N}pH96uQD) zrFV62cHPzp3Kq}P63Kt7CC@m;gK~eGmVDU$=nmc=92*Y+%^;C|g)49^TabT_XICsMdm zkDn2>>yAP4xb3r^4|40u)v@--pnKR|aUCEzT3su8ij=|LBq@7#=N1SaG&C!FfZZw- z07q#>vWfLhPSUtY7%@K3;C_T9u3O1%y|yDr5q-Sg%h*&Olz=7mYHTOLvX}|hIw|Je z5*zt@c-Na3Xm9?2GR08c7&#evtv|@GY__39;WGy%FkyRa3P*MEXGPkfP#pd^?Hr&? z9h>@-`E?}HnSu)z*x>kxq_mfCO(~jwZzGSJPGfmm<3BHfabO$Hye7zX!&r9SF<3hi zWl7hX6^>yodOhsg*#KlB$2jzqLPWpR!!IQSPONSr5E*A{xPNX(4O+-LUZE>*S;SVt zk}2}QiV{va`L}sj!Ar^$^ti)K=q(weNE(vN1R@!@4@&7D=` zP6J=;43cO{oK1GvrSfpJ?Tnh8t7Rv#sxtQO+|LFv&U~GqQ$}@6`hsqbFGjKYJ{11| z;PFrN3&ICafa){XWzefpfud=kZLBAIYKnhqEnUeA$2Tu z+fU4ma>8X7k3HSwBX{qN{0t-nJ-K#PatzMGcN3!@-lRY0RFYAS?!-5F=XWwq{->oRmQY8*QsQxT^W(}U8%&?Qz=u1C2>aN zLq5K=;HF24$?BPJ4WM(0vyXkBllDf7wzuMBaJUnbyB@@KI-WJ#IEJszU*heA$mc%X z?@yebM=SruqEmS)TTRt-90dw%i)pG<%fkgFf^R6!SG_k0x`LC>$Z=mSuF1if|GZiV zs}_Bv^`Sq~cIaigwA$M?lhr_G!@R5s6b)GCofQcz3lB`S!gk|>Ie^5Z=@~?jg~T2m zMCK-5BTIF2x=}uXbly3al4|VsV4y8KoQp8@$DDo%9DtaG3d8A+K$hlb@qulfwwzO~ zGWn`DZ+FS%b>7t+n;FH85d_MRhF;)x`9)raG%)}VeIFEQuF1^gCUkJ>P))nV|43fr zt_?@)0xHxEFHc<@N-^592t^#a^HZKkm$vy!%z^`NAg|D9!OC=D*D+8nX4D;7ITRC z%RAFb=aoFvqYbhC9t&M}f|q7I(bsXiRx%kUG;7O+w9)n;mw4AvZ%Tu0=)J0z1uKmgUX=v#wn>*gAi&!b#AEK@Eaqwn~ilYo-cmBqM>fCgz zjeWn)D{HGzI9qiS&A)U$*|j9#INn2lrc0rM>U*s43d6JWgeK7FDQqg?(JZFJ$W+HX zoz-UfS#wDLNfhj4RK${a+H6@;R=2_WwKMtLTMQJLeG==Zo*Kwd+l+?dR}D4<&*tU~ z`tE`Tuhuc(q$}P4P4?=oaSXoH&^X*6l_M9TGv(?CL*hOS8T6jAf#hznPLvS-_*I#q zu*4APC*mp$h+tw^jW5F_{i0S>pgafc%TkKn4;Xy|mL+;h0xM0UT`Bw4M+9*q4VDh` zOM*%q(NR5W{m9pHg6j7bV#2p9t>IfR%iD}RoN`dxX3eXt$(KF@bTFY=QD*`MtO8aA zt08?b#~f+6?w(L-bA=poEYgL4%0IHNx%!4jA^Hs=*6-X{pLO(iF7(e`?YA82?vD?l z|5U?C*twtj7FXxm3W1v^!u2~ zIYIzo%BGt4B%B~h9n|~EM+u}v>E+C_s|>ssydvty{2%3Of)TJM)cOM*3#X@ska!)v z`$xcq@7^54Gd6$mTfq0EV*(Z-V+SlNnb!D3axS(>tULxMS``zLK0;#esunuW;ibfX zQMgTZ*s_TVU>P{hJkj6%H|umr2Kh9`rdSdTBFyWPsFbarOQ!PlOlePVTbA_ict9zf zab}-SOFb4-ng`|RB$(}gXQWYcdn&((Uq&g|y&(){b&(=?agAC(bQuP3Efh6j0Q$*K zLfT6Q9g|aPOwG-0=CntM0*RG<_n&&dllA=CLQ{E7Erv3*Im+~&Bz}ZiTo@^^Tkg9j z&>C*6CIC6MRZ~;X(XdtT0wPKRYB3s@>nt8)5aK~&&AAw=xk2JxF+-sFC>2na4ok^I z%5ypgx4&v{izdtadKi36;d>yL0FQfh!~Q!93%|x+so*UJu<{|$#NfVoHe)&{16K{nb+8xyBUL2Ui z6SfIpTbsY>SF;dk(Msk8>E#m{H^Vj^&*ooATY_2Mk}Km)G_NXb@<~M7j_Q7WU`4yc z`A16jtWbM#4}(A#{#V46T^66Nev3P*Oz^S1UF7EHsTwM$!nP_E%hf zqr-|yT5lxMQhxG<7VgR3y$?`7ENEc<@k)*%Ae$KpmBT}-t~{w$&?w&@nh&MF<-^uS zNV95p4uCKsN;fYk4q;-diz3Btp~NjpYgk#6W(6m>ioZKk!E8W*lp&dSw;K5 zB*&g&swpujuWDBb0NBG5u$Ax87{CYM!n+7FCba&}p7La+Z|@0-Gro>8Ri@T#a_?fs z4(xI05}dv^CH5=cK+vi)6875-X9isXcY>w_sWjnT=&#Zh5OH)(1CiN2she~-U;Zl8Y|ukc3MC1v`_eCRjP+az!Pyjmm9 zscIMWp&sbWoJ7RRYU6&8+9cajZ!T9{v~8CYtvppmM3phcf1+40ACu|Sd%VuI?=^Zh z{(8mb(0w*MB|YcA6Kc6%0kr3N7cn0Yi@?%2D6W%c z%%P3Xj4?dla^horLV21l27mX&HYeAH9LNGOKO0JDM+=7z7)-p@hInyKlK@4M($nON zJ}_5QOEBQH2g#UH+?RS9{Z%~({5VcwwLCt2xIK)x)|k|Pa$e1TD&ULiLT`aC_Z~AN zzXzvoP}<6NoOG_3YUDU`BAod7XAe?O9dCK8%`sESJ1>e%Z4@efFW-OGE9mr8q>}wz zbEnQ7_U@6p+@Zll-8vur!ZB;cPzpkch7=+LDRNi!bq@zX%6cfo`Aa-r+mKpDGxCWN}L- z<3`K1;e4AvrM_qPWtw4rB0ebW#eEW>`1&XqfC|qMzJGX2`u}BVW8&h{qN)6k;)D5> zNEl6mfB(KtItVZS_p9vsqFmKoeZ`Lcf38h>tSL13z0ADH7lZT1I}s3N3fC{eEVt50Y~nk|QOPOBgN-RK5^7c6Qb=BI&WE1iA^!d>o}oKUPHzIuvIar7@<(+Y zB>wE4$~rv*lAalaVNVTqjS%@ndJR}!qe-b;h6C}bL{J(f>MXJ+Ixuuyu>n33mcz(P zV@jcR>N%P_3P75hjR^mR0nf;T-6e55&T!E9iccsRR6va%nF<;u^7OvN5!Ja~-+nlr z!|D5Ckyagl(u6v3kr@Q4oTdt?AR+W7&wb7oZb!M;60K1-$O5 z;^U=C44J4o)IIc+X% z{?O&VDm@1JNQ41zbAA=?TPK88w#8l?QTD;(Y>A~!;q669Z8st)_p}pb#JHyl;(w3d z$q@Z|!Azzcl+HakrH;E^V!lCFvOJCYS+8wC|1b-zpyO9Gq6Ia+4kW*MFNUBot4<)Jfpy#DA{1MGL zIS{E4Ie>gH0Fh=j7~yrw^xe$7@VLMsJnJ9YQbAQ7LE0L*xfAp*y|di0@j61iBsj~rUP3ZFsy{@1TP;tL;l99Zvkm4}NI?t-O`o0J~?H$dSq(&A!9 zeLplrC&3u}mgCH(srbtGC1u2sh^$zDH6Tl2FY>A4bE|IZhv8Pd`j`bS2KY*9tzGd0 z6Ft+pZs#XkYPPxP0lqXFdxY2R`!&Q$w)#)VXsgXa8+e^`bX3Q!m+Wfto=8QMff{EF z$`@#Fk?L1^V3e_4jEMX(w9HHW)ctRG6C)EMtz%2Pjtmw!yx5Z{Lr8^llcUSMm%}mw zqe~<$wN9(eldA}P9249Odc#&G_*Ce9j0_cwDIJf=xcbr0@P#Mq=_mRhB02EL@Gu81 zNYSbX1+4?nJw}XIsE{#j06%fmfsgXGqu3{5q>V+phiOvYp8-kY+`dX>Y!BQ9<_uP4 zeN#z@ysM_n>3>5JtGDwe&EaZn69?4vE>i{%qqHk)xy;e5tN@;zSCK=nq@3oWPYh#o z+4KAj@>0QLf^5bLx8Ghe?Y=PE-sF3#p=!w@k8)C}pvJ%C1gx1R`&k2Wlnb+hQ7&#& z8uoeBo)a<4?6Z0?1H_iZtmaM$z{J4r-d}IQp%ra!piVaq2psi_1FNm+b#`C4;ECvj zXs+&7J)L2eUu}s@DfKEs^E^l7)-lTqX9P^G`^&=X#w_ZeW>?2g%hA}TFPcgr@ffv7 zLS_4NTF-X)|49sBpF>R;>X(9E>ALM3^C^d&;vq4e6wR#%ll)F-G!B|c$*)08Pp!1t zu*Y(z`O4H@FNGLJ{U*mv-s|2{^I}19{t7*6 z`u(f&^gFkE8J04Dlt3s(OHxVlQzTL8Ahcy%2rrZ8BYsC1J!eq)Tpdk%sm$o|>8ku- z9NoJ?KI4g7r65GvbUcA}kvCNDmLvkcy^^FBc~O=G&91Ubft_r-!ROMCK^xj?H)pON zsIrALbT9N-Zv^Jjeg#!4Ws)>0bWI(DBI~#&T3Q!p8lQS&z<$h$BBQ?m%uRcE=F>qM zuMUV@0bHt()>@9VsNs0)jZwtljS6xL3W3fT-}3;NZq5PNRYz2bDovH9ivC@ z7tWPVD7`_qV+8}dV)CeANX(sCz3N|wEY8eG=vuapX!*_R@Ivs08(GKKq7jZKjm$?U zu79Vq!CU*hwxizNZ5v$#5+St<=mqUM)A%;9iIqVj*TrjO`m_T>wlQe;>yrr%bemZOfc=% zIt*$JZZ~%eyDj`E{|l1C#O0I20EXnT(BHv)00nh(j&%U}0)pB!A%nLKpPvlgKs&*} z8i43a!eN(tB&25Jrd?Q!?}r3`EHR44kDCdD_O!Mq7TH0{^L3)O>dvw%P+@Q4YaT&!du zlR_cp3h9c5?`{XYY4JPh$&(Wgm!@39i_c(Fev@ zd2U8hnHc<}Z&-w#h;`Z5%8yL?vAda9R_qZji)IgO=Xl0pZg|Erp<}&n&ZU4cH9hJ+ z)gm+%nqq|E!xJvuypkE-N)L(stNafMDLAicW;r34j-Wv3dd^?w7`PF;KC3$WqApxDMe7KZfTN^p zoH4xow9-Dyu77%tYMT8CdenQo9Vna42l^Ois;)hjlz&_>Jns;mc~+ZVmvK_Ezwz_= zOdES#4{D;!ScOO%!GM$S_`Vtx!k-5N5tg5UZc*>a9A8QuvEOU16r~gp+jO5-9H9We zrL0n8PWRBy@Qg(mZmne%O(9!tiSG3P^zxkY%GZB2*tJRp{+kZeYx3@Ngxy^6)5U&}@+axp-68Ki#7j zLx~4%HC|ES9RCoj+~QWuFBKD%^y3n0D;N@HxLvRJ;! zPEtxhXfs<&?_@KHQru4CwGwdh+7=Rn&*zvrlP#cwfAGPZ-GD{811Erv8=2ymPX?b^ zRkRt|ckGtAC-W7Z@Qlx)fFFnB5|S0@(CbuCv)-rlqps*f!Vdx7btyGCHnahRMTJ0kVmxj%7rJ5Q zK!R5VCY=ZD96q-wN#swu(wnPIc5%Y8Lk=lsu22Ree%^O0dOWyzHBQ*?V^xKCcOydS z@g{8tM8g(RxKPbevQmWE^{>frraP#y`EXx&>=dkJ>^$Aec@Yenc>rOWh~7hb36SGn z`|%yM?q%<>wLt{exW+ItMD;L?KpeSl@SxO_DGzIBU)%o4BC-DTD`GTB198vrV9XUC zU^pNPQQ-pO4wbkmi{P(w;s+Q2z_!0J5wvaH0bnKxPdo692*r9-IL$iKUueLM zM<>2FHZKt->W0Q*oHb4)lh@681PAK$%?0~;$7k>~9!jf?f0@2HG}taDQV9c1Js$A}pWe&rIXM5{e{zahyluWYklCT801+mqzUbsRtQZO3bu6M z>m>-K79uL8mhqNOs<=k%(#b+45@&}?L1Zi4lBth!!hNI*L`OpE{6x2I&K8f*i565*@F#SZ6yqCh(rg&2jq{$@=6M)^d3>? z1%&y|DZ;fT`P}nc$LlwkzQj92fjM>Lb_Ns{UTXTv3`ridgEI(;0~0rGruqT58HZIs zo{%Gs;Wp)i=)=p&S9SJdw$LAf|GE`p+qnvd$OQCJ%O#5jR)-UF1Gx%FJYU5mvK(jO zS)(69)8hq&vY;d=+7#*Yb1)r6@U#f5{3r%H)GpFA{i%WTF%j|&`~tzSR6C>G6J2Ur z6sE!HuL9FBYaJ{E8`r({sk{|PznK`k{YEnqs$QTv-C?Rw0N=@~W!cOy5uJEvz&257 z$X8|xJBJRkHHR$2zadkL-iFsaRl;uJAeOg4*cOTcU|~#14C9CB zqOb%+v6JO_MyqgiV#h-B2&6FHt$3Zr@W$;$e87BMXO&=Mq4AURRHsGVA2QLiQLc$F z7+&iul8t_4&_BKMvC;x3@&%#IP&6a^en7N99{;XH52lp}2ZcuAAliPbT;(JUSxJ$@lUqM3DL3=q9=@ z%K7x*&`X2c8dcccWDmRJpH+2Z1%&}Zme?`}hBvtFyH>kOr8n$^${l8O(-wq5Yi*wR*8R5Fp2AW%@e zM5%O}ugq84@qyAYf%rflLHr;A5DZcXma3{x#;@j|bn_A7&*5aj&>GN#zq|C2?HlSxGa%ZG zp`c`E$d?3s44%?QaOW4o97Dp0AyS}<2wL=I50WQd?DQ+Yp|{P#ByNB+a$%?$^C5Kn zL1SmMKm~pG2H9uHqaKB`)_ra^PIblJZXifPXriCPdSeb$3`6R~eztS5+AHh37nV-I zjGEl}^tT>6*aj|&*p+(V>pc$k9P4lvPWzqtdDkZ?64L6Ru}lg~884(B>B@oZd7fg2 zPlw;~DehIhBM;*x@Vr$pepmMUR}{EBY?RH+c%lPebq2gVb^vlCRxfUTkrch4+QMc=|cr zS+7}xM(W24-ceR;6};NP)={$ZZ_kGkjI^|a4^xqbp850FcC4>_|LbFk$=vF|6&g6$ z;yo;WmJ0nr?j51o)EN=U+_dc0m4SqbctVd^@>iCXlpWO*^{X{NxvoA$lX$lw=3Vq< z_&Y~QA5@HBO3Z##YtHUOHkL1VS{edIL5M^|0!Px*WE>${68c9Hl6XSM^dQ`1g5%Fh zdPfrQZK8G5(bid$Tw1hwoL)bt`HiP3ifXyd5O3C3w{=~DHMpy{zrUO4!7ImOXMuOs zssP8s!3k>V8tUP8OnO^SAu$iox=OCA#{}@aiJ=tA7exlKCl8;{kI;f7jjQj_evSxd zLQzWt<-V6o)G!j#EX~sOQ$kc;+#2gNKxK|c-8J?9p|D^dwQ`j*&m4So3Zkic7uVju zd8X=s*AM%oJW|hdBX^03Mahdr;LJs3iS$J@hyB|&N_XgGO1c+aD;&~kzC!AvXiI_3 zX=?$$yZ`B-JM@oV+YQE~!*4cuwZhC11Um8df=uiW1FA;16=wzAx(pT>69Oip(b&wu z``5zG`=6%Wo?EQPovex?f!Pd;-ubD$^0Uus!fQdD-Yc)#3l2Am_Vqxue-01|wJKkc zwbt?5)qUe?h*LkO;*Y>!E$`@hEST(zwJD%z;v~U6aPX@^N%z_#_3HT|1O$328Srj zN5hnmsn&0gR>F5>`I~PE`KFMReA5OkwQ=UD;}vDEvo2?e)z`(wZYZ^Lg7I5-I`iF5 zpky++94(hPH@lclms6-9SCIKPYL#BLJ@%)8t-}KZEr=CgAUe_u<(<|@4Qnj%AwF4 z8niH`p-8`7!RonuY1mgx!aqAwu1Gm&PCcPe(s)0Cov<_l3B+WIDZQ+3K0UG zlV{eXY}^2+(?`3@Urx#vlp!S3{r3$|DvEtmuT4JL_oGP*Zo{m{H}gqNQV_=R@PDoO zfS*q(97TT1jm8iqvx|&HMdmakUiA2VF2%t{3c!a-iH+lPu0!^l29Hg2;a>md{LQD< z_O_Mq;6`~!qM_Y|I~WpKE$04aS_Os=Al$G+#z-vB$B)@Tk;WZ_p7o}zp}to)6Yo6- ziq2lJpd?X#v?6wYzeR>4xv8-kdNu+8ob~L0#H;53o0B-CA=|>S2xnI&Qdw_Qul1Ao zlh@^QS@9jZe#D_~XE@v~JNhG4qz8XuYT)Wv)DbY!DEVl7#f0#`pfIcCo4K9I6b?Kh zc7C_!m`J#dWdAi=9679Z834~DeD%IkGe1+P6)|V5ul_hFiH~#3>S*NqS2gL|#oytl zN%w1$)e~O^!+MnRnCf{Cx6@6-VQwJ{KcZoFY;^(VnIbc4JC;5lwaU7{$KP2@(uVHe zJ_Uj7)na6ru!y1Z5^e(MOO+ur7UeU?uqyaNmZ+X+D?*2;*3s2r`)KxdQYNP5x6U7P)X&S@2`Q=WHr!E`pJ^;{v{S4@f_qZO$<8#=JSPqkKN z4NxJ99jMTyLS^%J&Vc^!QwZ((9KRl$iBE#D(WPPMeWU}U!+FhrA=J*Z+UeN|F}2r6 zvx!NSzQCbi>O6eOm_aFS)8R?1UPOlpzN5Q9;e_4*jm=NC(sQ!hbOHXLkN6-OE(2FO z5!PO2$=T$mlI||4?@Hoid;;5sCexR5V%ym`^*;9w zrPY7_F=@=#jMP=!k9l&J9GR?s9wC45z44b=bd4-GD6^OK5c%S7LAKq92LlUY zPWDx_IozE;#99ahcxHeh4} zjGjjMY@9i(e}N-rIZm<0^}|9HzQj4F`%QmxXI$zEuzNV0bGnA?KqlW34@V7$ZS(dw z*i-9tMLJuc6`O{14SVQ}VXPi3$AKn>(!jvpmedMo;?8^iARlE@HlQ`oM>t$dj&WZU zZ|iX@waHqCD%Aj-HTg8%AEL!Pog-pbHUkYp{tn16IZS?Ra`U-w4K~Npifx$3kiO-dzoFZex*9T z?^FQy(iKP$SBZMXr3aOjSofcEhB()?bfRs7!x+Z04S+w5;>dXYL!87~i z1xxdGKEH#YfLHoFu`1%MJq$^ZMBr6Wm{HF(BnPOz9oM*<->3daY5YkCmJ>!?%s?SkC1|5tw zf8)V^_%+6Rz6TL+z0{b(TJxeIWR#G|!(7hH*Dw8F5U3LRquE-LHVDXz7&mQ;O7`|m zmoc53;o+E2uqnC4dEl_4{vA=>y@zKT)Q6WNW9$05*vt!@>fbpZ+uGTOQhMy&Gn#8u z8`P?xI6*B(MK9EO1@RYU6XG>sQ4a)Ay5~CDM$I zOtv=036;T1kmd8F48gYum**vGv9a+<6{SQ-e(mhak)zNv2AVMDj5Mb-Kw3@ORN7fO zSUM4bIr%gU7#gl;mLXTC+tYb>ksrmcN)p7#0aL?<2%b7T?7aj>TI(32o8~ckFyU0* zZbArIXt`RbSvmA5QXz+dtVF-slgV9rHyW09ye|zWc1&zXCAbOMk+cVww!tYAFPVym zoIy-D%8RFWIWL`@3Piab>C|{wwSw3uVwu^ z!ROOOYJ8bXm3($AUBfqDfQXAZvG0)hfSe~rI6g`N|NOhi&JwC7*!ag>G=SCGpdoDz zM#XXU=^k(DI)eK8T>ealn+j~PLgj|w7RkA}lxlcHCDU7LJaTHi1=2!Sh8s8)NtIJA zZ-5rB8>>kX70Ce-#^Nv2uc1$uGrYZdigkeyCB>H?vy_s}f^4A`WEnyRM!>24R?e*I z(`t4z(Q4zTn!fHwLiPH85GvS19~OfR;$vrH|Cul=&sy5tLRzBoCOT8<`t{LNAoJ};So7I zX_*Ew-IrY6tOW7Ij4oFBchUy(#fl9y<+K_OwWm-bx)A zzlEl03CR^{b9Yvvxv?PY9LanKmE)zyN`_OBDIW7d7>wA$gy7zzmR`uz7jGY<4+$V^ z#Cx%Hn^8SCc@_Zw5l(Q%sW%ie$fME^bKar#>@cu4Eoy9er%>E8D_cIfrL! z{+nYCz9x6F8!F=vf$10%qI>%8OtWZboH-pd!qpKSC;een5OI|Rxt~URNj+uxu2HMi zM`uz?IIcX1w^nT9)gJa7l$dJs82*ia&N{nm3Q#hRSUl`K3nwi=^Nd-eVD@4HrWn27 z*k#3vW!)%~`D8=OXo8UAV3%2mEY66KiJcs2M65KFi+J2*)fK*| zk^QP?45gT{rKoq-vUe9Y6gzWSQG^rb&V-a;7wzrNZp_}giiWooOddMhE!FT9Jp!n% z*Y;dGf_ZTbjrpC= z?;~yv?md8G zQ~3}2Ih7y1#@LU={C|8t~W>R!n_;u7*I)!&C4ewM46{j#X zjom&F<`{*;`T@U}&iIn8{GR$CUNBWR3Ks#DG*wvA&G(&ICikhDCy4ScN*b_;k!KT67DHRE1GA*$bvVL3Nnb# zB!;VRlj9MKB2Qteq>dlmis2;Uc=iAXMYx7Q8HE56qmx#V+sX4{)U@$zeldGR@U@tB z(Id^;uQr^-u5I;u43?U-(=w39-HT&g{zoEg?+TclaH|F|7?2z+=Qf3A0)sEI7f8-< z{xYu#2PC=<(!vVatKN#u%nmc`aA(efcmJX}kTdi0{n6U8xzu*FG`60icXi*A6bje# z4(VRQ=fllcXkhg6Eks(O0(pbS_;d&{@9O;?Em2>}k+sAH%NSHLypH?J)cd z3S^m(9#_?%TG-laEC^6MyPT)u3Y(iLI{X(7xz&2jGqy|DLjfR?aeg)Gk7D+y9nQ3_ zNmyt4Z)f%VyuaY^FW4Spk?LvMp%;Y{la+JcHGP(7!VrAKU0)O*dM^c3%kEb3=UQ{B zRPr``>BTf^F|Zx^-L|!7cf7e%11Ts0a;o+T*xpH!wF$Y_?z%k~{*SjpUPZT1)Hmw$ zF^|cwy8>F1v9YNZeOCd~gPHqr3|n3tk=KWqG;7oTP~Pq!60-TO=#TaiD!)AQKKz5S z*`Bs)dr|%o(4TF+CCE=$3|7f)SAm{Bd%oyf|8(vp$T&~YwE?=N;7DxHHXqJq9b1h} z#8U-X6VJ74cJ-H2=Uw`O#*HbwNHoGSlbfJ2>4)-PHHxASzzoj)rHPc>#9kjI$s zuSf}2hKC_Mbe0d&obYVRKH*MGCY9uOrY8kYqJ{gZH)!v^d532fGnaYf@V;#+bYC5YshX1lMhD2qJtYJ<2QIa#S;R=(3;Q-JaNw14BQwU1%+5K^B`bf@!L>alw)*a zmjCj*PCX$vOr#uwUWRML#B>IRfS{vO+iDCEU{}~VR!&ke2553jn>;V^H-&YMXa>Rj zd7t2p_^IGE_iV0^Al+NCIr3W0F+xgs%iP45Ky~V>xpi*mu}{3TP??~E?2(d*)rqm^ zD3$L8n9=eFm<9=-^47@Lot+3eb{xGJl5NzI`P^D$>%X&4*d65B4IU@pLgeap1y8u6 zac?I1wheGy_f8f8HJS9Cz@fW zz=RzsbCfQNI>ra&4SrbH0=->XmYe|dw^5?o>=}~l%E>=-{-+B|Q|q$=F5Yypvt*~Z zIR$;sWrq@uv-2){Kc^p1xn<4f9VEHPttw1mmzwaiLIBam$gmP)#vh;5Wo*CP*1@Ne z^KP%O-W-{jBiUpp>Wixe>$<#^ZsZphv_n_@UTwwweHAahN$FndRKv8dig}Yx<*IK2 z3pE+n_(4VIy@|JdZ^Y$2rS@?L3xs`lh1aKoo0&hj-sE$2YnfC}mmqy_#gX!VE3x}~ zZ@WGQUGamt?#veEo}c~+mfPRuF0_PR2W^lrv{Uwhxk{K+#n}D&LqCH5N*k&gFgoc` zrwB~x|ms;mI zpou90H$M6_Hq#GD6`EOaTK#Q(+F;$ZF{~4^dY7e|&Nsc&Q{%SJUu%GUSEdAhXXj(r z6XXf|Ti}l=hFEt#wd3I5(l`L$3$y z7u40;lD#BlN(K81bxc{rc>Okh(|5C3gfeJ{sr)Di92BL1+G&i2?6B03nm*1W@XSS+%%STqB zVeS|VLVe#Lyx2*1^{(TcH@DjT`xz|AJMv37+V41+-#SRP_D;i{@m_caGmpfp6Mue% zAuG)TwgF+Z6Dggo}7(X@G%eKVWprM;-{V<@g>GUz4Bd0h)K|~{UcR{crJ)+9Iepm}S z7Kjq=qEI~hveLX#m39X4OyCy0&AZ~OM@+*Ni{Z$;+I5iO#+i!+#pN%J<&GfFZAJ(5 zih*n-kZV__@k!3yWh=ry|9L?c%0bbQ}7~>J^%ey32HlN_XB^DtngGa zXN@s#t)1TLGp0Iv_|_wEz;7>HThT(fscYz|@!aHN7So^dFj3F=fa{Aw{q?8N^}XoA zEzv$(eJ`u(R;^WFw>?I~Edq|u;KbLznlqk(;|+fgr7z0_s{^u?pO(Cp&V*dxPYL;w zr<3QB7n6a>Ysp*5dzb`+vzM*r^25-D8Yg*sVds<<12>J;IuUjbX3n&O^KSos^1h>o zFre_db9o2lqOzf?5t|c`B5g?-TVYXhv0QREN~`~1ZQ-Z0Q}@!aX3~H{bOt~9$BfFa zA5)@V7=^f8 z9B4tX%&nVo3>8mz_$v0UUCO7uQ|e+XOnhQT9Et4(tqNL>)|oq`?P-f83o4rXDyMDdY{Fm;e4Na6S9r zQ!Z19KNfH<9=#5Lim{L>?+}!1cU)ORn7Z*v0^qFc`}we%cJpN*Va(uw%5m z3(KghdE5;m_!jMG=JEN;;KMz<_r=JhQC@y6TzuKFUuC|GH6L=7ye~0nASc5P#vwLW z$9gil2Dh5k@YjR`hr0(c9dn6kj=_|d%y5EL(OY=IjEJec!3`L~1@ENB(0`au@3)ss zM-}Ffj|N|20+h|GkY-LP_NXJcIF<*fMzD|nfKy~s6bD7EKU?cq>w>IT-{sLB;0Pxc z%SQ)1cLxOqq`g%Q_bgI#lal2<(TZMW`RxW+dz^GaCwf};Kqh08{W&Q2D!9OK>L{D8 zzGZFKQUU!FYeRYC>GeqUz8}TZ?}k^J#Uw8Ms^^-y#dM6zB_4J=VCMxW%97lyt+05{ zLZ=;PC)caP#1o>jW!evH(%;#Q|C%__&_}6b?qZFn05LBpR{jl(;i-+baxT3d3oHOZ zTmkp9wH|^V@*Zv6**dvKxu5hOm=pz?v%`rb(9{{Zwq=V9yzW- z$;_(M3(kR}_Ww*E9+xp&1j<~t=;mJ27JL<|yKu^ge=VoJ`t_^E{|#yH`dFhQo%^Mi z##YYpl#XW1`MPo8sf()muRY!V)>lW7RXYcP6v!sz9`OwfUD*n4=rj))WH!GBO*T2` zO-WaBwuIGk7``&V>@5Eazs_H?DG43T5%B`IlVi3ma{l332BIiOunSxv;vizI_|584 z@6ZLN1JI2Te}SOt{yAX}g7EBNC~(<`UZtce0#Q}m;duC?6p8tD$yEvFWbmkug~ej& z-&oM_a?y|_C(udY$tFp|!&#+7!eFvWcD%2{m2#Rz+VpPbvMb*g=KqbeDARmx*hV}# zNs~pjppN7T;|p`=4k%%>RvZc?j;u#2EH3|KP$flzu5}PT6IS+W*Lydm8 zP7TVec6gW~qiF5K8L@jwBxq?8oPudB_x~TQrLRRrn38Np>>JK!_zxvOl8jxL((($X zw7h~TEw9%7TF(DdTHcvf1o~e}g1an7XaA_LPKIQ9->w!p97_81YiaegsM}SU)#z)o zrgJ3`&g2;>Nf=6+I{h=t<$%QkbtzKYt;UpB7Kj)_ybGGwoKxr?Jsof17QAC&MxN^@ zU}h~%ec&JYT#jY>|1yN{5q8UWn{~=vL!Y&{=U%kZUp-ukQtSV1DP?hRaS4JMRS&^U zm}30MBg&(PrfGCY8?BLA#uyowRX^L(y3Khy>D4tm`PF$k^@^-u^1h0Q#CAlF(R^Or zy5>#}CdTXiEPUaXjR1G0iva3mQFo&2I*wu>vGAe*5b??2B&F%v_yv2xb%}Z5jxS|r zD@H|#h1c@Q-d^Bqo7kh04!O%~_Zz3|MW0@*!_z3tN2NDG-7Volg4x;0@pJAlv`0(K z&Y89D=4<*XoC_8S=PvLL_`CDua0v>#CWMbxfIJv0J`XQwwe$jjOzl$@E~a0>+|S{~ zs1F$6KASl0!tj(t?fh*&(qz=cXmIfaJw`5)UOxy)t@!(h{V-9y8X{MA3W_{hx;Bd( zQMks`5FZa_Cp?uwGF2d>26Y9g93zE*M$@33JvkLB*9c1_9)2XFK7m*XY&0X}IuO7H zsdtQ(y~Ge33XQl*w%8!@Z9|e9!l5jp{}j<5Tf~{XP;k-{4D_G-HYJ8Q{?jA62~V1B z$}kLn(B;BqFtH`3G~tt9L5OcKHyJ#sY*2bZCPxyK)K2rv{)xo~tq+Izr0hF`)z?_q zqWSPsQ-mvR@N)&9)*C5a)c65q3Dr(&_sAKq zcr3;i8}B;=hLz!BYny_S@8dkE(mfW{ug*c1P7BoE!Jg-Kbr}ZeKJ4rn{<^6PSW43z zN$Yf3>;5t2UCJSLGq0kS4^<9D=8X3Uh_$ZNKJ}&Nu~P|m&bRsK8V9`Im((hU!TwHT zhA^ci&P5xf{eOg(Hbo@iFea3zf?GnE+Fgv0%Dw*@)0h33-V83O9VSycV67Jfq#4UU zVt5TmMwe`tJEUzeL(hra#Oil_MS7h~nzRQqb83lUFX}X?YyMYc~lLHcOj2uFEO`jK_kx@+Imm zblpRSfqnWqU$JvsfC4bJWzTYXo~hA&4NwXj2Lo33nrHTSg&@117#Q0vOO;+K5)##V zCcMr+gAqb>qkObk5piLGzMiIh@jFG``FCwVXW%HK4=ql2xc(^=ElT(2^RJ$N4!>IF zUq1Es(piPzlD)Mm)bES#pX+Yy@5oOpzve>}2m9YlVmH!;*VsY@oi~lCIT=2bT3Hn| zUwA+}(4r2O_-CI6nysE6G5nvfgTvh)L9f4EaK03T$yUfb;%lAMF0@ex7ym{`txzML zyDS592S$PTE{Q4d1_k5ANQ0AOmVK-!cu~k6kONUni^XVRtkM{Q+qP>cgb*Z#ff)@P z;MzW%2xz=(iR6d68Hn608Q#cPWb!qNNYS&M*1bQ($Mt(-i+=%L|g0L zn*URsA?&sr5%_f8-sA%|UFi*IKU--Y2gn}}RlWp3CIp|nr}duhC!)A;CXSM@6}!C@ z7d(b}a^6^+KkKor0_fH{7Ftb$5J?jgky7&6`Yj2ayI2Bo|f7VBxoX&q?1-v#d z&X10Vg`h2Zleg%3s-oK)$W{oKd=ho*A~z~iz&66$UxeF_6L8B8v*E7q`NuUg5LDYd zW`9kvu3}@~2_=^fOC!suPuY3OF3%>*|1rr;Kz;nQFAm~5!CjB4BMzdC(Ml$1fJ^m_ z*UnLh=licWLn_l(8$8#VPZ+6+ZNd(ho|4+4(ZMxaHjyx4zTDP!vGG-s*1}E)y-oaf z>?jKDn>6vz4pFqS2a9ah537UpAQ0EsfbTpOK20cq@P+m|r=VOhs6hI5_OD&OadCg=V}t5iTah;hM+f6Rl04=_R31@skV+Q8p()R=?S50FqxPIcBy(RS zRJ?Ib9G&%3PYaj{P5ne>EeWc;au_q(Mr`7XdCm?fgqsU#26|lpp-zG)HmK=|#ap>qJkg6_W%hx6%DCsd6vwibx0TR2c6+r9S{-&= z)D3tMlqHPBnu(i1sUXKP+f(b>zTL^YqaBX#S>RiP%NpO=8LY&yiPZbNKJxt8NqxoT zaOYeAj*0N+*fGMpr2vZx@{FbrDfvVuLR(KxVSPyoF3u_;9uo*-cLE44SymXM+|@#49nxjaB> zZ!^jCrlMPuk8{}BMvu<@#Ui->ah3iuXpb1&9@E(5v#tUg2aL~MzlwXcov9i#21snw zIXS%92NZ462X2{ty4-Rhl<(7pemT_RbvwmbxEdx{@Op8Jc>)DI2DV>gjM4>H2IJ-} zg}8l^3-VlUr~-~zHEr89#5g=8%&~bt>mfSAk0d)217h7caZYE8SA3DtUXg2Ele%-TdN`KwdE1ozHou3!!q-u_=i-@nn9{(OtxN z$e`q=4=go-S%F$ULV{F8w7OmYN*=`YOihK78Afyo!2ek)F(Qa0CdG{G!WTGyO1Fat zhqH|rBRT(O@J)Ex=nj3DWEBOYM#5aAtvZB-`j=0$#ix#e(;d5Q{4M}Ic0+V1Wy&Q< z9$PR&OLXC)@5LrZRKRlT3~>b{Qhk90I-CQd`?*?2y}LfyGNRS+t_J}!&_Bv%4Nmu65%uUhGwC(GzgYi2Oubc9 zTW!>?UEH-4Deh1lTATpIonpmZN^#fVl;UnhikIS|21{89OisbWqzDsw0z1=172gk0mXK{#kNXjZe>NO`m& zE@evDf_g`rM_U>kIpQ$l^n`02lCx#kt9@}_Zv?X%8b&Lw-*#jk1hcN`*PYd6b_%p3*Ph7f-$0;bXtOxM3TVuSw}< z6JMqWrk~X%vs(~)jy-X-TQvjZ0>tqp_l5J_V3p=aRF!;A{RbdU$LP6VEs||h&+=)G zk9`;EVB6JWHvW*r36<@se%>dyL{e&{)g!QRUgX5nc9H%1vx=(`x1c{5>&IFbP6aX& zJP1X=PFbFT>Bwoq=I6z-aJ9yNn9C9{lPP9;m8&j(?Ns9(zVOw4qZ&5SKO8su+ahAZ zEBuY9^N2U4AnBUYD|V*6Vv>_LD$Kbi#dC9S1vhwOiL;j&T?l&_jhsdlL-ez($`E}g z)iUExxe;UJBeTHmkMf9*J-TqYrZ_HMqAj*XV(s$I4ptekhyRDux0+3`!oQrI^$R^{ zC_A|_{thM#*Iz!tNHaIz!FWJ&LG)vUWea&|(V98PYl+FBq*9w%)cdic)vIkn6SX@jYH8bJRJ(_=M_2^jat<^vLuQt_7Mk z35=+{Cnaqk)LWoXl3)I^4futXZq7}g?*xz4)9ujZi{owBCP*wAXy{U~ zV~h^oNaAjB`g84v@PNFAB#4!g>Aa>R;eSI_jlJ(O#6)mGX(1 z>rSVS=A6(;V`iP=(c*jg(3a`5l`#id`8$e7`1h-gwtUu!8r2KQ(AqqOa&5UH*VRN< zO?Wlu7`ZxDx{TtBfP64KBe!dZ1ZI3KaS&|d1){P(R4*1oNA^HR^oao2fNF_-?QZv# zWpbD6tk4j7ao+Du5$`fkj$%Bk_PmX{(Lw#+q*tmeGeVi~7wbPtS*ClJLhI3o1Vf(C z3nV11JA(6Z7(=jK%zN>}l42wxc7-pBziKjyT;zN2^8KL2_C!X+CvudGv?+@>OM$Ws z9U>v5A{VMtN8qV`$0W{H?vZ#GS##l8-hCcjj({{vP7Gu1uUneg} zoN=G*AY1;HjQWTCNvKKQw7;BzWCSxHuM%)?84$`G0|MOdZrt?FmpX~sV5h@jm-rY= zIE&1>iTTRVpri$&r3!(4<%2i?@@PuNW*$H;7ccX?AJt|kq~O=1bXgp)fG)G3C+3h9 z1!y8cVYR|zA-CTEz%~O1=3-Zg$Moh30rx32p6aKOhhJ1M0I7_Ph8kCdtv4Q>B}2tY z(8`|nJ@~5S>lMU?{=69Ca4hh2pK+gg5A_<>lZTuf`X6UkZak{D{C8=f)^6ST(Q0WP;NL4FHK?!8aOvs_e7ghDpmu{Do-!EQr-Sx9l=;vx_7>Q;L zxDHo9!KH+^ZZ1@Pg5fjqerAUKLg@o<(o|9d9_#Syu;RFHaG2i_a?_Z&aG-J=bcA%k z;bb#-{Y+qs7_0!bAjUYB5nI>l1pkYv2PB$xEze(&%U~G@D|q?1NcrI*bR8KQ9=1 z^B)nj{@uBZGl$!cY&|D)F}|=X?1Xt93iz^cU#E+?olRAn)Q#uOPDM=NtV!|zB%0f( z+rLGL??(U47WPn#qZa3k!1D{Heu@PRO3KB<`8UJ3Ni61bCvzoShx~uyl?+1iR%w}4$ue`C)|RH z|B9m!qT4QCPT-Kw_*|e``c~Pq=Gv;sEy)DWXKGXv-_LC}@i$h1QT9!Og1q~6icKK> zGHLh#zhK;UnC;o`<^n)44MT$uQ|eK-;5&Ll^pVXu)MRE`ay0E!g8yLsks1mC@5@ZT z?&cn9xcp(npc4KkAI`uos7d#BYcBFsE+||?0a=Vv!FA#b@j55ahUzGOEMbH@n{dnB zD+UQo^`xGhjh|!auUn}731J-_gr=SLT90xcnJnXOM*Mg!iG7kWRmzcW1_vR(6%!xDS;15z&P`~~_Q?$JtWeA_k{=o7_4atq&-FL^UD|Ab82S4B7 zs*+*l7Rg9X$7$A0;ZQ9e~4w2`S(<#YGGwQ9p7X{!_4I7Dt`tCf`?J9P5;0t4cMZ6Kz6ZdZ0f zO_oVBQ4ZX$2goH!^GDk;0?{B)T3L^f)jSDr+DA~ zzXO_yw_TF?=#*3Z$j#YsaiO_Nb1buEZ~E}=NvIsQ-2>%|AA68WUI0*QC@_Tnd(zFa z|L3$f#(6SlmbghcV6G$QfN8y#`-$pk44~oTVMqQ;+QA~rdE1+ z{%P!|1(6Y9z71&adX%&dxM^(AYmnQBU1y!Q4q$~0LQ*)D?#KGnUfE0n16f+n^ANHE zNX&th;`=iK;IS=^ys<45Hh18$Z?^EXK{`hH-o+hP=clLjd*d9R@eZ(Vdxej|>`V9C zTd}1sP2AV~>+^%n5Vb+4$n;VuVDFN2!^0*tm~P7^0r6JQ7JZ$@AF+cc3%5IXvH=4e zQu@J(#^()`CqnMRJ!x@fNbN!%KL3(|=h@^bD`*Lfd8veyxAjA)p1OX2-O(~bT)V__ zX%Y@Gmbd;fiO0^Ui&!CK5Ii0FZ2A#6uppa{gtE>qd1qP@n;Otk+ZWm>j=U?>O>~;D zM9()j;%uFVkk%{r+=@W|Z&0JAUXL5iq3^m3>rxM{R~ddqUVR@Hml=fJFH)lg>*bRS zBpV`uN}I+^((6W?DX%itB!{7F^=<4O0iw8W$k$NR8u6AqYWd(0HqtvB2FivP5D6vq zJ!1VgHbX&$7~tD!WEeAy=%y$U(@sF>+s~I89d}6IHEm?MAE3(L@4?`DT5*9g;y@!0 zNwOL_TK}0Iyz(-7$FmffNj+$S7^oY_ptV%v723gbj?-HA>%1`P1d^Ge<*u6iDb|uR zU9YO#Bge8VPX?rQ4@SvtZ0dbM5)rnGsQZ5K!Nhl+LRI*;_unN1 z{&VqskEW3=A$>IVa7a*b9NwkRps&zV@iy;S=&T`07sLl&7p*E-WyEI0cEs+#GuICl zsE96k$5cNPUSK!$<>$oXc82?M0t3%UvgN|Tu+_9z6vqq1RP$nm#xd{_OwYSFTL>~+ z$2hv3vDLe*93fM>w-qXYCD5c%i5#1;>ORiAz!k|ml8>fGULe2q`#RSHysOYSIJzKe zD=IbO<{FB`%)woE&7bH36?S^w4`ZG_g8b0JcgqTjWoCama*=McmL9K%nOhLHPcn*Mh3bq81c z7dlP}!ho=+V3R+|p*_{rxVZ|*aO)4^sE?pta=vHCsgQjrq8ejx{OJ7J;_YCpwhwa) zo~LGwnR)U@7?m5`6jcqszU6cRYgdkE)ew0cav7w+TTJ-Nq-nSURmSEnAQq?(sLh#v;BWGUfGSJYl=_zzb3#UCs(a=6?x=^jeO;rypOY9Z1WFWTofpCG5ttTn!iU$BboyI+dn_i&wf0#`=9&;w+!%CA{0 zYKla7$qAP#4JGfDCg&@Vt1>N+>uodD9Po*DHT1&IJJ5|5f0{ant0^N-iRJk#m$iL# znz-Emat6qT_h7N;;ya@|_tef~yQ7b>NNOK4WMM}sBkF~q1Y;v`$N=9V<7y z6uFe;L<$+6_sI@%Z?pcyo8kSA!4{aqgU2BAV+hkWagGbQPlc$^04;6a~*s@oG>w+Go5~G|amwcFv4m=y*&T&^vtNKRT5ruKwC!ViZnK7#0RF#V`6Aj*06TkBMohj)_&={LyGNk=$#njCxnJ zW)ZK@I-L4oAo*(4RFT4FtTDF7#jtlpoZ>2@bSO6Neaps@iq~8?;E}k(N?>35km=b{ zJ@2D6CZ?-6_Kt;a?}{kFwe?z|741r?)!$d87A?>hEJvNnTTGoIr%0SWocGkMOpA#566gl|GBehd-wMAYW!gv?ei3Jy2!6@}bUekh-=_z7r-QL!_7 zk+8D)V5OvheEtK^q7)ZQsXfZw=nrAF+IducIPOTB_u+GI z?4MAv%q8>@ca&mE(KW=IO&c{IOs=}Aa4b~Sx*eCmixEVPlludNHBrq4i@Hniom0 z;hU@%I^Gp#MbkE09O0?rLGc$v@YP}})%`K!;tY_+qh~a!7-w8mSu4t2TyALm$rq2U zDX2H<)1E8aP)MUG=RL>mEPrn;{BB9Eiz1lr(3aaEZ{w`v*Ydw&_1WD@ zilX&(IP9{i0?Oz5)HltMWhVHaopAWE@juUUV^#A zoauA_t5Gj=*V}6?9vfdDq)Md-r%I!HY?u^{szHZj% z)ZE~81{|bLrNa~ZzI5iC4K)^zCO;Q{JYLnPoOXXSUA_moWsUramjTCzf}B8#W$S-J zMK9w6N2Q|b@zQuX;@f{Y0x4{>F&p}gGt;q%aDjS-xZR-xX|_A9SnR? znM)*!q27>L$I*bO;GbX@(Gccda1VNn-CTHgP&xy{oAJpqnMaHtDwgEg+#?w{s$@fK zYQ1kT#QPDDsp2Za%!q8>?h5X5q$|9mXRDj|lUf)veQ&TfZ7K6mFBJ5hlAFvp(oo9U zfr4gh3*Qgb8z2}=K-d}wS>{uIyeI{?L-AqAHK>?PZ=Z7QwysA;OdV5yYh%lyZz7{5 zgeTS?lGJf6kE$#@rVEsg1Z~PLDUgcFHX#MVAzM*WpGLiUHca)d2j6E2tkgp{BNqTV`sHjfiRdLxlx`SH7) zU2zrRzZG+mFOSA(WeXL_4QGeX8!G=TX{lvb;xlj+SK_JqedY6(03gn;94#s>JxAM3 z5jDDc$q~EL)$*b%!rlBu%~m#)qkxTFQASIyUB0``)6vAm30o>k{J7xL*V=mgiu-si zPYq3OZbBQSQ>{Y+mGkZQh**M|hof3a%~rGblvGw~pp*2J~%6LiD-O`AMTGTIa zgS4;*r0jO;6;0YC#8lrKOy6#;sfd8q$<4`4V zMH=-T&8V;=bo}Az(UeLklE-i%pml+J>CB+#TM{ZSni)KdxJoZnq-~aHMy8 zv_=FUrE0mqz;Xi97vFa=ag;WvEDm>adVQSn3iiT0)!7D`i?x1gxP^N<;oQ0LSMXfzlGD>_d7)=O(5-02`h`kT$GCO)58SWLnklEw?fAlDUT|vBi zB9YKVGX5i7qCnx>Q#Q&H&h#d>K=mgvcFL0KMAc3-rdLM~O~VuC_8j+9K3#nkW7f(v z>J&iUQI~4^fzG;d_3Lqla!wx0x^H0Qo2DFUOZj^?PcRU3KK~(E) z^02s^BH5h8{rTr(K5K#)4OVu(@k{9H`X99S~xI zc%@*amK);LR%Z^wY$ql!MH%Ydp`fzu?h*YDDIBO^@A%+=?``u$z`kcZmfT}$`O%xB zD|?ff`3>O(S}@zvTn`YDqc$}>dGx}GJH)P+Vkn{`Y+?tIlGPOZ>TS1NQu!rqL3dRU zf~iQ0^hMd(Cv-*24q<^Mp7ma`5rvrbUC#Y59^Ukez)sFd1KWyMPH*bvsngh-VAyQc z6b>)<&R`eG014vf%bhx3Q(IfcP7=SIeDM$AK%^JVCdl@#^s!yu^2w&j9%f`%&AaW; zuxtkys{nPB*F%(<8a7D{3MB$YXpfmY+97_=t@CFT%sTES`@0YOSOWo42dJ;)r#&M9 zy-4ykd39a(lf3Z>{TgQ^{NEOSvOO5-fsmTxC94+hgEaY;lWz@|G;=BMDhxGW-&b=a zp|hkJCvUy?*$!teIyx-T@bWcceXlv^7vR`k3Lyz_TS{hSR?ABvuH|5`P+9l7h^vv? zl2+PZ1Y@ml+<1y8wDq6jf^4j*k9eecumK4036a?gL9vM(Zn?IqgNSRIKX+j1W2UMg zO+mpQdl9<2i~e}4&6-5eZzBWS#kN#;#96vVk3@Xfn>(a4cd@S7j^w9uM>L-bRWzSU z7dhLRs^sUmIASA5xas)sf16pQX2*2~PR=RSvW|pkvekmU=`a5SF7Ez7yD z=9e&iA|KLq!8GbZhCZv;!Dp2W8Pi^&`KBh1m7pn)kRh_yw z5^70U06_egFhD!1hiD{;5DChF0!eNg*@bnw?R?&T?9cS9cxe`KU`HZE&6xc#RlzcX z@k25)=4ypikag{C=m&V;>YuZy?6-k`(fP5#qJPk>C+57)b~==-g%DlUThif%zOc{P zi3AOq2E1qm7en7v-o#_9p+~Xs-3Yxs?XQ<88|y5a=G$CO2p>TfJ1(Hv(t`!3ELTl1 zIcfVSS+oD5trySa@FNLU%fv%3dGwux6w*hG>913U=3g0J& z;fgW-*5pz+6jCRYRZ9pHVuiFzLz>yme$Rw3j$6$|oe|dG)ijuReMYU?V0Ibzh$C#A zN|H%xVfZIkoU+K7Jmc$N<8}78ld#=Ahh0V2vYVNS9dOWSq2ph&NR{O2dDgXSm(I-o zaMZXGzZa=wxd&q8b@~!=lhZ2wJzg=P8FeC=UyNyVcPi9UFaD*@b(d5jChu&rkh~h? z+UdA=C7qd3vjsGTg_gVvxc;XPi2t+;f13B-D^|$bd;N-O>lI}M(vKR1ZAZUi zj?OAY7FOkr4qPU>;{pd=oq%o7P^4RnCuo1;OT}`X^hxzbhbq5+*9;=&vFcy8=1(1y zDdmFBrv4##gziD07)UP(g=Y^Mk&#oJ|12W0^Gh%@p>t4gM3DRr^_Y|T%@%3cHZ~)< zq7H?950UCeX;vFlM(?<*_vK&?t-xxNz#V0`CvLR&mGR~{NHkGOh(xh!tY#0cdgt8# z2yWgueHvtcf*fh_r#bIyV2-KB0cE65sLcP~CoclJrOAdWaQ1C8H^?)+Mh}`^Rwmr2+M1 zR-lGo0~j;NQc|YIjTvV+@y>0Cf@f+sCP4V>Cg+gyend>!CexxTo~k4xcbJf6x9QL| z&nLL}%=x9)7Hdy9PTiEf^i#bK`g__x4Bp>A!mt*|Fnyr*&(6B#CuDM5=MgSJK$Nrg z&Xk-VQNIz9{`M=z#DD$3xmaj!6%_C)dB_Ov6P9(PHXOu{HgV{<7Z}u!h`*rq#`3q;$-YYa zlJu`JFCtje#@nM;?x&sgpi&=x<*drd&Af1OsgHl8=Ql3UGldJ@@eFPU4)!@ff>8Ja ze5_yuRqPAeO5&D*w6j=cZD#b>XDTf!b!(fDZFm^LLSqab%h>hX4Aa=AIJFVILhe<3 z<j8^8xL|7F$budGGlzMRYyx>_|o{h~W22EnC38{vdA+zH&Aki>$AvPv?ZI$KU}S zQ0%TMv(ltv=?m5e^ zi(Aqj0E*X(dVO}soAF$CIcnU`m@w)x0jMo8wG&q+b3}jrmc#g?KH7&$GQ0C%P1zTi;gD)d7<|kgb5^u8Ds0nPJEhluO2Dj~7zU8)Xk5~c?4+}N-R~qV@oy6y=^o6Xqus@#9G5GoE zt$V5o4HEt)x@S9$ECudXzu@NPo9HJCRKOt33gX+)TNhtR$6)Hv*b7NZ-d#p-cHOXK zMrJ@8fESmLX)^%}93jwGxOK}t;TybxOTCxB9{oelS`9;8V_7}&0VroVFFN*GkulLaIbsD`YSe9kW zom0}6qxa8G0?$*=FRySTcio5eqGwjmLd!tL_NU4XFoWCrM4Bk*?s&xR&^7zC1orHP zszE1Z`%Hea@y!)WSPAj=U+apkOhYIDgOUNa_^{xEAI9;&Y1Hw{qMZ^uX^2f5bBMU} z!mMBZxN9jn3YPDun*=6{ZS@f`V-+h5?|-ST@6jZkI4=;}2}?P)d*b5=R*8T^JbXzV zK`~KAm=UVG9J{R9*8QFWn5yN6o+^MrR)S$eN)gGNLxcWO$%63#fb~=!GBLh#nVVF8 zuG>C?(0kXs(efCa<+#=Xveap4Oah*5bf2KhRfEm&6A$y;;v;P>u0p)Cpoe7P1a)0; zqe?zHZaq}g2X#WbC81^HW^Tk?uwpyxuU*l?lKyCL%HhAnR*qn5yRchn*bUh_x7`o~ zkC*L)<{R@mT-rJcoO&b?BFwQ{N;}51kacX>m5<5lIudjI>z91wW#lS+S~XHkoZbH` z>@Yq{>5T2!6SqoK&Ap208WcG6JFix5V`I~E)&snDXsz&7B&QVOFL9KlmBgtc>~pf$ zY&rS&QynZ~9VAxU4j+CxY&?52K}kAMp{@cgUYd(esup2J<)XXq_ZSyPaXwgVIA5!{_HzjM z6$v_ZWP|yv)5v*QHm(JdHTKzErP3-kZVh4NS5GI^?W%Rw^302tV>CiosVO8Yu?cD8 zc#6r7e!J8jE8~>+`zh=%kdej3$E6ewJL1uMXGWjSMQkO~uYp7SJ~!gGd;11Xi{R=c zyZR^h?xeF{>`9Ez+ACAH8V{ETySIQ^VtFA6lhQ;qX;eqpmkn|XX1tecAvi3J+o?CD2MC+M?rv;Qxl1*Jc**IL$?<>ReqxR^7z2mPy|%x?EHK(ZpXuzfzQ8 zx|!_5{T^3|MZ1382N$(-kHYm6)Yfy~PPv7X-Kdzwv0}2S#%^0alX9?CvR$2#)AeO( zPlkL_3iCy(8A!V>o18t}A+}J5KaTy3ip~)6I}gfKr?-0{R81H&O?BE^eNt z@#h3l1S~VLy#B&n&0qeaAzaDWoTM>9#@MSwqvOg4qrgpVUp#Maes)CE&Dg^=`IaB5 zDLsIjnnQ7yO|Q1jx1|BU7-GSj)uybT?1&17^ufei$Tkej5+t_pl^Kb>7CRD~3E7om zR9GQV2u`oUsv9>~jQ0Ken|Ov=TtAG0o+;}Nid@Kk(sUHclFOx?OSl9H)(esx27{?_ zi%u;fmFhOyK~`AKT_-$jl7Yq<62F3W>e0KY{Iv!v8GmX4wFT?tJB%UWKu^8r^PTpo zg*?;-Mgbka-hDjmwhe8?A*l(c(c`9q@p}&%BM1J?pXAmhMQU>Q4`U}_b1S-S_PKMR6FC8L z&kdhu^%F*8A;*A+JZRT}*2d=D@FJ{Z`<`KMT)?or<&D!8*AwcKyDp}2&-f!wE>arP zPFZ~Mx&DJrkzY2~QDPf91_+R=!ZJRo!FcLqv!U`ies%=e z&wK@$A2nauM8J%l0I#=)V6;Y@1UP82Nvo2 zyNGNl+x1>9J&{_^a{U-JtsM?l*slckG5p7?V0aE_F-TIqAZ6&i{_A57&SKq>E-%{; zu(yRL>$Cr-GCteT2Jl6p7Ihkp%m-G-dhwA2oz+|C)fz1bijH;Hoo_3zCVxL@{GC$u zn0uvRj?A#jna2X&O81|Ol*WSv9zW4$K>d-|a<2wZX9DYF{&}DKldgDuRjfT!6w;~Z z=>MeCdYJ>)xXK15mxIR-A4xAbuU|rnJH}0aU+ML0)bPg?&y`j!^rsDAjF@6}yno`( zqVK>AzzqyiqsjW9)+bA<-19jY&%&UtUj)%REL$Pp_rV=l!)xssW5XBPO%D#HU9s_g z$4mH(uzJZ6!WF8$xY)Jv#4W!TI*G4*a1rY)xj@&FTm-o=-F<)R&Vbn$N6c;*&oQ&R zn{QZo{S)sCw=G_HGRjdpW3L%58C!==HRBO`hDtA56fkOwQdC?pU2%d(x1Z`qNaqyc zMoTBkb*x$`fc}pAVSk(N#NJ)B-hh1Vc#`~uZUyf&>tQ+UECNjVIJLWSGe7GGR|riB zEfLP~(RA~2D#`a4ItOx3rH@}M{#I?-ydE1}J;eM+mMA5i%tH=0P7I#0#fG{?0GAEmonF{hGhaiAvfkq zUu;>k>%UL$k(#&eI09r-gOX=F}%zrtjXI)OHQ_onmvi%)@8=G{p= z7P9r7YXPt`je2Vpy>@=r&vjVE8MW|2HpTynT%)C`bwLLZB_>#jgRv!*P=vzpiCVV` zZ^U88d?I4w*q-Rp0l<{s=#*xIyixo_QRrWFz<6+Ol#wjo*F!vJjRNU`gh*%d2~i@> z8?@jwC=2!%bGiT0)?+4!%=jAWo^KQSy=>UB7bMdp!z5xFEx!t9eNG7pCSW$!K2?d8EOIW| z`8fbs&z|k>UqbA@`WJrQZ<+-K-121psxi2m0Mpc2#*Pd_rzjPVcJbMVZ&y-Ho>_oT zFxAJWlv9BJ9gOPr%gIS6)c9kXX3K@3v#;4Q^JgYMpOP)NI4Vs#}TkeB~ z3q$tWsipb9$UWZuRiX2vn-j`&Rj)weLkJV08$i%7>tOvYZnfE=5G;uz&d|d(fay)o-EuJq__7ko9HiMlN z?=8TiW8rxtmngy!cKpW_$GJO2>6F46%Z2wje_N)2ery|6|6n2OHf(;k#+tXrl>E6# z#^c@TdsyPB7Hf8m8+P|yZW|{d9CC3!eu8(dr$F2%B19pXwKZVodPhw2WHWnKsMaX ziQqy^RN8FOgcId}9WV44H&o8b_)wG|Y!r%#vaecffi0FW z{|k!O(M}1CSg)ZKe!K9auvxoD*0`eVzRZMhyAwXBngq~!Jzgt!mr38bh|f>}yHAUZei!xY)bkS^IyxzqGVdY}FlfdUcjOt&qBL&SY#d z-v2Y)2{5wU5HCDs=Oer@esn%6igq2HW^5cF-oYV){w``%r%mg9j z?$DUsab?z#QB}oLNX{fqJAPz$0ztMhdZ`qayae$aBmpmh+WDjOu)-Eso8GB?T0`tz zBCYWqVGzNe;HS-F`al9_Ic~ap-t~+V5?6d*uGH{=&t~@3t_gb&!F=-w>9oGw@4jNY zx@UMha%exX!>h7(rRRR*336PsyWmaZ7nb;a0RF>m=72*ysH%PYk^%{vLb&;1{&qml%cC-SoWpb%)~TXy&T({hVvp?}^@r}B!Z!iSqbn?exR901bk z=+f6kh@lhz+TG>Z-qbzhX2qQ!Va1Z&cUtMMu{q>uzOgDThU=u=KpDJ#a%0q`ar6N$ z%z-(nd^O=91C^#@AAydl{E)Zb69a=iQAkaFVGwO(^LHmO;ugO4 zwIQZs#UTnsV)2gNonia`stqg{`^>kH=Wu`DX^f*%&!rWdb4BvQ5FSqa>^wXv#!%UGZ^1WzNQBP6( z=EHa+1TJ))FcX`>?ld&`u$3gI)Xfw4>LcR@(7Iho4 z?#)EX<%7e02Lv{Nla&*KwcdOa?hUn*NV@l?N$ zjihHVK@EBHFjQ~lU*RNv`8NkYO+mp&e|g)=YG{D$s(*&sa(+Ym>aL~PVa>C$X%K+8 z%I0Zxhf+blzXnA}1MyF1-Q9}hj_=KLH6x>wfi>7UO5L-%7RRD-)KUdxcgedy^{Rnb z@q(Kf{yQV8>p#c&UXVM_p&J(iQRFsN3?UX{)wH|Z&N!{ukJv&uJ~*v7k2pfO6UgwL zF-q;x&?+QN5#o_lf_1MHJ>axg#?-l&=I6EwvAwx3->MF_o3(qzNx$7_J{aSA_3dsq zTD^5kJa3m-u_yNNyLr$00%_j+#lHAH&A52?!A%xU+9Tr~(;hK|KK0DqAQ)n8On=d* z#Il(DBicQZtX;If^eJkKx#+mZC+g_6j_1m+O-Y;IDzLwvXCqIN_hii^nGDfD>{N9J zd~Zk^(3?~#1;nk8dCAdpnYsAV?Pd21-21f|uL1g^@4S>Hdt&nUww@)8Sc@G9_sBTe z?p`&&vmqkq(q2Yh3w`}XDgWqO_smI{2JHyG&t(v0FdKCI;jchbc571~`T2w| zp!5_YAyuBij#m^O*6Ex=nGIE$kgHQmXp-s9R7wVVOqjkOwY}uv>FTg9cqFOq9?2IB z%kAAK1XPmtKXEhNF^;%V{0TOBH=P6imJURX)LMqe0r43WdcIOxv4;M;!r|PM}Pg;CEX&l;>`66?WR2!66VY2H?D4J1mGM;=F^#XgLM8{^{^SthcR<&%%Etlyvg5X#6Vy7 zMtdRXRkbx>rTuZC*j1YYI1T=?ao=Em^bCz-bNXoXR=AX=`zNTngijZkLln5L;$w4z zdDJ3P6k%>1(=`O)g6h947geuaN|+^^;WW=a`b9>kgz$2@RwoDNoKikLe=bn+!0Y$R z<1pY?`ri6|{zD#{QHIR$dyc@gZSiEdG4H?6BPHh8fHSc$vS1h=|9RRYTJIY<<@J_A zQmLM;hGJ7md8seo5$*B;h`RA8Vo6AHhLRE$fWN+D&<{lU*)l#q6A0NYix@@N5Xn#t zkWEsu(fhVSXreD7YUF`2i7bTEI@C7iMA_(CRRBanNir6r{!$wX72)q>eujbSO@*Yg z!9RI8HEK{L8Rz)uDG|kXv?Ze}H!kh7@u}J$Cn@HbRL>5PZZh3tg2Vu=+Aa zkEuCh{NlcVzga0%nzVPF6IVC?O072Qg6j?oW$G-?2s8Nt;|3<9u32cxKX zNNxX!NoY|OW2gcOM4kxfZZoN+wk$(e*WmkH!0@n~qfOPFOYTC}J2sboIVHmbW5UHR ztM3K1wo8tlE>=SxjScv$;oiubw3Y=P!o`BZfyUF_MQSD&%_rE@4F6d`Eibd@kBQ7r zM%EL#%u;Wg6iVkKiq_%LM6YGlOi_U^*27Z`;2n$Gm)~8JsxD^>M2o7wnm;<9Rpc3p za5?^9|2YfZpGZzrYEnG-B!1Y)Tr^#0B<^>%CUnUgxM813EMU;4oWU`VI;4>5I9p^3 z+v2VBP|KX{DxZLe%Ui4cs@R!#VTu<}-Jgz39(3#U^o_}3`~;m$`58x%jrX3{!j%b<_|a0CzG1q&GwvO^rNJ$xM8lZ{BO(SP3}XaIgulmO z$Bc%`f502?g-mof!;mT4cm|oe$jJ6|DhuewSp!91kk^t;j1zcGp@lNEY|8pLxBM6g zmVysj7I5lgjD%mJNQJLG;~5LVJNkhkt^TC?X97Bue-S`|fsud_vTRepQjCA_S)6ll z7y%suZ%JS|BA#H-g;Ah^qI8Co^9JMFxZO7_$WyhUycziW3N90=Ecw zzz~Rn))d{0i}@fRPwS(oT>MGOY=4w_TjyDStv;t9LRudjC(~ZIFz04q4A$4r1SIlX zxX^|LyE4at`rMOyhYQ$4U#rh-iLrvW><8E@6lqsPvb>kYyO!sCx6H+-st?1CN7`eV{xKtZk#btb1RH8#UHzle)B_*MegX&nC=YF1?rU zv6CS?ul`|e{q9s}`reemni=_BNzNpIf?b~J4s8Q0e zVMD1=qlVO~RZHsEuOFq0f$F{|^=iE%_1>#3?|0}SA58mD+NylkI$^&w9XLfAG-~Yq zUgxjBCK@O;YEWO^S9+&TeR=w=dQ$q;y7EYsy7GLrdQ!Wt{@&30J<|1m7->K1YyawN zUz&7oBF#rNm)a9*%fsCsmW(wsn%)?cGj`}J$BI6Jw z!Kp^r;5yIkYyk|8Xa^mqIoP9DMfQMHcEceN84BlA^mLqbkG>5#krO<^g&+a?L!Xfk z2~^-3oMj2{2p9Bp=vI;QklpAbC;r=MTFjji_A%O{@3f7qg6xa^4t*^rKruHw1Dq(4 zbNC(|b)hzeOwY#m1p1LkhM-P#dFZs!VIwb5ANh;9;lwe>%?;1P1u@VLN%;5;T{r=% zeCB%Qhj~KAYB{5&eec@hBr?WGdnB+WkOH|385mi!`@HUUoym)=50(9K97a~;9>~@> zyV8HYV~(m0uWI@OlUH>vaA0)>y*bZ`XTW#~rlfBKbFe71YWSNNHj-#*eA`IS^G?2C--99*rAzYTj#7PN9Y3_vHsC>qL0X>l$wCH zH1gm>|Hs~WfJs$kZ5U8c$zaa9uDfOgQF2DIX^m@^poj#CLk=?x3>nF&2qGwmAR=Lg zJVQ=`qJRNKBn)W)3FiI&^VaPeE=}X^{+kr0p65K>eQ(`LxB7f_QdN0%7~VmnmW|Tb z55{VE+Hf~s$mTeK;~+_YS{T=eImRC?9)+*HQD`t`%rrK;;LP*9#L~Q0IxA z)p(>lPC7B8xOVn20z zXPJ7eI-NxOKB}`xM0x5fJk`gvbT~>atj^mf9UF#k;E`L><+V?2#o(J66w6EJh9c&HM zIZ0wmxm}k8bs0BDJ(lfPkClhj z^&R_<^ysTLO&hDtQ#Q5_+dFvV3B}cKXuQ6a+S%B5>DW%)I{?o)fYiIMVrOnM}pI6*tPb=<;x{7PuG?<^{Y50Q8?I(lz%v|O>^stTRBlh`B z1I4{)^R`2K`_I`tdd9~1p(oV->BrTz-7~h%c|s2*RMM4AFK|5L%63=l8RP5l68Ias zOt;A>_!n_PKaRi(v_)IoKkxb#jX0UxI)P@G&|O zSb!cATwwD(Y=iWNdfBKTI{5&0#ta@0CV?09)#*8n7ZOYZCJ;PAKj|-Rq_0UclN?sF z<{;1k+@v3j7iEE~;2(Y_taW%V;4=9Mz@QGsp8Tvsz%@1o@Hhzm5$thIyK4fyw!JrO zh~OsJOMdVWT;%|_)m5dL4!OqLt3amlb&YFz!$pdci zEd8Y)l!0C}jEO$s2gP%&GdQuDXLuGY^=q(bdn3U|Y}O8D`gwy*k$S)~Y}5p|FmBjI z8Ap7p&`JCC3hNTq5!kTFvCOy%h=W{8kI33IFn6<8|Ku_i8t$su}`SO zI~~;J)h=qEVE<{qJ~lpi0{{4Tk;6M1cQ6t@^!PIY&jw2&kEMJ*Y5WP?gg=3!;3>Gu zvtTG#3Z}xt!evL-`413S2fiSeA)g_qfr-d%$ZudJ_rcENo^{yN%@A1z@aNFu`pV(JjCoFW&_S_(KH`dT~oz!Xi=XUQE8>jke zQ|DgA-SrnYHf?QeIy7#gwpM1tmTh%U!#GtiEUj6;wdyvtHd*=L8kl-qokl@l48v`# zIt_bM-REs_7@m;wsX7jNEm$Aav$6jx@5SgTtDChC`3+0sZQVuL^_sO&4XdxB;ptx* zwpQa7L47eX{8S>pZsUD6vTfql_|kp$;qqr=|@SsI^A?5*UD zw%w8N;p94PArE5*CLtfuX4=K6k@zBkYmASV!|5yXT8AkeTtD35BQ~y_#1D>xQ^;)& z2kkl=BOxaw)uUAK&a6H7Y4NVmA%N$M5j-9I z^l~S*)$TL8JA8C_YM+$Fb>v0J<1O#R=?e@mu|c{`6e76IW)3{VJE0EBXHy@rluy-9CS zYs~5~Zq0&h%$g9~X1#&Fg!ZmUX%i@-4WGy!*Jxy?54Y?R2ycJl{r5Y@Yi2ry6c!bHVn~v13QQ z{r21X`s=`7^i)mG*p`)k8!7TmvQk#cN?9o@{RdKXkf2W}0ogeQp$mnk6M7;JpBN)C zz%BWFNhEiWP!b|6l};*sTz&)t1O$RY!iiu^6qRn5Kl0xnD6bJzFxC+?;uFFsfe9Gs z5O#1nC8%%A(lIBT0!45Qfh`0YAb2BKqEy8AiK05Z<0^^#1Xmzrkso0kA;0EJHJzXq zy(10|p*}ytF3M!`*BDU4?V#k3{SPM`OW6q*Bc(HNB6ty05m5kOOvG6=I=Q+swmLx^ z;TnO_)n$S|iuZ6LNEF2YN>is4Kybrh`H^f+`HyiMWix^|{UYFlfJ_wsP8ov1J96R+ z0xrgT-c2|^C$BXe-%xp*Sbp-N#IK!AxgXB0n_Awan*D03&fq#K5?>_PJqJY!gn&+) zFkVnTLMFeTj|5WQl3m$y+VAW}e>AeTx2W6=H>=#O#jMU!!M%zVRjyKmDpm-dD_iy$@tfmy_ibgcB?AasI6xbMykvF?MhsEM2%mbsoH<7t5SCqclXPbwz_#XLdQK@y|$i? zAD;PalUI^e=h1p9UE+3?xUER$vt`Q$|^zV zXNw(sHasTfE0kA-$`w_{#;-`33i{*i<#qL~rFFwi#dXK^x2U|0a|J)n)NB1MOBqI$ zE0j~UO663wVmaMWx~%?mTUlLIw2ZF5y{!9P-tts%dCLZUq#bwMrb;zy>#yzmsLixb z)W-zdQC~=JeIkusuvMJ~zN!2F`m{=wETP+NJW7`;8MOISCgzZPkJi_sHn(oRHJIPb zJ3hHq#_lsWt5#9DDwTtIUfSkpG5bG|pLq%~pKrB!TioWSy`Kt?+5fYBC6(z_M%Q+| zR=FDoyS}nh8+btwIL1fEXQHlSd~tzb#uK>?kAjE6dz_LAK7qjy{=^Q~_M2x=Vugb> zzz39aPSHfL20`Re`6sTN7|AWS3pxrl7d(Qa1PUnv@NmX3JVMEPdF#s^{t;M*Gm7J5 zPX~b_D1%Ydg?GRsfDR=iyM?0^Mqx<+0zvj{P5{3R@32QOqYJ2@PIzgk%>42-Je=RYV-V$DbfOFw7)hH$`KdEn=}7|j+&dzFg-Cu|S1@L*Pe_anN^!=@ zoel=xke~O-oMGF=FzbP4dfi$ zsLSvSBRdW{U^xM_ybCr;z+uN>9_t~<+JoX8gFZXB;$Xyv27E^mO#Qs)k!d5{|H&z1 zdCvrf-jhui)xAh%x|VVOkxKn4xeW_kuq?(;{wvH;zO$yHUNDzU3kamFVOV>yVJ(4_ z`He~$S>6J11@z}0e^!~^WmKtMB~|#VVN-eI86}Ls+gSYMU!e$|IbKx6c**s~f8Z;{ z?+AF5$505K1aFGY1Aclu_IWEAam|+>uFGRFybg>7YvFzHHL#g7$xFX2e;LCB@T|09 z#63^Hpch|Xs2;1oSI3ku^+bpMs#xVtcfXWj4Y-q8U(~aAKlQwtjhXLuTkkY`i=OB> zP!+3I3!c3_cowX4Sj+RJZA@-2qAF!d=)q^6SIgJuMV|dyPjq@om8w=h?paqSpON-g zs8m7a4QubHP*K;Hte~rlmDL|_DXCj;D5^3xh81iK%d_UNarHP0Rj_{FX&4LEf~mKZ zD66XtXRop6i`e%v);@5yyx}Z$f%7HqD5lb7N~zZ4_4Vw~chzm_ehsm|)*GKmZ>~A4 zLGP^4^R2t9O0^ogG34_!N)MxVi0s#&V7QyO=h6o z$Y$_TPT6PE8a5UHFX7SfL&{;-Z?|(TeSxPLAFL_BV~2L`-08k&(Wy_nccp9p z?w#7ZYp2$4-K)1Y9#*dpe$>#9zSI282efVHE_Z*Q-H-MB?}>KN*FEXV*qyG8U+*;e zbGy2rqh7d8?|rmGUu`>fe^-p>|Esh!_&oIOA@~2}lqQ@K*_?*pE^J)bTL@^t?t}d0 z^f=f}(Cwh(2@`rBBOLt*=)-9hXG`Z~`c$BsLT*7niCxW|2*K$G=sxXv?(+l2&k5ed{%dn9sazvHBRRUQJ`2*P#Sp>2+(msMS z3G9d^i}-|Yw|uYiPH%^;kAOYwZrB2&H)TP7LH1C69g~PSL*}z zHu{P^3>gyrBC-?#farvA;B-L~Hg3ph^c6iH&XrF0+x2E==R}8y?1#P3ZC*-$t&i0I z(1?fJshgZ;f-K}tlC*b>eb8+@$NS=4+Sua+i~Pi76-f4jqbDmNrx-c?)`RJw{4xi z`{6qsJ$%&3dj9|XA0z76yEpj0@iV0_SgQ0X$x45FhSJ}e6?~sGQ|S|@EB)ORrKhb> z`sbU2=ML;w`u=@N-(fs{-N#CwwLs}p$+KMPUwj!Udymph_C2BAe}dqt84)bmv~7A!b^Ml&5<9Mv`*tExfe`MJ7=7z&cGHe=V*tBr-er=Cn6WA497te5y zI#++aPvbTmaX}az*ZfT#OkD4=<_C>mv|VdvuGFr{v$TKWd)hN`nj4#ai&yBt=FQrc zzDu(|+pRu}x4Tm_N3GnhwO?9&h7Sh}Cum=|PU@oV^zo}5_J6QG4Y0oTUH7Bj{o;r| zOV7~0tzRo+#cJ)EHb?2u@(+~$^;Ye+@jbAAkKxa*6R>HTVbhlF$FM0}=P5IRw%_(H)8{Ty`i4(~ zGPi71`cf-r>TG39nXMmYEz-W^xtcJ#yDGG~McIuf6i+Itw^mPdSc8oT-JIj){Kw%Z z@QyG=coTdG+(6GsAOZnt@Eb4{JsG^8V1I0UnYvKpN#GmyMlcoJK<`I@0RArE5jGxI zRtS$cO&B{JHb!`n!+5(7_7T{}#^Ug6obAyaIy{5-fg#wR(5o6x#NPDQ%C{n1_*kOe zr$#;HHl)MuO5npM+dpwOFK=56C;G;waqw#JgrG{+0&XpWZjrIG`oJTw6nvr|+#`qq z90gAaobUmFj5*^0o;uy9jXgNa=5c=WQPwC<56xP~?o&P(!59-v0oH;2yi4@D_``rJ z4i79px?(Vdc5;#vxC?RG6naZ^sf;t@&vU#Z>}cfYU4pydZ^n@fx9(w`#JhBu#8}(B zV7&mggViL~BVcvElzwjgK^tgS^k(xUPMKsA2=uV%Re4`*GQhmUUxwejW9knl^ulbc z;R0`Lj-flJ?U2J)>pOFhARWdLUnAB)g__wsvwMCW!`L$BF7TH*28J?rV5;SFSjzn8 z6fN#yb1h_KqI1rIIhD6+Uj4D%AJuSDL#1v`b+&Kx+|DM=f5*yX&Vt*Q#9gA?&Fwu? zW+fwnND3HTZB#Xz&S`v(9v<_sW^S0N14j<%`|rNjzI}#M{ICC$c$&$F4EMg=67V7T z4gAIP8!+{4!_s%`_nb7Puis#8G922UVR&i;W`6pG(&rlvgSWHEvoXj6PIEt2-G7I` z7jO-^4EYQ>4NOFCLw*AbxgQIgz$NI*?YlMovv1XZ-4E((_&fE}Z}qw1vA-WJ`|OT9 z>v4OU<>%SXYkpSy52S8uf6<6#`?PS*dVMo>uJ*q@P5UOi=f-A_@!|s;HfYbz9a^rU3Z{nZgnVCi+V>@2W$5MgM;(U0zVSP)-hNQKZ7lb% z|5$sHZN0)fVa>ys+t_C8->ZZBcI%rR>6-QN4)sdircQ>Zudmv!^1w5K{U(^BAbJ(EyNc^c%>1+6buml^TevTuvy?_e4KcgGvyA@GhESjs2C+wgy| z)bTOy+dc3MzsxUoec|kA&Od~*9trpsyae9magvQyus=CGN`2YcGCdxFi{Q}>oo{e_ zp6||f2sRsULaqjz{Kf+KYGk$rJ_sh`GxA_Ihkf8tw2TUGCb*4YL-;T4!A?iMXkSzk zzNiEQ!h2~evK4rNEfM+1;dofCHaUbg(Qh`Fp`BhnLJoFo7v!U6fqdjnAGY7fF<>=v zIAg=^tctt=dYXxu**&6(! ze8vg+4BR8YpD{zn05*^xSr9B_9g~T7yk8^U4{IY%;skdg1^5KJvA40K?TmYVHb=K>$n*I1V$a;PbCdJi zb$(QKk8x%mds)`m*%@QjEX*N@?^dq64wmvAf85B4lxLiIhR!K^jxKI^v1*T~t#KcW z(~g5X+SuK_LiU}q>0531X3t4+`*b7ZTh;@}yVKY2 zP*0P8I~#7lv3k2c-g4^VHZ~V*FZdT6Ja|w){&*rzoJz?V+p^McBSqdxR?13QDJx~A z|3PABQg(Q8POu282$?1{djW_=dpIHR5lG^M(@=hd8g{F}@E1-9Q0#2vcG~gz?Gqy{ z!fJGW+QSYsC_xb*Q7&SjJXu1Z#4t*J6o?44u}-D*31u~b6A0ueA=#;iYbQ&Tg(+D9E;{f+wG-_-_TkbGI5laxrsYnEodWv;Fit-dZSC#9xSKH*Tl(77e z9_l_umt0pgX5MJZU7)Zk)oZJ^v%YlCKGI{XF1z8jn0ce=?EHn?u5)oSzEJm-NAyU~ zH+0#J#bf6EU(#85^6B>b>Z$R#W$L>@8opB+vQ_H3I75HwJmNRm=HDfqVMOpVilS$Y zUN9nXE5`r-AEFpMB$IC#IVII2ficM&tWc`q^zRHhJO{ssC>O)|QI26$M8Stq6~$v_ z{)m!{x}4!Gx-IZKD~F&@f-*5Sh7(FucEWQ`PnMrx2ZBUSmdH==Ji!{Su236%o4HOv zCWc1(NZ{pt!|wal{5UCLNF%X%0Xx&V{=id>7zdP&IJ$%#k{A;VrUXYgWo;-wN=^Dg z8+_tB4m9kt?%EK^&v(X^d(rtRgR-0Z3q+N@jMdEYWrxo~Wx>d@-k#j#iTM{+S2PkV)Ch4?kDH z#K?Eb$ZU(@9-nS}X)k$rcM(NDK`cI?bluisO4Rgk7xXIp8T~CQD^3VrlHl> z*y`iINigQ6M*MFJ8?oCuZEb1<){=)^8Lu(&Cq$o$^iN{#)y2x`iT;z7xcWP_ocf7M zKk|Zdos%bK-e|h&)-rm$-y|ij`cAFh+o&>+HqlwR^Tx~@O;;2tt;hPl?KUiE{r;ya z`}m6v*J9=UU(&^Yx<&W28>}v=do=iKX+*lzZtEKv zth-qsLl|me@BmMq4>(={A9*}Dp_gDt*8k}T)1A!VWH!ph$i=hdkNjr6PoN*hH%<>h z_H#1ZN%CVX#X0rh(Sy!tNpQb&5Q0x4^H@F{R0zh4mLZY1GG#)_gS?F4iQklE{lTDx zW9xyV2V7mqQOJ7U2`S@nhLc^mj_kyB0xU5IA_HM4BtP-Q=lZMTw^?AFYUd$oP{ zvHSZiZ>%!@o5cU|hwpx1hY{yINN@vdcLE%7pkyO7WG#Z}oU*PZwL%v{BIr~I@LpSLY`Yblwak?e)Bb$(yjW-_%Hp1~6d*WzGKm?A2P7mkvvk6RO zqfRzcLpMo6K48NY`r`7_CJ0A;YdbOq8;zl3^Uj>%L;xR-rpTlOmXM#*o5CkG`Gk&; z_My}D&Z;;$A_rlsBH>ud24^l9k2WwiILt;iT0@?CCG8cL7abpcb+RxzIdqPUIeJCD zKatHjkIq^@%jHMLLvC_`Aq2Cq;hB|P{!4y=*{){?5jbK%Vv6j&1HnLjJ?XiY?K-8_KpeW0xcV5(nb2J~o_?kqN>e zkQbQ_=U@Ua!@-ouxyb$4e%%=2&}ro}R>-q2|hY(jm!XPjo8leCRx?xwkQar=vPMawI6L7fY9_7!I< zTV8waGNbbLY{Tq&ZsK$LXvatHo$98kugZ-eifwQ3-i@rXjJr0}p#l$9_;U0Ya!|mVdO?#Fgj1FslQAZQkDQCix9r|eY2Rblq zu8vNbrEjMsyM7;9xmHKN`9{0#eJ%LvfCjACt1hX#HFoVDeY|a-VWrjk?S9w3aGlge z+v(%>J^PhneHv(eBargFufEq;`wr>wu5=w)`;iXJTBwX!sml0Zy)t(0(t$$p6r^)hUlwP~+*?D=hB(|?4RSKr!y1=_Jw8LK~1#!MThT1 zuQCXd`DmjuQtaBhHkX;x@7r9RX7iih@7eE#%a!r@mqD4Ka*n*eK$^2chv%kg^6N>e z6nAT8Amzk0lUx7ysUyIG+z^Z=x;MMtC9mMvwd8 zCF=-uaqwXH{jP(%Tp$7)zv0({T@p-;mB2rAf#_}t03|>6M~^jpqU&@1K-5XwX*1Xd zo>`mNG~JI4IO#TGr%&*7`bmDiTYo8s&0hWH=B!KHX;q8`SZd`m4g?oOZyLwi2%RoC z;P8(8_KCg|{EybB68HnYINd87=Yprk``MTrdtf*bG{VM#jFo%$U@7m@`ph`8Ngz7V zaPl@o^rWme#;+Lfbf_n@GZOIXHdSE0`ArDzJL8Ql8{NE5_&uQ`&h#4svlvIeF0pH@ z&-~`<;5NahY;=){x8$cUtl#WC5fF^Nn)L$n$Om-hYLrXo#hvGZH!gYn66L!opR(t( z|I2yyT+yJMiZ4~vw2y85W@EsA%5AhkKbV))M;jSu!&1g9ds%xvaXfuIl<=hwlqOb4e;lm#2}Abu_#vFZw>Fj?QJ^({sFJf=S;o>5BkA z4<9l-JrZE$mtQMmQ3QwQFAMTeK3MA41Sf05NeHY16Oqf1&ydsD_y)NR`3*Ua`(SPO zxiB_u+jBs(HXqRd0x6B&|Kf^_irKN?>=Zd3P{hTGFEKhJhv9RyOwul+@@tjN%k z`5Uxr`a&IjH(7_+oWREBVCr%m{rn3Z+_z8bb{y1e>-IS;9kgPP7H{6CeOCT=hNXuL zQ^R#aL06MSacNjkQ(;xKVt|K~-u}?=o{Y(evF9|SU@oKlG*>7Wi*s%2I zp#w_an_=^3ulg?Ct!{>=Z+^H(o3^uNVC}H~gmF5hh&jew^Xs~~k#*gQbpbAthdDXd z#&5=gV4kP%QU*F8@~12c@b`m{m9fikcaP!khRo|75;%uHsFT;IoBZ%Oco21fPlmDh>|pc6c88pSopA8{!9hLb zwdasCDaUOvWWNb!1MBcJK~7~OPK8=Q<}HgB2uvhMa#BYYcp|Agcpk8~kvh z4+!D3Yp^gA@2m~1lh`OI`VbWOH9n*S5<1-Hghb2F8VI>PdOYw)qHS)&eDc#4Ydh}* zJ0iBuaN@nzA5-6zM;^-Ly}3=4Vrvg+ObQ=1{=mR zCmBnRS&?yN&4J%D?~lYgB;eQ0FTai9+omp^~G z3YRUcGtad#U=#B{8QC~r*5NYsThPx1c;e5?Mig#MM;-Kq`Aj|d)EQ;3W3uUGhNocZ zSw^=6_e&2btyh=7>gF~>#Kr_C@Qf__w^10Ymu)?yQJ;LTgf%~^{mP%zY1L2aXBaxo zWZ*9hQ^||$drITc-yvjvW z?;uEk0jFX_=daYak`rDrdWI7xS2Z5r*aa+v^P^^ceY3F}KLl$Gr6|_fEswUbGcCcG(MC^{+$eW3qLQC7I4P30A?Tve zpY`!97wCxMnV=$!o=)&a7(=+cFWC7AWi0~zqR$pNm`^)s1TW z2dJh|ty-#4r;cjeWyB}Ht5s8tvgK8ycnQ@gc86+|E@y4I)AC!JYTJF6r@CsCuc#Wg z+dD0Kdywz;QmS!>(QWn|*Z3?GdbUpOV|97rH)V1^+_vh~b!VmWs#>9}?z;aWJ=bfr zx-Qu1PL+J|wb^>0?hCrJaz%H)`khw($)5eogL*b;ls&t{J==WrTs>H?vF@x=De`Pp z_e^c8zjm#fs%m||#rkpab;Wdf$(pLxW{6s+Y*o_g@6`JBd3vZp6IF|P*0tT9rQTXL zCbeu#sujIMC2uRE8%k8spUc)zu`0Dxsa74;v_99eai|&TZ*6-A)O)8rTfBS~U42^x zU3g1bU2t<5U0S59uDPw8ik7M5PWGxr8*Qv=M&8k#CGJp_8*fyd(iQd0i#^ow{ZIAM zW@+3(Y3gC=?XRU?ljiHmMlV`_E2~nu(yCUq%CT`e6^U_$_|H_TtQw`ts78s>!Cd7! z^Nsn!b>?W1;>YGM^OgC`9ESMLv!yDiMg@Du-c#)c_Wz8(Th#_u(~aG4RNkh+4$>&v zP(Z=2zyl0(I7D33;-cfOJEJ1XB9uoE{3oKQf*%o>LBO6njhLOp;4O9^hZU5gIGk`g zF+1eJ+X$?KXTkS**72iAehgCYu6@_>ZSqql&wve&zWk`;`_52E9o|q#n^2$`!9VMb zspk}XJ`oJ^_UgBtgOKBS_XoSfvg=%Qf{(A-vdSs*Xgdl+@Qk(+n8$Z_`XzkR>ZbiT zs$l$tSL38an|&Y2kFwDjaVj?Tw z5F($xE}WHkw~R64iGdoWIEvNigwdKjoD@bI!AIVgSKyP_4Ub*&StAfU>vpB54Fs@2 zHfO**l)20=l=7^jz;D(HZr3^HIJgXUJ{+7XiV>JK13T{ao6}EEzV@Wb#h24%^)6G6 zy9~?0TCkZp$^2xVcw+@~z&gKSA)G+E!O4?%8*)RW^QH(s&X42cE-k?%jP? zkdHi73};H04f4RRirg0PEO=AJN)C4%{*X6TUEw;xj;OX(GaRd0zKp|$ho5hyR^u1B z6Dd2--lBT(Lsa|jdkyc(7~UEmjCvLftb3N=-#T5VM`K3~iFT#K~@^%{Y*U}%LZmakML zU21w$hxF@#fqv@q=@dkxriRP=P@-MI7L0J zoiC?Lla3hH?w3Zb-mX@CN9vyYA2ggTqbe0`{lt5r-KQ$?J|OV4oV_E5l{QbS+I;nM zt%Bh$>qxgAjLdQJL1CP|-9Ag&v$oc$QKhz=6#!h%bWU7{YK4K|aE9 z2rT#cF@}=g?aXfZF|K0R!Z^onq2Ltx;Y}DIX(J~|B3p6N1x7yt$N2=aFiyfVOY|t= z9Ah$f{e<9k@I2%O!&&$)4l-amvL^;UcAclcU>_I(mJob+?~r>P9{B4J>jCnH^Ph12 zy<^wO^-1pI#)y8?22R$5FqS?s>IrA0bSK0_jCDA!;HZN!8VB2O z!nu^OamGH@MaaZ9cDzeuyXe!T*)bN`(K-HLkPYQW7RPz(ho1vyrEtO-2@C{#!AECA zH4NkZaJmWahQ443=EO~m-1L`mXg8&uJEfAjGBS0f4t;mL6L2hj!f!og1yW|Etdx~b zr{s)nr%8%*`Ls$~wrtVl$&=N+dv~>K*G`=}by9qMd={M!WNVrDPVE)nrIQi{y{g0+ zAF1b>@6}_`E_HunhT;=@D!yYo#dq!)`}yAyB^dSS9wfG8H;ePE$YzK{g{e z(CK#27eTDk!!{Nlz`;FqQ2sOGK#zV9eWy=22qK%Ik8+#5pi3f14~IyT9SEdEX7UL~ zN7nc_RXW`$b+#Q|B%IX7B+kpIweB8Ra_D*81XB+>U_XCx5} zNZ=?k4l)y`Xc4r6t%_j3=!9*Mz{f`88acfhIzMC-Y-~Q^oXhhBTDsG;7$X>WX}>biXDuEeUZ&v-FsmC7!P{}2UK*8^buX2PdJD= z9Wib2<9A;W?D*jD2c11NtXD>!b^31fgQbnw`0U|qPUjfjWENd7W9sBZWMuNwNA!>; zEA##t8*GD|L>8S0fFvLS*%lcV9V@4C5#$oytd(FE>Or6CWLe})lTXogqf5uWi%pU- z$R|wRWLL;3O~-?}<8HkQ4bBdp>#2<|qFP>S4TT7yZF;mHb5# zim2t}mg+mJuj=)yr)%!FIn9ZZoYuiOSY4%hmvVED6JgL7xPVh+W#+Q4hyPSVtLv{h zl;g%6$2MBSCTaHzwJxNp!>XwDlve8fULW-y(?A3*SHreKlk_^XZ*Th6U9GSU-6ICRs0k6g71&pC%>O+p!h~j72mEy@LZDd z_+CjteXZjZU;lZ_Z+V`#Jnbz{cgy4JhsYPJ4S$ciMX<)OB%%K>B~D$Xo~w?i=d%6k zK4G4`3)Md}Od)>Mz zVZ=Bk&f4IDFp@S&N%r@loW!?RDxqUP8y6ds2Od%UBTu-oNo?FqJvwwy*Z2fAY@eXJ zn|9Nk&v(%y&AX~q$8PFw_yA6TC&$-oZKmzCxmQ0WPF!exJK*}5_@31@V5p6GTN~F# z!8>^RS;aSPVb56q?A`U~(OrpFF4*+A!=^5(ZP--5&2I>s{?o+Vf;!pzYtlRzqq@&2 zzEzuG9y0G**&J@exmD9MT<#}E`8aGs*gr-Uy+(=LM zs-V4vFv+seVApX=Dfcoy9qbrTeTe+jxkFa{ioPT&bPE^J-cLcw3!fX>(V6`bbu zE%dWsfD1Z-PqS_S{|pD=&)^~nTynvJ^wDD|yq{-mEWuf@!l!Y|#sxZOUnaqk_MYe; zn*pG&_P#V=7V8HW0Lb_;-i*7AKbsw}F#v7#iJ(Swv+jMCHv&&BKRzi0F8ajg>$C}M zBDlt31AQa7#pVI}YwVEJjn9ow1nPo)ybtj6>CsQSpa}G(v22X2k?<8_P35qNU=G6~ z0x|F-;{CA};{8(>YbOF9-Q4t;<;T+Sk+n@YAe8s)0*u1z7@py`;T%DkU?_TPHpnZM zP|RWMC9N;f6JwrG-`RcDW^@~se72;{D0N1FrN9Sjs8S_O5a^%BiA#i|W}4&#K4t9_sU!@zj^VDZ?O#rT+v5 z!8;No_?3yJU|EbZ;IWPm*}aa2EuIg7onR%z~`Hjhoc`y2M9sps;8>bdf; z5~nOvLf^rW`$ptF?%6PI4^`siCBd_{OiZ$+U(fYYkCe^o-sg4eXJf@b_*cb0^q3o) z?$5oT9&O^(-O6myIYAG#2(a|tCSCPH+ivP+<%4Tr>Tz{K^o73K_;epNSv?kPbr{}b z?iWgU`ORQ`(A37auDu(#Ua{|yHBF*nX^+GNb+++-Hm;lMH14WuhNq9U=&ClIx;Y$; zjp3&dxjAq1i}%^0wc_hF4AvdY=Pve6ng4C=y*_8}jC;*nMV_-~SO?a%Ys~wmmcO%& zbyvz^efWYBo_$WeJ2Y0W0Zr6wz#}Tr?gr&*aHjG$$**byYd9GTo`H{n+n~ndB?PZW z9)%wu7qO;}*p)J|6nPUKX8EumVT1As-wSM6*zlaq5MF|uguG?OawCpNsLUVK>z{`3jn zkImTS#gD{zI<{Ew#3wcedS~@JPM&ugB=G)O=Rk%-U^jha99cvC^z*R*BgT()7V8kk zk1~*7W3652Gcta&3C#j5V*c5fu+a{FPspr{^Qgt6oL+)=;?_*8)mYatj(#j{jOZ7# zuwSz++_W%|t;6dW@>^c!B=Zy-IQ?Lb;7iN@g0)|@m#QgYrt!EbhT-k+QK71ZliOZ{l(f+>)3CGW zibICI%at&2#4+3kYh#slnvlok&Nd$#nA}@Cg4>T9ZpWR%xZSa1M`wHKVe7)FQ>SX% zw&OPsKh+Ypwyg9UNs)Jwm9kP+%1T-3Kb&Ii^g?1cJcLyQC%3yC!UV#WE$lJ)BH%3f zd`TpCkO-EHl^;P3A(Q~VaN<-kf+kRaI-#8WCgh+vMu-dNN12NulU?%&6u{7k5JMo1 z7kqpo7!l(vf)GL&0vY)^`3VPKzZ0HMnfXmPCck%pB>)8_Y4k2WIErq~*y)<5Ifxv2uax}PcalC{8E-zMu!s$S%NtT9AcM4fJa=8`1~n3eCK`I96$-o_)t&U=V@v;y`8S= zd{ywSF;pTLLhK@2+XVjB=jzcn9@Y5ObK^wdVTP{ zUR}9EvzDyUlC*{H{^GRMUwL+^-n3`?yJvrJ&(2)(!Ew(nT9l^c)|Qn^7i-RfR1KLh zL(dPIq=)-YRo!tPsBP+gw;SslAEYaJY4Gf_wE023w3|NJxGh>>*C)TL6(e8M+yO6Z z#K7@tF?^<;AG1(BrYzQ!d5g8w>R4g4*!oO6moHi5>YZ!#4u2;_O@~j_gS{u|?j93$ zf3LSy_od0|@x}~INuIBz_RPu^OSQztk-C;ldPhr#j?{uduj%cH#wS)Db|+CzKPqun zEGCVu8Hcpy!}UsCxKIn`&r@pZ!sEv3lq2R8b2!!B&AZc-_VVjW8~lpW#@QU6GdGxT zGm@3|+PGkz_8k^{4jg%G{tmMI{N_4yc&t4;BSnjB{8xSafj<25Bj@`pqxi*`N}4CvzNbqUhxPGyc>WR^t1F z0vIJX%4M9{Xczg}@ZrO6K8)lF@Slw&c!zwQRZv_}yQUK$NN@}8!Gc3*yc_n^DNaJNo88wY0n#1>CUNS;YTRz|nY9=-q#Sv-PgjHv6r`7GC9(Z{t9RhamFQ>kw=m`T&+>rg zm>b?Q-ugCCtOi06@GqUCPgF<|`lq!XgM|8X_8Ow)OaT?!!a(>jpA#1lJgSX!8Z#O%t?HG7M9q6NM<5}gr zg{%Lz9#>~gBgg;YPIrAol${CNub8Wv!26@Gr`YdpAN8`b3do&0ii-hk+V=@so9n}0 z??#Lo{e@Inta)cUxSb$7>B6V$Ye;fMNx$y0mgoa}Z9Jh&_+#>a6 zdm($1Q)kbO5cpu+G^fHC3usSoEzVD(M|n1My(-Qpqf&EfHBdxP;YKLSDsKNmIEnd4 z?%cD;{Q9+__C$T-QrV8d!-9gNl%ywI?;n-XpxE2GP*yuThM;&-Y8LABP><}_&^x<(fVZJ5Z_#6 z$SVp_1=Tx|`6$`fg8FgKg^f(^TD|Uy#xWEC-kmZvmz)TKI#=3YkBD(m@(m3oDGck+ z-2I$nMi@xZV9KYsj<63HDLvB=iYg0!3;U;4k1CghGovkfAOAqS#-X?dnjCf0mch12 z4`Pcjq1dC)3)}k`3ypcE6b!;IAF`9k?TF6%?v;`22j&PSO@?-uIJ-Hl{;5XX=;?(l zoe6WV0{eErJ>)??-mBEl%>6|Sg8K7N=fwU8(>?pNt=X>?jVOBhf~?emH%C0B1{xL!SyTgBaCJ61!aY?5cG>$k|b}cU%e|;lvrv1 zeB9BsG}2F;5*P2>Rcu5elQt1dnm#7cyy&M8KX|J6ZZI-?YItY}oUBS?Q$J@a>zUvc z-20^>@1xqppROT^_3WAjrZz?QCG++-&sjP^?4w$yeZ!Yiv#D)2EFuL?dtOg$klhcC zfr26|;_f0<01!@t9FUc`#%DP?Ir-?4WxR~cjPFJ_2i4SN!Uu?RqJcQ#XH?c;C9 z;t*@j$gU-DSQAmryJt8SJ7_YtAeiVG?xxf|DW_Ad=XTwiSls;nzX2fy(q1T_Rp*xu zQ?@SBKU?KBMKZlA zZQ-32)<2h1z{>S!LgT>k++=pwTu-t$-$6Yk{yy$1@QgBvJE?2E$Lz%qOTXSg8)m{& zjlSHv_4SpY!C`OICurZ;?~ql)^9~6!H$wO${8<$24RpPF$jHkz6vClE1t0qZ-SaUa z<8EkutyqFfUe=#I&guIcX{BHD15zCb>}qb)n9ny%fpU6+&9P=pXB<< z^iwdn#GKtf?HQVL|Kpj|7kPJ=Qxtf~!&%5b+n=c`qg0c=R0tOWMb7{}rgJ=F#u>~#hEuiU%R5&KA8z@} zHt?@&Z3fO3X9%45IWE!5=JO{y*}s&)uzq9i{W^ER05F=5m~xbQqmi|`wXvrTntBjT ztj@6~)bvzDKT_i}0LC_a?qFHfDmDg=6#hNv^CW^F#|^%LMU;j!2PfaXT<{-$GV;v+ zcgRg{V}V>5Xd>u(K+E=$LV^p?B4h?K6SnBsS?-46DGaNL(WN)TPE~H8S)suTrY#R)$xi0U5C8tm_AxV;9*L*{=i^qSU4EY*kHaL37>c3^`LoUlGmO2QFz}LPF1{FE% zYI!>Ba87OGnfNPfEWe+T>4aNzaGVt}O}t8#|8CYXXEgW0{_(eslGI<9D5=b<)UM=+ z)9?3=$rs##A>)jHWw=TVuNhi*9Rem?$Jkj&^Nr;-yACQ$r*xcC+0ym?Of4byM|oo7 zBU||$hq;^E7F|lhUBjBu;9Rn-sA2;l)l!_01qB30Vb<#&Lk$jKnr@Aw=E%H_u>Cg1$4_Cez+0S+s74hl zFO%*OqEdE!(aBy^DJE19Ewv^~S?tlwdLOl&n0h4m(#_+^R29I330?;|Saw`sJSEB@ zNvu9M4*w>n50DvOHOL3%Qhsqv#SCEeFmBK#^a{|QTQT7ka>@@G+`2iCCrFiYRIoI2 zrt!HGDa?H&BdTGj>773b8Xbg(a^??Qpl-umSwlwDWs^msMO>}{>XeI3$?>6(PEDX|Q}X!d zHg=WiNhA5O63L169Fu1PBt7jJ4MtWH>moGXpBFs+?kh0A)oyE;1dZ&aa~RXyQcnJpZ4_o|4ktdWdiy8nykinq z9>bgQmsY$Kw0?{fZzVENw1`+mVgEktDD)P=URWP1`tyhD*L3H_8|KFJS^3;k@g}<| z4wnG%r+@{aOT2_U7lA{DMVmCFprLe>4JG zn{w`sLFw^NS0X&C@cM`fq*CYH(=S`Yz}X2FWZD)?({6VvyW0}@u&N8P8?2UmSb{mZ*fhk-g&lQU8AN3Cuz{MuaC+f=ssPf&qb)zXfNxbr2{}FVrKWqpVtL+9Ypf5QfbiqYu zd(~6l;JIJte*`Gk0o9)FDFWOm(MhfnP+R@fz}haSHM%kA$IPT$e4K^eOR& z>hWf{_~7mVf_&=TC~1k0XPlpHc`^O~E+H-WC9yIjRom3_r7D@o&j=VD$=ia3X41@AHodvUB7)FedTY;?Sr{?p(w&Fq!Hnw-HwNQW%?Ftph7TO*5vJbQj)HqKnM zJAhq7=o3G?N1H%VLaY)8xB`ZAKS+5r!Va=rI}n-BnT!@+NqfIjiZ}92ZANK@7v#Xy z-53Y#r)C%d@q&vUx7ksu!~A)6HA30eQEw93;o;jlZy`0ug&gqLkKC zvOEq0+k{32ETLUfclekD+29zf$Y7e`n7}Tzx1-zd-R~J04?9M;4EoKM**QMvR6CL^ z*6Yi?YDXOs&aW(r!6|j#v#UIA2`+cegOOzqpNw~Wfx1MB!|^r84e=xZ5=DI%4Y|(N z-SIT}OQh0>C`W+h5ra2D$IF}-XD`{kq4K?EHQqN`oIXlD^}u z`I>rwW;Ud?5Z@2Pj|bdXkc})>$49TIqWd)0j@w)|_lnXBj;YtdGVOl$jbUlBSnX3e3__ zD2jI`U>c$wA{mlMhYufJX3_@P2gedmoQ(|fhvQkmzq1Q`o1#!tlgV)rBzFxAoo&6?h#?=<)vueDQ_h>)sauwc&VTR2jRp@=Ct*CU zjE}DD!S{%p1FH$sB&WnuTfzx`6L~l9k+jb}^>*gz_=7fCZTvZc>eTv!^xPd;dK} zYMD7mNNE*~mgf%U=Mo1e zi#9(RFz#Ghi%~s?Z)C~uVH~-#*c$^WWBqvdV~Vlu%86q8tZ(CE zM{VCB^YihHB8w4$ucWBzh#*85ADCH)JA&imtZ`y3FEuS+t0mm;XOf~5{k3JHsbiv| z8Di>YoMygc2K6g9;P_DayoyxZyGsaMz+S)+i+YCb{%@QefNBkkiw$7tkG$YyrdUXG zHDHH?XHJQE5L5YunLS}MuHI?8&k+y#|JldCiDgQ z>F$?NiP(qid*IM-KW?1II1o`~Yv(z$$p=~rF*j>63N~CvdTMleQM2;*V{HjK)ub2H z?@IMe`7w7hZwaP)ry#D9v_JX3yV}Hn{ zs%AJT9s$O;Ic{v8GM%63EffNG0XyDwAR4UxmqnJ2%&810uXue~Gy5Gtepl2%8aaXt z_nk*vbO81^t*5gf?JdLmVbUW)$r8NHFB^F)E)N%x&8Selp9wHKa}*oPJ!`U;;B<1k znv}@d=$S%}1q2PKcx<`j%tL!e8Dm7Gw7u|w-H$8xO!Dk;wkBGH`n*N zYd@Ng@ZY;_>&!_I=vaw=D-@VtwS~rMN2DmW^1>W%g-t=p4y@Ige|FTAL0DFE@gTXk z{SoqRmhb4&2jICUH&7C^@$M86POG*pki}uvA_JP>@%OKZW+~^QRrGN>>N>1*P9;eJ zmn&>493;p&dIgNy`TP=c^JlTx&wj6dB(1EzAvQ)SuqTAhdXglzkIun#ssJ?%TzZhW zrOkPi2u>c5U6(kQi8LJW`vWl({nH-T$2@2J3}Y@XSHkH1Aod!64qA6_Q)C=3Ep0R~ zPFmVtgC6wvw*PZhS!ARI)FFM4%flY=kvV>yczv7lJW~kZ$1KU21LT~_eEtWyGEm9Hng(~NlPV2 z8Y1cDUTF6~D^a3^IP~?&b-E{ZN7)u^LQM1??K!orgL9LsJToeqUXrY6hxl6v^|NK! zX8apegLv()%x7FoB*bALq@$s?8cYio3B+$IwD?l7;2d;~riUL-vMghW(&^wo^f0b+ z9+BL3m_PFnB<#`Rf0dQz{~v%7?>u4Q99^<3h*D)2{kbIj5>d$eM~u6m6~`(~(A^7y z3tkA%YWd2g$F%3}?|QLCy^xbT9k>S`4M$t88J)$^@R$dlosu8J7zH57n$EdSdZaj) zPwJJTk{SNVGnD|EUQwsH^rH&f<&26G){zxvukX#ViEBN=w2BM&_T*9Z$Yb0lf+|hL zJ!4zsbbTyVRzLXZ%eC1I zmjCgI@4Zy)WY0(VOb~C{s7d9wL9w ze1=7qX*K`kZM~=78O~OL!20r~CJ)d`s#gD+s8ZwyMJeJZue6N-a7Mx^c zq1I;2i`($ks|mg$DfITgi~lRsu4nmX<% zQ#|th9al?mN91u7_tp-vy=px$X9r;H(Zu78jrg0>PZ8S7&|}C@gGJcughju5jvZ(T z$4TksBYPmn>54_{B80F@y7_t-?QKkW2b|DAG|f!hEiV#eBSNSTdYR>X_Y zrl*2O9ZB3_HRX)4hx<_G4QupO?*)21^aO80)NMx{+YuV# z<3*^9=WD`~o)oN{DZXpUJwmm%vn~HCi>>wPo{tUVBVW;d*q>Jx6a>kXHCfIvTO~Z3IFcJzxOnv&VGsh@ zWf!=r%`a3MTf43R51$>)T=aVQgk$S6(=7Nr_J^=INCFj9mJN~(jvGno}J5n1~XmbU}$$==PBCyLQw`Bh?j&br%)I>|ae^9lN)en+=BQaKx7pulm zPi(3AAyxIwk!M=JhLUmMo<0e|P^>^{>m+aK5ThCCiwCLTjoVsX2Dd+NBjm!I$;vQ3 z8BITBNMkDmZBg0L{1Irs$+t8`z(1GL4u1d8gFB$dKJ$Q(w+2XRt9M-#tuCGCe5p>W z{4{QO@Xf6nJFHD5R%5x)CSYO+ON+UInn{m2N=P0`*YbM=m+ToS8HkK;A@sHSxJen z_UGIl8c(!G6l>OoowbXk1!DCj5*a|hoB{5|qONj6PTTQY>Q?vik}>0gh59e^kGDU6 z*gL>~TALC|NqjyK$q?j&9gkT+Cvt4z)*q(F#4d%+;S|)Z7f#e>;Gad%;=XIGvwsR# zBR}}APZ7l#Qhq7Buc4x!s%bz+bFowzBB!e345A;VeY=W+m8#1wg1kOD-F@(&O0Bp! z({I=MAqRylHaF)7oR@_2b`Fwsu~t2la-6~A7)!v zh**^D#(`CEG4bafq%g}&5k$gK48;n*UHPv<2W(NW?+m~J4{Nu`!s?#;ZH~70T;he8 z)o?fN?)hD`GX2|k!vUCF@SREJz`0!}AGU=wJU^Y`&RCRS5`IjVZ!&P3)+=TI68g7$M$2PV|4{{KoPP_-$^VjMGV{}++Ew|D_X5$@((&8biK@3V80640NcX?YrM$@2(*Bj$R+h3OMfnyabSi zXDRQ%>I=OZ89@>e1<4kB05UTUk+$BR7DO7B1?1JsPvOeq%_`uKw(`8+w*i zsW?A{1z^s80KEx8(r-OH1fPyrhKx!p%@U*0ua1`>DS3EC_`-lxP1FMgTW3^zbr zyxdBS5q2HsDiiosBGh7Lxw$PLYkjnIQ(3Y;m?Pq^!-bL|x9hDDFGRb{o>{0qpU?mblGl;MLyW9r*N2THPVW~j z=3Ktwn6iKWVYkdeh?*}O_ng(G4R;2hY4=9cIVPIYO+>)97<+Mk#u``jc;j_ub&TJSI`9V*Lk9>f zIMNh@Km?UTS7R)+t^VD5)y~-u7|Zu7_5t6DMed}E|GUDCX18>Z$ZQO(oJ!#_eCq?V z^f+5JK3QzkE>+7WV_?XYD@9*0VC&NM>-Rb+3WHR?aT!oJY~A&{)@x8%Sxd{m>&y9e zHm`P(Ein-I~&~}SixL)zEV8RwLqO4 zguRUiNQKC6g-qB##skUs)I#v|G7E(~d|9tjZ)hh9Dy}(&Y(M>&#?KFZ=M=6QvnP7; z=V0b9Q@X!g`u^0f7=IwhlM}El6CuN(WMVI|&oDX4v~>rAPjKE0i8o~CsowW_Rmn(( zjz^EnG6Bfs_+lo~%*OV^=*j8$n_jC;TM99ayaoZ<_urp$1Seo<<}DT559C`W{NU|* z79b>ue`||1M+KtQap5kuuX1}sc_Ww=HZ1$NEIVL{_b58Weic5X>aSp`lDki%_H#zv zE7gZew2Zl!^<;iAd1i{E+jg*$GO$cS^(zWV=>fDWET8ThwFy zWvE+T-~P|`QT6TNLScK`d_BH_yT-LIj}EFpnbW5F@7F=hA?2Lb3P#4*U0Kt1oli zN;MWd?0xL>@Dq00mPBdW@gyOqq4;<8>&t`*VHCk$M9^~_Q%`nEIRpcCUm>@@^!fo1 z)Pm9VXWH@9w|Qjj{+lJllcJ1(KW07_m5nUXw$*J^!7nx zIs@q*c-~NttKnD)B>F%$Tmh`-27BVQ4T#R6ybSB@oh>vhe;-OBUN}P)oIud#|DZ1R zZtu8u21sQGP%g=h)lX7Vb+<^M3H6Y1DRhhN(E_+uenu9`i|I6&3P?!|KebPj{Ij;P zW(5R5od5Fgx0XP_naGyjJZwS&(z*PJfl^4dZ-i;WoDRYiUj@D`GS_-`DWAGA8mWtv zVsdL{`wj~0}Ctt?V=u6egycJB1+T1TyTV=eLn#+=}iD=jLNee3nAd=Q3y zXw@=H$o)9Q?{VF%oFO_la(YzLVA%1~++u14oBPDkO4x2m=@z(TV8sB|6vo%4X%gf< zesyM9)kpbw`X%6C7BVn!dQB^#`uOQ|PP+H3E5`HJAQvg^lcxnY3EDD20)r@yiDxY= zH}0rJJg&XWppe(MLQc2wV20-s*g|7`#;G(Wv&-PN0PSYSQ^~i+107MP0Bw<6=j28K zle1-GOgRTueU}LJjM`8BGB{9R=_(y{`CJg&SHL>)PaCgLS7H8zQrkcsk=1DdX3|zh zpI(t|W=qN%qNja?R2=e8>iJP{_n+!@sd%TJxpk)qJJeLJ)^Lo%jwq}@&Y!;oaPHsX zA@G){JEk`Fvn=3n{4OFqb$;6GdPsaKE{;4#Of~}skWDxwZqc@WjRW(6{6=fhu*2^n zuQMx(O3Z^;pCX5ft}l|1U6AAP8R`1NI->(ijg)w8D-S@8pCCsSlqmZ>)P}_s-!3^) z9=Lt~KHX&X%UB0~;*p8tAGL3}FJ0+xf6>HRAoTr$Oo{Yfu5MtV-_$>yZ>j%ftmA|> zq|dOOYe!dDUzz1?hbm6v*Ga8yviydIG&b20#G`6+#_=5)Htsp=<;56P_MmXIDY1nCgvGYI*H^!iNQ(nSLL+yyi@JA zqf<|>axU*{5i4)`wHN3VFxBwOkx9PN+L{M&i?(Nv&- zHl87{)g0ILdK%V0D+7w_fYNGT0;@Rtj_3celj-@h#5^G}KC!SrsUq2P(40~T zhE6=|9e+;qfj8?qkp!!=z%fPSJ7=Nu!&?^j>Iy7zkAo8HjAq?`)&}BqC#HuqgkQhZ z$ry35TeQ0fRzQ+7-sripPlF z2}kS?lm^-6FR|1}lbzS!GqPwfX7T&yd|$&53Na@#!+wv=9zsg=gl*y;U!RD;-aB3- zC8&`0HojV5W*^GGdOsv%8^tWTC>^yPO-8OD!c472$D1*^xVR2xQ$EgKm7gCk=& z;XI5{ab*%`BQ5V$7z19GT2$Y`eAsKxEtwiw#aK0L@Jx6;H+u);Ym1g*2aK5ezN(02 z78~s66P)u@+F^Vc0)B*)>@HFLX3E_}Z#41D?mT3aIb>Pl|8AEWH;_M1mqxuS_8a4| z=l7R8gG0!@J5c%Vc}83Lp79%l@?Wr>dP!^#UCR7CY0(B(p=dxxUesrFnRH;jS-0@U zryaY`&>sxNFFm9wpW82s${fK6Qjcy-n#;9rmCF$RR;bjJ%#KQ`E?i4~Cw2r$6Ac^r ziQA>y;QK~b3;yP(PS@9;L2q;#d{xT*Czn2UDQf=Y3v3@r>#yZniJ2980C}eTJsgz)mYFl%XHBcdGvBcuLC|XF6xQiU_{e*Nr~axpmPo8 z-6k3)2k92GvgT;Q4{|wFJ~CuY^KU*LrnQkX7AE(|j+i&AGVhiOhv-K7I#sYY#;BOW zt1S!Bpo9JmS9PE4`SvE)Tft`F*p5Qz$ zwZ7N+zrw5OQyKd6FHDjvu82!1a&uQUmnX{2?BLk?aLP^Wt{An3!53OuB~Yg|f&2c& zR)ElV!8af)jZf|cYKNWySMW-QtbIj8rG{+O2}P+WrY=YBEus8H*YP5pFXt?_l(Ka} zj87a@0h5SOUzJ5=UYGr34pjNA+&`n^cw$(tK;nBl6aZ{_4r3I}@Zd_FG*U6c&C( z62)Pm*x*6^ZJ@d_(nJIdYs`N4L|RvyqHHg=3$Ej-i4 za^H^3*WXI6DDuVsAMAJUq_Wp1k*pp4t>&AG>hcf2N!lKw_h?f{y~S+GX|JF3zCNrD z`c?!m=#=Rj7AsT7|E#;}wbkv||APW=&93NH_w-THIb5#%k?1=L zZ~G>9*mnBG*lVS-4H2>AzBAtMVKKS+#%1sX;K}+i-eU+PcGGPKJ1dB-Uw_ ztEr3}Su2o|AFE65>^I6hRll-)@Y=rNVZt<$uVN(~YyJowJ z`+%FZC=gv9mK)i;jzD)L(TfTtIC40D?(aGuZG`rz2X`HvxWXeiIX`>U1?b}cjZxW| z6gVp6Zx9%(Y92|iMmCIBRP0DCoV6oez`Gk{K`8tY@u#!V49qmNeR01-a4v z2^uztWb}{iGQ(19ZJ^kqIfD+{#MTaX4Y|nf5qh*&M38!?x5^MdTF0`$6T=}NEU)#V z0p^VEnVMla{X|h&?b|4l`fJLOp7U6A0=LNVgzv&*-823MKT?VNI_Gvtea}~wApHN3 zh&K7%nuFtI3C zftQCMMpJSrdiu8>>8zKvg!$lprsVO_8mrn14o?Z%+bpAS$6-tK)HO>b*d^WL(Ng)E zXVaUIb9#Ds4Hpd@_klp1AGYBhMJxa8xhv7hJNB6P1i$^_8Y>rN5ah*vq%DIWLuMoW z(}r`HUv`>v-+Rw|+N-=Fj(r1*Vdkux;Mi!Bv?gNypi{y>GE>%`bKEZ?dX^{_=;ehCbrn@pD?iRFa75|=^Kui3~qMBQPV%rn5pOC*K@uLz}>nv}qT zRMCj!jpM?h=44Y5=ahcT$O>}LH&!hFdF7RT-nM5=E-(Tt?Rx#m$UMSOSgb4#cffQDGYr{a2BC?9Bz;?+2qyNZRSe-7>+b z<_qm{wHq*f>o`xe_y<;`_A36?RtT>>VzRm*xA?^VcpE_R?o;E1Ij^h1%gecJ?9XsF zk@3oyCDRHn1C#vAqpa$BUXaQHOg|7{EqB`!;RdC-aI~dyXlT;Jy!QoYE&Di^VwT{~ zG_F+P=d>TChY`d;@vBu%B8qedETpw`v4rsr2 z7$`ywP`$g7U=3D1innRQW^q;1fcUxC}_=2bs>+izpPd%AVO1 z;cMGtJ(XNttnSHxzi8z{7~*n+FyBJ1(TV4+_zAuB+ETkVsF8E9_OD8`HMO}O;A^yg zn2TVMz*E1WFHcf0zde5nn2iir?7Gd{4Y;h2u)u9>`{MX0XL#dp7qGN91lk(p?b*mX zg?fFl*;Mc&%WX33M8O*?RQ(z)ptTR(FYNCVNVhhT>=MV1Rga=~gq;$GRN8wSTaP{? zXmGw3Ip@ zArkS(V4gdjJg(Qj(CK@Jwal~=tK^oiVVxrq4|FmJv!qc%)0qA3zXE^idtTmgNMdlm zQ;&`(Mv~v*G<~RS#$g~mUp@d$LN?zc zQ`h@!+$+tEX(Tf}3$@)v-vthxA-zt0R}0ov##k2UF4)Gm{HgJ7_$+Ox z1%`s}yzfQ~$Eyp@3B(S%98rdZLsWWo2huM}>;Zo*D)-&*D;Dg>rF$^lCQx;8iz@k6 zcavqGwt{S9Fp5^!DNv1~)d@4PE0KJ7-x?P?-}Ce0TLtJ2Rpe!8G99qKk2oj;cKH4c z1URwoqjU4ve_TCubd9H{L$>VNo8%SVfM;io#XEY=39}4tf(4rv!8C}NaG7{;kh=Uq zd-6s8##~na^X@du|6~my!lw@%lRI~HfpP0X^v&IiWwHI`XismQehUHuh?8CV?ug$9 zCP@iuRWfYrw=4(Ji!#FX09p)y&-a36oum&%!~H|_L&=lu zTFkvk3?|C-XeSUZg6^osRt}W!tPrP~Es9POOC>R8!DxW)hq(o|DNqeqCt%^mN-%0> zuh+}SC)S0RvPe1kg`WtdW~p#aMwO0eprMMtVP5;3#0q+u`*k<%Jgeu%tE<~5dwjb2b>Z&2t!%d;!S+|Z{_?yI zHhm9;CQlTfD4L8BE|aVkYqch-C2uvmzQB_!f2@F@*xXX1`>$Az)DNB)UmwDBL#K5e z+5*5=5Az+&F;6_GhPag}xLg<1)OeqfJL6M1^GIs@YdE653!Vu$6C;DPk)puh%+2xw zAD&K^&=+g{a=!$2$gb=K7JCYT=F(VA_Y0w2b;2W`N_(Z$Zkn!TDqw+5VJG2Fv|_G( z3zlj-mK`Wf*{A=RsK93>>px*@#>GqIMi9SM<(J8m%ad2cWlt`ptjPK-mds$q@$Ivx z2tGRoFNP?_&=`ucDIF>F1{JF?e=px7BNL@DbXsnDB6aamIoF0TL?nb5PV8%ut$Z-O zE0wvTCbq5MY^^|DmCenR%pz*?@1{&TE%u7yd8V-oxRRIbc|)Y93`dNnh8ear=Z5E! z?6X3nuy?VcsQRV{3x;?|vc_kk^?6S6MGmkp%(_6A|@Fyv3zBYZD2@dTx3)^~Efox#+{&qp=S!aQz znOw&~<5K6WECWr|;7$a6v(9T^)_DDaBbS5p#Vch!vNjGPmpdj!h?Gh?6a$hz_~J7H zeaDUGGX2~wu}gywRdUYX2>VQePCufR!)_BY4N*(ca4mSv+5`PZN`sDq<1ioHJT*Sa+!+`oF5P1_oF8Mza7EeSY1-Q408cF8mrD-{8keJPqK*A zJGE#0?7$@?&qM2t(Th<-=&#xxFz^Q`qu}sC2j+2s?7y2p!tq#;3`m~o;k&8sMC^O; z=N?PeswXv`(!hc#aDYtf#4su9tNuryMt>%v6kXn>U49EF8!J@x_;lJKaQP175m`Kq z?O~ji&lKTdMn6C2y)3xmV%ljMFguBK!}w;|xMMNMru+g%a5aS`Y+CN4G$Uerz_4D){8ffKk@RoewH8Su?D6OYvp z&0-v7QV<1V!C}mB7l52v4@X372=Vx^{c>ahj0L=2AsdJX%yJF>usy<-;KYz?{;dIi zrlptz5y13`ZsV6{QeaZwAp2(DbbD^Xe#DqyKGbisTb;yUO z)X02e?|mD~7cr#HqOIlG?%(FfWhAMg&MnnSb|-c=M_XsHDYu6pB|A-RG!D(CGqdDG zOvUJeS@<(y+SBh!fYbT?*(XuUx?ze;Te!54yH&d2D_<@E!-I-hwd|hUyTOPpdUji} zQr{ezR}cvC%4z7rGMm(XkD@5xGpUg7Bh*_|GEO zzdILX@2g}#!FX8)&Z6xd>m|@|dez!H{Lq}MY{9B|T>X%_Rbm-p`~i}*TiYE z8ZR=D=I#B&)R8*7`d9LGuPuEom3LWTZ%fqJIeuYiV40l(g%OXXm`mT<$MF`Ci>py8 z;AU##MkLe^(Gge}VNdG<@~+A}^((6%h>FJrIRSk}SF>8d}r>(ezl z?)e5ZgS+Dc?|yr#XO>^x?r{eE6EAjJs=5mB``P7{@YwA|r_M&&=I0;SrGF5k`zGMf zzF6Jc^uS3~`+i$rIk#Q!fWb-d$qeD`^xBF0>EhNVL2B?)q9V(Kfh%AZt++e0;3|AH z`6>OMYAcpta!#%K!BQMU6`@WB(nW~E-%aus#$u<~FE%M8iO^}~ zHiB%{+s=L>;4%&iwGW~dI2O~9re~8a3EetSVbDJL)|?&T5_rBd;9zL-tYLJq-J$K0?@jLCWz<5w4T>gApT1CjK1*#Rncuv(zCQh5Y`tYrTYvcNTil^Qi#x@N z7YG3YDbPX-1qu{*C{Wzp9f|~}XpjQM-MzR5cP;M0gN4iQoI7*==gxhRS9!7bWU}YG zpJ%Q0Sq+YaM=SZGYe(b1lMITdE(t8fj!E09F7a%ZtM%@0V$D;w#eJ=H4REmQT6U^8j zY3~hw|6T+kdv+|hp6b?ic$^TCyvKgEa{F=FarFOJiBm6z%IfR|VYJR|L3dC{I+^Ga zgJ!3NH(c)0Eo1hRz3|+^mlyq>?o4xokam3J&SgFva7v0&AsuGs{`2_fdt7%39AB(8 z)KeM=6Sx%*>8tee=mqa}J-}U5@-^aDC8LNWg>`nQV$$d=JJv&hT~Nvv+YeHGd{_Fr zlh`GElr?fdqf_IT%s#){XkKh@LW7rZe0n+n%Zu)DbK~@Gb*jwDnYgEfV<_htUD%+;4zL(No)`($f!Y|O1WkYwLsb>}_LRQx37wY*QL7jvfBx04&5S*KWI(?4 zm#?$o_5S&*eK669#ZYk+YQbweq?PKN(oCkbwc5Q&N}r$^kyut`KGK#LiIjYP3|l-Vx<^NJ}+=LMgIT2_Ijm`rPLo z@}p9B{l9bCn{J^kz?N`j)xuIOa)9*6gig?!4UZyjL&V`1#LS4=4g(sid)cd-8TDX;(cl;jeJYlQ9lTUq1B`?4; z)e1VyjRdn$p!z*N+k&CdVT?0*Y+PcqLMDMyfBF9|Z_=cnf828rH61LQvG%P=z8|o6 zbk(lRLI;Z{x080w-q^G?KcMCNnKlml1$qoWTtDTd;?fPVR(X&)!ns>tfbA{l8#oeND*4ajK~|(_;x_z)x9xK1c-$yz7Tselo-G?=o+A@4D8dmg8_+Sk?N06QGDS@972P3QKNy4$zTU@oNt3o!chFH-{Y3DD)t{G*C+2!on zOqrn1D4Bq(0mFHCEMDAgCq|zIvd>W3aY(A%H6UD{HMfHCHvGLj{j-Ixim`2Tc&n<) zsO-HzgJiO=I7uel00U;hnJ!hSF3EaBaZH;2rt)*J6`H1{iQ>}yAF|Txc2KEgEG(`; zZ>dWeKAqLA9^pf*#gjn+Rvbmod*|a~Z*cD(lrDw44s5f7Nk-B?N7e<^A%g z>!1prJ&XiT$)-10K#gb{Jr>s|K4Y(N9)^^Oxmm3y=TX^K-x%s}j#Od%1iSt#g!)ai z`%tEOu4ryQtcKT-)ss78V-e9!L%>VcV{d3M&(=?RGiX;FI2tk zW$!awjLdz;+YIy6vlkQJ@k!-5e?(Ex!!84s%RsorP$tM-Um3IM1Y5pZMc>Ru?|tEK zOlvfSV~OqX@-ICu4!S5cr|VJ{bN2}J*43O}eEb4MobLc-CC$0S5isIWs#iP3sqpeu z5jn;)5o}0bxTP8#FaENXBjH+y)?7$bUc;?j+w?v~?Rd3LZMRpn_$-C0SU)QU6})rL}(Gw>R{!a-J(h&b{Eq{)!TCuK^5;OI;g4gS&bP9_=#Ss- zt!9gh(p)U}6%V}zi-laI7Y^=Ji^SAwy@k|Dwe^GsRGAU@2iz4y+wvvX;y3%LV`$UJ zM}q#DC7*p?q5Y@&|3Jtq9;(D@?b~E4TC05%2c;G!I#Ycj&E-17YN{c7&5Gpbr`>(^ zp1pF#`ja~gwE2Ne+I5z(h1G2rlcs8quIV=o3p8N^-OD>7J0~k{7(EYVhPROmPuZFd zGsPY)2ZCHhVoPfU)H()5tpqUjddvkEqmsyP#f3>m-bAL9a-aIKzA|*dqQUFB zwOy~=X=D`aQFnd%?Y_SJOCIHxJ^cnjV2&5aw~$YZ_F7K8UzLkUPB$ZRK7g=&P;mpXs{i0R*sVEO{{86aC-LiET39ZZD>c@*FMR+;ZU zc!Q)pGB;UoiyaMvQD$)O10QBr;8Ea^t(_shdr);!wrqP%O_A!5RvUVLcE$%SIa;9B zgyMPbtLQNp0`UNMU6k}4!XoWdq|xnE$G2n8W6X%?AkTA5IG<%NUr;v5wlz8}nX$2K5ZCk4(+eDMW-f6FEvLF82oF8^J9^h82*dSW0M(!QR zMnMS!1wbD-zqdAcj$#8kojO64L>b&9U0RSjcfMnQ zc$-;#MNq#^jta19A5^?*v?*;MZr9*xHP437=x$=O^dov?ArVYDAG;^{@5egHq|_bkwIo8hssLOW<%>6+%k)ME08Ns2@!%*hyeQc% zyZE&;r3~{oWCT+@M6T@36VB~)pSqM zVO{qAiG%v6^HT&V!7oA{;}<)k|JkBTDH}0aZx7eW0uukjZ8ehVJ$u(Fwkm=gblEy5 z4f-il4k=t02*|oQ4|Bid71hzQnZdCOnKFvHBNEEGl*k_-To?uO?hFP@IyH=vTx@Rw z)w|wrSvN>?n&6P7>W+fewYFyL|1wDU-nzR%Zn||nM6OJCy> zE63#a)LWa?R;|r`h(?R+@$~P{q(XM9x(*HwTF#|ZSG^b9+Nv@A`=A)Rzh~O-?;Uy3xa>Iro~oka=Jd2&Vc1k>61hKn6Grt z%0wXw>McAtmXF4ybrus}9BdYQxK2By%A&QY>LOM9k+d@|-Wqkkrlr*Mi*r#&ae-oZ zg&)>q!=w0^T!NVaja^kW8jiefax9V*y3%fPl^A#Y;fFvl>)0n}&xS8pAF;J?Q*l=y zgMeZLnS$f!jhc{p3`S$rNqDOv9I4I&7>NOxWw_H1-!jX%uwGjrF1SH__?PsQL@o9X!dUYFqanm8Q8=G$vI@{ zpqHoN_W64zTm#uVs|=F#ZgBXkzpK=nO^JkNj|CrO zVY={YF{iQeIl6C4A}R^*V!k&z*aswzyuRyXbBgz!r^s`U4tda?Je;4)ABaO17 z?7s9Lov*Hg-)+eR^G`20f3LWfhC{SX)P_>%9FH9r-T6)Uu6veamR)IMe^xy}TTf}O zq1lGV5ek@`b-iwj+xECDVt@${yqatM2={J0E*IxNIRD-{Z}d5md`6-Q+T2$2MO>X$ z`QIeI3qNwH-pPCCu@Pvcft|j{LZH$gAwT2OYt+{IG0kZJNh+I<0X?1_z)_tpcQO}h z*wwDL*j}7u9Xqfjj#5owtSFgl9p#BV4;iHXmVagVx~ZZ4tpvO_%i_mr|Ke-^k)(jXxomLJPA6G7XyvC6YU&}BmOii%J3ISit4L7rYL^jPmH<}7Hr zrZ}ooKP!EeUVPLIE4mDV?YB28OxJ$obg8Tg{v!YaJe(Ms)(Tr%wmx<3F8!GlC{wGm zwGy9ge11|`o%ulPzKAB(v|maiZOPY)P^;gK{ZQp)=4*pMq@~kY#WmEi&HB#hSb%~68p`|VtYZkaNp4`I~orz=HD=xiOC z$H4wV#d*UTs4=r|FuJ{^436$BO~UE%D0+TP5kBbs=pcH*0lJ5Mg zu>+MHHtUiv7jmPU8uv$)vYZh$H}!LS8ZWCJ@D;T+Us@Gi!>EYdJNfoFx@9&CQz>Tn zqw*QQ1d?}xvwS2vEA9#8PX)NP6V~8K>aJ^NRC$`-d#7>zDiY~bu3eFI?@BRMyA=46 zuU!CHl1uu6);AvO7SC$^_j>T;2{v5_jtKr2^z>L*C+pV#ULC~W8}2&5w5emQ76t7J z>RESd{cM_>d6tU;((#Ai{Z?C}uFrq^-Jn8Nq*EbbX|(;`=116>Azxgx*yT|LovKFh zP1@-zVP3r(*0wTZh@fhOSJre;pScdz6NY>jaBfwdn>P7;VLyK^vDPqBjG!5I{&*m2 z`IB1MZEZN^vdIU2vPkK2G(J`dQdAPQEPo3TKLuEqoqb>y`Y|bGLx6|2On4&K+b%t4*{$L^_nYAPi@?`_Hx*-bcyyl>1q@~+Rb9_t0&D);D0;`Q&?pfykO zk;x}3Ew=+TWnbvLl3fh->U_>WyV%}FiQah2z*twxa?2`|$L>TD8$L^@9cgGC<2!Xy z^5LyUcCfv}@Wy{eSiCI{(paajCOW48Vy>A=laYTSf&}z;wP-qZ2T480^mlH1Ftb1q zg7}1VT1Zd|19VZFj^=`*6LWKH%(OL(z!Q$qbuB|}!D-XIi~a@0q$!LZ+kMEboY8y= z8Q_E^iab$#+tdETWo3GswZD6CSQG`Sc(5D%`TLtJyoMDv)SWZRk90k2X!rDu{+ZDV z7N1%OPaJPDxnEIYtx_gKN|o00*WP0rFj<$vJ!csZQIq7e7>3M+8N{i$IM&oX^l zv{Jg&9?y>&gBs$-VML}iZFgF<$R?>Mt}rkA)b6(4$LH&>^O@*GOoO$pI*Ew@5*5`qCt=j(D2%< zF{J!OR#G|@6exr%I$xjJTzs*&f6!#z5t=r@e_UCn{N-A|Nj6Q;u8G9!#z}N#XVkiE zZPA{?%%g+;?@r)xzDa+K=lyZR)zuu^kXE6}-)C5~FZ2KOp+i)hzvd_%iH5ERjE4uz z;1Ccq;u+xvOj7IlNWB+h?7$(SU?on=y)ZHS@Sd6PFV0Hb1I5YLc_Y57h)mzFd$})V z{iQm){KInj?-Ds&VUiYK;LLwTx`12#XDPIa*sloKXg>P*W_%{jaL_a>1fxp?%LRXk zijyGrj$r1J)1=W|Sc&!jL<8ZUWU4$%_nyEpN?GxG*-R-LD9kU%+^CAhW-&vnCyb3Q zW&fPe?}BuOzX)TnIt@sW!CsZ@q;GSt70Au~_Axb*nEzrS-0@XTLi5Y7#P0lkOu;pH z*{b>sQSsy(5Vx)qN6Z=WowlzE)PR``7N=){$y+FM^4o3t4w$u-=?fG4IZYr_Fnplg z^;*A1W2D@AZqdzkk;C@8De3ar-Y;1p+ZkZlVyhgzHR63_3b*>f-)Vo9r|87wg6Up$ zfS_Hw3R_#r^hRFNqTMf*dqOqKrwut;J|;wPXtc_~l4@Wp9vS9s*kWCZzWM<*X`-lC zj7kcR@cz#GpnNdL9E8{LYy4!eX>e|YT(0ipA;F^GDNMbnmAoRqC7_(vo@g zev1@E3VLf7r*%je5Xg?I{_DX=%c%Y;PnK_a`|lffb!+X+oEX@qYE{4abCAZd#=k|V zz~OP*dANT1bO%GZn*TY zmf=Z)Y%$~4d6tT7jPe0PdWmiODB*c4Shc7kTJ_+4df14^4su1tDeX2MBw6Sy8G%)^QMJOHqbR=hXT_ z9|P(s%4gs8r0i;J9n??qb-+UN=;a{-OHKrlct#YZ1Glb3XQ3f{LTAnXli!Kml zh^3P8q|E+TR@vGZ!St1`J?et+VQB-`v>R3`UK{5H*TQ!{`XcM~we)WTEm06wpaGn} zU5A=~xl7{_N5wn$_kS!k4pE$PhRTF@-IAUX%28ZY8ijleHujJG5C4aMd>?)7L(g%J`VDa_3fl|?(G@3n z5;M0@@)+AM=o)3S?0pl{mm|lNC?{@X`@UOb>O`?Uo&Y@@nu3wC;Pg%XC{Nk(+&_WE77rRjzSogb*8ngrvLXGlojo1r4Oe zJB++5p@7=%pB7^Lm+*1(Hl+~)pEoZP{yV8Jojv89EQBbF7MZ59mP%zPc+D}JpUM5riMPm}{S;c$ie;YmXzYXVmd@2vNU_9fO<27hZut2K~^X}WOjX8B| zr@j%}!{6nhZV`vbf-byPW!xQ6J8<`!3o@Abmm;$lG}rfICV0Zr=bQP= ziKdGA99VU?uJvp5s^t-azBTHq#VPqk9^ZNA(*|4IDNxrK7^Ku*cg}4--R*#`-(@>9 zAOH+y@CxXMo7m$?#7so__dFaSY+5nfb@T0BtL>?FQTnZmABE#$zT_G#;G;lh#6_JO z;1BImVQSdpn$TV~Wjih6(Bm~wMqNEto(rI{ZMev!N+!X@&&TcVqiYBcG3+TfIUWdT zdQ-9Wi3p9>O_w|AASe@aIKQ{3ZU(xAPU3b?^<>R1<{aGri3WE;^z8Xxk^I`fSIB-F zs>a8dp($;|*Q3>Y=8R|)5AqHhX|WuB8>Uu8;=){0J?3cg%7d&a&Fy8|KJh!wbbbcB zbA3Ju0awG<)lSZ$sWIy}$m~zWS**|BK}Pv7XH5Zog2i(cXv+oaM2T zIs^s#k!4~g3g3tfC5fjyLX%YIQc6n;F#;vi68WVtWqfnIkAftW$x$$DgXq7@JG<$ReJC{d zVSjEO8Z>*O*z`Wj^e7fG!JRAHhYT+xrUiI{*ECLyb~iL5w4L*P-<8a`Rvffx))mW zr5`rwcKPhE9QUzy+8qj;9H3cHFuVQ3eaCyl>@=4IV{_p@rJ~9?pb!Un1a?K?#H^I~uy#UZ8C$CJ|nXPD+=hZ?Jf251F(56n4W6KSc zQ-f!&9u+``!E_8_n@b(CxWR?`1q^{MGpHas1-{h3m0L39Uo@xMO9+A-bu%wWA~|oF4wl7fb0UoafvmDEKCf zl!8D#kJQDp;rX#Z7cstIa;K$>j3Kzs*v*0a9+@D9gVAV2l;i7-^NhPe&-krS{nuV| zJxQc1MISPXKaWRj>di`=+iR0~r=MmRj`U?BvMbdQ`zf1qFC+r$)`3W-C_Kpkbgy92 z^zNK6=hjIqVt1sSiz?e8#iF7V`7o<@ErC7}8FA&HCq`6v`DZ?K6SU{`z%tq#u#Ccs z5)m>+k;#4<7JQ0-{WVaQHd$s?{J~5Q1zJeM7k?E$B`=`l4p|3pJ!i)kM#vuFd5{zex?EQ@X8vBDlNHh<4&o!n;2O2(G#n8Xe?^g3YP6(b0~< zbkR9kf8Eiaf@NvK@}JX~cEBv7TVIS8B+sq+hAJJ~?d7&t#&4Ug5WA0?9u)Fjs}#qQ zgwk};69yS zTz(bjQh(ybFfCaukh-9#~<$njUSbcI%;F zL?ii)Ya5X7{r5gow|{ZKq^SS3gv|-xSSjP*^onXalguu&n$&s)FFTC%SI$-x-kyJP zJZRP!j>cOBhD?3_QW2#l*85AI(9M5%G>S4&oNqiofis)6ezKC*R zGDxi{QsME~{z896ogokAnPv1%AaRp)-ER zVxLo9ml*!C0FfSv?PhH9U0kwsyC*zqb?Dmfzb?M~hNr{ky#>%Wj6Pp5@KlvTyP*pZnVb{ZsgarCe<%*z*0a=Io@eR@QZLJDijWZ4xe1jkAEUuf%)R> zn*6awmv4Uc;>}l)99x{Lld?H8HZoY}JxFNXd7jQGzL3#$I*y=-ZsR{M5ofE2Y|plq z^^o@g3QVmg{x5l&Rmbk)DT`Hph4r@5D%3Bo{c}pP4|{%DGQgLERkRAw(Zzevu_KnxwNP+*JB$1{i&Q%HyEu*z`*8n3WO&kVb{yjO zjkn}xh1YNLx|^-y<{tV~Ky>|(JCPn!(Eyzh8$MXsKYS+LI>Qm`f?1|+=Nr>kU63?m z7au3Y2R8WBTYim(Q{0{FziN|g0NWAaL~SpkPltfd7aE@i<-hX+ekD*JWzqqWub3W< zw|}1|&Dz#JJP03&b1nQn-Qk`Xh_i7qso_l8To7=6;v}-TnkCBU3Nu7)u;O?KdIJ4q za7O|Ci(CJo8`zB#^a)#?7$Nn<0Iv_bw-nNF+o9Xk;y26`BX(q{m1S4+>05 zsLfT8c@)0?pe*s=qVxfDHG;S5C2 z?QV)dtz2<-3FTlF)}M+QVY#F+Ky)LZDYZ=~*VBshi7N`nGr}9EHfroYxB275;I{e5 zAkj1Ms)qQza~g8Pxk0PTVSC9faeX;bF;P;>c6mUaHW?~v5)H$-W!_GJR$+6R23OaIPUOp|E7rHlpsOu{%7K4!S9LGUMy5uPc{uH;egQE5nssh( z9J(GmiH*-*H&`HCeGC{A5y(3I?47$d@EFYQbEy?;-uwCdAz}Yex??YM6wEQmXZ0WJ zJIQE+2!MS0wCK5Kmu3wMbYnhRNNRb8dE2}1%z;bRn(1d8W|S;jcF3Piwly*p%N2D+ z(EYI6*$Op!O8N$&ex-gW!%M{_{KHPr0j(JYHd$ZTzK#dg)?~g- z#n-haw9Dh0u*B!zcu?6k#l{`=&<-Kc*lV}RN%08WKn;__&#~5C4`kq{VT5T)0GQTA z3(rDHtBJ+3%#w+e>-$|e=@$aCJzTM5civyW#~kEZJ2%Sc_P}PQlF}ebfm6~|R81wDu_X&*|#b!AE$ggUF z$BMy>fhlyGW(8IX$Ux12`zobaMrb#-@d4P`4rL3$L$n@6TULT zuE6H4c=_r*!R$z$N}(U^%c4)qQg5}3>JJFA4rrW~#t^zfP^${g@^;ongFpT}RYFRo z?|g@y&pwhLETek3=y!SFIZco>x43}o6mMldL*;mVn6GL~t}Cj)(9 z9gh;yNxVA`;Tg(eHVjxN%21jR{x`mlO2$sqG`bj)k=*gwS8wi2l)NW=l|WYK=o7kt zG0_`$G(GCBSF6hL>qO_d)E9;P+0v$@P^8mc3&DP@AFzx$*@tlD_4zAFR-i1?DT9e| z&~IC4EXoA;rtVLgzUTJ%2dyz=xbz<Zw`SM=$;AtYLpQs5|1@hJ>+d~7~oGZGPA z!`l2Q@cj>>E^2h)N&P@_d(?u{Y3ZgBqCojPm;5k4;E|$n9{$!RMXK(>cQ?Q2fefkzYu|7lnJ%z>UR;E_4gEq${L2`s;TdOVx~RFOA?zBV z-a${FZ>o;^H4J=k{2Ot4pMT7wcCrIdnizDlRHvhPa@t#_hRxJnRm@zil+Ury@+gn( z+LXU5e<>RH>3*3)+PlF@vEknN+Hh5NN5V!dZ-a7ZjtR;W)$%n3(E24sy+bPHVc+py z$)H#sSMQHJF4-*0Er9{vE73UI%o6#r4uc zALUz>-#iF+Y<7^#RPF~l;g$Rdq4H#k_gmMP^e=NvZxX-JP8(X$P)f;k%fU5=6kWT%(@|z~=XsXZm2M($M|412 zw-anCU!Bb~(`$m|tv#}L$jBX1wypA9@6O$mfAW67qCC>dzwod`6aLon}YFB7@46!b&KVb{$w#ifw zB(U3%wQGyVo$s&Rx)-9r|JeE**H(<4-1#?r)(e7RZcIwR=Io4U1R_Heu+*h(5yqjvejr_i z*x??VM`1g<-_;e;p2V+VmHVge`9I3+S{uid#qjqLneXr9#~{XpPOA7tBajZFubR!^ z{s2QelNzvdZK8relM3-Coibtm&(r=*B{+dn;^qg|rY!AqF>pumquSf?=J%CF zvO-oXvy1U;(6c#y4wbL6Z$>5n-H(8l(u-)LkA_%6bR+siTv5V9A(8~*;@p! z{<#-G$E~i4TvwI`g+n}YVbz2?oN>?rMj)ZIc)lYf7SC8dJ`*B$PCl} z-l5gK({QhmRUu!pKmllyB42D4F(?_pWQKD+ONB&=BxV9u?lkA4(#&*@H)l3ISr{^m z&}s|ZbBu>ojAL_a7wO_Ix zP7PtiCW?~j>#W}okh4bb5%ph}ou%2R^?;d$Q4fUL9+OZixdR;` zn>8{6V}>m5A5sTY;U|^WQTV5(UnR8W7}A;Y0;3JlsR<7? zg^_nB`5MnnIfWO*AXd-Brl)12N0|>lm8^-Dk{GJXN7KR#q)i^Kin~r@ zfUoC>9?i;_oE1Xe;{=7D6a3jJ>xoWo+J!4E*Tp(MBNAGVPDh+i|br&F+#pz zJ|jDLL2DZ?bK*`HoO4Ev>NG~I{Mth$P@<; zEm*cjxZ3Jz$ewUIuaVbnH$5`jY&6YcG);(@$JMf+bMaZSCjFn1H71FaQLQAQBq<76 zn0$j69_kAjL!EWzUpXIQRx_jDzkby+tQ`#3BeKvz5 zR=XDL>I&_>{dH|dGZwxjkvw07{gE$_+eVsyRPp_ENMILR)FL}IFcp21g)NboD@c_` zjFky7A>(@dF(3f-sYqF=36v3=N_iPDRb5BG2mu{^3Hz6kow-ArCWgT;#VZB~y~q>n z3ir=e@{LMmztlr2CPQh9v2B}2_lB%RpXx8vDtyEh^aZsn3<~z6)zpzoqnR&a)(;dr zKD_);)#c9kK)gONfZpAaX6T-P6N;`(vhL8NoN4`bxw!J#*pV&6O`AU*ZK2(28?nQc zQMi@3Ag8FvFNf^s=skzk@9qSLuj$@+EMJMpWl2a6+O-yK{a<+Zy#Mg-K4I)0Bfw!X zy+HwUEo4LDvfrP0FCvxm;k=}W6E8`Riycf;l{xDYP8JzGlRnNS1H?j+Br*XcWn=+U<&wrR-LpImLD+u}NOgYZl)P%(Ae!eNUDHuc-*jqOH25R!D6SJU_Zm zg~hv-KW6cJmFp`#YWirm`q?A}o|kMY$;UeS(q#B9l8M0D#`ltlHsRV% zysw>IZghAE5qA_Yma6@C#>xo{pNovMm#>TyL(T!#&!yJQQ%_${s_=wlva!%MnL^(v za`*g+Fiu|4UJxhT&Qq09?l8jjCXptU6NP@g4$!bBTaOCaf;?a1`eNzegN5umolDLU zhSPczg(*&H`0J?m;~0aj^Kz8aA-O!FRp~sS`Dj|qX|d=iqP!6_>iJBb(gD+5 zFdw5R7(~DQW|L-&sKQN=bDgu0K`NPEw=b1llz)dk7BXzNrhMthE2ngaE}P?TzIIgBnJD-b(rlWvo}Q9mg7mhYXGE8%qCSce^o zo47L~EzJA)6!}CzO$M^k)ETwEM!hE-t9Fol6MLSl-|%PUvOcZKH#FHsw_y5pWTNo{ z6AMO~&3Eqydd5v=j!>JE1wKl$MlT7-*~=Rd(_$5Uy1c~Ipd@1uTdzH!7oqagsO)}p zcUbfAxeRL>l%j57ko9BF_ z?tbGMi94#8n(r|f8g?z>fy5=yY46T_I~cRQIVmy0)&1cFrjSLsxv;ga)jctIkz$3Y zK8`adI*6_%U;|Na30v1csP6Di*>|7!2!VmEhsK(RilMrd3U=+b6pQA6cCP4uH`zt0 z{ycM%p##A1SLIZeV)7cS&X%d_t@s3s>MA+&{ALy$P zoV!1k7LpJ3@XblV59#{aje48?1wYK7Mrm`f1KRu088KC-(p8L$GJ5q{ovHX4Ar%di z607xKEl=>bxub!`jPo9lNz9o9zJ@BknCjj9G9BN@&Or|Y(ZviOu$OOs^o9;CPy{?b z%cl!9atd^7Hq?i&dDw-j$Sl+&3Z1sEB!l83ixOA{%_^ZNw-xL%=q{Be%J3sa5Eubn<3m0lM7${ zhN5dOHUMGUh`lpO2#wv(sKEm2%yqc+0GdqUwc!8srJR3>IM~(eu~K0t#BIM z-F=p~o&LITa(dJ5nnR&3{vCA5+xA?!J_A9e9;;dxF-vMGbtuQCSm&0HB^a8WK%I`b z5E0Y(-8NH&0+m<^#{E9r(a!YkfIBL=;h>ateZvES* z{}aj=F zXFOLPUhQ`TR0Y1t4bbi5xr);W)5nHC5M{eOtp@jBG?dv{|g_Q>KEtofA4V=k(~vhBYhVcmm6E}5~$<)?a!^xT=C{ux5B^ZkiN?v zv;~ez2w#h^!E(g%Y@O4A9>z|8Z;AD2Vrutp#$6`$u~WmF_et-xGVDbrf_>xZwJ_Tl z0s_`4RS*=W=#ITioeOL7kMu*x&iPuOMcik&kB6z)-01x=Wt z3dKfOGFRWe#Ca4TEYW3yC%V4l$q<$DbEgOXF{8n;4w$E!V9C?@j!(gME`W({$!9jN zoXK^vZ74BeA<&-DX?#l9uRX-}l5~atO2BrnH%{CFuU@h*Fkv`WU}2hFl#$+8_6?DT zh)1MH5a}_mbuxwAfPX6*xu(2WF~tndM6tji(0&{`hT=SB69$>-gsdjfccHp+$I74q>;CEzZn!DRL zF_4WsvNkW#zOp>i zM>oeZmoq!=8_6MRPMQiXz8y88qjcpt2{Oe`aLI$YDTK8y+tqV0=&IyN>OBmA6MjlS zRbnuuelXWm^7zDk+8JNb>_kXC&3kV?$tTJ-l@o5>cu$5BX3m_3>>SKh7TwiZ z*a63f-G8spzXJ|Tl{DPd)kp|cO9)w$ZS7kCjSjJ8C$9X3;B%|uGj2UUuF|R$#WiTv zCZDm?;vR8#G8YCA1)rlQ!l^%lZDfh=DE$mxL*y@&}`mMgmBzPhIbmzmQd%&uPm zs~lfiF(7iy{6Ua~d-(vBRYWm=P_C?OJi#zkQa?N@vYptV{HO79KAyrrLJ2 zKzE4Tf#-5w_il$J0e#9mJKl|*Q`Xm7m|W>R*<|QjPAT%TTz!MJKVC^SAe$Rp=vP*% z8?uh4WpUc>_E|Rfc?tFkksEhWh|#M6SFl7;#ev&VUdvI_z4ndzX1Pz&_h0L$VNkLB z!BOV)^pTcp0C{>X{tlBC$g3XB9!(MLbWr0i8cyH$_hk3Y$+|*IB3V#?s?x zjeq~CUw(Z;9rIU!F9|K3Hwh?!os=d-*2IbPKbO6L5>>rhn-)R8kTbPqPzgFUP$HNv z>BY-?LQLWwh~hYwOXUKJ`@xae>nI>crI{ht8>kZc=a+mxZ6~f?QECD>cZ0|GIBCp(k+c>gg z7sV_34_@HljRhM|s9w#{4?lh0U{OQwgQH)Kd|!Fti*O-9{8S>c>66)YhPJY;l0U=8 z>U&y(7?kXZXgN)Ki`LM8{6A!!Wmr_<~|J-xWbD!sa-kny;k7`CT-2JdFd`V-uo1AMruXrZj=M!$_RTTxP>q_>~~@=Ws(y%?G`u zpWNp%RTuWBzRiE+t%^jQ(Jk+4l6cN)vtWQDzh$nKyMT4328zgBLPS>S2z|6P{$e(! z2$Ek|ulG~C%ZuQRnX$|+`l$Db<~pr5b}aZr^wEGdd9YfyL{UDnZ=B$wN1H}Jqt7Q= zo;isgN}o?(PG3*oPT$w~U|`;$yT;mJb+_Pt=5Xz4p5PAaQXLrETePbdQ)V!w(?c>jEM9u7X!e>fP!meO>Fy ziOIVN0{EPeK?V=F&dibTVAcU)XJnm!Q&%>GIGsb7;8(2}<1H5afY;mP8g_eISyUO$ zlzeD%wvc~)HrMmK?D3`rZI!@-fiFO=%a3-r^k05pcSnFTx`5CR+90S7ig#@FTKyX9 zQ)CK+@9gtk);VtAM2E%6%e(Kj!Nj(6AVj^uuR`)65J4TKD-M{Rn_FV$k4J+>ry-AE z{W>!>(MAUNib=Tvx;H+eCKAh8+WJR_*jlgAa%y|`Fz7n7T6ear15vdrnLn7nyIM)V*va7ie zDklpuQP~o|6S?}7wpz3pA8@T=BELPOzDCBKwf@Q( z`KWIe9ajo{m-jU4cJ#qSxGV2+>h(>n5u!sMHc)Y;j$hU|;AiYrp(>vHWgnVdt$e>3k0&JCJOD0A0~)?#l3> zIy3r&%E{dS7*S8I+$L_oqJf=vv3NFhKcvPbg!VP1c-U5Z@-gd@_Udk~PdB|gpI~-v zJVf{m-9>&cE!$J-&7(Exk7;2Bjb-;6bUZKHmXNE%T+!B-V7$S#V}obYcYAGdPBRWX zB`B8jl=ZkmevWHZy|0_L*XOX)59(0EoT=4|>_uV6(#r=1n-6n(dfX-HJl2VBM{`8$ z2Ki?HzYib%y9;5qB8xE=Lfpa)rpH0LxIw$kEk=j_&*7~Gw;CkA3NxNusyoa;E+UhK zGTk7c!engyUwDPgw;zfuX`VCzsoqCULBwPM{O~CzR2ethUc=W+tjIL?SQ?_Q!dF^< z2ER>BzxYPjaFh8Z0@Hp4^C#!)^a7lG6Dqa;^y$jFqnaOd8O{SyMM$4mw3S3E9ylJw zJ7qs(1kh9Gxi}!n9&t-N5Pfmn$dv;gZG8HgO6bA>lL>U8jIhld50`GH0|dLH+K^F+ zL4sSqKooFu?J*-+g!-e2$$R})m=mE@Saw{ZCr21#GpZaqxfjBN#Vd!`SA!esX#pv5 zYlPp@4U^p?kOAElWN)C+m?8Da2Fa5UbKWLc|HAKLnGd=v*WAl1%?QAMfKEAp4e$DP@>Rt9r_%v))r<`!0+x!Q%nww@q`y+lr`@LF1 z`;%Ets>&1kL+~B`vWmoZxHip2BAfaBSJYX%2FdO4mAs4GAyiY2SqNwn^<-m z%)dgn=CR+IyC$@4f)d{8si<1bjDK8~E*e5m%j$UcpnaY4tjQRRZ|Pp<(1s13ZyJya zX$yJOg$So|c4aKn2tCMFop_ZzIgW)~s+Z|~jjlN@e=`YIN1zwp&VFAVVSdHFlE3R6 zshob`r<7v-{P(-xQ`}%;#goSK;P}dyWz~0y>XC!nuI9NHO*wM>kA)umy1FH4b`3dc zvU8`{iTT;Isoz=?il(Q!ZM}-`onT zRg=@_cyZI*k<9&2O`*_AA z^}Q;59{C-7CbH>bI^6Z58u(5-(%5At-24Gw|BNUCT(-2;8tT`LvWCXOxXzzYeLv8Q zifJ&_(}9V28pq17%Ki6>?sL2ni-Z!VFewF}(yvNuK+9v8o?=6G z0(Gfxk{kTh=|_ZUKp4Zk%~(IbS}8`E81UDfgk3CKXG{kNUQ}xvj_M(yiYl^IY4=+RM{F*q5uUw6JA#^ z*Kd(f1UYH3lyQHu0RrcKetRlNX+T*>N(~&s$H~TZ?&CAOdAWEC#{PSii4Uhrf`Tkl zCG_`5e4Q~qL^w~4*#!szPkJHZl>;!0;Ybf-n=cWxoBbZ#Wf2^k!yY-G7373yGf2k5 z&7&qLO3-LAMk)6KVqd%#0RLzfcgHg1Af+Adz7&*vA@^UqotPc1fxa|LKgB+U_#JsE za*|xeTx0b-I)T?*yf_(6lZ}_aA+CU+k8J6MhkES_?(PlRcv3tLru_MtRdwr{52LXW zO3Bcv?mRR;%w;B}_4;D-*o2s;woCjxz z2umLO?k?}96CfC4yLdcMG|<3deOeU}*7SaNdi|W(!$D-*=-Mcwls}%=Me8Zc>A^nz zmy4%oKo1JrNi&P^=SvFxYy}SrQHsnktL>hu3W@F#qeINYf52 zhtd|qmzuj#UHyt=CyE`ihGdFrWt`h2Y|SCu{fg z_2cjJaObd$l1YtR`zn!%6W7@yjgsZxAsADx*0CE+u(Q``!r!%1LXsE1!F6gq`*lod zE@(J0Qf_${cpw6Da<&BMN>u`Q^v$w=;jnT$ls)glHPMxNnS2$ zg6R}15<3DIq7a|3+={j-TcUk#1fzt=o7Z;FZo`I{n{8Dm`<)`z6#URnTu|&5T7$~@UU%Pq zbP9gkAM3{X2W}=Afi~6$Lyi!QQdnBT1ZtdNMwp;r;+FC~zSV}=eUHl93$}dRe1aL?y{^_yE^TA1=SqShih2cr5S%GodKVqs@@-SXFN~ z&m6YCgdrs9dU&gCaRN#apy>UWkiF$+((rEHM<`~b%M27j2H16oo@1M@iO!_9$+0O2 z7Us!WBOo>oJ$}>5JC@)XWb>zCo+`hJ3$%4!8IIX$Zy#hpFBD+qW{>^i?p0oGrU=k&mLSa zTp!{e%kl`VG`~y3KEVNtS`0bO+(qC?!}3*G-j&vR;C&#V1*UJbNveDv(;9| zxtf^@%lK{kR*QDZVQ?mI8$w>bO-^}r9&FXQWnW{w^ojb;C;K}%)nZdX@?q!wRKQ4T zYeqR_uDp$HLdZYov`U42rLFJ5iBrwphnBt~%B{2QUi-EnI>EAvt}7hfd9vlDkNkAD zNnbgkX_JgvqfyJ7d2GTC5L8p1c+f!r-djxf{seintNrs({AUC%nWKg%k_Vq$J%n0- z+eZAmA0k1B3gzyk$D-R(oz%v5i;X|jAdt@L@$B>axhs999en4X_jf@fh2`pVLaDD? zd7+EVUCqX0^Uu4>DQ2gm?^e?FYpvT`?JXZ_t;cAN7n*dNcRtK~NTs!`ZhPzW?co^S z@S5cMTXe(ZZ(||SW^5lcT}mG&T}903s$1yD@;_c9-o-}y{6(@(W=`VWhciLI*P!P8 zy3d>HQ+PB+o5A*-yB)TH?cyD;0M0>UG&-1xTKs3f5NU2(`BxKUa2yU4^ z{8{1UW2ZGCp!A33swu~jV@m^8=YU;#zq?iVi1S~lq0kut^4EJ>8`RW_%__}z8j)?F zv@hw&fO^H1!skZbI*At;xZb2n3@J>(wB@^aV|$_?Iq8sfqxe# zkNu9?QAbK14AWP6Md@gctS>`773LkP-rRltjOpcb!oxVDK2ZEywbD;AUFCt%w0Zi% zjF(%ewe{?n@^+u^c)nhm^-$TxeYQErbCA!8;9LW{7bJUoFJ~}uFXi{KslUJl^jJE& zdbQ;bOsIOtpbu7{mG+Oq;Ear zt1{16g=^?Ge@v5h;e+vJjbXLhNX2<2*O&C`Tztxq(;A^m-0Eibg)Db-L`hq?-}G-= zK?dB_dTsC0N;T&u|#Z;&E_!Np6WXpc+eAWj&cK6Odg0IL_=hiMpQqcUHuunRi zj6X$b6R(v2at1}Z?7&*B@xRTuUB(=iDCEG_ZW%L6ecnGP|ZMMn~r)dq$>Jcilf1Y>(}^c5Fi>?y&PxD`c#mgIY(Q zbH7txf^*hgBjW7D*!j4`cERCssDX}7?iL{3FNeBhzLhU4hA#O=l35ZYDJ7{kvZrE7 z>_pRfw}$0w7Kd>b%7bAXV%J%jCUQ316;gY1)c<@?p-#{Y-uf~)0iIEq_@4g9b>fBY`fq{S`uf1U{9tPVm|re~5YD#yd1~|JRbpV_a3ou#0tg;T zgp?5SEV(c*U~8wJ6e`nB&G~-hr@G0wU+@!V@hrJo=%InJ)b>Zf4<2meu0KY3k(vbB zz|0)U8#_Mx_60xFs>P<(1w`CHS0XQiCcIpBPS0(T5>s1lgV|JC`#)z0PmHWLa#jCH zF1{d_AJM*lyUU7k%ViRa!D7QSWr-tEj25eu`%EY5eSYs)(2Lo!pD$mTrqneT7C>kW z-IX@*dRqiVoCEV?2#u8F-UieEt@=pdO2sp=lnFsMA=yn4-tIb!t)whSHGYSO`P^m z-51YgAIdqRh|A1li8gP>_S{X8=W913$~c>b_9(pZYa_qip-RTY0^XB6Nz;?FJPHVH zSN4ly{$S0=Hd>L--BZFO!QiW#52|~UBko!8I<-1-L3I8Va=~<(tE785}NzQ=ma1q zjKrwh2B$co7lI8*+cyud^h$)!i@s$Yc3&9Oq_a;mq^MmZv$&PTaW!xnE25=e_%TB# z0LM;)23cjUh7L8zES_8)L}WnapcYO58ez#wW&&RZD}U!Q$T>3o_>=RAJh|^Z&E`Fq zPgOv`Dw(etP0z^3pLOETzCQQDTVeUQ7v2;Z^s`;n)%;;fWI-U`P2Kf$8F!ir{&iDp z&3OT}MEAJK9i!$AQ^Cof%Z8r$8N5a2wj##PDYth{ImVF_p8k&+{V3eoR|x6Mh(QE! zFM8oV$J+1nd4toue6LF3a=w?}lV0m5r$TJ7o-{DS=fKc2N(E%z_SUOvgDPxj7|{tLou-cR2L7gZZlC(ptGg`*vsP1^wn?QGs2%>iB44XYa$ z)PsHnG&A_O?ehZ_*BE^o3uw-V_$kGK1hZ4CPY}4_Jwb8bp+MS3`O7ddzA67ln;^Jc zkV$lC;gHC~EtSZZLDZK2Y}SGA05TMAf1EwG<8w}l^a@gz=(lgDrzLE7 z*({M?Ypga8Cnke$z)~_>*l9{JC^57M)UOBO10GxS8qq@iZ?+s6s9VB?vUH0v^2xVo zsZ@mgWWVz}SC2$Xgx(OAx8P$$YM?G>s_O{SsBl zxb=DAdIZ>&yx8z|Xk0BDcNiU+{Bt7*?wSpVuwB{4?0*sR!|^ukNtWM_5~|sW2T^M*H_>fZYxJ~1WwS}vb(a5T1*Z^0j|W%NdQ7EvqSm}N zSBKjFvzr?~u}{7BOTB(hb=CglHLqyZZc$Fj!Iz1CNpP@?W_f^^rirZg)@_8u?QZMw znthvn{^LRGcejpl`~sJsT_^?Ch-{UF;*w5IZx_6@l)p=B@Gn^tV7|x%SGx);_}i%b zsusCnQ~1^)lQGE_W9Wcj{2cSSqsn&3OBnT|*FSWxPg~emGJC36gC2CLNlYD)!QD)$ zOPQx#C-G%|U7o}gy;~yi&#Nrbbl9W6`RJ-NqYuYpL@Ody2lLX(x3B1842l^rksn`b z!T}ALeUdbt^Rba8{n49Da0!$AyI}m+(&~ZCj&~AyjL8$*4ReLDj3&aM#4HFc7;a=7 z(GrvaLX{$v=p0}@VGI*5z6N;y^j?dX1ChwOXqbvqnDD}IblIFfiIUkg!7hIiz!|tQ z=6Lu^Q68x;sC*4bRA9mKZVoM`?}g8h?q5^<6RG@4oZJ;6wxqb>(E|hp^b(P!5TtYF zd(zz+#)Z4tytkb?_jKDR z+twKzf6V8I702?FKk!NNWE5vnIGbBsMvcH-2J*d1c8!}5BSXGAzTNyTZ$v{0(^SWc z|Bbas*&JC7h1eufE?ZZ*2Zdh`nwMLgz#BpNknu4=cZ;$JVcZs zLdvRJ8fw`cqcr{;bbNUkw!mqh1tLec&m2x1S*Wng0g=->4EjqW`Yfw!8+#Y@tbLP( z^#M{*lOc*y*4;gp3uhx2d+_?jTEj&(Ta9WpfZ6bL$hvW@;oj~-47WHyZO(VX9^P&_ zY3Pe#jqIme@|&+uaoM&hwaL@X4VXjxE9gY z2Ks}8r=`Pot>%14dY}IIeJ(8}=vF)W1c9g5m1q|qSMOR){;XWEFs@)XZK^BR$uf20 zfWBWUfXP@-8!S=cCATVstIt2$gM@fCRyG!|ylYn*+Z?vhuhVN4>@^$RfhFVlm$R0= z3wUsl8?{_Ghqnr`bBJe;k9MOhAa86uga|~2vFP0`cv(3j!-5!V{&+iNvBJ%pk`w>v zl>&-@Zg|#5e;tpSKCHP0rh12qObhFtgs(VOka|b7Zld}S_F%4VNVJj6pYhDyV48hw zLsx{Ypg=mUD>$;8dp>;OL_wenQi;;9;R($LwXQSNh{4H*D-C-b!F*|_?_ zw9RcidrSk0{D(OAov5G;S7ExAfwCO+tt(a#Rc~7;Bzls)k?aHuN^r*@`Wt74!+}QT zTbH|F`(;P}pzk}uJcITP73K;$n$)%2HAXka3jAg=-z^cWKrAc(wNPpt}@-jUN@T_c%GY1AW^?>py!5roGZ8!_1@2DBu?2Js4l)Vs=iq-&B-qKg#sL@z+^ zJ6Xt44(iHx@EA%2Yrt)0f8I*@DDioJtnB zjJh1tK!D8SJ1M&J<^>RUkZjbKaf3JD?arz$~9?>tWKeiRp2r4_wI6UC^$P z0Kk}~g>dw)x7C$0ju+p)9ZL$&v8G-0jYu!S3s0gc3Q`;*0HNE6{ZAfT9D*~K@RMVW znw#*h$>`B6QuirGKx^JTCdkIKmwAhN2T{cFyKTHm5oocvw!Q!|C;%;J*irK6E$$3Y z&+rsqPs8l5&o9!gEXnTz29%6TGE_rcYf@sDP}|Vc(yP6i;UDJr`Ie?l$_7G84`Vs% z$#?9+ml-xcnf;FLOstH%IyQP(y^uqg#cAc2OdcA~6l})V{;B)a)MsCBGqochTEBm5 zb;x|?G~I+pdA%*rnp7iYAEj%2_`_AJq6<#hoWZ9c&Hlrc+Ir}|4uL;aQef9CsFyY(#Q2% z17Zy{g^uP4TmO>N$gvLnb?rNuDo-9D)dt%@SU=UytHex{eUXC!JEv~ z>31$I3Nuabd?Y#RpQE1*&6K#PBx<=-=A4CLJmG)nyp3fgImhwAaF3B}q=kRh@7m!B zOUE!IT}#*8Jxmzhv<>}sJAVAcvuI&vvExbu-wLnkW7DA zXpFUEN*Z6SW}S6yoGMZMxH-*Mv(fkZfHOBJntCjhPN9C*nFHzT`7+YiPL-7)oQRI^ zApVAxez<;x{7Xb?X5cYloHgrmIY#qt^_k%6)X3G0$w4rJ!WtVE;fgGvWpY|Ce`8l~ z0hRjjps8QJyz%0hr^4F|9YI_C`tLq3j|P%FIi6TiYnls|`6V1z5})wjJ3_yz;0>N} zy1r2kRQ4&VZ<9YX$j}KDGNOVh(;WGuz#>^`J6jP~2(BQuKpdEGaNM0guG{3g3Q#~WKRVIrhHLFs0}8hTR$g=Q9itsdMQ~EWByzVJL|>& zp{yo1UN-eb<1M|#riUz@+#bQ;?Jm0T?xQHCxMez@8cQmXKT+mDG<|X%S4A85)ob-% zlLS=mO2gb`3pjR+$yhQ}n%y zza`7zb8QxzMHM^9eBSxRsssyi*X-UgdaIdIRd|D+#$jS?^jIUO|&JSShzL6 zQ{l*6{I#5YF}qxHf%dDwr;z)d-=Zy10>`sA_UvGy>V0;v>Ju}YcelKn2y{>%s=s}y zE&LGueq|werj6@Nqn!S(i^^1)LgQx{>R-#e7k`*S4l8eZ*gmI zp=s&#BZo$_Y02`766VrACAw%jl%U@EdpkbgfnQg%pv3OInnLdoRnw?B;*RRtWOE(r zK8L_|ZitVZXaA9XyCL;bt;fK-YIUkE`e4`NzC(YRST*e2pP_W0o%=qzHME{|iu#sx zBHi_v;!~5Pr+&L!es)@sV+5PN(wgIj!HSe8LvFGm(x5EFyQI%}w9m22(ezCVl)66S z=N;GsX{<90Wt(PDG2UBr;Fn>{D%V^k37Zc|H@SoX0Lt=xzH#KWz5$V~hGx9J0WqT9 z;xhY02kCUPhdJWgnGPw0rXe7!R?Ea^<8Noa7kK*@-$CCOca3MROsz-q6wVDI@}B|k z7)c{o<~Yk*g|19_8v=M(;x-i@vBT)_;{P2jDfk)?3pxiOu}EUcNzjuA;wX{lEd6+% zK)>zaizCZrGZhA-OAaYkpaF0znrX339eyCD2$(y{JJsAcOyxMW#C&$^1%eJdXxu7( zJZVD8Vljqu@D{`@W%LKS-Thz&F_HYT4i~|@GMYR1m%sSM{xSWsg<;?#EN4$6t0UM( zwIe=atM6dlcaC`c;4t;ni=b$xHS+KI*8gn4cG;D_j5|aQK(>=fL+zl1rB5cK7*Il4 zw7Agcd^1t=R)=|as1LldRqD8!B^aUjgZuoRzTK=nsm5*HxaO<&8QUGe6nnlEbpQrQe5pu%Qw4A*|AlZe?qEnZ; zs#_YhI$WT~!gDUWU#`_yq5K#T+~(w#vRaC=LC>mH!prrFTlm_|+Z#ksq%x5GPI>So z*N4BaQn2G=PIm6#JH<|7foJ$zfgy*RC2O4h-vDg3+mT+C3Juoo9;c}4HQL83H=I=~ z>tDoP2Pq3yJRT)drSg+=)DzO+uhb=v=p-}6PH`WK&epnD>@2kzg56M}5;=9y^vk)^ z(CqEGmeBkfX%+@Qkvb&a_fnbQUxJ;ZYw1qKUn-@ze6~s6S)AgX{wz%zTs3oULFh`w z*II8s88)QK*He@id^@CCKHrglw?xSJteX2f8KPH#a+kIemUZ=wL>(W5=L-BJ=lR(FI-H7kuNlVipquZ_2=0o5K zZTi7agou4!Icwtho2ucXy!UkyFL^v|-@FTVBona~q7Y|NkmM_S%T`s0n~Wc|;W2!A zm2!%JH4uFnu)jj`j93+eJTDLaeYU&%^W>d2ECq@1r+54GbOXkg|Wh$ zkJBo<^IBf}%QIG=eA@n`P%SW;7VDkD!!rENbLXzx_tFZKrCqJm)YG+iR8B|gugzYR z9D6u{Ri%nm<9Dm4+9{I@v(|CnWIc~<*XgM3QtkTD(?htvl5LK8q+UTW{B(AfjkYb@ z97DLciZ*__kt4+>XgILNh#OzQwO=kR`KK{`(+77A$@1Wgc*VH-K%EY=PbJ&q)%4Pt zWZh*~7eJ2Yk4$wLpv}dGrS&Sce_(os%=#7vIIZyR8Cth76(hD<$5VISUp^(T=GR8s zCGC5Lj_@AH4>=hF>I=du!h8uw@ZR`8un~#4BH0!h6-#AoWg}h__(;;mZ1BaStnK*^ z*@@&fbR}wJC{UO%RQ81?VAUVJ#CF|-0m*!@hEA<4O6^>=f#29p1d#x%G%yhzc0Xxe zR$`#1JsL}rRyI#-ypru}j9s-8q~(vB;Woc$5*}bkWT|u)Z;S&VF+Hts#XqXe?G=zo z8>cB+hOYiI{}@H+RD?ml;QZDfS=s~^ByZ{YW-of*PpXp&)r+pLQWU>Q`2-5bLdF&q zrsvA2o*lhui-DSduD}7ba?|VuQ|Pba4rDNKgPQc<(Z2DGFyD`>b9;%3TUIVOd#;VV z1lUGL8CaJQ-lA@KBOsCm5AW9kYX2;+ z6~faDhbUi<<{g<#CPzNW(Rb2dDoFx`}_6?D+J9+zj&4q zHBGQZ9JThJJK?{EXT}uamlP#ow3H+*wq@_?LquJzg^HV^!KF>N!X-`a!i3|(1Vtyy zQany!cQROQdv2W^=1?%g}Mp1pp5^eQ~1wQEZW6xCIiF=$kmy=lKM z_hqOo|EbNk=9pGrPKwN^Z%JSudj#3{E1$DYmzv(n(7=x$>)U3lp9i$_-6GmWa>xK} z`60CO%9D-FS5FbN*Jh{VFu&C=?I*rib5}a-(|-A;UQdZbk?!T7mB(p&FY_LwR=AqB zu-|h|k#1efL45g|vDR*oqPr#q~4imlZ9?lkM9hwIg0!;McX z-0L{#NzE*w*3`BvMr%&RM!-Cu62h%}om!`qzHo!DUbE{4U2cyQ6$S*6L4DO~4@Al_ zioxX@uMZ3MHfvq&69l|=8k>r4eI&+!H3QGr5v$InP20`+PAX**y$fCiTGYbY`DJ<$ zy3ri&DkPULD)o^wh^)Baq&uRz75p;yZKK>!Jo!y!xK1C8x4e`PfDZxFRaFFwEiH=~ z^3%V(a<`p}c=ynR{~glnUf)db`z)Q;Xa!rJeY&G+;anai^@!Y-48_VIL|~SqmEYl# zzdE&pODYq*^RMr&)+xv45LG8T00s3;hdQlQD?QprWQMiMt00j;hBf9}%+C68_K7!#7zf7eovlIgdurk_NCB@= zH8vQ6M3Nt}sRKF19bS2c3{!7t$m#p&97D#@f(X{|I@#&77JD(!Ae0IuD9s(xSDj~e zSJCuDuLfNg%+flEe!l!%c`ky3pAsL?tP63{2$DZjRN(lLzX0f9tg%-Vz927k&R>W% z(B`{nW}Nl@*w-;Bo#S-H#kdoC_=5DS)g6ZR zaZM~3I^)Cqxs1}coi{I;PnzQn*}ct%zs>|nM*cFLG=0r68N^Pb(oKXn?P{ftm%%%? zOJhxYyIkd3kYxBcc-!+?_9)VT^+vjTZxbr0=lN%QoWYl7JUmE$icQyMdAx&jThqG_ z`YFw4trC-$8jL61Iq6?|77WC*f5wdF-r#?h$;MmQscsq|jaG?(V~%zg_lraIAgl-a zcyX0$j#~lHfO;Ja`@+79pvb8I@yqjQSB!1HRNigzl?A1TUZe5%Z&9Art`ytvM`kcg zn+(={!RLODHoNk5rHFSC_j@Z4%0<;G?mD5K`8?2JotP8&*%b ztkAUb9(%W1UbmcGSQ90pFGVr*NtbE*>DkRFMM`rxQbF7|yjo|n+B51OifkW?E(3*? zPZGSNA}4V`Z#ZT?zbP&TS_zj|DNZY`_gNj*$+}*-$al+ii*cU+!2OS9e!)2;HCm-S z=eOdN8d3LTGp>4Zij5>inX}<0(*8gs{Jh8D)hLSBI+dT1LGw+Lx4uC#e~O=}i_b*rA-LY*!cXJD*!{{K zT(TUwyQv)Hhl7anIb*CKgQDHx^PwuDy3eUl(TrflMJ?VPc90Yjcp~YU-$mdKhc(kX z!bMt$0Qpb}OV5~>xK&JTjC%nj&Xw|$R4AG;C(RkI%8$=A?%dZ2{`wP zAYTNI!5j#P7hMT?4lq4CtYF1;w=h8C#?g@T+wcvjxbHkXpM0gfemxcKgQ5uI z1u<@EfL+I7&~w8e_Y0*Ew+_KG5GvzaMXnEPly{gr7ikT&74Z;;W_13vku(pfEhd87 z{y%|F4MG-oXgB`Wd&EKxM1bOTLJ_qHysV% z&#x&{DC2)3T|?|Kd$oQyQdf|A&OpS0L967CMse$$ydKQ;pPHF|v$HC9^;et?H;=09 z^U5CfigFYk|E;6sH21#^y#_#(R$gx57VkYC25Io;!3qiippf%hrc>i?92f8UMOAMH z+t!K0*VFb!r=Ji6|G@!7+`Sm(7vn!j!;E=EM4oYrKXU!J9>IA*8qYTS{B;M~e!FCf zEvxJ0op|^Nnb3X&?)9RdN_pA}2kFu0G(E`%kL12Ii51y%ANnJq2o+pdDEz1M4$9b@ zA@hGE?Uy4BP1&UpW=6M;?i3RGqY7OeIXb$3gvLOiU@s7vxIda9ABPZ=S`9xJq>y_K z^gfnd-p*I24<eH-5|xs>>ne9`4@BKe9)E{U6M41FV)Ei zr;8oGkcCx=ililgP}bnf7t9dUhc!6>Jjg$!D|Pvt&;#q6Vd$Tpp0dWHS6}>@#mKrS zo6oYTqzKJtHG{!a30O;?E2JwU*BkGXciI5&2R$&6B0x%J)!wwGO7N=YSR7xj_20Yx zM6n%;CqG`{BD)rlh?k!70pjT$@AtJMpLnLc)$B)>IF)7enHw28n9w+s>cZdC3T|ou z`lbY=)Op%uUkj+5E#J*;hY4VUvV|86eW1@*p6NGLSpAsgWB__{F`xFKi}niwVUar- zygR3goe!ynXfnn;rHi4mmb1F7FUft=C68RWRI5+&tjc*TOibGj*t5(E-v|4k?{dG| z=hmE*#P3kYPgKQy7r0Rw%v3DNz-liKeOb9KzUbSj^x$hbsGUSJNdmWt#+1T?o$NlZ z2CH#j?}h2V8AH?3Flf`oJsyX2%Yv*kuS1tp(#?ZWVC?i>9R<#K-QtKJ&V zKZ(Cf_Tzw7vV&XVkKyAr196Cj9@a@7mNJ_$e(me~n)^S0zJWZQs+g6`20xW1w|)b& z>*Sv%`sDA2yB9BJf8x=tP}kkHnc1Vj`Q%!3Z9T}yA=o=Ong)`r&QqKYmWi9bkE<+N zwrrrWd8#~t*#D%lxQQ*Q0~FmpM9$~)H_eXPyOS>L(+5K@vR@)|)+=N!ZanrkP6z}%Ct$KXn_=(k( zS`L`hJu7eb&YlV2a6SI`^wL@3_J!Eo|BA_XN|U3$uprHKY?^C~s+idJYw_;Y%2QkS zq!4Dd(O-`s;6_V;*a*r4=UL`(*O@Z^1{oh_)=!DTuS>>((W(H2C)V)>|I7-6lNv-ZUybQP;kI!gvRpOt`Msq`Hluhh!o4 z8N8nR;ITM33VJr9be1fC2Cb~|!B@Emw%hK3gb3cvQIC!HJ$8?bZh#)X(MzZ924QD+ z?rQTeE^@@CC%miV)j_G9R=9w6~SJu_AdK?Cn^^Rq2mn^_ zsVQ1d*NFKN`V}MGA9(J%ca7hcAXjU-yub#3M0Xg6M8kcBqkLl?{UoXmxpck+n1>?H zxIBnvrL>z{F(iCXW~5njq@?d*fV!aO;}Y=N^FJb483mDx)}C>dZjuT@IfTtZ(t(J3 z^B=#IBDYJwY3YyZEc2YPhXx0<3DmwC^VF0^ra-3nbesFm`2mo~W&YUAj_1(Tp}KaB z*T5L%lWLLH2RY?p&vx<^?Rm?OxaM)xyR3pECw_H?Kyrbr@G!6ZQw!l~vw{;_ceXx8GIA5yHiQN)&Dg|zEnL~9a!V^$`* z{C$rl|Kopoes3-A${l&C3&|DSgZv`J`oXJzVQ`M4jdqI$jC70a|t5 zdGokScwZmSi@CRHY5`Tp%BuAQ)N8>-heAXUMLI?VDkK>9m77!Kb@L^rc67J7V~E5G zW@jWh+4^F?BKc#7-fHi(2P*d~1>sz+gkK6dHHh75@R+B7LLKTxwQh*-%bv zstkCfr21^A`0mmV`J@8J6nor?Yuy?Nwuq=iV|OiZ@);_SO1fguM+13Kzh3}mHBim~ zv;CK25CU#Md-HoTNPXReY0LO2U&y(jhm@ZO`q{Mu!P-ak&lPFPoFpVL?F=sRpD3K0 z>vzU{0Xp)UXJC$CS zao}E%t)|PB@(oGU?XE9^Yu-gNz=)X)l6|{-JkADXR}afSVC|zYhhJ|-C;yexZW->GiDe%us_<9NSX2`LAfX{j@yq7Yn3_RTa8J(Pi~w*rJQu{>PA& z)RmR)D$+^B_x-Ig-Q>E-&sNOFfX_%mA@_|h@ zKgvh|v9*!a?*)Wybo$BrW70ls2xuMDdv}TaX!`((o4gB##6N7(U~+z@!2+abfwzM{ zP`z_h+p6ItR!H9qbQJ1IA;uWF!!w)H2suAft|SM#I1xw&(9SIeZd!lo7w+$WSpqsy z<;OZG_Gj+7#Fkdf#x{f?hEDh1RXz~e1hxSL8LQqoSzlCHTv9G{dnT>MddJR^f7}v3 zimG-id5gi^L*8zeP6nPi;qO#;_asKY8J`S^AXH_ts1DEqO1TCV9Jg=7HkQye#Q}z z16=h!ShxgUKlW%eUmdEzO`%&ktMrO{fJgbghp>6YFT3SryNycGEt6kQM-i&_OturY zwSYs~-M-7!xcJAr&9^oJGZ~6)Zz~b)1)J!F;jJs|wzA*{!(Vo7mssgezej|Ja1^-m z`WAuDP?IXxwnf@;=f^zHR~S&l*s0QTA61h%!$K~8+2do)N0&pCX_e+@m)e&C$a%-s zDGzwGSAipeZen>%l;G%2%=QQ*Vw!{(9jK{0ulO=j`fL%HDkA(? zyj6ZLw~q;Xn~4BFzAcL-$d*g?1}-cOnaxI3nmcDH@jvKI!ft<%xGp(^+SjBF7*DGW z3EjjvaWi^=O?4N+m8BzpGDN57jUX%D?afbWjR8T%<*}v)#CRYpwZq_LN)8|V` z3Z})zf8P5P^x^fB^tV^Hk?pv1NFf!PltLtOoo3R3(m|SM8iAUa6A=XP&$K_E-XJjC zsb=@!(26M_smh)qzV-q^M^24^@P4&8rT z4*lH&+VS-L#P9vvH^DuWSS`knND4ZOds=<6GlX~K_Y1x7Kz$;REG#;5d^{j&0qDONEp$J`|bN3qokQR3Q)i3U(mFxtlaLasJv*T+YC+xpg^LO$Dd`c z^KSq@i8bcC`_r{EB^e>wpN=D#()4Ab>;Fa8TLs0{by2&)CAhl=cL?qhNN|F?G?w7f zINdnG-7P?HcbA6X(zt7Icelg)o%-wiRp(+~?7Mxn_L_5y@eJJ%!QrARz)4G{rEA2F z=Wzf0TXxgf-sbwgJYaRWE4`yLf0VOmSsMT`)qNU{eSr@J77uZz3LEJnS&Y2$jbJTEH} zC8oFDjqz-Hjv1x`Z;j&<){ayaROQsed&$&^Z%ZVR{g^Z3ZWL({4Mqw>Z$X&|f(G_& zfERoiK0WI$)PFEAxzc;~>i)>r=MS#)_i9JSSm_^tqljjWv_+=yKU#2vaPXyLa5zm5OSub+NHDC1$_vMi@2 zO5hn6Q|Zg`Fyg>4{KGe57iCuK9@_9d@SDEt-ADy+Q**vx?@LvznlBjtU+0VAPA61A zdbUh^K2xykPE8~|e$__nvF^_T&(MEvbc=%WYeEI&`Qw1CaE&0mfRBm-5{%N_sBQHj zL0BQ(2geRwDTXbuJQ3^Sg29kwIt~vqWRD{m~$3Fc|;Zu%*OcMWlou)MTk|$+^-4s94Gu^Yaj{3Pdx2j=EVM*}n)_DWcD<`;6 z0m+WF@r{bjfLHLichTD{fZ{E*Nc%l2I&6yL&|Sv*|C%19K5{ zI?LHkO~Z>XqVUAcFnGNf?OPB=lc3+2iNO~r16X)LAR+a^H&qP=2#MsuFDYme@EWFE zN|FH2wv_wk{wD~6 zMMoMUe3}21Zl7BWd&Q4Ci3;ru?haWO1k2$x^-Zxp44YH5D~cM``f|GKZxHNr^>eH* z<~Vm)n2?VM?xi{skdFQmaD3wwF#>h59Jmoj-7pbRmHkc-%H$?twGy33#IkNUeu-<+ zbFV56Ei#J-N|v%9!N%Wi`T^!El}O(9dJRjw`c`_+eL~ynJsDJQ71qJ*u)x z-tVDZ>zvhsa~QMJRd=Tza`ZdKNr65+R;Di zJ%bB+)m>`7;z!qakr+dlYbDJdeLMYq`xY^4%RTxqQ;mdnXlb#hyEr4x+!n}H@0}*3 zl0nZlRiw^W*4N%~*!quutYP^vzt-fSISOgk%_*qHge`U1y$^=%d9z8}o?rBR$P>o= z8MMhFu)KIqu(ImCtu3!_cfvU}hgD7|1kNhdZEU>He@2k!pj7% z?0|dqcNvZ}=0Krz%1o6%TubZk6i3wOFO?_frMpxc`eP0g4;c=7<~h`kzMZU?!ndG4 zAn79SeTxh&Kj8vV%e9CQ=qCtc!Vqo>Htr5!g>ik9rf^0)0=f?iO1-Y2JGWg-po4|M z=UJ8 z?osIn9YcYujpM74Y-0mNsZ*)fp4i|>xu@$i33<>K2~5H>fqC8elX$`+r+jUR^nF&A zM_e#xD~?DQ48{Y;bF_c@Ua%yFAP#_z`#26qR_741IGCei`y5x~q@kZv-qzG0OWm{u;_I zvd3x&w&4<8`klX~yjY2GR8VQ#+@uGpx|{V@@w6Xw@Py6;?`2!udHo2vc~RLn%l{b3 z-lfxd1h?W9~)lSgtFHY2T8-6xw0i1Wfl9e z_9-8i)ydkh-YKqXXZ`7)=sG-}m8!X5z^tPdoy1@Mx)L%3n}0zuY(qC{Ni^?A423OT z=*q7vmYn^nSmKE~Y90^qoblc9YWQ@jz~AdGzKr9M0xx|5Y>UkyeuFY?lqf~Rjx>m^ zYPR<9v$+mCor`36R%*7PwxfaLaZgmg-x;otF$S`+l0N^ywy~XpjF%Jniw0|wP(j<* zYMn|((?IDIh3-#)kk06CY@Cd8>IiDe{N7#Hk9_r#DPOx8-s5sa@D{Pvn$BLt0Qs&s zu;90ktDhbQV=ywir-18KSLh#{+;-fRf0&~kU}m7i#azfz>=4IJXy+?@;giGsHo+2I zC4Tnsz`3W7^M?BjDXbLHevG(c+zL1PKyK>u&xrWF)D82LdY_uWI>`41?7{i1Z5 zHlF!7yZ2yOE`BYD>#XXhV-hr&#kj#eUoU!4ExkoP-HZWoaQnE&^!qp6Ph`jOFfGsVXjxK6r>pBU5yK^UTlX*(P@@EeBe#HDI=kGn3b+-2;1ti5}q@F z<Xj%USO@{b(1tb(-VGA@pX@=GI!-EYxIQbsK+(X}B?-X^6%l zWS6{_(IKtUwXV%i$XXHMygl(CsLY$$BJe`IJ8aPmxXA=NDZgy>WC~61i#&n8*&4X? zH4v(7x?g75JTS?59=3emiyI-o`J34`*nj8a-MD8MD{=dLZys%k(`zgC5aqsSTetg znzX@7pZh|p5Zf3ZjRMe6df?QNtq7 z4xg3B=_qW#^}5j9hX64fHDF<+KZtv&g5(Ai#dX#FPToT-vPYj_k!ld~^^uk#IN5MQ zoA*j&Qm8P@VwM{KMGl1t>?7hO)|K|^b-1fvEvaId5cUxj2|6(u5r*q#_?B9+mhA=w z8iV>hrMJl|XJdHxJg9};K)cL3+FEXv75jrwj9>r-StgoJ_}bcG+kHdCOGoo=g^lZN zoz+ol_$@_EzqzQ1Cn_(;Hq2ks4msm?${D#1pBVADcy+d3#7aV<)$B2T1M!;%b)aP6 z$HXHGeI#)+qQsoL<$apoG=p1lk-M*n(YnN&YLVK{{3syGtq1Jn{zuZ7t8?CSawA~p z1uKXaa|aevc-|wm0?B!)0Xbvbu?6h`Z83Nw@{nBaaF^Tty`14S_iB7JSAo6O`%mS6 z(^0P-dRt7_pWHxqCLsbUOn8Bcv@h(^dFI}`?~y&d;AD*O z6D6;K3!FO~TiCs>Xx6JAn$ri!Yo`~5$b`v@&T6;>o-u_13s^}TqA7r(k6k=#usQve zp~iI28R(i2s%NcOa)>!bzC^YYB^nDRK@ToD<&R}#-2`;;AB4IHi*Z6VEIlfl{P#dA z8`J3s6R!Kvngm4sNkoJ6k~#^ z&6O^v`Ht|-CpW}N`7o%&YpJ+dJ&;0p8b!3L5ucG%Aa-+@gPjXWLki20=&uU4$TAt9 z%$1fbrae!y{1o}ZEmm=>#l`$7_lb3Q{8cjJQ98|7&{6ameLsw#{8nsQM;xuS8WO^6 z<4Nrkl^6`z$M#{rXip2>NU1nFkAZ1gn4uP7S-L~_M0;1b{wO}j#~Lms`aM~_BCJ>@ zARgYejIYQL!h#SN6IIG08c6)B`1v~3QfAs@7_@}AT9u8_)FFW5#&Wf8cRnF!V&WD& z&y+hxu2^m|kpN5;YTArmh7Q;GaeEVy$EeKK_Vl5`K8WfT%6>X~^cJ@6HMsC=vU@JSFxW*ie}k&S{^Qj9N4!_1 zJLaiIuEX4IwNSQU5nnnkq4iGLX#@`$a}pmhCRbsNR!zA(U-bLn2JHv zjI4kyvgbOq5sN}Pj}`G-;uCTlau1OwmpRQwo=&%)yy$au9eWqxt8BB(=9oYW#IG}L zqyN;A$P#-W+tK)bAAwRD{;)SlegtYSwL*z#jfy4;NMKtH~ePuxJ{K?sgcji)lj5TnmJq z%2fTL702+}o!WMO;xs?cT?MPdI8e+%Z;LOl-H`bh5sy5su-o)~^6jYC?fm^@j*ZXd zr>LCF@(WxB5;}6_gyW@8PcIQ%B;qE&`kT&5FO-&l_$17cyn*zi9Hw=*p3o-lp|S(R zI%_DnpFz*};2e6Q;8yFVb*d-_o0IxHs4p*Q+iDW{NdA~i&{J)W+98!mdp^ z4EkYVk)g%aSv+Y_c8x0=Q*F+miS_w+V6gP@=)Y3h$;1OP6q?nmCV*jV;$yP&S=%1R*3StQA@htKv8vAzm&ZD<8od@=#fRN2qDkUWi`LOqA?r9f3gD?WE~Q&mzV zqH)5LfuKE{5cv2zeRqN zzaslh#Pk53A1wqv*@KfAAjL^xev@B3W-X_cm@C3V8HgiW5QX+}pO(QkL?&mS^a`f^ z;`y4Atfn*f^KSN{A*YkvdMr_lc4)`pi{CKfJdcU|FtlBabu}15~3$!)~ye zTj=Ig+t8dTtn2y$tx|-6QWdy)?mLM2BT)+$S$k%8UcPmtH~p!IkN=Li(s`}&3eiZ2 zE&^^>c|pG}e%-sTb1`Q}rko3Vijn7Dh@$N=J{ghr>gDgA@OG-JY!PZ<`oOKhVGjS| zf9b+%{$`lFTG=15p-)~8t^bm(7Lq0!1#URSdl9<_L{X9+!O6TGD4+@dLm`8M#`nj} z77e+~STt^~rj+~~8H!a;`FR`^1|nB{*{ZFZ1!$rF~ZcTyN zvqgh+IOX`{aoH_d&tyb>F4iRkk_oAd?VhSFfARiovWsftK4p3mkY@5OH#1vQnjYRo zSD3u>*LL0BcKuAxnFq|EHt!1alCN<8723hb9_?qZ1-ZjThu=o_>&7wsCsibNp~Yi< zaU`OanOnA(GAsZKh>D|Y{N_n4w7;l!R~VJSmC%Fr^|2^ z;-MQkmtoXDqyJk17vkwIbIXOVIv2|8H`=PjS4g(GpH<$TESISlDIdNQ=m{(k50}2I z3j6*(H54kD?pB$#{3rizmQ-Q0eEp3ntEf3o(UEtQC2VQ%3RO02E6uo}JX~`4B}B(I ziKL)pDRH`LmUC2q5urZ&xBB2FfVx9bqUZf6buN{#F2 zj4#9v%j8rnVBSs?6)T6Vg?x#7iGNAc${Qd^6@ccLD8nvO>9{!Y7@jX) z`_VI&$si>Z#60LmKc9;v9TQfG`C^9reM1KAF|<7VJ+m*b3`bL{s7mF-8L-DA5CB>57RXx@djZ)P8mzGvB#1KCnLHBo28Zp5Nd}htl3@>jVtpA zJwEd?c$9fsVHq!gG={Dv|3&oPr<~+)VP?GRr?*SoYN>uu&A6~@XT2L`h%R}Ko~DNi zJ+E0xkeKePWRYVCH`noq1mb5^-SWKY*=^=;oK64$Ar#M73B}XP< zxXTWZ`4BUvKn98%=%xSliH}-J?#TbE09n3wGy+E+lEpZ+4b8OlVAJ5vsQ8iu=CF%p zbX%|MjkPqxaqOsZcFJ;$XUIN(Z)P64n9>^CQfaZ?r-q5{G`Ji2J?+H3P7yV#Po#a5 z?g_yT9%qQNBswE|JeN&K3>THu9O~}KfBu@{lS>-qFO=Zer_?thyLC3*b^H{|ZFwxX zR_}^?nd#8J+s``vnIq~=bTgXj)i>zatiSAbc&h~fdT(k1y&L>nd2NU?)p8dSh(Jd?L9RSS!Jha68vz_opJW_0b zANMM-AOu!F;8qT#2$z;1xvUoFi^km9j$csBc(dg_^1Y?%`&dzQJ~XyA{KV~` zrCg}9zOL*Lc00jmnXXTooj2?dJ1By|MJn4%%=Y@B($=i3JKgGy`}UZ@WFgVyM?p~I)#tvY+8VvO~#JxuV5)Bx}29P zuVid;ez%3Ti5Em;(Mu@60B+tfM1A&BmE_31ay?TK_lt+8pB-5x+(OAEz<>gh13evz= zax@DgNxnA@?=9}T5n=(W-+I1N@&!3e6xJ{~kcjnvPWI(SWvKinKUw0D$Ugs_Jwog;d@ z#0_$Ne8moxJ4fxj(%;}9zQ}(2>|c=E1+(|iQBZMUWJ9#+1J~>ALFl*XPwFOfh8@KbgYtu-a)| zJ~LDt|H1z=WXNN^Y!Rnq=Wq~l0aEC^7p5=+?8X|2!RTgeT-#qO$XDM3Q7(JAl%zw~ z%LwYgT2D|*V*9(W-jinUkZx^r=BMM!Ast}qRfmJo^k$jw;d7s28$(%FiD-;=RFz}Z z3eVk7z(k#j!_Dccv|&ZItX*sA_pR~Pw~F!7TYJKe`L)vl5E8DpxnG#*34bM!d&Q?h zQ(M$t;L$J1_w z45R(BEmMv5ieGmWNBIDQ_Q@Jk7h@5RBFYfWGw8@_UU>Nd$v@@X*8D@If9_1+7>45C z=wHupDgY%FU2*K`M>+jL{PU+T83cU-PWArHBRJh? zCKMeW-CwtHlTuQzrs@>uABb_t;O@G4&(T++#7l@ln7cHA*dK;OH{Q}F=}SyFYsKE7 zGAdc;KF6LH_rWSC9!PU7wzjqO0)EF~XyL5kit>5N=9-6+ok8Gft2v2s{o+t>(_@Hw zHk+rX(S{Jw(A5X;2fNXKT%mT+e^J)1A7*o_ygD{$xv99_%z9J^mOtCDoJM(X3s#4O z-!&|~9=TESA40^A#W+mu7q2W&`h84%dpdHBO%yLiE(2wBgyX~;D*@9mOQvJpj~`jT zxFJG)r;ReeGefPvxJ(QQIr+*jQdt%(eDh5oai9CGLwVCpSu(6emX6eJQYWQF6TA*f zWK|Bz|5<(Mk$yr*pTr1fF z(=#*F9!BN%f7-2@9U;u&Wp$-iU_{)uM$Z8oNNE?_rvF$RF1>ACx6Ae313wcJE-R?$ z-+f|Wuz9*Y;Tm^yrMI=yJy3}^gMRu?XMYd;Pvr=ZAN*wupg=*E)afrywl}Cq9)tUU zJ@;;RN7;<+CP<7qCX()^k|OS*keABT+RCz(HoK#OH^GZ%)WY7tx^z;GTK7!;Ef3ER zZ+@xDz+h!+9*NnE7M4JXLIW8*c#(_q`)z*buSO8e;;W>1Jld=5F0eG6LH|s1C4($Oe!FKOSeV*MZuD1*>SyQ+Jm#sV3Cc zq6g7ouoYWk$QS&7w9%C6qzb1NrKfpg=$ zb9>JS8hKyK*&cU&KQr6;qS?L2^6f1u91=U`hNo28jM{B~;4?Xwp%S)#+hJa*C_THG zSRT^9d0km8U3Muy1?8oO6EGL|@b78YrrbHX>6Hy@?f{j$3UL*Y=jBLtXS(cde6Oi52$}zjv)Ydj{wGT4C@w zFzGY%iF@AJ*X;RpB#osQ7dSwxxSW%Mb*&E5{zJCspVY$HlD8|FvLBiia=`b!F4+J8>( zoFMSQU5he1J!~p}6Y%NgDH*t@44G(0zY?1JjV5z|hEb!gFWcNpl$*E%>i&S2M|7t8 z{U2Fks%m)v_1dYO?77;bG4FTeyiu7UBITdv<%WxPfSxdI#*1!jM-pkXFfBTRG?K`Y z<6&8<-1#tng4#1V)IZz&{WDj%<#IV_gvF#q6gU{dj2wZ06w;MJN-}c~qg&D!mbJX* zEkoI1%YHaowyoa#X_fzW6E-n_@!UAgpVhdpM3B#~#m=AAl^BTMrZ2q-_-tH`hs}{}^GFo1XzcpNG z9tWDWb{)MiUj^)R^vGmIzZnb)iKK|3-G-KwPs5R+o$i z=!$vd59no%(VOdzr+8I(19K&JRif%a+i^$dtyiyt_uI!MZww=HY?~7jB1ABwttUkA z93v9J=1NcWJWl`P7ZIdan7*q&;1ns*`iwJroB5>xhe?7i!YEVDlj*7nqyfSs_kHoV zfq5Hv0)Jx@=!@pjVc#oLi2Kuw$+Mp3e<=8o)m;zmZLR9X!)HU?tP43jMcQZ6OwUt-B4hmYYZbPqqiY9*wxyO(w zE&VAXb^o5WeERDhOgwlR+}J&y7wiBet6Y9@S=WJi|MJC)3dq82>9tlyarq8H#&pgX zA|&sSz6e4fYiCLKSnHuz@1hOre(ll6L&U9{K2~vok8V@z9%O9h&8VFLbsKhKy@u!W zRRfn+@@78NyPIrHvMz}a#4!!8tBfE}vbk6?Pwn3Ko=ZIO)!opG<+j=q#T_1vR*(_C z2XEDQ#T6#G{tHoWXM*b@Y0F9~@;a?5X-lx5AQ}tNd^dBZiB70+mmj#>VH0U1^CEy2 z@q0W!QQp5x2E^~Ry{*FjSm(cbk=s}9Nrj=cZNeCl8#ThtWVx6E$focgQ2<#0o@dnF zqMhF2zDIwQn6M=@bRwfX#R=U+osg* zB&zZ)`F1`N_euTk+dee1bG^Y>z44{co~7WfGkML3mA3)9R#vdM{`!s(U+B>5T(@QY zUSS~n?ohBcjy(4MnyHGfZ0Jmm;gz-_6Pjs$foF2i$l)4 zj;1@PZ2PP6CZ*zZy?7ls^;c2uDd#DU)&kjJ4&z)Bv`V?w!{<~pk$K6vmcsi@ zu-}Tm7l4X={ebMn50V27eH|dgVTi}7mof@aoJ1Kwf{BkUn*irw(l=wIajk{%aGB=F z#C$tPD{|jZO;bCkLGeTtrmrOf1fWGLV`p*P8CKc}zGXRC!2Ox2*MP>@CtpaUgc*4J z38Em$`gFo$Jp-I7nEz#TIoCB|OE-I#z_&3aAu>6#2SVSVZ>7nOMfQ`Z4I#ik9 zL0I%2&Mf+(J&K57Tglwd7I`9o@1VxRqih3(pJhHm0y&uE{BwUwLRQ6AUS zZE0~^J1!6};{3$FGeVoQ><$t!kLK`q;c+p#?r4t``>QU?`}w{pv*SS>3RUYL4lMWR zN-gr~&-waoRiN!V5+qSD>U5|uGs^ie>*CCMH6}E(;_hrc=T+>DI__)(#=HOa;RI9u z#ku5rf+aJsKSkcKOSi=PuD?=F=S92#ZjRpWY^h=<=_paiBKteT*!RPD{P!-El>PM8 zGVp7wddbKfBk(fw*L`O%NVt04(K6<{`)fJ+`b%CMi+jmzj|NaDzK|*7Zn-Yuuj22wVbeDF|MEzwHJM%lf`OK55+Ocdo-R zJ#2$PMfR5uFMZfR)p`5g&M)F*Gu;hp`VOQWZLFvQ#QffEd@9f1krJ%*kdm2B26B8O z%fEY<+>wH7@7!M~8Vsuy*mh5*_R8+8moW~b9vj4Sm0tJUD;*vza%3x(@^`f=vyyV! zO=F^>lA`_>fuDxSP#A4925BBTXGp8>G6Ro47ew>?>qzD7ea$JIxtLB8@{aq??@;Id zH~S5m46Yd)2}`zmge|CC{iET1CN0GfVhq2qErQ4zYVG381xU)tFW9}9)7wxA6LzwO`QIWpB; zLY6|j`}#?a1BvM><3gj}FKPc$di8>$Tv{CZ2^B4sdSy{WIDC|JdQG(HQ?V3>7;73g zKsphe;sSIyZ<(}oa|G~Wc|1sg=O-u(-v=1@ux_Kko^B!u-uVexC_P^d+8hJ;V6yDt ztS~}=COQg>nSVrmGIeNc?0YLub(BCEWuv6KkyW%6O0X*X49(*!<_UAarMSR3Sva8PiR6-mEr-oXVAoDDmm}eIekdWKm|O(H7ChSG_Je5-UgCXLvbcZ^xuJ zbu=s8t&^34nbl3pvF);M212#bk+fI(iGid2r+IMR10#%BM}|34dTz~?a|P4=1U^5e<-y= zK$?$x8g6?CbV_c^;{1!P)8;4ed2|$Jd-TQ|R`2&=8f`NkxM>}^4ew#5S9|r|TWgDR zIfyJ_*Q5XnCEh9ALy8;Z$`RH4>jpMylXF!$dhBZV8!a8|Ct{XUbkFQp_?*nYGC3DT zFh@f%qhYLRaZ2wMB;m)XMwg1!*zX7k`um}?4JIg#4}uj$zaOqs#n1G4SL`B;Pmn4| zLhZ0yn_#{2ek|f2t_{YV?Y&Kny~#_r5Dk}U(chHOJ*{feWf$6Ng5&7B0<$rQP}Eq4 z&np*Z8tJI?ZWy*RCsNe80g?D+TMmbg%@wRS7j$}Lfy^}9KgYKE!!5V+_3R#|OSlR$ zYeNh0;3%bZc3bNaw0`&KHq!L-!v3S7&qd#`Te~3?VD2l_cv~a%5S-9q-yhBw7uKPK z%!^>tVE)lwLX?I2W8vW=Ru2u^IDO-2*8W5XMXi01z< zsE+604k2)BMUe(n9j8mJUtFrVh~jGpAeBo(#NScaDj>iVq_jD-rYG~+9H#3@8x!}Cj3ly1e{?&%z03~@it2Gu;3Q0QQ0+V!ZHSJQpaph1@& zxJ(bMpT9dP5#R6R7k(M>9nTYI+O%~H#zxKleO~h8uW(HK)IEH-C@e8&P_DVO=?<#W zNMH?m0V&24(Pt;-i#u_mxPK3F?ao8Udqj!Kl^(;4#y)F0owU1{Zv#8PzKokd^#?9zG1BiRSeSW4RD$Bo3l-;*_b6W9JZ~ zj`$xFtQ)8N3Z1rtmr#}EGe# zc&V6QZU7?YtpD)gdnOZl%8khm$bOa9Lg770ZjwQb_1_cEoreKzt?2UIOkS?P*;@~J+F zI=ImTym%3f%Od!|ePIaw4hnf9W-T$^X)dV$xBIz)b^ zg=>_T9W&&lo36>UUibHS)!r~@xy2X}cSwE7DKElRdGHSL%>8(^J^$hWRNML?UYcNP ztKGXaw>~)6S_d3~n|-UcC3smJTDzH!)IkC;Zu?r;p@F+O;l*ojc*bi4c52m`5M^K| zLUnA~LK@qk;+c~qbUjWfPAyI| zCebl~?%l-M?EdsORw2u#Q@p-R7^Ni*9hOsqmXcN*zzCIB`~S31bDIdog#XdPvPHe? z?Uwl}^cv(xQaI+r@mcDfcZUDtnS0I3R~R=K*l1Tw9Pg0MfG;>sQ) z%3bd$L~-GYM!^o#lb=PN3dtqVKfzu<23bW{z0ux3!tFCAHS?VV* zD~#)g*McLDo^mF`lU~K_*IISgU!Jp&Q20ofNBIlC&3pE_dI+G?&~B6u@3O$dnr%tR z{ZhsxMOXGb;V*Dh+LNlEDzE zif55;EJ!}3NxinuMbJi}NFvp*+69eg*0 zv(K(A*$4dUXJ}a^anpo9TB6Phfn^o8pAi#bnzR=05*_%;2DF7mW8+o+8(R`MEc=;<{E=wffORp8qwvCLM3tIkHgic@si4SS!A_xSJ8N%kJAZb`O!@)0k~ z{NOP7vr7vBM}#4N-r0pkjJ(-6S5d0FLTB{u^gzxJi>_R3^!k>8Hpty;Z@u$hor+9R z4lg+Z{nv|x{P&hh9$>N-d(%U8U-fkgW#%46I?rHWRTe|=-RhdtF(*71{F8qbk2bpr-i9Kq%$T*IaxE|y-AC~s& z)BhIY81Q0EX$X+*Ztyl@hAs!W~BZ+ z+fwu3GL6Z=9`#j_$Y`35(Jq+{pRyTk4ZBpz*h;?gb+-u1ZUWRZ!-}83O}AtBW@?FY z{%21!ir4kH98ij{?Y;TelJ+qmD^_I*UcE+q;c3T%vh*d>g=X`CC)~Ch4JmsU|~@HHjtn6?bbK!Wp^InL8|hm z#2RcJ==OjCCE=p~XOR=dzheAuqH_1wivFC9_r2Pj9H%~vj~bx zCyzx-Umg%ODLJ({Fw-kLzg;zK99bN2<8ps(j}!nuc%d6zCg`O{E`T^jdo! z&FAMAZ)9xs04D(jKFXO*8f@YjMN2PM#gpePB!X5YH%>`>z7GX+QH|bKXha|T{|;C3 zvaVXEj^A-zX%#=*TX=bXavtVZdUOuHv&rAwOrH&H`bIf7S!wvR#ObqNzVq|BkGn(Y zkQA8FZooshOwwCv71S%oR%2=2$$Qx|d2Jlbq}^sz z+$5{RJEg&(B9nS5M;;}}sJ*v9c-^1%=Z zo+qw?2bbLK-Z{7_UV}(Zx7MXNh1(n6%3nJlFo;k?`~W5)4)w}ZnWoG{kt@G4l_<}6 zTS84-tML@KIyZ7R@EGU<0UP+2%nN^I56N)e>6Yc2LN8Xhq>qrHnFVHuzIoGMnGaMq zlg5024-ODQbE60=zH*oG*qdTSX`OiQZ%ty5Vn@t7fu{PWB!8=fWQG!I>#lm zuZ@*%zs;rKp;~gq+BgZl?kLBG>(^@9a?(dxV1_NgVtUKp8eEijSo4d*UWrp&?V96jAYmx1s~UIXZ7qH7i8ES5{QqhPubE$jXIsu}AA zGR-rdRbYe4U3%eTpjC7RJF6&%&|2i-6U%#@8*~pXcb%3HccuF67B_bdM76^#Cm(_vPvL@>doQ(X60@88e@%~)M z4_s3DRI*sI{zs_l$fhx?H&}@1r7D64csnc^DtBGrE{gpJKYMGpK;>ef)F1ON2iQCT zt(-Pc^5CI_j+~j|D%l_Z=5cR+u4^aN{Tv_M|0a=~Bz)0=n^b42jBjtK)6~>1?LO0` zutQn$+u6ciy+w*ngZ+(-+1(eE)W)7OylRrezk>IIxx-@O8D4BqGR3Uj**?4qddDQ0 zYHUVJ|9xxHHQX64F<7j{zIb_)zW}OMkGYd06)Tv#TxO+Fe_$KKQ>-b`Ok z&#Pkk7Um=}X|0^zy)!~!-t80~t;zB!jD_)E z>^0M-w5*Cpipy_GG-_x-6+Io8#a>rNKQqlN_Kv@IDCZpbdo!21uGwf_wjOB|>eTc; zZdoYkbW*W66f{1_NK+$DT!6@tHtob6*B;!{dcM9$--xN`F_Q6OTGWmNnyx&SiQNt zvb`bFo>5P$PyYX5>#f_O{^M_5>28r`6r>xZV*~_5y1PM??x9max=UgR5$W#Ep(F(9 z?q=wkVa|Mi`#NWzeVy~~Jb`(CUTdxU&d~9Bf(s$-4wKNJth)5>4+gtz*N_JRrVHb& zqCusymCA6PGiJXGZ}2^*I&=!*Z(GF=WCLZV;o0CVi)T*mopE7!J~1+B7fjQ!&s+$Z z%rk%|{0{-s;*(GDTaY!|dx>bpQ0&Fc_}tYEAZwxeO2NDSC^Y)WzlrO)`}wd!dh9@Q zf!Mxo-WLS};mvi&wvSa_4LDu;re12Y2H&qR{pn??BIHtk2g;ClklHMgC0*j~^7MX# zF2GbS+Zi&O)N2F*Gb<0gDAWlz-s3)O(Ty9he$@t%vW?eaq<^1e5w66W9^A)7?GN5Aj!gw2GSHxN3#y_vV()KsiT+WNGp_Ljyt5nGO;Ob`S-=jFkS9MP`nXc9^ zvL}k`I48jRxpeFbBb@WWax~~HJD(z_qXkqWM3=2`e|a*jH^ABy)e|ntqkTx7-hEue zKHr+d?F|pbPUtlp&G#;*ML;@v;`@<~8x*gwr}2Q}eyW?vDbI7Wa(>6L-+khsqg!fF z=}K;djv0Xu;|Eea=UtI$D2x6O+U2TRm z{s9H@eD#m2&75GQ;jxr|#n4pY|pyH8F61 za~_BA3Z)9dI1M^#K4oxJM%w*ruG50O8&T%z@^tNahwB8AQ{w;Br z@=bee8S4jzG-F%Mhw(o^hqt<*QkTfBwhC8nBNmznj94Ug1Lx4POCI?Tn8B-fJUG06+PV`A&bVHSf@d8JsT6 z?w$_z2@%i$cXBg>ofo}|cN@2ahrn>-hsN^XSbV{FN($>G1Q^}7Z4ynA8xOHklk&4( z^0W+meLdWyPPGX%0Q%OHQMRi zDBswrl5^$SNFe?+iNM?&I85kQeHzOs4&#bXDsSpBAMYFt_=!7(SLB33GKZ- ztg6O7+)7i}ToQ@Br6rvP6}tCNll$PdqDj)Wy+*>rL*9_kM&;tM1m!50WJH_dD|nY9 zJGnBinQ&|scV3-*;+ACyBW0BVDx1neMxzoBK8P;%*+{fkcT3(0Hy3RhE9Og=yH?JE zKAX+Y6w@hRwM|cNibS6!X_Y5lAmznpG<6IRm-S%-9b^XA_MeS#_hSyVqB(x{+?yF! z#KKMA$lJHq)w3}Y+Vw#y)nj4A0#l8a88GiP2)AAmSc@ZxDCx8Y=aS~`eJ}@3Hj`Bx zrwT6t;qT#c^!h>txvpL7m?pBNNB2##NS(laQ=}}~d*T`_R&(L_{!`fiblX`Ye!q)C}~{|w9t_K82hlgNw|{W3{R$LVXnRDy^F2JA{5aJaD( z{u*bwUOkY{%9hYW{W2}iFFXy2@KccY%w>K2xQAb7uS{5?awdv?=yHT}DbQU+Q|!2h z9^c&+qJHDhwsp#({Z*Z*^W8m@B=iKW?Vce!Mx;E?Mtcbxj@_mq)ekD?31DRL_(e=u zPCTF0KmJrFf1u>J_6!g2KK}Je_^@^@p;UL$uEH09SO0ejDzgjs)4rL8=rl&};>?dY`R(2~4xhlODs=NIYfJILeP?6UvTG zLFGq|bInim+W$(}<>u}FY5%j0J6P}EX;so0)tgEItrnN${r>IiEU1|VoNMk8iH<)wTIF?`*)eKYOdQMEER^76^ElIV->Q8lS5b~RwJ7{Qv}_U4tuaQAbj zCp*Gd&&1@})x>B^bv1Ckzs%A~t6qMf{gB6|rxJ8N{D)o^=qE;FAowN{xsy=jvKz3G{` z3~C{IVb8tajS@oWrkU`ddfu2~ve@5`LyINkqp zFbC-40(j{$tEH=Po(njAiGA*<`5&$>3_J+>w>!1|b5PCwxhgV=44$0u`KqyLASRO(*-FB z7e(L-(VhB{eM^L^;saOKg!Qk?r8MrcZYF1QSI=ja`b{;{m~}h?LXJI+h5C<9bk|kn zuOlDgC6h#>&$6BbU=sLBi1s!Jr-<~c#etL_Jwj+Uv+znd^3{C=(C-5)i3+TD! zg880WB&hj<-37c3<~44cuOX)gt;NiWC+Rc9=eeK6TH8#yVeCIOK zIuZO)XL2MS_{;PwERS8s$52hzS0;^sqP1jHji!k@Z?3|~%=!A+_>V23LS7(nn$c0= z@DVHTpU&W!_J#PF)?i7VYDQL1ahVQ#d#wJVb&svt*WbdJYC1DM?fi@i} zoKD(Sm0$tXI?YmAL|`JFil2ob;IHFR$)BMhsWLzM>YwcC`&let?Hj+7H7c~BP`-i+ zNwDofdqB{}NE!RBIXQVtbKNH?Z8;(!xwZI`NQVk`&JHzYAZU=C9rm7l)xq2FHi_ML z1)9dcQMzs>^B=oBr`|ImfyW!iNi1;4g6(A<%7c#reSIT~&)9Oid-I>cXti>~TpwN|>o?}!BGP1Q z-~SMqj(F}MX`NQ7SA=z3+%ZxA{#`D*ZByh*@`+N4@^3Cp$c}9gd6q_@s zbHN!&pK)Xcd;7Bs+um=)ZzKl8;8FFJ%KW+o!MF^7B2=>xW< z4%HIr49d~P0lih%wU?1;0bB#{UYxwaAXTR(}K@lXh`TS z?At126iNtYoYTzljmypP$$&4OurIXb+Ywb(wyhqO&2|=hEUn|0C#hWIVift5=tDw^ zJ6Iax9PIRebg@bIXiAMha zgpl)Mr1oW3v@fi5^w1{(6F+Dxie=?ivs{-8xfw9BpQG*Sz4`w=J!mdi@bDF64n7E+ zcx^`m(ce6MaE?C6`#DKV>m_#m%A(TrSUl6NG$8oCU_ zZ@xgz_b5okNei?UNP^!dkWkqgpv#|S(YdN$Gfa^whw!6rrmm9=!|%MB+Y^-oQCUe2 zcn#fxu>Yb5CISZC2)$AoUF9+vzkm0W*5LCyb(ri&(C?7pXJThC*O1KiI(1V9^Fc`^ zLM^G}Lhx#^eX#?uLD(*$arkAEk~hYZW>-EcnS9bIbIO{lYRBx5tGUS69SpRZm<1%# zQ|Nu=YyT0=^`;~%&o#O}JzU=%BdQ!SmKy!#=c)zF#IzFb0*ul22&YyA-5bpZ8C0@` zmDtEq(k?Gtiaic1Q|EHi{+6X^E_v}C*{xe4KqsZC(qJUt939CwFH1d~DVi*m_m4RL zUzqc5g;~JTPokL;0|X1Z&2Y`{gwuAm;&t=lSUQR^+j%yD!O>(;M4Dor!`)MY5L1ztxaMEdt72;#~MY2g;B7Te7BKjQw4e`WhInrYB3@Jk-S!c_8mdD!~jx%eUI z!FCY26(4Z7apJ3%_y3h@3Qzkxv1dW!7kLlM_q*o42#TctbO`*wX13sOPCuSQ@@Hg3 z*K6TpvB#;EPn-Qj3HWGCP9U{`!GHkLRYdb+NBn1OXfx%c`JGbiC#V$aK_B59y3&@5 z^lPe5DqcNd=PuOgSWCUY4Xs%;#QP{+KK_Ax=v#F{RWG}dM8OLyAP3Wc9XC0jOE(_cMG=F5Gt|K-PWUm$yij13_pGE_ru5 z+mHx;A=HlOuNA&!w8&!u3SO)<8@AeKkT$7!RDm^mdCvY7UaepngO&Q1I#a*#Fpfm>FF`~<2XC*9q?{>R!BIgPGNEdeUwouc5WJ{` zsS1h&LvG!aoQHmvmN^H2?V)?JgHM4&o{MwC#G~HZAHV&b1w{`|VX0bd$nrT)#<*SQ z4yZy!vMsc2It*l|giwA;342$eBdi7*+SE4$AR50O&w{J>WAPuyjV`BNUB#X25MfZx zto0b6t@4dP!hICidzLNbS!k%{UXAE|Flp0&{^vex8wenG){`j97JERqeX|B!%UGxf zpgUr}yaTi>iNoX88p3JaLIvw!o z`CV&`LN!R#uqi2cc{Wb8dAa(M5V)cfG7Vo(XNUry{9|u|)CXqT?sP_nr!}5yx6}U4 z2S%>f##4&*-4|@C9<=Xf*@<8VhNys5o-|kYoj@J-!U(;9ckbcICCXRF8!Hw8imc+k zLo%Lb(9Rz`%3AHIr_}r|$;^%C1&6t9_Dd|Xj2$ud6tEmAo8({A@ji<_1*>$_{O-k@ zhnuIq&-zAYl!QSdekoQQlz4NYt#o^EYswBz_H2H|8GM9)$HsANLR`Og|8iEp)ISfJ zNDH!(qfRwVd|{SDx&BFR#$>@>=u4zj4Nh;>BEI^Jn02{0Gfy4cWf&J}4IL zbm8vm2)uuZPZ=)#lK$yoYjU;cARbadVmV}juQx2xFam!=VF@kbLZ$27VI2J&1QoaG zKfmCozo)kb*2D$-ol*6(#Y18l-5jxn&NrX=gS5+JZM*!q;_yV ze9vwsu81N`N|CBDAW@DoRs>HYSs?Uv94NR4{7D%usB-7&MNWhnB-8LA7F2eeKJ)R{ zqulFWJ1GwtP9{ev%)>R;VP3U|1y~=1qdB7-!ri%}o-f2FTG0n%^+o#BM$H9iJTe#U zZ9oPIe7%7q_irGDR`NmvXT$X}b6ikUlxl#918mPJDvGQI`!mu_23`Tl_X6Iyb`R&G zk7Jhn(K^nd9vS2_L8%k=waLlM5}r+P3K0#DPbSFvs}@lI@F|~ENZn&&IDdHh@Mh6{ z-)BnrY~3>z-5i~c$&;6d6OjE9lPaB0mYD_E<}-vz$89y5*_t5A6rjyW-{HeLBGrr&hfezZ?>%# zP;x;&PODnoS!;@GKb>fP<}DX{ar}Jj&-i^mbK|+xNA4J zNV7*X^bb(0-7ImL6aTclPPE&6yX7Nn0|Ol&6YK*1x(6Ty_q#|=_yYn)e{|b5q``n0 zOauv~frQYJ1k(0*QrSd0;Mb)H>vE@JXvN2*0l)E(gtwt-NIn0^Xmm?O*xDo*TGDRk zg-y0IY8toR!)`lZo%OaEa0Wjin>f1#!wsMQXIsa>3;KSu8oDL%*hy@CG@hY}?_5NA z=*~9vg5G>>V*A48yiIf`yt8OvK8C5#V3N?+F3(taq4CK*?@rV%qEvKy^&z$nQX;)H z;39n3I|oZ@QZM$Cn5u+9UG!UOL`TzfV^s?JHsM&9>fQ8rK+*9)6FK000vJz%3|@vs z-eZ57*Q4p6eV^Q;*6PfQgO6HbfR;G*P-j5Xtp&WT{s5p@8i>GJ(|9GJbUlXiRqu%V z&O~~0e1R;s+yBXph}0qlYfk9Eu@QlhTc6)-`qd4R`|U^~^ZK(dyk4?(fPJG3w4WYqMlFj)W6wS&l40K+d~C!lvYQb zJk+c)EQF^FExbiV?$Bk9Yy+pw9QwQVEUO0dQiAv+OCdGieOs_Vx zI2+X*&hF`wGGh)_*d{aigf8??#XLsmg(?DjYaXTgkCX_?H=9dnTp!5;P?cm8-<}Tv z87~K|zE-T%PSPEp+uhpTuBG#ft=~(ph{M}KQh8eskyoLN=DIzVDXL<$O4~k@LCK^~ zpG$nd1(YhjSo?R(9vx2dT8YN`!*;71hYD2 zonwtk_yyOrGTm%Qx;all3;Jnn>uw%(W3-7K{SPB54bC8#Vf?;ZtcFm#)4A!b0Fo8>&iC)-zsA}|!D=kUtLHjZ z;jU2HQ4|VutR^Yw_c+x>bL#GN$x=V#=U|Fm2K4ED6Q60sImxA{_r%f*ntbPLRGwyEDl}{9lXP??dbT&) zuAkoNM>|AR64D3!{01hti&h?tAaKwodhWkUQY^*FHiHI9%gg<;K1u2{s1B~Z_uF&K zoOi_z(np%gio4A*>wf>C;7z`zGwLPF;}CfXoz6qM%j%yaTS$D($(11piRtz*38wDES@ zDaNKE9&NLzI(Em zvgPj zsv9;0J<~UlC>re&qagMiS$B7<#cOW}C!r1J`1F-RoH8fZ%V!P}o(KK6k?o`s6e)+0(I+(GR8=IZ+BK(BFYnY?y7rg#?q zcgPX>;Qjm7C{gv@4+*_bSrh@0pSkOL*WR8!lhGG+0acK*fTL|Bkh`w&@5DM-EG16@ zq5D;r6Y*)R+qU@@#{B2r^r+!F*pPdrdU6ghb-RlAfp(pTHYZ_|f%uiq42%0wr?|{) z0H4`cxIst<-5M?$EEAPo&40DCIE^<1y*EPSuLh(e#?Fb3`fa}Yf}R6ArNngz_RjQ{ zt8eXBhVUPAK4W-y)l(>GRRG6M1C|<cT9iaRCw6t4J#Jm8XEDZb>rSh=p~-rWSdjn!T7SG5_} z68zE&VP7lz@bmlDsyr1r;*5n?v0(gp^;ebvFIlkQcXAs$ryg+_vX0Dcy_Od=MVk;~`^s|K)rynvVq7;O`Z zMMj2e10Z`OJ_h2t(&k`qiD+8FH1wY)T6BSg+EJezNwab8<2wohhr0x%&{L8>S7)6{ z*HwMDrB&xn2C}kN2Ij;Z94F4b!-LUC@a)a7bV z-~|E4kwN^Pc_$LgoiZS-CCz~k$@hG4Wbdg=ND((#@qq+ zv5SvaB}zaeL`Frli*?=w`{M}hawAI{PaxR-`1_yrOQby>F6FrLkR4Gen!gvpxJsb5 z7X(s&O2~oNG>qh5&%|lQR1^MQ9tXbzUE&rqFH=r5do};9LitDXm${$A1rK&)=Z%+F zOfTBrM3o*dsO)I9uw<_Ktsqv-;3j)zUhS&RrL_`UJqnX9Qo6d-1aH(xXw^`|oh) zX!kv?UxgMSl>yt^17TO}4~t2&yG-{i{1LnTF5mi8x{ zHMpOvW+)}9`PZk%BKN)WQ{rxTm)P;F=#L2Q?p0f{1RG9E8$R^95TZ*G8`p8qNcAE7 zLI+9!BYr;e<#0*mW}f)x*(8e~(w4U5J{NX^kEBZm(pK_*-1{#2s`7bmZG`b{PDv>w zt^w*lG$Z9hKbyrG#L&HUF9%EtO9Ceq)&D)$(tU07KRQDJCI`nXrvLfc5q01D9SDDH zyIr!Un|IqAFO~4QwANtGFRyOXY~}8HueaBxJa`uPp|LHX09jHw`crG~5!A`tb~fZ6 z2#+4){!;@p3vq+6R!&lKzJ&HZaFLh|NsV3P-*kbJm` zg!vWMxT;F`$|@(1#)+W*xtvBu7MQuPG`+c&(sg3a+;=#;17%n|0Yoe3ZVH3cKf;}( zE*xwfY#iob{*8>GiT~(*_b86BLwbLN#V|X1hKnYKYW^@qL!?BQRyp_@;68@NNj1x&`)`q<%|#hGEMk=6}Ni!guK<2osB329X_$MX2PK;i1Jt4(G-be~Un5`)g#)?XFJ_h=ld zNfxF^L@#mRM$={<%DwP0?nz-i%IU{9PDS3W|75bZxhp657?^3s(!*_Nmw!->`mKv zxo3<0u~>!H!Bx|9e`n)kTx=ZS%ARO^DY{u53Lt)D(Ow=U{h+&FJ_sGBsQUdc+h_EL zbo;91J(GgKqa8A%ttb&*`jQx@j*l2euha{4!#xJY^$rI1E6&G(tc)g#Q_Y2V`BDx! z#8<7Kgg}~-PmT4SyUThJCjGZoZ1H4a?ouh5IXV5of=4wLn_NY#%O>~gJ}pPQpyyG z`R%W~N)x~P*H)=Xw=H=k@&DAl;a!$5d4nI_sClF&p_@5l46!Y9XIQY73tzvcc?GM) z?2GSlKCF1@iR;L{n5mnblgW)5l*yQJeJ~v?^z}(CTm_+2S9?2I?!Nrw9xi!2R`@_@ zLR|y}x}T4h6HW%PJ)GVtIdW6a?Q=Ql8}1tJ25?k!J9?M8&5n^AqSQw9fKCD+YgpCc z`&;Pey~JKz=ySbBHOIBC?`YylSvMqb0#OjrxvvLCJ}rbyIg%1b#*rA2u?SnRpj}Oc zIX&5AUzx#Junj*%9!fh8)lUstAkfHqOz)Xg?-#~4#-Kt(T|XVSv&_+8x#&E5+U2y! z_qLQP|8&5j1oQ8lO~oRtdQr@}3-+kz(9MRhKV)#;-s0=r7X)*! z%*pO(|ANH3-8*&%SfS|`=xF?xA=1uc0d+}qGzFw@lh1Sg>0eOQj62p!%%?4i(eK_d$s~|VtX3Y&%K2G1bZa+e^&6K_EiCN?jwNZ zDcTNeNv%SisA~d(TF_R)PRQGXfnJHdcLPWdj^`UOi#)Wt}j?iHV;%$-g8Qw>0 z9jm}YeHvhqJNP)Yk1W*Y1^W!fJzH28UIDrJD*{()+6+ic=1;_OO%d0!A=%f5ACXp_ zJ>CGOmK3!ouvCsN;@z%8s+Q0Cc)2~e4%A$8Vc?fRr&b+*5g8Ot%7+(7$BWk?hF~bd zD^ja@mvND>GNdqkw?3eC^b2?>;tbybc^jyDXI#`6XD9?TJ{!9xS!_|2950Pk&90^$ z9quOVmF{Ym=5oblS0}H1e2^wze^76J#a+UIxF9llzUw|da-YyT!{h$DyPsDy#?$s* zn4HbRr|tNZZM}449IVr610?6MIzQi~;JCB#LPW$#e=g+FGxJR2?%t8fK*7uCR`>^` z{PiC|yFV)XdidDULpCHdDK>LvD2Xp74eurIF|MB}g(>~!(nExOYgP2+UgM3dX^@Z* z=H}wSXn5VQ-9BVg6S7-t8}wEJ-^QKBY405jpE59M^C(SMsOwBw7Piz7z~xdNQcaA;-wP$b-zC>vee+UwznN z484Q0ZG!FzZ>4y#065kR)};4|fVG|_YVp^wiF=d|+*lNvMDdG~5A-jzYKiJ5AdstN zvu@853oBjg_d-~1i>S}Go^^_-(&6|1p}T>k%>G!5m_CD-y=qDDEny-SR|aP99az+# zh7o7azgRH0EgM;H;H_{s#l4UOX^>n>%A$HOdfpq3R@#ZuTc%iiqK!#(PG;uI`uz`+ zBQIVct`$E>YwNymk{v?hpyaWhcx^w#0tL{bCiKWcadP_R1s4dzcyKbuf1Ms+C#o!~ zemOpVeMVvQ`hM6qJXocM;$)Kw%IV#7fZHRuX=G|5%$1?No>au538E^CnQN%IFD6qw-*_L15oZ&PH<$PVcdzj2uvvTyoqMq| zZuFsMN5Jx+oiLgmg{2L8ZUKHy$%oVwPn$&!o!`=LR#%Qbn?Uz4NK}!4Dm#gIOkDlZ z%qg{_UCBbH_Jow=~CSB{MUxG9T=t+l`Y&iALODa`@h?zXO|)5oWOB4at|gTBfEB8l05N`>r4W5RDaER`=`Up_l8%aJ`2&VEC3vip82 z{@@iAeav~MLB>qY#PqfA8xBGoseM)xqLxKq*~^F@TOF(Zm}>97xr+InF!f<9+uG*9 zei#YT-aRk-sY@qVs^H9&KwHH(qBZM0XV*x`qB*hlb5iT`*H3>%RI+&G$yj266-0{i zDa^52btV9No2N5ogUqX2X@9AL}ax~H;l=vD0Ti)o1NJ!h87#Oq@i=itrnMi@0 zRQiWVp9qg!Z*=eF=J4+*Gy+xTi)dM|e?@_@K^vqJ=~7$RTM~)SSYZ`$1oH1gSvTrg z*?M26PEf*lZ<(2wWEOGb%|8tEjKD7>(Nl+5QVVAHPnRT#m8Ao{{Q84&oNY@kHmt*v zJ^tL;(<)&9ge*qd_OT$s?2&5Q0ryLD?K}%M#vw=^!DPXod!c7zO1#lJBc4z0!cHk* zEm|t9Yyd1CC3Dr449!(WrZlED;W^l7Mc|X`5F_L6>0d4-$?xG$w#Hd{C~ADa{zG2( zh~kY0v>f+4oeUx4EXvro!0eazZ9SI)ky*m5KdFjb*x!Bn{Iaa7MWK}{HHWVVEm}3U z^qP)#UvfBvWF?S=GW}she^cUH-MnkkWrr-QIv-|D*s9H<$5?0cZySJ7N=0Vb1;*yx|#Lb1ea8++oZzP`SKYpdBAAC8Dqk1zyXw1FCqt+p|sWKa&O3PJiS1lEMi zHO=$TJ~Zja)M@{Bu;)nuj%=g?{BZ@^q}P4WrLTbhs82RzfH?H4K*TGO4T;4Qf+h4! zY@Op&BXaJ_F;$=Bx09JgyF%Qf0`isJlG7T+BGhuLtFF4qSTvhd7OO(wDetOuD43cB zI00XdgIyy)*BN|xHkGDOkNX|b!_%hRW?8gfT=iZlGs_s~TUQAm^NO7W=AI_JCl9Ky{PJJ;55q_N#&iN2aabxYD;4(li@nG* zrwZQ+x>=`5zuL1}lOOEMHF~Fjd5+z{Wsvy;Fkuo*RV!5S;Ejwlp9ty%&q-S&)t|svNv&$d4-%A9V-4GiZ z5NC{ygfK4m`njs3q_{k9f*`=0cN;cy6g3pIcsQ*Spo?#yp}UatA-vX-`x$jHDC8n&hvaO?;Zh%J>Fg(Q>7#D z)De^f{M2IJ!4U*h`KAF+$2UZerCUz{H`+5@c2l)r#O-uG3N=3zdWmp9vYnkDe!Ljj z2YkE&PpmIrUJ~)6y1n4XesI}Q2palIEAq>-eQV(D4z`eWFJWZP2X%%3>`SKjbP+NJ zp!C8?A}Emqd!>jwLs2D929v;7QOH?3!c7!!U-P$2^`T03&L{nDvDxF^0BZPJgSWSnAO=2I5$p-~Rmzh!rRk`Ix$ zlCkM^V~(& za}d__8l-Iy2?fjUSs5qM_;k^wh=2A*{Vq;D3D}!xyv_&&P9Nh0#XI1pW-h5YoM-=P zdv32F=}i?vOdVQ6@M&<96Irsvx$hXAQ`V)X`^k961bX;{-qM0=<=XN9t$(YhOE$<#B}_%g_(rsw-M8&a@Tq9g(B>Mf(6J6I*L_M6*NC zOaN%LgdTi7H6K-+8X_z{Xy_H^?vU#hc%NK#%! zH~Y}&e=oM-&zA2N?zu&#=Fjd{C*az}K4y}Sre>WBP1SAJX8?;~1vEkL;nz3Ke&>_! z(PAb1KJz^0@tTbAs+Lz6!M#HPs!N z?jJ2|C{g)xstqz&BZd*4a^S;&zru#ENt8o76Q5XkycFg{(DybVGk(6#kcZI#cJaAT zI`en-FOG_;SH#zG(B%NsCu!#FnbH8!-R!nrt)sl=z`Xq^HFKUvr<0%8;k7;cm^l*% z*LDu2<7|-EC;5Cve9t`Bk!@Q6@tHgaWvle~>2>sVo`5!!J)9<8hq+jqW5K-&EDv)v zbYRwuxXg{ntIRbKCkGj_U+YeQ{GMe>nz9WTk`JNmv2`2CF4{-^^`lG&Stv>Z6LgS&+ zh(++9D$CR8(u>TY$1k@E)Oq~IVUbj8-)=5|{eQi2Tc{EYpiy(Dg89;91m3M7n6p6~ zeRTKA?eWx8keU#GXhG}|ta~b{=4EJP$ga7#M1_Gp!2y-UVhxKfizD?Aqr3D*PD)_kUvIt=66m&1&?x_F-ic zR{Q1a8wo|n0ge)t4zK{i=uNshy=8j1PKl?Pq@})Lr<|GK>5>}UfmVvzL4On;SsPzz zf=YPTEb*u9MlUX1w@J}V($=$~whi_3UwQxR3mafV>)#eFpyX;rH9cBCfu1;3SHy9Y zi2tmnzS8Y+2~xP`6!PCN8z-KB67>RMA6Ez}2!RvbUUK`t8#uBEB%DR2R{lS%kCzww zXT2DI9hB0V zusp_hBYQaaUW?cvJ{DtAwkr(Gn5_wrzEeKi-N)It^= zm^ju0$RqPHu=;j`G5L1*dAMiwm&cV~zLT|6psg&LweCr3js!L7eZupLEaJsd#!{Sv@%_6EDxQA{kp5|7vw!@nvmBY@hoe&_z}oTTJg6fYTPF;I6O zIm?!MZ_buUJ?An{y(?guYjI-+pZHe>S9H9|UDRXz96JRm0>*pGCaRG6$iISvv2)ql zwEmB5xovIvLa^vED=E}}rQIw@kbkAhx&_{{DRk+cAO$x8#lQ&m08R1_F=YRZvBR*4 z=3=P?uHkp<5Rt*@teQrfVxVHQWXgY_>i?iwLA?XD&;Mz3P^sEC7;@fNGvXI)d|1@0 z`PzGJVmw1g%bi%O#2Es>HlrltBdv3PJyCjsO7;@+X8IK>?}IBVvK%dUq>zsr<&SNH zoz9A7{JLMnw(lQPwaFHyVU8)8)^9-gTU=#yq=%E2_WXBj0r4i@8~Wb(Rc~y=_X~UP zxKRm7&iG*ThoG0^l#v>oRxj_~1*R&M19)n9qvBZ&TC_#0p7dv*O;TJ;$W z$!`v^4XmsyBUw*!Nl^Wt_;2)CyC!P-O^^x4Iti)Ddx?tzB{j){YwR?+{9MM#r0qP@xiJz+y;{hxGWOdc*Nxp1 z^fiss*qG^Ry)1ctS8v3=N^hh?etw0RWWC_{vUKMUhEAP6UmB;*C#JDM5&$Kazppt_y!h-H2(tv=8YA+t_6=6vVZx!df=>H9~J-EAh0J!rnO zY^fn*Ew4jIW&cbUzGihZJR_*xwNS~fbHL1@H+#%RvczK`BNww$#vq4Vwt`$%+qrXO z*QuOOt`+Z#$If;lrx})dyShmdtvZG31+u4FP2bWwZ(fnN?lPy9yEK=*J1lEvanzmh z@w@gv$7yTUR3Yau9i5nL=hla8o?t0-C)5)UY;`LMKEoNHR;pa4NL+wGObj|0&Vvm1ZOsVDXaZLQ| zamd+qHH#~Pik0NR*Jj$5NQ318nD_y^d`GuQfXZ5UhN7YU{tF^XommQ!u)pCmEQ;uIb3_U1$ z*y|yBmM^ZzTxB=pl^4J9r>@HIH57bAXWU>!Pb}G25WVppD8fcn*bGM{?+UzhXHke| z_NI#eFefDblfuq$I4yMpPl7s(RALsy*AFEhQv6uUBQ@pJs)Ceyi@7;X>OTtc<)#$^&y8BvONv&Xc>OJ72Nok<13R zOGE3vppzJ^8)!?>2ewpII1xG64ns}6*j%Ip+m2J2;a8joT;ySWB=v|6nar)Dltpz> zcM*6N(pyl;aFvb9o{n!?0foffs>gpWul* zp<^z(t(HjUPN~2+haXE$Xya7#K5MjvI1@)V;^uqYe3n{n>9JY&nrrK(ErFo##g}um zPj;~-fj^i|1#Ah*@ftaQ#tVqq&9xa&zw<~uYlYM2CZ3|(i1$`e@bc3F;WsmB%?74v zHFwR%VQ%bKshjFw{nnjpL9mGII3gNV(FtlQHC2eA)FwsqtN44N1>A^`dg%ARw?Xm} z2i=O$(!WrVCr(TFq~7Zz0r4CjV0pc zI$!7Jy=U2MP3LH8_v%HJegS15M8mazjUn8rNi6#K+4ksgP+IGsM@SmnvN5k}Ufafr zpSEiYm%M#?sbp28g3IY%v2!ry-@M^) z!4U2-PbR2cw2j>bR{w`l$8$1DlD_@1NVu_gUR8IFOm(%4-2Sg*aTAs?h2Tqi2qUM4*M+jE4?Uxz41C8zK5hjd1}b$35e?2Hups&Ex*fhUj!?7llcSa zRab{UHBChFZ2LzulDLuaCxA_HfIlZF1H;fLw`BULFeN~1M!s4#f%-hu}0=ad(1C3&kBixH}2%8r+=%E$$wqIK|!F-JRvT`^Rs0 zXWlcJ$s>53``qWcO0ZX>X|R26!#FbZBHzd|QEEuv%g($Ye^#@lWJP-fzN8P|w}oKo9No9+|-`+UFl9hyA|xeN;U4H!@2g*3W};W;+YFrAp&x~pBQe;@qWp?%JdG? zwa9?W42=*y9G*&xiJpS56uHaKeL22~h+Mc1gZqd3?h&98KAS- zl@^x?9UWRi#R^+mE8i>`8d5)xl#O`JUg*`S7~rzA^6m&})B#eSrWd2`a)5}^vP ziCW{>zoUJFKQs5cJt*Id<^UpH0#VE`no8OsFx7=_Gt9w?rls3K%~U#kN*pTI%+rUk zr=DrQg}3EjP%?Zu@WAUOoT<1sBQka~bP>}7cR|}>zCc;Yp`mSx5G|;ys5INT#9)}rS3 zZ&qzu$|lULI6A^(?PcG%8y25(ls0${YxrLp?R`}i1B&D_zUf#xr!d$axvP>_ED3^G zuhw$MSzkWaH+siTYE)=0PCv+Ha41{;;byQs(MyB!1KT!t(<;-u$q!`4(cgKzE`%6S6W{<%&GBTvhrs z`I3HjLScgE(Z_Xv&m;1ybnWtmTy~KD?W#4U-sfVc1o?H0>oBMF>kmD`GuM~H@ua7^ z*OV6xx|Y`ru=Lg^X8Ek@8W>SBz+T};Yq0ms5S#f(%XhFv>egIzXa2q0R<-5Q=(lKY z8!Mw7VK$re8onO_w`A|AfX-iU{13}Dp1seD&CKaKQ=b`ZpD~IQ*^Eb(GYMYoooT_Z zXKR&pWXZk*OnWEkY@!Z-(7Zr(wUH)b z&I$#5_J2Mx!jFto_dkd#O{RVZaCSMv6L#@FD(LK=ccLgwcgOyHpyB^YdMY?r4!Rz4Sz4GltiREpe``_>o7{nKA zePd1RE9RU%9Vm)f0wdqi)NfUDj>hb6^0N%@YjpxP6g?oxhbk>*YkZ#ol%WqtKZgwq z0KU@U!jqbh3Tw^CHcc{eh=o;MVno<|7#PY5_xFYN0TIHaFJ;j@kM8iin|Md?@DixJ zm(9JLo|N4J@DaFkz%qeUiKrYC8jpS-|9d;vDmroO=aU$FuwWIGUn61d@h|C>`p$o2 zyQth3W1qphH=I1ERU5B9(K7ApjBqS`Q3@^{1^Z;_ypvUnt1D?47KdHr*wS}H-u}Xi zr(9(6RPZ8JB&vk;HCeVn|1NwA;wMnGm9c%aIcNW!3kM}q!R{>(#}xX@IyK#7c)3>Y zhnf!Lo{X*j>X;Lc{e($bdf$={$u~zGz9_5grwnealEZ9QE9&?nqeF>vo~(i=A+KEB zzfRUbSFp*|@Y;HZOOBhYtAunG!CW2;c@mby#V_VjS@lvn>K*ZPqltaWGxl4>N1fk# zW@nCUYECD|o_y6W8`h>Fj_HdmieMIhtE*f3)CM2O~ARpl?7haK2# zry5ZHAKn*|@>ckrpS2-y9{pozefG@VQkO&nr4lltwICaYKAuj-RRslIMu>aP-O4@s zPjr#L{dn)GcJKg#VZs&0p|NeOkJST|25Nmi_aSheGNaR>ac$xk&Kjy=#=8?|I6a5> zEr3Gc4Nkcn?7={^1^ILx`UpkOB|4MH?kNsSzy%o+-%9O)sn{}~*;msVjr3ClP=nSI zTKZppj`|)vGq4Jaxrq#@4xF!Z)_l4&#`5_F<>ZSVKa{=&{Dfl8ZQ(V@`lQC4#9hSQ z;O>;(e>1-zT9XWM?5{-h8T#+)2QKXHow9XOUdDxDY+6fxinLsyJTHR!v)4ELd6^l) zVWU6BDO>lokA9oo$KhND&Yt&x?_Sv~u@ijUBS^y?2;W0Ib^rGfeW|?CJ|KD_8|e{; zTggxeCudwJSI1!i`Wz?Zz3n*baB-`2n$f8>r^?1-eDp0Ra)jndWSy<}cMTlQt+A5m z%}vtI1s6%NME@>|vwyD9HSdUP-`Gjr2-Ll*XC1HUZy^uQ!JWociC#pJy3&RRmeLQ=Wzohbk(T4nVjWnRj1rJ{@smZw zwrrCbKqg;as`&A(+MV1%gJ6zA#zet5iki7LTk^OQ3+2e>l8Wl*@iVepI?4;4{VhgKs?pv|=`B6PHR3h59D}aO8jH>&qv`&DWgEr>p zSBTfdRCYdz|3|8yNRS|EQe#-92*cDuFcd@n8d>a%7+Ek-TCsxoc?EUpxsN^5X5~yb zl3f%!a#rUr8k#?ik%Mxtly(^O6;W*9g$z*a@MCiog=j|`1%jBW9fvy<=v(xU5@}He zB-V)n?(2+J33pmgy_JYgHif*D<8~$PB!1%ObAwH;$SvEG(IU#|eUX$6e&Kwh2Jwgh z^)%XnLfjC`wDnO&O(B~V75Q`p#%*U>zlDZWBjdhOmOm*BM)zn6vLoT zpd=Q4_#*ogc`(OU+5Q>yX&EguSCu%+Rt5u9KS{>{SN4JYsM<$}NaT^Vh^lEkW8HbQ z_@CIxQTU5`+kjgX_@YmwmP(QpWOKeSGW6y%E_GSVB1|{bzVq+4n>U77a>$+JvBX zx@`I35+F1ZSHC>E0rxH%v*jt#hVJ4sBN%wKHjbsheSuFdX~#WDTlnRO`~R&G;q}FN zV<*oDXzElI-XuJ&Lz-A02iN$q(+EdZ6g$7dwft(^6Arj}SgJhh!=tC!O`9ne+HDu1 zr+Tym-@FNj=u%v-Q1OOZ^wf$zD1=BmlYbCtmN~?*XE~_+rIVE(E#;)%nz22tu9U=4 zeCWuQ)A)<-g zeYL;KP)8!x{a5`t+h4F?&zOibLtu7xuVU0OM9o8GJQV@SGch)+7r#tiNTx#gnPptr z6w4Hw)F@8M;qY5?620};#~#;+w)Ay6wrH$AN?7#u0wg{ctPy5Yo^7 zv4=+NH!ocK&j{o^s~k0A>&TWYXP$j{G5NrGQgt}lZf%Ud(N16$2?<1+Hmd1wxDh8c{2KGOvKYf1tVtZ#P18uupWWL;eve%9?x-(m82bk=OYi${v9T1`dC_M?C z$T-puqQ(gyK<49jp8)=~!b;J+FHqiKKaANoI$x?e%C~*K0r~+9UUerdu~52aIAfIe8`nq7!mDTCLs=8wqe z?*Xdm-iHJ~JlrrxU!`4>IiF0`2|V0y;<)4ixKL&248uOTBfpuu(y{M8Y#dgTfjS4k zp@2)TO+vBYHM_cakrTIPYg#1z5exq%}zGTT7UOT6p4VN5d_lmm5fu{Xr zVhU0RRA84UXWh7Pi=K`BKAi>O2zNV;7}E%z+W{rp1a4#L`a<5 z%QVE^9NRZ{1}Sr^UW#tV%Z}GsSa%(7%!NdH*WJJ~PWvqUe_AY3c;>PbBf)Bk?R|f) zy3y6@n_F{X3qSSI6_%cf8#S-3Nt=qJ&!DrC`;Af=lspTN-r$JbM#dR7z<5itqK|na605_QN#s5 zYo*!yW0?J=x~>A|6I(4?qjXPJ`ioi8HLV%a(5LAkRLMUGPj?1AeceV#EJOb?Y@FS^s`XvGoWFq)DG zwE`Wj6Q9!^9yQOys)~)45bqR1Q9G)12wE`?T_>LSeBl0^J5xFIEEf10*5>@gFZS`F z)1{)!5%uw);1{)Vk$=`pt$On-y2cj%4@jSmafqu&+`~!i zuCE${#(mJoPWA_%8&_eEAl9OkZ1CRvSKB{H?xyH6h$zINpBG&Jp^NxBMXy$N0Mn7; z_$R40O56u$BIRf2<@P+C;Jr#u&*&9oZpHYRd`@#7P*wKI&`%K@%7J+Y%ViAXy&~QLM($lMkv~fo7&|fV) zv$0lhz}^k1r`3VFoA31!F3u(S1jR0e^{7DN`HE3{Z;TCq_JjPGS_CT%to^CcsrN54 z%l%d_m~|-Qtb?)j?pQdY=na@1a+y@>T=?I}cg8PVy<7BCd(2}4@%jIB1j~X+lS4Kh zM82F%3p@D@T~KoO{eao2k`NtwGk3GU!_^{w0(rm=9k*Sesp^gD0Jr+(U}S zs#>ppRhsr4uGF|aIxTS7{XQn=Qgw^+REo&X_{z#+z}Sj@S!B3Jo>sesK-_dgasb;Q zNozgiSy&i(S&D%N>6nzr@l!#M<7jnc(~vclcr|CdqCm~A>%BK zv`6K&^ig~YF7s2sj4AiDj9$QoCUjD{iA%;vHT0~a#8mO2xQXNTQo_2eA0#1xtXs$d z3P`gx|E%(+AOaf_PrnNVxho06(?~d0WNd zi-G1L-uSRb6;|~__hPIkCWL+N+S7#7OmY1*#g%ewv1(4)ONAxl(5JgYK921QK?uc~ z$%SI3MKb{=_)N%J`eCmsa{Da_if*mTpnM0`es^&XHGr2)r_)s%BAL9%kGn$BUirKG zKKSxiCAy7IWfmYNZ}tS9mCcqfzi*oglLFgNE`uB6qnu*ks&IM!G#?y zez3Ce5k-n<zV{UQQ|g39!?!Qiw<~EtV=8d zCB>gxx#b4Hx*h;qI$G1*Uqe*o(omziN?cNg#w74Bf(^GJrl&O7J)E|bRn&kMQMst( zIs>h63;~o-M8^`!=L~OO8bUXiO8!SFP(ep@;YE9Nk=XEekm7eaE6P$#5|C9wA34`; z>Ak(stZZrCth`+E^y5Ulc6)N6#vjH~*Mhoe0LV7CKli)s8BC0kk^Ca7V`3}>la`BH zNzHmXdHVNtc}F1~zzSBURAoOsoFUwa>Dj>TSP=!w2)IEiPO z5p=Q0DwLg>_}@EsBHy;L!d$a1G4v@a&ho*2Xed_d^9dpGTlUY-^R38ZDa<;$G#~;d zka$r=$tp1`J?@5)Jc*stpr^eTu)} zgU=cInN>CNRU{nEPI?4X{$qrRL(%Nw+DZGV6Yb9VNUd4CiJmc#Nan^LdE;`Q1K-p_ zM;6Y76H6JGn(354h}R}8QeA@;$?KS-EW3VrCx)L~1+$*E9?yLjJF9~N*fDIjd=jJ0 zJ9i)(gABWMWQmzXM5@P-nzf}1DEw&NvgCoh0g=op9+K&QyJ~2A`E`9nQDRW`b#m31 zma-!TDPrZsq;&!%Sza`w)NOr7!+cht;|Gr+q0Q7D$HP1pDY)poIPOk>^N{~o>yz5E z=!C*JI+`?oQ=LSzMi0*kp`?h4;nm0it3ShaPFc{XKhsYK6=Rl?F^}_iNOWevkbZzc zs>m|4oQ1kieq#TB#}e#Mxt?#66X|I)}Zw1IXF=9Wb*}Osyr2hCTB4VfPmw$T{u1>^UgK7u_Bz zcK-4DQ>BnKH|K1wD^!%vmCrS1V`y1bna>W+eFmUx_NX!&ui|XQC*|!YDRw`=$2%>Gk58-tKVEbM`Sr&lLYuHLz2AI z9K)MJM*4mi*nawhXN^9~mR>=KXX|To^%H~TbXlh*#GkImdV=DF?rB!n?Xl4Bdfvn_9F3{oaXnfxBZ{jOyZ$j2RzffO zibt9--Pjk6J$quy+%uOgWY#$87hHgqXn^kd-y$cbm}ny1b)2CBHqve#2drLy1Prr& z2@HEBbHh1Q3@ak$F5Y`gRLeL*2O!)L+i_Q`tqiIM#XYLBD#X7B>zO1C9Rarn|DzhZ zcF#-6!-s43f*0`URAI~*c8JSatCFKF<_hc zGD=VEV=sG%E6N>;Ftsu#U7qx~FI{X(A1-QPRHAHn;^cQhYn=mz|9kwmo&yr|yOiiU z|KpmhvsAa7`JGhv{-1b}|DrCfrrw0YVuKwmkMMbrAis0@VEkAKsh2w}+{rcc=-4BJ zStmr~jZpGrsWHX6LSu4F!*&tmbJd_$ZlqH>QBn>tm{6*)TB^ABc)HzKy0478E!VR? zIpaDhlGwv?TA>7gG^vc|e>oh`!p_`Tkf}OwId_x(k1xNd{0*ue=Q}bnisVEYTly@~ ziSS74;yB%dgV&1ps5Zq;75Omw8?iG_1W--J0gn~^OI`|=_fr7;TrD#`90?)=WvmnII6X+|b8{T! zr(@@VYTntF0Hs)KN8o78K=%I1JSxHyxtAzBSyl52_YHUL4i!CBVA|@uI|Pthv3Hs6 zW}tLSO^M@)PX@fC--?Dr8_H%1N}vk_;xH^aipv}!^BZid2T-H#z8|dJfc{Ff&SQfb zhR&{0m&jpM0=UxwSG2ARs!VllFM~_m=A^}nnJ&;N;wc9Kzqr)UbxD`XC?qF-4o9B+tuwk^FY z>c&zF&>gttAX9vyZU3G-t{wzzUpZpGQsH@N?D9HK`sxqtTob0Hd5f+^kYeKCJD(Qp zdCeJO(OXeuJZlfF=qqVsSutXdf>rv;$t<=)M+@$S@@;pW=+_!NQ}maR)HJ?7CQX9g zvGJBGYwoY@-%mBQw4*Gk$U@x{V`2|DTPxbz$4)BH+P2<+jP_RUH#4gi^1TO%A(KFE z&>}5QoZD9)a_|Y)WJOQ?`%B<4@8p?i`w_n0lIA4+TY}pKquk)?9k;EJ4@eQpUG3%OB|Ek$h~YoOIn`cDhsV*;$nSy7N1|zDTj0e-cP1wnzs!Xdaxr zmFxW#+A5dAM^bdRYrmZq#j{h&k1gg4B?wJL-zfeq-d)?W93l{am#c@m7mzjVPTQS0yl2c7KK z_49k{XZNkSG(naAZ}aMk$bSU0J`h%gtWCh>dz;#R82hU)DGKL9e!NM({^xwN|FfX^ zt#}pc>S#sAzM6pIsVNcsuL2Y491v`u;#D9oSh8SW19i634&_&^aFU!+3I#K=F4rpTCH`nnWN!X9(hg>Qr_6_SG&t$cD3a?)SLgyXu6#0k0I}pU|HYV_ zZ1f|#b4Ss|52bU(*>|fB*~W}l_nBCGvTBWhqq)u~{~CuSac`b+|E2Wto8T)u4Z(Ja zi)*`H^*(_10k+)z-LoQS>1l=x79Z)Q3n-;g-$`em8*mk>NcdxqWPSbeQ8|g8yr1Wl znBa5Z}LXrFLRM|b0b_g=@! z#M0f&`l(%Uiq7eWO_}aWRoe1{tU=bAK+zdiubLj#t*=($UW@Whm(f+xd)Qv6xWdr< zTl%Y|rpPQ?vts<=?D!>V3;+_wL!}zHjoxT(nou?z}4(Ds8_>2v2Sq8t*rYk=hmb z7B|??bAd5pf9SSvdb2$T0ZyTw%hiE*x~cM*86H=e%{hqKk852DyQDeqRn$x1dBwOA z$tnq1qtZ#yRsva3PZ-vu&3Dey@%Dg5>zcDG_%-9NSQJ5o3G4Vv_&&Y&7=?nUOH{8I zWsT5iS#yL~IQ8>8wl~VP(GUecFs=YGk>q$DEv;Y9`rQX%CTSBqjLZMvui|i;Ly*)b z$zO4X=r?=^2n{JxLvsoiL~mODm#v)~qKFiT+}HX=uQR$rYvVlUY2$?*$cf;T>EfL1 zHgtRRwq=BgLqm6kO@*@!$=pOv37<$CWbc{p5rKZS>m0lv-270VW0sLV#w$?PePRoP zq27x3g+cse0H3K#*y`44Bq;XL$HH3%ey#I6ec=GUnAyA+nZu*PFe0Lcl%V$+YRFL^ z_GJ6;tcw3)C$CHPVPkATQqh-bwur1u5bsdQkzl1`oO!_enB`buKi9;EAjV&m#s0nn zw`EAOzyB@<^1*Xol4-5D8A#h zgpO&A3`aE}ziN1tUxEl5^tT1GNZLpYg}tz5|GW3PAU&{Oal%T%h5}8);#~vykM3x zL?`QuVX5e}9SYKZ*rSI@W|@GC);8Oj_I0mOKpMpRs=QGPpw zAaKMqp(HJ%chNB5e5(375Xw!3kzF_Vz~E><;bwn_#NWOOLS!E7$&n$Xy}j_BlL#wl9whoP;fzTy?lnC%_Yq4Y8r(3lo;?bUl6xYQAE z_h2wghHen=)}tXC3gO&IL%lLvt(mEzW0je$)S+qCWfhXRG#`oQ>XDXzj6=PAj?yJg zz012^a8v2ChZrQ6FR?T?Yt_&RNI2Eh#vD28YlQf&aB+ZNhB->k<%c^tfp25U+# z+BQhDGw0+KEsbsccDWX_w?0^1_)%jG8!Us?f#-Qj1Ep$}qO0%$B}Zq`G$Y8!t_FeP zYhBXbj$Le;d|x^=&Wy6uw9Ol$wBs1D6-MKh`ikXaiHSMf&5~ne8py|zbzinytex9o zr6OK=nr|BAYwbagMKR0EL(_Y8hSjFAzM~o52-0%5lrN}RD7H+64Z)x2+s8Gk}A$AJ+S)TZzzcjRM?D1 z#M#ZIX%p2LGE~f6C-2@mYFRpQf@uUl2`hu&M*+_*=h2eFxquvh5{85ID@63}e)cw~ z|Li>t8uxwrY$(r`1Hzjt@#MOqX?SlQ0%=#SthpOJ-e7>tk|BJb%n~t?L~6E#;k)1L z^ACIoB4?cHw^Xf6y+0_+o%a@Zk+@^Trb+$XG|WPJgQRjOo%G&cf)#9avCG7b1|Aq< zR1-ru^(Qr>pwr08DjET-48~-1ldJ&%b{CEY-fgyDz(3@~+kr3cH>zI>T>8#s!SD*5 z{2UD&$%xJAmt!j_G1zFblfJO=ubJK>R~flN zRdTeCTO}kWNYji$LbL8m9r5FBPlNh3EBgUV@|=_0A`uux&=J*~-mygyStB&~i&10O z0SA*dE}VQj4eEkBwgg3yi35pBZqiv}7Q!CCByS>Xs$Km>L+%V4M0my^+cx23Uzp4L z>yi_n)V8}XwTq)>jqdiWLVOE#h5%mAUsve5|)fioU>?H-SdG6Jc-6o2jEdPXJPs#mDrW9pR6rLSJr{Wn%MA9Q#@4s- zJMlvPud&IQI9q1_ju+xHpuosE`vmr23Zhj^;IpoI#S#rMpJ#~sdVYP+3yJv-QA~Ln zo0b!DbRw-FVs_H3@P!#s+#=@}&F6v}KjxWHT1EB9zoswVK+X<4V|m3#5jhFRpk z${t#f>cFN|FMP)NP#{VlvDkUdcJNYW7$!S5s!>rd)tn6V1G3 zk=Z(WbM|I{%5??CW#?8KAG2nKl_r(N=vL6Hy z#i(c=Z-KAfoNRR3Re6^TH5GwI>+m(meN4lW=&T4lNfs@~83R(hO&vFbI_9zxA>Da1 z9RC6~I<|nLDFXzg{r;%Uz3`Ov*yqdv`|O!%3GtWA6*5mf=$`m|+)Wy}aiFY@>WUA_Y~04V zTYTaJ#fTTkm>KI-V%dgNmz+mbvx;S*-AIbBoM~-!NwSn?g_3fGiN7|rmgHnE?3=$Q zk?Mu&gKuU+BrxEv{}{Y5i5K}TUxfh6v<)gwM0C7t`6;nk7rwI3Ez`hWUMS$5H@COm zm;6(M^vDb&7jMK#7YE;qYUDH-Rw}@4Po~bPob7m*nM33QR=jWR4-*;s)Z_JdLdC8w z?*dz>bxCZ646IZnhavAtWx1>t=k~HM8A3E+xO-OZ=8(6c>wRlN}2D;^@b02Z! z2I9JH_*wGP(bF7w4oL3?y_e!9h>Oj!GF)@!zNVH7KU$8Gkw{ zecicDH9~x5*i$!g%2CpHhoN52S$Lc0i(J?*Z`14pk57U@y$ zs+*Iu7&DdwyfIWT)0UhXU^it2+j)KtyjYBTCsT#OZ@X>ga^2r1qua9ppn}jhAK53) z-vnk!mbkkU^BwpnY-_q72`njdeqUU2aK`B?Tiuzc*O0>rg0tmS$l+_vCyIiB*_P_Ny)tx-%)^M1p> zu>0fAMBk_7PEQf$_X}Q}t^Q0j+Ffnp-AqVvwl}29#Ozw&Y?V(AB*$}*x?1qlD|8gj zho9`R&HY>K#}GtidImqrH)HZ1Pi+cv>@$w+ zVxO6Nz;lGPmC&MC@s}@Qu}WAz>^{4X^ZwVQF3IcMx7~(DJVw`zh{b-M=SSlo(9Vg$ z2WMx`?Q=4=DX+Qcy$wjSP2EC9&IqtF;WoX>F|gl-Qe)WbClbOt^Si>ECi?5heIW6$ zL-6+YJYqA2hv03cgIIKh18WrWyh0qs8ff6d1(^_siIgAZAbuxT9|4P~i2OP_$aF#q z@4Ab#D_fc(Abc_~xFfLL5cu92hTq2XBa52kv>M_Wkn>e{`so#~#*lNbc|at* zf#-YG`UmeXQWclStFlyuLCo_j?+E;pRpT+hT~UV>LI1qU&}t= zpwyW;m=)8{ForUO0Bhdjxoy0_WlQlIf>nk3gSy~-`}d}vfh9*zHk~?9^t-mkep4+U zxj^5C-4xn2#l7~VAu&8opJ3DBX{OF>6=XjC*TPM+fw?FjKW2Jyor9e3wABF}wj z)vu~tk;l@u40cLX787DSWEsskR7@E=p#_`P`Hx)%@o2Xy=NM^dZ{+7;)fQy$sr5ZC zZ!egWdmXFGZ(Zche+`ui#3yVUy6N8>AM4D8joB9-NcEn{fBq)UM^xM|?yF zOeZL@i#Qm`FrTlOBbxIbKGNNEsaMGRrl~J}w#M=)8CPb53`{m$eIvrq5Wx6_`7&CY zSp$hUew+1V~5MhEAiZ1aTyzlstufZLPYfI+uV%IM8 zWr35`t9RR6p*0N!-v4K$b0nkJ#DrzS>Z8XC)a%GZI-Hr$~Qfka-VmGx#ZG~43elV^zoA0p%C3!^euc5FON2Wc)hpZdSF zzU^F|h(YJRQ|dSV0NB?m0l}kB@*1Mgn!{ozOC)DuWoy5{%=ioC&WFPk4JS|j5;CS! z$ZLZ^E1`ut8)64F7rBBz98^N^$>OiuLw5oZsFWs=vBQtSnuUg~qytQet_!TgVVLh> zTz*fDN991Ph=Xj?X~1tefrXVM`%FsusN^i9I%toBLjGQ>EW>26Kg#Un8O-edXn#`(0mghenB`&X}Mf+ zr#=G%YFry-HT-2CKfa6*4lV%VO9RM#Iq40)3lBX7AdDdAgyElF9^$tHE(iA@Ci-Gj zq42B~_L;?On=7{j`oDN5VXBG7`_ybW#b4l=zGjfMg*-Bp=)T-$Q%6zW=C@0kH&M+; zen7I$S;yMpo9@aB`VeO*<|zA1gA^=&WRm!o7i83E5M?rpd{}pz*m9gYcoTrMMS>;H zz!iAIE|^yZ7|1W|ZYJ6sh&T7)rZlWbv>`x07 zMLTrX=S8O1{4d9lKpkie&QwJ)f9??1;8*`?4em?ogrF%Y6U}3oG_hK!T%AQe^@fL0 z#yN)~;uq{WQ7}Lx0u=&+FV=;-cy`J9j22}qqs8VQdv59}ZnG|a`7TlfD4}@K z<_7u6^{rrp`9Jo5its%}%_loNAQf#s+g(lOX-s8bN^D)Hy6UM6+(`3wbXCZcCxaJ6 zaAnY0TVR?~hOG{p6BhKp#d{{dma5eG=Ply%xC=~El1+v8XZaoS-Y&aw}*|PZ&6%F&Uk78=FZ-P z%L`t7udJb-_M}kZ2pSW2GLGe!+b6ptXIqQLhJt5j`(3xRoV%Foug}tbZ61}f05qQ;2R=WI6lH0tUVa@aaY|AvcSEIbG z;(?4ZBoL8+PQcoHD%(32eMXjC3EOK~f6JeXoi(Vu(V~}(Fz8Tw_SyMrkC~p=l%98= zUY?46!Q;_tS&X$Ex|;bceUQZJs#kdB`tnT=#ytzVvbNp#DWZ}y_#JhfE%>c5^tt?M zt-YS#+KUaTqjRk##9~eto^fG@C=@@_p6!xgt{B*~Ki5x;fDAe``Eb;p--x97C8x(x zV@zy!-Mz83nt9+m?|1E|ijIcJDO$L|x^Th3HlsB2W;*=iz=qgoF}Cd#179thuo^u^ za9ZzStM~Pj1a;OJwhxdu&hT%|W7loqGn)gjtI#y1mkPg|xF4ffER9Jer&HN^{^2m; zENS7Mj2L?X*Mu78%t~bbK*ooQaCS#b3zeZrzly7O3>hjN_`}+6;6x{}t+%beD1#+U zj^&CUlX%7o6&-rt^2stP_SQBe#cZxQl`;hf2TvBs6PD_=Ht70X^s06Ec$Vt7dj-kC z{rID%#gx?k{rC4WN=8lB#MZv@nz94sGB3qN;pejH3PM@}R+!}P?+k>u&jz?NC8~N> zvn58~i?m&v3)Ng7WSS41>>duCJa-P&e!QDDrZMOGe1m^8Sgu)3|E8+fo{eA3vX-wW zpVm=3c`(jx+?gw!&jE}(dH zqK;?sKz-wE1gbs%@KG0zW|#P%?W@c~-8_!0p;h&1N{{2PPT^g2)b921~P1Gi0wOH!pjykXS7#Tatc zD>~!!9H$!rqOv0rxpX(ESky*X@5=0yaoBi3`8Yh;!oL8$$>&_MOv`3XQj0*bKZyF; z-L!o%7UG>{qnv%Q-r~TOm(z(L*Le$DI~+=}e14bgthT>0$FE{);$eFuz@H;}Q?-{P zj%+&*>%2p{vu-Fpl6S*`CXGPnx}El1toOR#cyR3&p-H1pzmuooD8lWM6t*s)*j;eN z`}R6?#l|H&UN*)Q#QU_FIxJMsZ%(d5iE&cE+)FpuDs~PS+^qs7t6LRpxvrHuDa|<- zs*TC1waVL;y1ITXRfUDLkIf|Y2Z7h#^nPop|DLO$ojIDmElGxi+W+{?GQ--g``f^! z^gFDq?5^>$?vN|#9%F9SSFTP+{=GLM4y*GGVI|CFO&S%@G&WJ&4zcKyyW^r59Osdg zZY#KwEgmo#eh&^~Z&JKKjB2H7e5JZY`Sq&h(p;rKPdQ4}O7jaF4gl`Tvg$UE(&Ppg z5p@U4@>X-@2Gra?W}JC7+O@eXA-x;Ls|J2GRQhD_Uq7^7 zwLao|xvuJvW(g&su7>`ro1^E$QxU<=B-*3E-r?2N=u3F^##nfhjLc)%@x#7zDWr6C zPyU+kLaQQxe5z%HXEpfpk;gfE@26HyPKCvvxrzdh-_EKzCjX|>)t(v_)oOJVo6DBW zE{jKfFOP-hb9}t9+rs?ds_+HS@5Me5^NR!kM}8r&Qh$>0gd$OX9{EO&aAv3}=YjDk zkIv#^E5H)$Ye_N>S#O+a{lThK7oLS?)0wh8gKfYCi9CgF7ad1g`o9igPhi#v;JLAd zw(I5?`mhC1qOhfJFB@90-EW>KuW`fh(5C~>plshCC}W*TPC36@D2VY4rTf)miV>{y znMB!RhsT2CQA!mqgxS_TF`{mlt= zg_|=}s#-NB3moOIbI^OV;1+NLA()_4G)-I;zeC=D%>m#HVQug%w;*9J>n>f3^(^*! zGczE$scE=(m9$Ver{(iIq`1*tuQu<}r^uBt_84bdMmkp&ufwaNX6J?qp2HY@zrRI%A?!IIB&uzjPK%m_KD zV3oU8kNwectXArA%BR+9{G<7DS7zI&w-(YW83HS}ZsL*hBJ`nc#L8g>l~+QUelUZi zdZ(v_bF3od z2f~sFEbuZKp-a*%iP;ZNI|n0;JL&YHax1AGXH5cTHak%Dl7#6t>>CeOWDp_q;xN5RGr7!neA@kxLWaB^u4~-_@FL<7*-cQW&!J z)x>}-#YfP06|3O+w`EslqRrLRVjuUT40Kn;ZMOJ&D#YZ=woZlFxRJ)+{KziL$L_mr zFJP2kMDxtf9g^A8HNM{T#1XDWoiN z4d*`0#>U_6wfQ=n(y=NcS9F`qnnM30V@QHZM$kF{iki&MXL2kY?H*XfB+}K0DhjB= z;ltOVY~Xo@bn2T5+_P}t{DkXh76;>&EVlTY&fhySV1ImThWtl$0e8t-L&-r^L&ZS> z`Y{Ld@`4a3_ZI(*KmkfbzdgsOwx+iChYh>}WfRuteo163 zHdX7;!sQIiNBt-0!=YNn~&y^M8?b7EEmh?6xiL zP#j8dFAgp4Qrz7wKq*k%30~aYT}p9xcPU=n-GfW8o9~=EbLXBjlYc-$^1OTRwOm7l z1#@!ro#swB6(otpJ*=U4_IGIH%;2_e`VKu-cQH}(g-M=nVil# zUkFP3&KWIQcHrI`E?Qcx`=tm9f=%VWO+lirB$`V7OxmB2DKmGpPhlBlzkNu_snSrE zt@eZTmyZ8hXZvDX1?ui<|C`@WjXWXB)3DFo*Ag>@3svMtCe^e#UN1`rWjizphW5nD>E~dXj`622r z22~newlbGg2?yfbS(9%s;U|q`ZeF67FnAt|z!LYyA>Y8q=lN{$tn3JMJ4W%pV8&k| z53=`t9aB-~WXMmVXGfHR7blx+X~O+iNa!U-Mns3rscM!taXZ!jA;LdaE#Nf66bD8F z!7FJRekxB?3MPv4gV+p8axeGOYMpv(HqA2W_@?Z2(fI9>rdL!CX3}qlAF4X=Q^i81 zz+$oi$D|vJWLC{Tl||ymy4h0+Zi%a-Nzv-S^~h7;?tOGZawhGu^)XqoAC?7B#w%sv zua;h`+-&J&(rs@cEoe(dHusC-Y%1$b%puS_cUYyQ$m;XVx0a@Nb=33Kn|c~h*u9zeu-qY81piD3Qtj#L+aiet6dpQ-gF}A1g zvvi%UT|UsVL{{tI$LPppQj}&njm?V7D4C#v76yPxz0`^jEW_tEC#;*5$fkQ-UU@!o z+h7g>c&%I87$*h1#Uz>Ybg@L%#|rTTb$zn#e)9h3q}yp5FpFT=%+Osv4@ST3o?Gk>mDRmS>g-fs=Y~YNan*pHP1s z_6*RY8lt-pF*;6)Tg&=0waA0A)O%{0%vUq67XXeeYY-pr4L1Z@P^^=@|IMwlO3!T@AmU@7-1@_x_m-(y~e9FA@8j>)>lY=AGsiPz-vQFg;)b zBMgtOjOzt3KM}2|CdBIVD1D0g$xyoH8(|eDsE(5L8np90$|&~%ZU3{J0iYfue$PxTxj zbINV)7$Jn|ok&<|*6Q!wW0WbePPuE4>%oa!BJKXm09PmHk+INObaTx-$)^@t%c8 zdUkQo^Hsm%k;^yY-zb1zudZ||ZsK1#a65yAvu*2jhm^6jdX>6-xs1!aaVKo2d?#kT z+plusS0s)1naAjvhyp=O2CexEDI=2II@{c0_xE;zz+~uW23j` zxktJe`S_myTs`LSsOr~!)`*(asg+D&%b~}$nn($io=UKR{Eb`nQjDh*k{*xf>Zi5e z;~mZZD$S`?eGeITo_6L+woR4qjMW{VEndAT$KU~Lz5uFtq53j_&%STaomJ>OdC6UB z=sebjc^L&MxxJ6;1&e-_%`|a>uuD{EWe52dvj^Jbz2*)mooiFTK6zFnI}*;d3)5F; zHn*JWm}yMrzD;CgD7$&2Ej49i@9w7P?-EJhg-p0J1)|j6r$;WOT%uX_-|4#u9UlsD zI*51Ln|d?I$%3(w;XX~~Su((8I<%=M&^cTlF!HRje!MiY8VQy*|IQ`YUpwHw0Ph|2 zO&kK(&4na)Ie79uFsX)bSh*1XRkUD!K^S@(!Em;3;_ z!Tqb5A>ns8UN>P;=XLWVU6ozpBEvJ)01`aLr<6N4GpAnAEY1^NFT(kOU(!uR6q93l zVpM$O%jeBJEAi9_Kq$06@w@D_bj7{|SKK(FBh(=Hd~k7wD$x;RPjV0cHgE(N-m8Ip zbpzNtd>~>863vmYihP@$)J{ho7TFU4Dot*C(MS|$JGSmB#76kWn7{nJkj6VxN;$$z zkEalE(fDj%7YPqTeao1Zg=r&HK9}u zl!U}2TYaNIo{AN2$&*e<+o=gpET6+Dq?axwYD*;kmCfmpLMw_hYyhxi$>X$Xp;bU- zndfRHU*~R@xGLl1*p10f@+Z}f!;&?+U7Ym01AY&bLhhMw9em|XMMiW&Sm)aP*()$# z=@KkC^f9A@C@r3EhL925Yt(&;? z7C8|u|F*JU?ew?72Kdr;Rp)dnryJicPQa{Z06aZ4T7$oC5&&KyQ=63&`Igg z+U`=8;fBWcq%tiAl;Q*IBLvIUiyqwKVMs($u88$5?sqKe9NwVe@wN@+FFi%Qpw|-DPNdP5I8yQUCJwZB&b)h_?l}r=NCzYdw_joJ*1G%<5V$mDkOR!w61?3w0wHBmQ&%J>B%F1 z*i)m*2~HR0tWI4Z;hC{9zcPEBcWd}N$V4!H^760l`#9BcS4X?#L*%_J+ZL`)DVV$0 za>-#jsBd>>aoZ~3V$xRXh-lmMl6X)OwyhU_mt%~+Y@82XL$8nb5#Qrvx3Qg|7q}E7 z0~DnoC2}~tg>HTaz$P?4IqQYJYLE4_xT4Z!hlw4SJ}`d0MlZsTF|6@LE|-!xbUimH zaV{@JM(7JV0+X!am>O5a6$foca@mHl6ymGNiO&dxHC&A3-`tNAYHHENZ?ws?EV z$C`TsDSoyDvd+5v=Kp!-7XBBFgajsiI{~(4V{4;_JrFqEYc@>taWBJhktnB7xp=cd z!i;|?cvE+`CGXQkHmoo9mb77&BKaB@O|Rtlr`hEs$+^6L_l`xZBKvMbdy&`}O?&0aE&bx1 z7O5)Ntqm*xUXi5p&DVL+p2iVaAei}9!MxlisbxRy?%uisrE&|$SiO|vMd*rgzRYfx zDyDh{NqhJdTluB)cy$=*dp7PWZm+y@j6CLUR!glA)_7kS=(4+IF`un#AKVjBBo48q zh!NWpg#;GE84(h{)r|}&J_8s200!|ZtBH8XJ7$^)CNk|j_-$r5i4z;;A$BWlAT+Ea zEilVk1rBE0Sa5%U@CBORUZWtXB@7)+|9Bcb@4y z4lP$l2ve9zw77I9tVPmeXU(}pCNsfdX{v*2&0YG9w zGNhM&X2Xvi?yca^gpei=V6^G&X@6rbSp^pwZRI~>!pI4;xNTom?1Pa>;6!8NRwdD= zRfPubCqy7d&XwIWe5ChfB$z1kA@HLDO6_Uyp{`ziT%)7Fm_6Mt4-`S;WIa%xZzc%pq0;I5X#3TOwDz~tPsDW~mmHnZTB3?3_e80>dc?aD8HO19KX-#qc zkS45t2n2^a?;rHm7Z;9g#73u6Ct5xZ?4wL<*#yO|Lc^OE6U2FCCb}Dg-v&6kRp=Q`SvK*8dY-^Ra2!x9%^*5tKxMIUoDJk z3V%AyTv-JIgp_TXiihsp2Pw5y!JihY(3?}ljLl1bcR|?yAkNrFC#PBWD$3A<9fFeL zVR^-MPa;l^YUaC3!@?-{wt&EV90T2gg;MY1eP4UhUaiX+3as^Irb`t?sZGRJjI zITgcWkbop2D%HGMD|pex)XYXD2kB~kAMu$8N?0*G(^Q)>6OR+p(y=Gy95&bIf603r zqX|yh5j5QLNx^CGvSC^g+H#L%a`SB}23a)oWlSi^Ohw6V1guhf4F#)$NK?mE>;*g}mXB zvGEWNRkc&CGgxy!+1tUvWoBhmGX$_AF)aR2;E3jXu8LpjX7*i6%2X<@-V zv~8ka2PFLO&VyD+t2l*h?j{kJ_Nfg|7R0H!gE%1jM7F;_@jP|A;fbfP=s~Q9A}EOx zCKgKJfaiWGhi-mJlB7N;r|E$hLgo>5jg6yF|ZQbq5HBA&uKtl5E>V9Sk`eohO*b53Z|)pxL4 zpo)U7XE|~`e(I4^!=UOPkfdQY%?aC}p_f>GoIZ@GC99yXuOJp_^nbE~keziW%hJs8M1M!7^^i@NsxC|>*U;|z;OCz^ zUunN&>hWoSOZsJ~^*>+Vzgz6(W+LRj_T4EyPgDFm#q#UNN(K*VRxr;bGszjaBsH`> z345C8Raq$beLjc2mD5cV)*8}HZEPEwa659;3_9Cz467;zl3Ca)_1uz>hAPx5Ui5Ot zJk+be{0eHHxVbB$D#14k9|jqHouW>gI<{F`{p35-5X`h4ie{3aA-T=5XE%`V(qIErf1 z&ep@WWq1j67$4U4!0{oWPal#X@%~2pi0Im*WQ^&r-8URJ9!Jam1IOq4H|doU;Zx6j zwo9ofm8zaXyG7|LWzxrwdyDTIqGQvL6?=yS+lDHWkp^D}`}u7_KieomNScWa?tU!% z&@Mx;D*6Os_lR)p%=A#i^ZhfTvW1T${9t%$9w^F4X^2Z#Y54D`)7A%G*e(+lcxp#W zu^4#uIHn*3Bmj^dmR|ReA~le*%Gbe)K$}QE%FTpk3NC7=HE4Z1kgk7pHGb!R_haYr zQ$;Doiz9W;`MjRT!N6Xd&?4|c5U1rLC^q?%zr_z;@`A=*vQEqm9#n>Wgzyi zS|J1=CQmRR;T1_2+HSb`hmVu95c`mVKd?j{x=ZwlS@2!#IB2hqp7rJcMX8s65{eSG#yLSi zg9_7JGSL4zOR-fRQr7QI06zVe<)n3~FPYkVQZ>#cG54<^&zL|GV%gOI9E}+`PGz1R z3rZkG$7H()e^3YHpX)ja0(~#nE^?fk&z^v>0LXnDb4IOnQ9|I&DiDzLOiN_MDeb`Ck7okO{qDAUjAUwi|cg@^StbmfFepW}V-B${# z(_FVG_5b`83S6rSN~qSk`PaKcrYCSAaGPQ__o9s@OFmdq8=?4%9I)VivIG(PEUeuP ze%cdAhwM=PH*ab^nR*jMFTL?98iRLM!;whJ7UA=jKAqjCEIHJXx43>?IpKYmcURbQ z9(U?x=XE>SyeS)FHa2~}Ird>NE!mzdA+`%Mi-dPdAk`c)3H5{DgWjT?)>#e)d zmm$e}ov-IpIGMg`b$)N8es_%kPbtsmwn`@&yH0>^t5yu~sJ+{|6tMh|58$hqiskn< zN~CVh+#3lwciO82Iqgm77utQ#?1e4CyCK@J*s$C1+pym!e!;9B_}1S#FD-4ggtibM zCEV>lmE|}^Jha>M$xSk(*}R|eK(_(y{<_%=qaA7I9fr+9o3RE(ps4ZC9PonYO}~V$ zJV<8Qn|`bPj2x4e9nRe-vJ}2mx^#1d1d+Z?tbmy&R_n2Q5mc}rb^RXM1&Fw2bV=zJaiV8ojoNQiAT6N@nH|(VTzzD?$Hi#462=sd2H5^563g4v~bL;bD&&FbJ)U zbE(ZgVwTq`aw4Ab{+L>6t_`$;zhQ3<{PmS2KqO_4;LO4fFO7UnIw&6lxG&F?tWTOk zI>u$+0r~cHR|X+_Rf=7FI3db8@o@lS+W>}Q;rrpSbV)b(PB@yOZo=^wDaNj-EVn7^ zS!*x)<;x+&Zg(S&w@Y=LMTgr#U%u|T zwi_#R4J-%tyUS+&+IpN9wdAO>a4x`%gy}fJq;)#Swl@-!x+fEJ9SVy80f8B-NK+QO zaMJHi+^^3L>@E7x+Q{Bitft+iS22yaJl4StlQFTF<3;O8=WE1lq)FPs=AakQ;mH*> zlEwSt=GaOn5^!nZ^}1r`xKFz)-u=Pvy_FulRbbxJ{FG!NI2}S(!W3f3SXCi?eeUVIU?6>=Gv;48u=+N6Jf9K@X3HTnc6?XhCjTUau!`V;g0q+z3kooY*R_#s9 zpN5pQK2bpGI95a+eCCz6Bxcj=t8>67Cv#E@_rOV`$=!g!y6D~*Cg#x ztWS6Z3M#x5mPeEjX(YW&4;CKLk0O3kd}Iu`+2VePSo3GrKPwMsE?KmExl~7B3q)9s zt%bFZM~TPie|m3$HJTxK{564M{A~f)87MgPTF)x3C1p8?g=S26F*s^Kiw`sdn(uuB zvR<$mB#>*%SoU!Bh9<4I)`laxPnCRhkqtzV?;;_>T9Bg=L{$XHzt@Ck{9RpkcYW!# z^|1py33@o=R&3 zq}jvtzIm&6Q13sbv+tj3tkxX@^<Y$t#$1^gQG@z|F>E@6{*s1-`Qo!oa1_DCwD>!FUz?+~?yd5S>K@c>(@w#c4>6>_g)L zCoZNcb=)jR1Q=)97FDF64M>@nqPzwtv=i7lD!V4V{SN-{1fG_qf5JOhde3-^?#jL& zuBU;VwC{KE=>w<9{+nL=#qaa8koDV$3E%Uqoat1MlEBSWf`>ZcaozRTrL-J zS^D{wPe$gW{Xpk>h*`zIfyLcF$XAEbpAY&m#oJ=;#>`DnG0H++;TqJL1 z-9yo~632l*RA)_l0P=2^m$QVlP5rOetDCvlO&3@Nxu?#I=fEl2HL%ZhhY!DcSrtx4 zz(evK#|w;6&nLsh6L>1K;JyHCBvQ^sGAsCh?r%is|G>jn@VODEFaB*GT?1dmCPhx3Iun zl1$Pn{D$VRO}qz|Gb4RJ#AF;IC0~LEok>nrV>@MhJ zj{rXGJSzC>k@Cz}C-Nf!#|?{)r|jp#Zr-|`wXqg$P|2TTh>V^ztOl%^1a61gG)Ce8 z(y&36=yS!9IYb)*m<%T%{mef)Hl@*&hnQuD;vkkPllQUBYA8xLuYaGotqZp!NP>}* z`uye$rKDKs0Lwgf&i?M=-?~H zgkjwr;x-GHEZ)Wh1I%o$Uh<~$;<0@}eL!UTAv{sx+<|UgCOYOIfG69ZEt4KgK4n?J za(rR2Y3!1+zt~cDGv$t+_J9qH0w+Q8iWnfeJ zQ(WZyoW*)LLRt@wvk*ubMe{a}Gh{nYQ2dn{(>1rf@jxELef*W1&}&n>SpLnqp2lyF zW;B;y|61FMfsHZlg(Z`=Tjf-RM+Ts7N?&xHb^X0- zp^^R5kI`H~iEC-al)qdDV@(yzgI%zmtj>vo+*UzrLU!4=x-CX22e$KX`{Ue$;fj>z zLybL{>@-$}T~WGSy){rQXIg&5*JGO)GNj0s3$F$JQ`e{nw~=;o-_OB#-Q`L7#^0Fq z0k!(Tizasq!(M{r4##Y~p9X#tUzK&tSnU>`#@IoZ-+ zn}&It@{aDBy818(cNsoVbxCx_4__1@1`qQI97Jw{OO>YhM9~7TRg=gL<9=p)`Z&$l zeC%4|8d$9DEjV4HeT9nd@%=)=NbQF$6V0IQ$v+r0gMie)ptBO&dvaJFw?AhW0* zz-&6{Mw{_w`CAH+6Mt60jOERFX8NsE-}J z2%~eP=deeL77>a$frfbHht-p#RRw$6O5Z z*wX5>f9-U5LS5#x{$opYm&Kf(NN>IXVp!)PPioh`NXFcC-XGeI++RLsvhZBc8*GMM zZn8*(S|Qv2B9H*JIkQ2wiHe|VqPZA1WMbbIDCINH5L1hJkj zR{nctNrFPLrG-V9`$!Ca%O4%yhoggJfj?%f5_DU}9q%UIz+8$Zxibq;CV0PLgX5xrQN zNeR=4YL+xPvZRy}^$hP)HUAm(r020?j(3zQ=?QD|LaCW5;%LcsaE>Z%8Q5k08G z+RA!QkR8D`fFAcZ7$?&lD+GKaV1w>s>Y;U}F$xNBk0J*RNu#w}{H~tBejRo^p&qA# ztLM%m1ujoRmb|Z^oXsPVcEx%LPEMYO(5&&Qm?(@k#09d7(Z?dTEoK|kJp~`HGUXi< z_Qiv_JBu^EJffoi_gcxfyW*XYt# zwy*G1dI9!`(8%*0pM(SlcqPjA&)b{4!4T!>(&D5Z`Mv)u_N3}AFqc|9iOHQNx@E(;=1A+Z1a&Fs zw2G1Cv>IKgK6y^>VAOrKH?MsGeD;2yMae{KB6KVCx%;QRKh745@lj+Zf_Rl`|4{YC z>c3?oIE=-46j8rWfebR(UJ6I&xE2xLpa%eVAA&|31UU)$>seYLb@gg7bwf)<5%Tmr zeH@Ir^;H#F3gzy1vG8b7SuGOuFa|kQhC)e6Qza3o5939uHTpxeQ~Evj07}swPYkxq z=znIZ-P9kc+0F2zYF=!t@K>-4KHh>%KmPjAM+eK1o^gcLNAubnzLb@Yeft`vg8UtM zry({^3WT?W3@Q088rQx&E*ZwT*eFX1Z!eUv+IG+MXH(2AfD(rk^ggtd!3wQMJqW&&;kEuRsiEaMZB zid+N@&-BfwuYz(f*=aPRsxt`&olP{_Rji}Qe>^oROK27&XHRM5oc`ow{&BVbFJ@Qr zd^63tKImM(Q7^%uF@$EZtn?u(?=F#+l3Q=5@Km;tpmHyys(&vWpG~&gkSfUa2bPa+ zk@rTZlU1&@lhkfGO|1_?c(sOVf|=Qw9tuh=4mmkXbd@bN&qFqYVf|(@6vs6R!ksJ9 zEES;PVEwjJc(TH(mJd=43$HVe&S*}`^omKY(%pzjdQgT^`UKWJ&sx_~Mcf#@*vj}P zF@DS^41E4ti+YvuHRO=k}?DPQL$?M5eHmM0Pfhrfj}mZHbg|dzfKEg&<*h zA}M}sZY1g3L9;7cHCKNDV;wIR?H?}x0_0tLJ2YDSUf1*CMjk0#5C1xBgfa`W;vDCa z83;Uw$yzT8iqzt64w zFsfO3^#gtd)?3fi_7pHg8e5uj8o`|Pb=br*eYr(D$>LJn4vCr&RTwV?-mV1-u8kXL zkvC?)X5370f+cS-L&S(Wn70KgvU z9QrB$O^(~Z_7|mG_M=(E$AhrLt*E>x(!~N01b?z-6cmRX0J$Z!5V~{3LH(peOgMxj z*a#0}f{giv=#t-S%rT~2oSkk`!JxZE7}XJdT`!k!BrUO(5RN89Z_*0DPPf|OLhR>h zv_fJ;L40FR+ zL%lBxufDwp_j9B2b_$lUR|l`g$K5${!mmNO`%dUp{ZK@<*eLl7dbBVk2Ri>9GuZ+Ri1TUIEAh@7d?zD=7fE#v%L zCjBa9ZON|ai|xvqfcDkW}Nf)AvG43or1}xQ#Ia7d6~E-G7X`neWVFtI0dG$|x?<`G&0u zs%Q&cFop!pJ4f3vClI`KtvJa&Ln@yBocO?o7T&d2Vq~7Yw5gpo{=2i+H$-Zly>l=x z+w9JPl4zfX&t*m-}{!lf_4Uqyyk0ACz}5zK8tUB6Rfl#7`)bzsAezpVLb=9Qo_rB7ueK* z4)xeo_Y2KM)C$r#{OqWK4F4XKEPSeYyD#9d+X8S z{BAR^QC6!qPT;gpsy(JTWkjne{U!NFXSt`BIuLgc=eG1CCvjc&TTE0ibAOfk2oCR4 z;w2jC?@{g}!FXkj5D6o(=3fed=z+|?sD<0)(GrU6=yCtNgaLj9QC`Cz>Rgiosk3fo z3av9oh~c$c`Y=BojZ7crylySKL4O%Q8JK7@nXLm>@EAKSVSoP&*O8UH_lsdKf9#}G zdSA+RFe#2*OFRw}@PKiFrb*|>O+6e*-ka~|s=7#?e__AHNm25I9L=_1bxIGxr4y3U z)iHbNR|Rc+T*k9f%=#>Wh60k&iirAU5MqZVK-{kCmb1P*6zM(WnD{IqGRC#z4vCsf z-JIs3o+?12?Fll8oEC>A+mjuFetq9bPufOX zXR`oJ8|=_83gU~*LwQ5t_*2h=gQUtSL{dI4GZ(lCl(X!SeFJjhTyL@FD8VV{(F8@6 z@c75{yL=#ReCzj1p)=2vDRs=Ixf{}Wdgkk@KY3@&7m$km2=2W8ifc z?iNX9GgxEeR{QZ>L%~Y+j>T%HOtG`ca+P{she)f>?!Swhc314@^z;mhAF9rqJ0x?KFMr+YJqN6DJ$hQNcLja1j$>BL{QlmUeJ~c9 z`9&e#Qt{Jhqq`6@)-&W^>D*?VzIT*{ZJS&zBL_3Lqf%m$r1eZktBY4ds$iE#D1w@B zmrJROS3zv!W|8BcFE&-+4~Ioiz2Zh%W0Yc?Ry2vc^Q%zT6~5ZnvQ~{Sd%8yT4EaCT z2TZpQS}Q!l64j@dh2dr>X=<{y#RWJzb2=RKVQhahzb1w-%FZ%;mEzy<$-MRcna}L` z#3cK!2HZHLqTcv;oYATL^He~#%GR$kw4a}BP5sDKnb%CXt#zQoQt8y$T2(|#Z$5Iy zsyNpX;zM$>wov=)U zZAv4BRYzR?6JxZLrXhxfaXVt~wR9jN$wkP`tJfqubbvPf9^nM=@7V#5*0>dLSX-T! zCRJD)IJe?kR2`%sdNE=HTm|^K8fR0#ff5utQvtM8<_|9c53|C)Ocja;b~#qOgG#9l z;h4#yXvjVx#y0{W%R{z{O#g3HiXuna-+Q$~w@_XB8<1FWvQQ2j+46rC?JYg^e7g*D z%f?7N&6Brc8FU!nl%^+C zv&(OjP4L`Ssd&R{3xpvT`Xw7?=Hdx(Xu`96h8=HnU_of2+gTSwag1mKYh%2Lu#oVg z^Z~VHvc2c4pX+dS(MFz^(`(Y{{@8F;Qr*9?u~Ca#Au3aT7(fT%1ogYnPUm;QqT0WS z(CMI8+Qjpa_prdQyTc+v$+XDpvv%{V+@$x8U^pp&sWPN7VL`beC505)Ei*REh9O<%GuM{rybMoQK*@NZi4Za2&=OFQd_t^6rUpsSyl`z7OgYV zC=`Fp$ED1KI}P{077hPdEE+DUF5X>ID1-hlc2Y|I&S=afmws(bM+>}%j zO+u7oYY|qy5P$!#N)&I5UtB>CvaT9Xuf5Cb1)_k2eRI%C^L)QsoSxA*1t%Z zOq?y!?)5!RdCpnm_?}_ldG0z}BnxM~qT$K0wD7xjI0#?9T19wWuJ#Ufe~|HSRh%9p zOHqfJ_1D4q;BS91%rWFa3g|e{%^o;BI&+mTOP@=3b+%E10h8xv+GmUe++x?vrfZLP zRftkIC2?*Tvt|mfY3|a`l!s_z#&i45#R~-Jp&qy>m>yi0N=26ta*3k)KlG(pZHD{`l`wl_{+u>3E6TvS?WO{+Fj|gulm!CQb z+@Noi9&_ZEreLOqe>&lJt@n}UThUDLBQvZ5?0SCqxwSBXcO#yen@hHWJ}((p3-&<5 zN8%>cz?(SjBdo`8HY8s08qzup4-*@ds@#GipVSYYKqu`b;*UN(CDQsMTqZxk_?>o@ zFx>SSae^GC@vd}glU|bqXmR05+Aas z#H$abL`*>S6(r*t5~|Pu?Jc%zqoa#>yaX(k)vgJgkpg-Xp@AhzfqD|_nz&%VQ_8dX zgsh<0dkgD>GzF6lBh;+`%gJ{7@r27BnE|*4Na5r@`oPQ=QWdQu691jRR@(SOm$UH} zTUV8jbdvxy){B80it;yKqDWv`_z;mK2H^X}TUak1@bSYRRQRfqccKwR7)-Tn`wg|l z(0m%{jw1C!Sx}$gb0L_BA8#|HJEw>8?2(L^TuBy_=ln`_qHX2soY-fKNiL1@`g_@; zyDkAW;96E7&|jK#BIx(j4QOzbAJ6k-DAt9ZRnbePRuC@-3aYEkK)$3Vgst6NbeXWKGUua3z4Kh-7{g)u&%f;zbC^l<)-x zEp1VJl!eIq84TXX^ve<7(Y9-rek)A}qZ$EqB&Xd&J_P^3J4dcTE~m5Qrwv3+Y*uXl zTQ{MKgz&Ym&|*U@Od`*GQ8suERqiK!_IOfD4qA~*FUdA?K-`Cq$OsMvv-@($sg21E zU@IYy6qG#mo6@nNR35qB7}!z6L?+#I-Gt=)0jmY9qBGmkvC%6A<<${Qy=*#Nu0y$n zFqDLU_ANjm0m(WDW@1IYIjzl#!l+*DY`L=GB-Y|ZtXMuMXtu!~%DG)&KOfJVN%;EY zIjYwrehQTTsZ_FOSuC&TmNTK#EFJO_qT%)PRVinga@MfS?~RbtdfQT$``UAqm{$qB)#+}1aa zs^^!sRG>oa*erX zl3qBlkXO2|T@1cY(7u74FCBt* z%CV=sRcr=qzu55gny%>yL?|`eG_R6W86=afOr{4okh-F&l9=aH2Jax8mchYn`Mi5A zSNtAm!LTU4FtMU#f9whXa7ZI-h?_&YNK*7K^|N!yg2i|~IKE|fswVtzGKk!qVSW87 zZ?jlgiYinEFN1M1O=>Gm74`Mxmu|&@U~3Wf2vu_7*nn7Dd#(3qMN7ay8KN*r1y+m+ zyM%7IS+YrM-c=H*zv@|mKeu$=M>tm04LL)mbZjizZ1s|aUomdI+(|yXL;-{hKFfcZ z8XS}vFrXM`%m@E~7<3obIX)fvcNKPX2B|AFg22|vWt1~f+3-31Z{HmPH&v&0WU&*XN@ls-x6y~ri7J=dpkKAYMc^+7Q$6M6+nS#s#zx;a3ZQl&hHGCt>kz^xBqGq{6FPj$tmz? zQ-UtwPYOOrsZIz5)=uCaFn?24pEgsFM)`A-=$km0BJR(kWORQqO}|0T@Gbo8B%+@F zb(@Bd@qlJ3PK<(9=gi?NAfSI9#@UmeJtV<88@-m7_*g*eZu!qOv~_n5z1t5!2c2)J zjs#8~2OjW#Y^pEmMMQ|X$6WLMD{s^^HWR?njAoVU!Zb0^B--LZtkfV#-3g>$0Sc<_ z-TZc^&wQNt;~BtcNQ%xsP-5+|&WHM*4r;xyL_DZ=_h?b$CaKUuL%#)fe# zBEY16jaTT5XGKuGPGyd|!Bqh;cx*Lw^J;3U)IljBn-iJ*J5giK2WjQ4Rv9_~*E=KO z`%=y=c6z+Dt_2zDUpX&3=?Zve>|v78IzwU;FM_PbD+{$pU)Avi2(89Xm>syKubu9) z;!XoYx2PVGmG0BFw+}!Oxmy>1T)>Z?il-sY5+||9>fQ6$V62-czFM0#=f(aHS!Wg0 zb{lx<;_hDD-CNw71FNw`t$MOwE&%$>+R2`z)o+3dI@LkthBuD*UWC zPty)Ab9j)*admpu8vDBoDsmlpv(p(a(@x>g{!-wXb%&RQqR8@RNIs<)>yznrGWe~%}lEaEc+(W zQZe}s?U9MuFz{PMLtDYNRE;vg(`=MiKr527Sde!>lH@XJ4@y@| z@=E$t*M)#4q)8^_B(Hv!8Blocy!LSRHr3^3LaN-w?-_Dpipvo)OOUOf)ZqH1SWHNr zh&ZM*k|XO$u4eS5E}S4f^`J{pztQy=Dsz4%T4!AvvA`|+14I=vAmjb>GK<-+m7T22 zl6UepxCgWS#VRsLYajYw#@`b1o^AAck{a#(7Rj-%J{ZSp_<=bI9mOE!(k2j@ir%&V zd(ITzaH?S0cD!>AcmDZ--5>s}?i9P(vA-4*o>`4GdEcY>_}XrNZ%Px6hN~^+VvLvo z9N(>qEm>Cn+2%<*U{9>65`_duE%s>cJ2#4f#IH7vT}~LHb3gusTX@D6xV5t5thS=Qa)l=_P$r*vn-o@q_^%?dYAC?#w}9aH1to-($-sRn?&HTq^^ELk1s zU+HP~mMN0^nnnk@E6#pp+~u#1Zyi#cQ0oZSI8slzr<{ymq{FGV!NI%Zk#QG$F zCfp3)&wRr>x_gg8@N`m~Qd_*SN=tgJLo>t5TVxUxKdq~z(XKi28bz1!Rh}iU5A)j@ zPIuTDdKan4YJ3srZZhN|!(oD0oYohZ0|ZMS&`y;iC%`cRvTPDZh=W7b`zyey{w6o| z55b+c(JfXDtQV>`(aVy5T*Ua^>Y$5N$F$|dRxHO!1X#db>9L7tbeL+z0lSF5!<5E>@fgAsD% zT7xC2y*_NcWK)#FdEbb#!EIY<6SHIjv423;J?fMQR_R6T5buBxa!mvlbc@@abWG#i zEFC2I-GMn_1b1^;^x_soNFf7)d_7^uWD0+`A(LpMC#Ul;Mok#rM^gM}wLiKuLD)-r zog%xKL&K##z~q?)vA*2ZoYD(n%yH^%EAmPVL>$^DG7$b7igW2S4c>Y2wR$`?rePxT(n?I zX*X0{YQO7#a@enh@9BH}*@>QuXcpSCl{KLD)m9izxf~8FEb0N2jH;*I>+g7T*vvtXFX)ObV_yis#f`;T<;X4IpYg zEef83Z}<$8z+`KRPNL}L3ik@m^X99kiEO_+Q0ps$raa+bLh9{TQ9MR89+yB9_-NW* zi($k!R*0ki>EFJDEY@taOOxOR!4fNtxP*d--RbZfmjQtuvAjjTYwhzW@`69*Aq#mF z5A)Wx$rXat``4H`?oGMciggejr#F5`00I5k&|}}x$JXY7*{#>PbV@03U3GwZ`zO#! zsS*)$DEnCsX7@b~B!TH0Cm5$)Cu-q9|4$R*@mB|0DWP~6RG(*=e6WbC7{ZB$9}Q90 zlEP!#|0VM%6=&e$O0$rB$KJx;!#+}C;q1BxG9#nNNiwT0=AGj`VE;JJb!$P1Vsh5J zikyHwMjiKziMK84J;ZZVo-Hckb+H@X8qrbdp4%>T8dQ^87x@gS&`efgdr9d0L zde#HpNu5(P9cFlsSIar}-C}I@hvDbe{b$m%RTuf5E1vqft5-SbD}fTTOQ)$=^*3UR zM{S&kZBGf}J}&{Fv2Qt1#h}IT`iI+r`tu6PJ;$jw(wns;e`m9|HJ_gIwE_Qr;itw1 z*(+7=0>{Z9139M$6$k-RE>N*n!d6*m#9Xzx` z&`gEdT+R~&Qi#&eJ=r#%6s(Ual1^Zg7KGmB76YzN>37SWUxP2ANB2x<+9GIVIisP^ zi6jnvWUV|Xe-`FeM)TBB_l{pAvycGLI=ZJOaY>ajK2B7=WJ%U!JNX zkUr^S+bbJNM8=P9H=eBdE9o+|(icDF8+@u(l=?Jhd44g2K0+DAQG*A)WI8M_wC__X zhG-n7%M^#SvZ-?T%@TMyE5sKRR}{}^uwa5{7}v>^m{9R%g|k#*k^=`n*Xkx+pr*la zJ>m*{Ebvmu1`lKJenj=E2!|!f{<_S5O-7H;L;PVoCBq7QBJM#f(RYMPirxE&MZfwO zu7yE=>e62epIK)!e9v<7R&=$JP7&E>1m?bY?~B>F|B{fIA2jqP`Ka=^GMz5^s#_}! za|qb|K7z>ERdOMC&YmRp7jt6yHc!FgF4kS6PKD3m1u(LNmDUv_cuf${JI);=Ykt=U ztf18HWp$19=&OSr5_hc{yxI*iq3dC(FV)x>TjfBz-bm_>0F8b&k=i}x3O;WOg{|h$ z3Op@q6;yHyDl)y#vI4NjGlSn~dc=-l7m+IQXofh=nH`iC(<-?Q9P0Pjbd1;U3;2V( z7kthMa;zPieQ!;JN1m#_*6(+T+Gf{-CCmu9PLN07s2&h*Q)(w5KPoBk<-Oo!t{Y0N6t0cT62Na0R z^!JpvP8nEcTBsMefYAwzX6$qgp@1;e`$*=vCPK+(JB_w zV^dfb;(`8od_NWF766gt04l z7)B5eX$~=*lq{9VEyzY5iJUSF={HiLS>Wlwl4H86-tuiMxAd8H=K)qI{u(7jCQKu7 z$r3WGKl^dV47hbE@;Q0XUL+j~fL^4iCq;=pimr3xE3uJ-ZHT!Sj(UnPQ7g%40x zzTN1(=G9@BNQT+P=z;PQ1o|EF4F~9-Kq=8a#VSh7mNI$QI-Fg z`UUW7%--iIlx99EgEhPCoOo#nW#Oi((}T?81)THy`1!6H1f6^5a5h2afvweUxJ%4x zg~^3o_igcSL2vW;c(j^sb+`nS`$=2vFQygEGM|32XxgwU%-igWvgm0R{?eK2UP%4< z`P42BH?P1cP39~ca!dcqUp4xdhCv}m*^4`*{PfyKwX6D$SxBwi*(+MFz3}v-`}xm^ zS=Kk@!ynhVo<|?ma7p=6S5sLPawa%i#1?xxv*$Si+U6@hY5y?s=~&~ZSFSrG^|M^4 za92$aicyV@QBB3qiZ`p7uP{;mqE}`8@&#Z=JX^xTs+Z@7ej*;Pk z-aTK#==Do4sr}es=Kfk)qB=K=tk|36I%hrT$P>PoUH%FIBCMcpqocoZye1uWs3olg9h^kX2ps z)j2m83fjy2VP%zbVrVr5_`F-t#UJ85R;ozis8-&=yf@C1P=pm$xKiSx zgBZJpS=F-1_ z8(1&&??NQtANU>y{lmx$dTqk4>P!md=}A6wp$IB!qI|98rlw|$R`zn#4-ZMNL<`H1 z3$E)5{SI&XTMt-`TiKss1qUZy8|og%tpG}O_KnKTYC?@3?lVR`Mg=zUl$sNu950i^ zdcpT`7eoXUspftZh;r#m!9q&0nM}&qM0pfnfsee$0Fwav4OzjmB zB@(7>cUy$3%rf2-TOOJ^3ZJ8M|C9}5R^eUorQ=Ionrno0V5tXE5s8Cqba$wBjH-FF z5u1{n|=0P4<9$`DIp9J&#!SMK@i}NoYLBDowE_tftsu)yVy2 z0FJIePP81*hoDs!c9-NZT>pA8%=%trp{M9}iWjpMcJ%U)&hIzE*BOt-x?@wv<1r;4y_~~qG*@*^=!fPGQa(p_ zC@!_k3@T39IgGa@z@SH_8ld=G-v ze_RqTg2Za1O^evARndZqIaiTYVm0LHZNRjkqt;5 zu^ugsBFjfEom~ffxtBtS2F#jNtwo=8YDcjZt=e8M-ZPPk+L>o)g zSEWkfa1TP$Qy~SM0tf^Lzp%SGmAKykRAkMZTtSNXIPRz(%hhXofaoLS#i4IYnT` zW9*B0dfs~fZV|({5(ue3&R!<#1J6oeA^WP$%c%c5knYf|c=jt&`C{Xb4}Q(j#qlbs z%I&6GV>$C>Sk;u10P+SaYwaMkyYRcFX_EUq>U5tTg>&dY>BWk=Fl^o@M@(#Wd> zdgA5V6$$eRCV zy2?U@9{*po4lQU5rR{xoaO=EQP{Ee0=) zM@K+}SrehN9x77&6)ew8ol=xW4j zjOSQd*E`bMu5|3ziAW~Ja?;fyO;TA)?9B!g0hMRQ6dR?US_v~i7tl{PW?Z^o-$Au+ zTM7J>Dahk>8q1StLeC#e`@adQUV(FZ%HFF=V=C&x&1U|2P;lf4@xGeJzVqXvIRD#& zM}yazyT{2|wt)wo%b>o&AD^%2=i&xu*F zw6+q^k9(aVm{vMte+D~7%&WMcaV4-3+O5?0IP;v_qQN7lni3~DZa1Qu6c-VDE1FO4 zM7th92?cPbK8rr?Jzp&sjuc<E&}6; z76jqh%%+-BeR>+k>tK>2jVp^l8JK7wUKAesw;_@-O|GU}eESO>G8YXFT(Z!unWNrg zSE@e_MTrsn?NqXQu4#RrzucC`N~s@PsSLbRqzogDp!ov}^@lW_6VLuku;kAGocmx^SoxZmJ)tMrOY3xlaJIj0R6^~ux<)?}$;VF`?( z9cP_P6__`Tcj0JEv6|>sDbkW?9AOAx9AUsES$L0CV2Rl29B0aQBcA653L$z1F>et> z#-PWQt1$0|UqG%pKV`UAHCW@@6&uRkj)*eZyJ2R&f{gvl7K-&%{LRUdf2dW13-amJ z>Ad-+$3cBoz@;Ny0^`@T{@f?wWuy=O*!G~IqX zFhOjZFEL`;Ek&h%#k@<#h~D1hy)TXcnr*Kh*|gLJ71Q!vu+o1h)m8on!{FV}fnlZL zNkL$pkSb3DFTJp`c+(r&l4IJRtb{WKL4aXoC54C_)I|!c%#4{c1g(a*e0eg@ALx$| zMBuUA?%1dXW{IUAI`~W+#W@ONmPT&;{o|oW8Q{E0bQO59_TkO=3?>@^M6iF&pqhFT zN^7kP;=Y>f&2*m_C2$Af5A`F&MB5)memA$i_~C6rA0HYE{=z?`?0RiFG_x5~1h&6e zGk;kLg@8{-{p^9I0HeI^g@W#=CMAfd?>b)0nz7eRgdj$hJ~PRjtawMMMaxzk+i#{qX-wO*?P;8INf$?_+U0fwJIdS?Z5`#V@qC@dSRLl&Vi!M zo&sU5`i2kn@cNNf=$NI}M~%l}>ld2H8$U&GyJP#t2zG>&iKQv+dG*cwI7RUMCJ4OQ zxVtG0^Ue(^H)2EQQ@0=&cIcWH>Tz1c-kZsRR~^lvZul!$xpCaYMb!7<=yF_p+<~Tb zp^zK~pLz(fd(u5|Fj;W#1RRJWiydB?_KLAJI&iG1m&_GS1Vc!rZR?1(hbBuiuL<@v zY7vW3Sbkjm|BgL}{tqQ}e1tOCk+}KG0*gVtbu^nciA6~@E3N0&+%JL&dZ$NRgD%U= z$q9YNqNN@awkWl!b><1Z^6WiIET31-XkBKTN>k=Tr3Rv!L<;Tni7DFjaq^;c#ka!= zn@yh7@>^oB{+u^6+SF@Z4n4yrt@HA|4Yp~T@{~v-ERv{DA&~SK$S-$%%N8i!P>Aev^e$-%EWDw3{`a7~S zq{kmrB;HG|YJ~#5g+BBvR6>fY42M)86A6pjz>8g^`m*1R4)1QW2i2c&UHNuSY2AUsGFOjigR@d*G7yk_KR;TC| zxclXEQ>BXf_2(f}13{1YN-{Au-8%|4){gu8pXV9|g5JQ&?3P|(PSxWplInHWl+vY; zG`7@K?cDU#aJljETsRw>mY|J&iI=Cg!+NbH{0g2~I$l78b(JB5GVhgjKZL*de6ObD zZSO@W*etE&&;ZEiQe8<=1vufA(ZwLIXOfwFw%!qM(7vHwD%M~# z9u+lX$Z;QU!oVM|&!Vno$}mS*o%o>&ORaLR6B?P5W9!eVbMJZ&r5WK{`Lire8q^m) z2KX04LnSAyb$h5_VZD1X>>N^Zn+&CP4fCS6fN|7DMnD%RwfODTaVsRpx7Bum=p95t zDmT8%F)EDFrq~pS&7Y0nW3j&>zUC*;8Zg|E!@|Eodd);Bwvpnu^Fq5mU#*S}Yxkjh zY%Ph^UeowXIVxa3Cx%Es-rZTYd_51(Y*Fbd5xBr`q@nssC10CCC2$nhwOpJnijl*P z07}g&TRVAl!T$V?IU#}{FGMO&AVJ;H7Yu)=08w_O4J81R-yZ>;aIGymD0w-+NcWXi zaEti?yFthsR0m;FpgyQ?;avc%W}kK#ZruXTNeCd}D`KUUKC5eRB^vl?jdV;qJo=?o zyq4UE)(UC(61@-dyT97#poT=x75(JfA)Zy=M+Z|OZ$@6!Wa z*ca+829WT%XY8DfrQ#gRE97*0b+$L|_sDx=3RGHIcT^_pCSLjVP~4;-j9It$k6=V3ltn=B&PgU&2PBdPPQD0?|?a zPK(p7?mlZ&o_XU}=p|%Q*h5Nvsbj~C6AO6Nd~FkpL!JBP%;$o^18~`n z{#E;C$iZ}q??e!S&S0NbNAda(3o%yj&!rE zQCWlLRo)zbjA&B)Tb+hqn2eY?=Pg-jxCtF#h||M&(e*mBj~_423q`x{L$Yd{o-3k( z;s~O+${AK$LNPx)vsPk>V(q)Muz+Rky0-W+a;s+J9VJ(cHk>>*JFDUvFyR&LHq5Yq zFm+!%7$!&r{xTe^aiDDz7lUf4Vb6k%nDn`xSnrHC!!@-3@d2_dzzt;v3zU0oQewRg za8U@Z(o0Ch%MgCZ;&UgCM!#91Dzl2R0T8Zvk%Fjha3YPsOiLP_v?N?JWPyw;Y<*>w zM#RF4Op9U<6O7kDFACq%>$m{B4Gl^VPne-oZbuthu9n^mbt14SiFK0kTDHgp5bq6I ztH~RDeiqN;#1em~EhZ=)d(OEoS>N%_rNla|-vE=H4OuWNqMT`n3`Iq~E^5OnUuM}j z6?!RB%-Sdt85lO|ES?#&8XVV)h+TpPXSHN7tisdT6)>IwyRP%j-7=Cs4gzL(Q_%ovb;*O zYp3-;)|r)GZJP?HZm4^4@gqD4}Gc`I&(2OoEHkihZDS!4Lv#K}wI%&pZ4VI@0B=R!1z`g0LXhOTUl7#9zXe z6tgv#kdXd1$ER6K`x%!^i3=4K6@Nz;YZJ3TU0l805TP@T1x!unjsdS}m+v{Oh+f2G z8ny$=ydIyDn!3CIG#^(^X{^#d7yUGS9@ITguA!%ur>?dE3MHIJ|8n0TZa6SV*AdiqL? ze_~{EYP9eksBiG3T@k<0a>vN5X*p(1u5I?du~SRPiL!m~LCc!3{ ziU0No%hY>g=1ZFiWyjqZU~kvwH>>SJ`qChBdx^#NT&og?Md38SS$A~BZp^WBvH(y2 zd{xPNpH>QZCgXKTBr>LFvyQ6 zxZnQ3H7oxyUukT_QG-L9KChTEuBnJip2wu$fz&ALN$<-&X7$ zrc<3qrRg@37ohTTP;^@%ORv9PTCO;&&n(*$Wm=(wJu^II0pN&kI##m0HQX?+_;oG? z^|%J$P@XSD|JfgVE>a^0aArh3Q`9&OGSM?Cje9ZAg2xbTJ1c(|g=PD_W#+;lL?m9p zp+^b4Y#&HoAU;pQ4ucsl$l^pHHH#*Uz0(#wUX9ArjDC}JfwT{l+~UZg-( zovb@ML_(x8sL#GtoVyw$7>6F&JROFck7ZqBn%0G3%nPrET*oZ}tmj$Fek7P-CY zP;WW;%tYa)B`K*qERwBc?A>fmJwYkV5T3-O*~j9&GMLF|49Ipw9*0-%rG$jjB<)A? zWP&v3w_1nJ1H-tV<6#JGn6~A6dgX&gMq^=l8xv6yg65#~Q{Jsda{-17p2oz`oVZKk z);^hPqaGgsC(1itkDQhXk{2X-d$JFxJt2xt_tX=Wpt6La=|jv=-H4i%a;P#_G~3PvOh) zx4ud|^)Ax$2+Bnz{aCl{ra7)I4M7ZAZR7qkdosDF0i6a4$>-hNFh>PSxiY4kmBSX^1{%)XXz{iR~{(qmK!B`xX>|F*IXz6YhEDjjJoE$EpNy;q1%c5tJDzHt+lc}gD@RLq$jbt3uJ zYw+#-LT5K?jaHGI>npCpnBhA|Te2AP)R%zJd!93nws%()F!99Cy~z7yC#aZBjnQPN zd(g+`dw0ewyU&0fokff|c6~L#j=_#$wuy8&LsHJb*i6#Z%m25{jEDL|y>@e6tEK;SQFg#}6O(wQfj74}29J9dB(z^w1gA2Q8oWHN1>Y;7s_>-dSrLBW|nO1L&oWh(GU1H-Ou0S zQB5dAv*8V}Lq={BHUE$l;uTXl;OLn1kSy64KR@k5=CQOcTU~2zlt-}T^}W|0KKH~= zh0aVJ;t8I%)@^pgGx9LBPrG0SS%m`V=wuhIMBIMJI$PbNSxb1Xj%FNGtki|ecnkcV zCAwJ@D31L55g6te=@@?^-(v+C%&>A+jF1t5*K-wJm3JOo5zt3oEXEX4$|8-+L~{hM zuyRwJtoHjRS`+T8Cl{0-|3#V!z6qR*c7N*&qh*09E_LzZX)#3-q*z%vb=*Q#L{U_; zyOUonQx~Dkr42rQmZXdoOYi64IGA&Cb|V|nJ-RLrwrUxq7+3p=zn6v3&V^MT4&4aQ z3$E3Sj~@1jnh1er4NU*u{vewV=53iudEfQL_XQcyldq9QCu{_C#O&A^QW6p)5(OmP zsGKvO2YP=fKbt9}dJDd&8*LV)SwWzwSd6aJ9f!K6Uw(|*jhuT!IH3Zmn9j%Q(P5gT zIE>X-L%=&on`GV)sSqKE%t8K5qz&A^e5nL1|}5uPbQxxb6G|Pm`kd zo;2yvg#u~}R<$C?;(J3|Zrt}sD)*0t*HSZi>OL7;V+J-TT1UkXjnE6T%?1^i+MQH~ z&&to_yd6=mJndo)eB;ETNLus3oX{8|JabzxirU?FQ4+hy6HP0+jXD)zQYRow;UMiv zwc{gCx#VQRg!Y%n9!RX_)U~=7kzQB-(j2!O2Cg39ToYOb*&Kl)AY`B?h5ab8pKyk6 zLrb#mUK@_{ehv4cNdu-Krma%HDLR)C*xpCB-jn5SjlK-K4ZSs*bB4&HD!Bie_Gm!?>N?If{_1xxIkNoQQ;} zg+FMg_oBdJ*U~1fy%WaE2%E+-!-C!Yoe3O#=SZ_jF2-86<{fis!$nrv_c}bS&PQ?K zJQ91`4zAlQtC8Ll7P7j@0Ua(|)%5Ekn903;Q%#cUrGQLt)olj)PzvqEVKfVbiCs*< zVEy3@FN2r95GI!S&D8oe7-h^9C57<<7M&)O^3D_}lrPU-UTX{PM%l8+*2jw2(yTS_ z7~B}{YQNQR<#$AV2s@8m$FthI_|{fBi z;2MOqNb$g3XFHGtf?rw&v2f+sOKEjuAW~esxU*(r!Dc+|iHJN6hg2-t3#v~KWjkGBpsc`3o%AqULYwh_ zOx(N}T#vGTk_R2GU10^OTL^duJ?E&*dIgU=s@;bcttV<_ICZAl?z#K?>BJ^hTU{$N2Mn8*_i#1=%~?U=$0SxX@Q!_Kc5C zV;*mH!EUXb{Ly}3gld3?a;8u9;XM!O!?!q$J4;@ADqsLy7WDJa)rtwSU7wHa;6Qr0 z=`RQcfz}}SS8DYYjyY$?pA&6?OF>{^MG1;lRqU_{Ri}-J`&pOvpnimzoG1q;DAo^H6TBO#5 zwIVrcnGoqsbDG&$$-E_@GOG_lA#|k(rt-w$(>ug^ah=g0$vbujxF$VH0p(}s zZH7Jy%;L#g;>rJ1-2c6-P^FmFs+r8W;e|__6o*i* z?A8F0r(05l>#hbSCfsmWaRDmSaqpnT!b(xfpvMA|Je>EBI$*#t>!31blp z_46Am>PJIh6=u;cvyyeF?7`0RixPb3@B3AyZY_Q(n;oBE5#_?_ZlklT23f>Y9Fhig z>sGb>vU*VRUIKbrkBK4kiQjK~f9L-12}1$D)k6&tK$X_ z3|t*t=@r}c>G_|15Nxrms`aAPK}C+h?3;lXMkEmH+$WB{c^S@^k^5BdEDT34c~Ckk zby#vPJPu9!m`*i{aliil>{?Vb&XE#R5V$i!16g_fjOejH8Qza$lYKd5PO0(p@fIb- zb45<`b73!Ax!5!nyd?I{h-fvFp~bl55jbv#rwB+g%`;S_fi{PUDji=Wz+TQZP3%1- zs5~Rv7bDeFECqlyVhhnewxaVw)x%GPN4*k`F}fF?)_gt<+IK-6SwAN}u_YqI;KR_< z$ZvN8LvF%fQ*=h_+FcI;~;x_xM&{X}%*sQ0mI;9Azh{eVniJ^i6?ihnyJvRWL zl&L1if=WN5!n&XZBEp%Qyb&wP4=~N15TWtlj(GHX89eTa8=JR#ZG%7|q?*3Gxs!Nt zP1AnI84&TWzC?bEe*9yL`*uUT0}P02dq-VL=Imah6cbOA0pyVCbglNEa+RKBAI$s- zg4nS*R_UQ7d!Tmk{nI}ZX#bZ#vld|E!=^(Azt5M>|1BnN8|MZVF-o9a)^tp`y@NKS zoy`(XC6%bBUH+ao>E(7D7KA}aOFZteUrW$k@{i^BZQ=V&(2E~La&&-b`YpN({EL}J zeja?+_Gt6~_7!U1E@8eRCG}A|1j6r*j+r$oIWIq5hrT{vq6Veg)9uiceQ}-avp{-0 zfc|%dAS0~y`9;9P5UbfcC_oae$PJ~cCq${vH;q4OZ4x8O?+EWGlf@c)ueu@#68NP; zMmt99MjMi@w$l`-Z2tLOQc4StW3;sYu|gtFMmRe!!gludl>GhMt!-=+)zs9W0{h)P z>xtD9Xed1fv~l2@5C~qX>5DKMt-R$_(sj-yH%rbT`Dd=*-P;?5bdnjAHPW%mL#D=n z!VI>fUCF#Q%g<~EspTU}z9Sw3*>7e=jwNi+^6hI{;L6oF;T*qcJ6MzXUPo}|a(aon z;GDWP%mnj~Ptxa!Z1yBkR}LMieHmO{Z`*!1V9qb(`d-b%eAv09KNO+vdTB|#XMzJe zNS^+5*tET&>o+Tz<2QONBZ-ylKUrl!auV`IO_l^??kPXnqJAdPvs)PfCr%G0CK zz`5YBJ2!vs9?7^RgY)c0JepotI~@&@lbKnsQLQasO%FRBNl9vVS^c`fUW#T7l8e>) z91ghwrtXp7lh-uytWQq_f$5pl%KVPWbrF=rd>G8n#&z~H_m+6R!`$7R`aRlmjxUp8 zTyn)-9B2$j0_gAA9Y$1BI)@-RPn#62W>r19k8g-Wp(+5=Z9=<=@EMzNCp@0wr%ATV z4y7lo_=C&N#5x$>Ud;GVdT9dfv&Et;t)X&lIx}Fdp-dob0TE1BY$nKMG7rjO6T5) z&iM|ZLpT*FYgmZ}CxAbU?Oa^DVxFDA-Y$oYOm5f&Cce5k1vCC?5YBTF)@y?$#OYPY zqB9vvlfxDCcC?gdaV({~D-I#eR<0L@L{Or@JeiYpP=xET%QbRk)7G(NBseXexA^GF_jntLQx(y)4t zadfEW`)qqCGhg>@uhr|&;^-2i_NBIvYBO(PZWW7_^08VS1*)$zyvPCjLWF}w0>g|# zTiK$5k!5t;y-O$}vP9DlVR_!FPT?SEpvyl-d(cwFo(Zayq~4P;D7l_c=wdw@ODvaW z<91qAwx4zQ#7Rneu>u+T5$DmX(~Tz*c8&?{Q#}eB{aELg+#fUPNs_Z79Xn_$vU!;} zwwZ7S`0%rAwBEW2P+VziajP+j!*DV(t6}H{!6*Fa(0ED#+_Y9;l@h11T>7-~t-DPl zsJHdt*7{GS*w=fnXpM~`83Z*lX zsc{7{bF__sp`&b-UFfB(i17>pZJ(ByUDaq>^&Ic+;w8z|=)6j1Bb`xw6XrN`vG8o&dW0lZ!S35>g~sHib)Aj5(e|b_g;r2$zI$*;Xc?0;RodIu(9EmHlpAu+&TW?3yJ{d z<%!#*$3Eg6{tI%F#INrjXsJOo>8dk%&hCQS)BgFd4Yy7Mm@V2~iV?+P<~V@O zF0DWEqTqu?9fP@vWl&3u4VdvdDu{bON!iczA6aiP)Lhgb&U>}7iGI-Q!k&I?rp;#O zxqW{kyo)j=u8O4o?7J6__qzTZ$wl@*FNBMV01`AKnt)O6(|Puo)`V47|J3iJTccUx zLg44WJD2v`Vq(I`dVB9XMc#wiSSh1`pYIO~cb0!O%@K>+=y=ID8o@_F)%~5(2m^P} zj!K(}&AFYO-B{tmIOp^B=*;HyTZxvfZK?H7^sF-C4!aqO$LH&9{yKrAn5)wNcWTGX z0srYMqHf|8rX(>8&MyD3IeH1QGqk8_0^s>WtpW7=z0hJJdSmvPx`;B2Zrm)cjLD7~ zy$5*}dBd8n5Cc6yfSq=pmW^hu;G4YW*uReEe}|GX<46Ib2(}d$HarSc1$ulG*M$X>_*BCod5iUbAgoGTG&UDxXMI0imb0+%39V>?0ktz%XyjOCMwN{K#2Nvs> z$dnYng#eqXQt%bO{FVSNPnBy*zkIz32-i8+mnx)NsNz)oF^{@zpA=$cNlvsAKkOUTw(Yzy5rg1UZ2ynx}&GX)8HlyK}+pT!TQmT2F>(QE;py! zcy--9YH)Z+!L#WXP^0Y!9rKW0HJe+LgTerm0$OS}qP%qC^Fq$tWkQc_X zSEKqRRFbJbVEgKv<}5$1Xg_-d5$^OTx>*~9{~O9uZkj^(>3W!5c7*GrpdzkkpaVT$ zV*PFM&a6 z^wH##U*@lJ33Q5@=@ypk$~>ly28RzjYmQ&0JFxd>-r!60S7$GK#6GO?w0N$d|Hai=MYYw2+q$?rMT$Gc-GUS;?(PIB?(Pz-P~0hA zthhsQ0>#~(P~6@1~S*IRj$@WGQRJf@0`zMqyz(E?AfxsYOyJ|jzTqVM0~!~ zuf42|TlPqCMuG_XNCyD;Ekv&14rs6SSXm@QaFLGw%fD!tL>4yz$OTy_2f#RD6#s_= zzG89S9DiutT_j|9;0zVjCEMNkLz~aYc*L`1?H)1nM1H=s%{eMe(g`l=gnop8GnP50 zcsF#eq>PyIV5e9&O?qNnIQ$U{a6|W>ePGtl_u-tW zy>5u<72UR~z;FvbGsZcM>`B z6qcZ%gY%8G-qwjchJFFG*I=^G?{m8<>UAn#{epbrHEQ7Az3)?dRw>^fypRJE%N;)D zejfO=AY?xa5rp={l~|IkDsh&zT^0VeK3~hjwW9K-3P3)@0>BPvGqR)XGRqw=@XPLB z9yW9DXfT_kDr4QI&iU5)c7Xma&UAsi8n^GcIYpJtj_1?h`ymVb>&#e+31)Di>?aPOj~GfvhT49d!KeBvcqbdALdA;A)?@o)xw+YrzSd%FW%q-HacH5x z#3mEbSnF89lhD51(dEyl_P8MRUlaYbRfP944mB~=L8*i5*H|`F4BAJx@yXYDf25TA zaRvsdy)j*3CWMK)Hp4BL2Y(~R(|?$%oxP;5)qwQNrAV-YVuT9q`80MSTM4xOzcX%#XEIzKlZC7GFksz|f?WG3k+3avr+7KYwz>->~*{Jad7d9$S5`ZhWBX5*x} z7%d-B6r&n1;kDNHkMdCHL$ro%0yPGJH$~crL~bizs_efNwaYniE;@)!24xwg6=6`~ z#`u7GykH)|mD1}sOSoeAMBLwB0$NZqwlS3+A<9v-hpvuaNV>p>E$i>wVpljq^gEws zP0L8-RH>Wmf#P1@jnQ8#j0lZzF`;IuNM(Ed>W=sRXZjXw#YoXK&uQi>{%)E@0X+m6 z#cWa(@-OVstmtH{^;Azf?gg|;-*i|l^q=gxsD$_i@|#Kl1A$)y+#^nc#e;0{*7Mxf zXk}p{H3sm=vW9(x0+$|~Rd>m!L~#9w9`cV1F>pIM?}mP?VLge0OcKA=p+R36qHuu} z02E$c-hM_!37d-KdQ(;z4Y*zxL`6c2Qd=&Iro`KSP@o|8v+%p=KLED!55%~9QIQLp z;xw329^|@u1_U{Qv)G1Go@xHTiO^4s4vh#SkphS{22i$$g=9=c1@pShvn&jkabhey zviq#oH#}Isq+Ze?M;STPDs1JCJW%uFQ4afWEd#NfLns6qX6W6BUMt519U}d{lqv>@ zzArpF-L)?h`B+T}a!h8WBx>mAQtv}NyZ+urCzF({QPQ|dFEz-#?Ga~9P#7Wbp>DJS zU3FH77p*_5BVcBphf{%t(xC8=vD zA*JKuF??=1l1061mY)|cFNYk@X$0b(&pSYY)t_wgsJcpzoU)I<3t=>h=pb#K|D}~H zqhS3!Zzm_edz7TEwz>yZR*d2c#bV;i*iksuT>E+XheXWN zMb#K6;Fjy8TeutY+RFRK+D0f}zg1Tjsf(NOgdDrEze{@`8%8CbFv9v?H?a|t9L)*L8`crgj z)CTF!WB*@Y4}r*YKC33yjdrO3J_n8BX_{DCk1yqOCmI@WcNdV3^`cD-VE7dZ>DAy) z9H;-^2#blEj$?TF{Oyhnw~|P)Ek&##_!{TmGNo4S#D#FTaAG}J-q@HI2cJ+J8G!KF z65admQA@s}BF^q!b1h;%tKOxLK0V#Fhs8{vt3!8Rb?*87^J@WIFFsdo!zF|LQIO>! z*-vf9IYxsAu*;9Qo$NxA&{PttF!9)yXb*wC&Qj}B^@-h+O1l@V*pw-gN?12;!aiy{ z-gXu&JN-}+-*gCw7yc9Q+TBxNAcc$e``bO}*Pd^mCdM)T6P7{BDv~k!wUjd=7l`SM=^RtlN z)2KcwI^Ay^MTGbzz0s3yV5F!CuF(Z)p5aXqLVxgU*2a>BLuhOaj5&hnHR;37+n6YZ zr47I^`BC;gQxYD;CE7SzdN@uYI$1p^z}0BwgstN|7fv*ptY0!eKFY<;V@l#)FcM;s)r zgxr@f+AMM1`makDV8RkCp+udUe zEmrIFj$${^#yHNhC{8#csbF4Iss*6v|| zx|53>q-+f4J`bo@CI5y}v%Xq(mMDn%0Kv+K%}Pb*%+aOnQ8&8xQER;ZU5#^oo5p__ zb|9P3Q{DBrMb`)tlD=u#^mC&F^l(CsuY& zM>La6$~5O&p~&$r^@O^yVsUh#TDkBw1sFP*q{6^};K(fDo+kt* z$5IattQ$IcY-XgM?oREZSXFk+2AX9bef}5D20CL4Mj#3a4Qhr-k4|y_)92ox(lr%! z2URe`Yt`Z*->SV81iu8`O~9Q+Z`p3^n)7g~73M`nbyCaXBy9L48viA4U-EMbhJ~g6 z8jXM0>E%swTO7&=>ip5^HMI|TZve25?wTo@u{cLU{&n4-o&5Ll*_$g61>vI3*0lJO zEv{*+sy2||4}1+P0X9mh_8mm$$8V)I=SS`fpFp9vV`2iGxPPKJ3B|F$mLX}aIFW8U z1#XkZj3N75*m#JeQjLX4PBuO}^XgR3sDufx^d>}8&7=}A(kOnX4Th!RhNX%=un53M zbwn-}jSeB8_cwaAa!RihjX^^-A^f0b|AkT7r6>+#f?U?;kv#FsASWzm98*!BJb6jQ zS*yI8jxJzwVo__m`YJ}N`g{Kc@P2FGZ0QS!;`m$iv?~@(SYHrPX07+dI8w!UE#IeR z|BS1=$;IeWZENr^gpuKqD8^OB{qCYQ!`&TmpVe83_6gX+*6S2**GgCN)0*tFfK+aa zB3H|axFhH6>)EDf4b^(58>FB7DrnMi3m}oc18t&F(zRX_!ncVUvpk()Og-Wl@y+^o-LeON}@|ztD@y?ZNidA0O;_aBIwA*RseP%}S zG2-OC8^zLa>ks>}G+m0lu}N)69k+)=9*XU}P2;C#iZW?3>7$d#!Gm0J4IaxU!%2ch z3sc9H{S9)c&iC~5o;$8Q%vTU}_l-a($k=p5zOy0o_&Mc(l5~flJ_O7tm zb>%Ys;I+BTD5+;)?}6IeiEQ`qips}jCG5c$b2Fe|0g-=bZ0ZO9B1W6 z#(Gr(;`jngyOY|CDt-hWvTA+>WJkYgpXDU1JyLucNNaQDK$=Z#G_!2?8z*6+Fo$p5_n zM9bk9z2v&bNvMs!77|$~s`k!^Zj*vgk`Z=UUJLVX(w~6b@)r0I{Fv4;l#BE)OXPYY z9RUMV4V9nbF2*FCKYPd5)oHzJt6@Wvyux2Hp;>5eS#9BzN=82vZBz7vifux3EKIJ! zO3`Rd^nUo8k_E4^647gn;?I8uAcmuROat%>xGDeLq3RakK68$2r&ulmF`M%?Ha?!} zOjd`s|s$@OADhKe_eNXc+ z<_-+U&pE%K`Q2-5EV%$~xCvRta*s0vJpM7=DxAP`*$&f>GaQ$ie$M#0l76t}gRp-W zKY8l7A7^-F@9sF^-&g$yGHWI6pLx>UNwK(vf`@_E!0Y%1E5gAySa;P1z9t2LRcmn- zl{(pohBzAMFrZYINV=ie2zFQ%Gpl%w3PsH>TrE4mRNB! zA4M2`?^_!{CPuH?e&~`E8G5Bt=gMRtHQ47M$JO7#>QJ1KRZ$gG^9^S12gH^tXONCl zEc~Pykg^*$zI$c_1f7}LjlNA(Ih>nPvFiELOS&L}KOoVA42C|OeOEB@{`n6D!kI?Zuvv*pF}M_=hQ1-`hcF@O5>Q`QvVe5Y z;rytKbs^?mb&Cdv*MYeaM;hMujSGmoZ5~qi{qmr%Iv$qAX7U(I$jjivj)U3<+S~Qa zg(C(ymVfsbyB0mPh$85Q1){H5FlpI+oe%o(_HmcXQQgEkc9CviGSbw(PoYxChKt{r z21?ozFE6oWA0PSi05w<$ihqYts*xBd7CsXZCMsxneWizct}X8K&%r)w@Dx{;JX6B> zsmMTMHPWra6_S#f^f|L0@R7gyl%B3@cfEXP!_R}L#Sd9U`TKT8}V0ufh654>q^)DEm`!}ANpp^bzt+EnSu&U=#gU%wzk z@zi0p=He1#zS7sDK2bc!WrN6~ENp36jzzouc2p-_zPDxfwR*<>y8q}wf>Vzo2d*Vf zj7j#awJW9e%!&*V%aIc>?tcGin+6OQ1N^BBgS%kz6hz|GF|c) zB@5$$4?buz>x2-$X|F!yc83DA{;uzRtggf`$WX}WV7IF}lwZUfx+y;JnU|5bX^JA3 zn5$rQj|eV#5=CO?5$7h6WsMoLqAQufjdJ1JRz{2?0mG(+IKfw>V}LD(N^ggF-lP|a z*B+%CPS)q)(~QC;T%&j5(Xr}=IFH4l3HV^@?fO1C*M?(J!MJRtfV1#(PgZ;QF5V;p2Wl^B?drNUG_HKl!f-C)^RQD;x_U zkVvj8ZHE)4;vXmQLz_raTLISJz%=x|{9vUeU(!4qtS`WSDg-Pc3gTfNaUrpL_*c)ydGWQ;QOwD%pdt^k_?QZ%LFe7n#?! zc~F|X`Z5kGDLfXq->ErpTbz7JNN(>#AgFMY@DFMis9}@!584~3Z}T!lhvI;5SkwNA z^V>Xgg(24XwfW2CupHqF4l3WbBfs9L+LF3fP;MqLTCz}xtO zl>6^4h4>vZbN{@(7#bNquX-#Ns!m8F_u`D?8-IQjT1JE z{sZD>ANIoE%6Omjf6N2?$i|YGph0l=a?_+&Cb~a@D1PnChLgY3X3mNApUbLPg!&Q+ zE3({(LHNpnZulU)Bh3@d=}^*S1U+0k`cr{zG%r}AJ@a)Zo9yqZ_W>c;*p3@Jynqm z_Prnh`>(-ypy8pgf5s?{G_vuWln>YxRH!gfLy!l*V1Xx~-lp4*ZjR%|QntAwu>q<%*emY`Db`X7?Mkir8bttjGDZ;fuCU?amhv_F z7G16qan-BmXzp%SZA*kY6XssN*E=Y_WbNbf!J(6PyaXj(h8*Rtn)Jiy+wgA?jyF~u zsB{j&B%Tvhw2AmBZ#pP+o_M8`@d1Bl-$?^ zFuHRN{~iWG$%R((UGjGq;M0vJBJJm+V@T*>|1m>gg66)4%2Y0XTWPIB?_NWUdaZ1T z*7(ndDX|69ON|GF5q^j~d-rEnBWTLa)@F8eW`EUF z_IY_UjdkYONsc*pE?uyqk@g)6p( z?P<#`;M;s!0HuiK;_ljK&$Fz&_dmyh4oYl6`+(cn8o*<5mG3sv#OofwX8jprGgQKA z+kIrP-h5)P-n3=l;df;)?#ru`=hbqRgE6(rhqB<9;;Jp{mM(jpCVl-PxE*ftH~DZK zt5}Pq2!Xlyc2bVwY>hFzE`kM^`we|}Vj@KcP^eqhkD2E1)Upib2yeiU2Jl5)B1GhTQ}oO{#?fJd zAyS1;PA=qV*KVg-b{^m%w8qJg&%dXLJxTp}DyvM2yXs3U4xi7v7TdfQ4}1R+I=acD zLWv`EIX6q6_Bz5gmJ*`6Rc-QTFc5P9vF4&-9ZqbGG5KPt{d{^1jDp##awTWTVFsYIqnGJ(YnZ&DOi^ z5JA4zjNaDdEw|^D)=dcJ{>&uC!X&~%-tKXgnA7SXV!oBa?3bha>DGwMY_y2C3|M*< zPW!#J!IQObo@{@*8?NG58TY!Hwbn5Vz`C_}Fnw!ak{0V*KQaF^HiMo?LgVDLN?yyh zF``l&H^5@;LN|N5_KGm%#h7QZySa(}mawX>KlAmizKZ+RgVt}oSo)cS%ye%2fZJi^ zCC>2^#Q5E-&jfAZC5{k?4skd&dN}LN?Z*qn*OPSVx*V%Up&^W8vp{A*v#AFXS$Ltx zSR>q48Cf95B$UO84rvl)Hrqjt^4$03a))mkYQ)_1kdTbLUh=!KUzKi%AK~;6e8P-G z5>))t<&M-D^dNzSVnj@dQ3>V=iKy9;vvV?*5(~dX+c~#Ww&d`WZj)cQUD$4ANqc-& zhwU#m{;Pz)zw#^MUDkG1?YBW@AlQ+uujaA@Fb@@;P{(F_Z_XnT5Iu732K}!~rM*MD zLX?wF3sWZ9XD<_K5?@Q15y)9w3T=}VmV5$rT*`rHomO2ko@@MZe1eiJqg5C=a;a)I zdl`l2B)hC|sBoygv}=u}+69s)tGm5mmh0MbA{h?ylDG3-X!Q?C3fX$zY_(|xvu)~2 zB7no6z9ASWrsL?U9cpG3n&6?&b5T7Wc`K zd3vZ)?-G7I=FomQkqU?Q*o#{hv74r2-{cFNAd=bCJLge>W8YJy?}ypQ7UNnMR>hrS zxtSJe9}siA7zOTsrGNGO8=;%f6A5p_hegD1?_D-gE#UujO|2S{qqW4j3z9g?P^c%j zm{o1IU*GbDRY8~O)mqsT;-iq$58KGv1}72;W>9J`*39q;N#A5*bJe}Z87I?*;oFzY zd0FWmad;i-$VPjsQ3cV%->FYY<`3T9gSHDqLt+*fZVnjMJU~bHl{MM{24ypysqRZY zkq*azJU$f?v4tg%X?P;4y!M=AQS-v0(;}rLubq}!8aiRX#pw>F-tCbzzUQdfJ=1nj zR|5gSzRmy#;8=G}uA0)={sSOiw6OvrJGF4AnMp>-6MyZHIZef zgI=Vk^4xP-n|uAbwdzj3AA^>q0QHiypQ&p`6}lbm+L^B9dS$M{_f^pK$I+c((BHU} zT|nwRe73+!-{L=WDxaqF2hZ8JYOC(MS{{!envy>Msy-#*Q>pbL*z7+oI zcKAa2H^)7-5K9_2y%c0#yBzC$h~xiMLE<#etRzSDxyswGyIpUtCHV9 zH(YwmS*Js+0?!W4R80b?<8Jl}fc!R5@6_DKXm>2DdWlTkibTD!&O);`88Y~u50~RA zZlXNU7mNGu9PG}W<1j`3xjZSv#*$qB?BZsZE?Bf<+vxArFXcnEX4^n*$J z)URvQ_g1Yx?_AYOe95L0@3;y@wp9N#a@T5PHou(J>||_LA`yeHs1oBn@zqjDS5Hk= zQG1nda$gRByZN#KAjkIkmM16XDNF9n;3%}?hfPys8yf&FpCcvM(Gt3)IMHjyipzlU{78S8t zFuZX0@cOf~GH}d!E%N88Y|;@SLuhK_+cpJ-30VI5(ygYuw}#c3WhV@)dlgw2g=9k& z0$v2&D3#GaTHB;Zas+YNNI^(Hz(Jnb@Qb?K&H;f8IDjbA#8F)ecty(`NwH<6q)lOe z-DEl~0;R}ed`~SzG~L45w12H3r*iN5&f#~LB4(`rCdn(m@KsSfs=KgV-R`M0FK2?y z7o@wWkWq?zO#I%4aw~I|Hfb{9L#zfLgzl33g@=B6if|+}ABN%;+j5P2TDZTH)Pn?;||MX!wFK8@L2C9SPJT%r73nAHUg&xyR6*M@2mznpqL%SZhXtK)k9 zYr;o-77VD+LH0T5BRn}m3`_<44cTXt`B3KW8Q##n!?6Z-^?t6>3W-Bp`ig;!yaL!| zp$3|7(6dVMF;o6Uk&8~_f1Zvaya#7|>Ds@@QJhyGD#Ab1fRq$P~mR$Y7%a(pxtlCMDPoXwVC4rBQ-=KhjV~0K(W- zcAZv`yRS(k1VB8!+!6xq_4x49e_=nt3WEt5KdORgIO-MXVR-;e-aWFvM8UE|(Q2Pm zp(ZE}nZv_qO+4vgmKfks#62ODL#j`Pf6Hhe(DLyNgeGf%Ls>)(adpL@;b}B#Jx;L$QUSIEW3^>i-IYM$j5Iwdh5t6#mb)l6f{moS zc#?Jg>UK1>g+pcex`AedYvheW&9S=vI%aQ7>+U<}$l0{Y^18h!26@2=F?ZoMS|$73 zzw_*Dhy6E(SJeF}Www+V%Y(_a))5j;c^?s9yE7U%9{(E&4}+T!p{iHufV7UvI_`bD zy%d+9{C3gx_4~|z8^Yh>Kb4-yJR7_}GdgWz?z^~AHU6prjX_tHJ_7Y9V1KidYxk{RwU;5IbQ)J98+qV#qPy9oBHDKjc zf1T{yi?E1e&|4IZP%20z`_~DK$^-Yv+0E~Izs>nBeVU;Q}zud+Ki(T9*Z_jY%rLzoInMN8qMEn%-j%&tH)f6-95E;6lNQ(UY zuQu>1AwhZ~p0p>N-I0Kq&4Z9%nBlC#$t=bji1IuDq_1Qh1muxmbcEkX=hH~|ri?8o zzKn4HMj4lqh+w-TbbQ`%2ROM}oAahOjv3HUH7DieDI@~gF(;S=;HLL2^sZiT_wNsn zl2Zw`4QH&8?|@_O8$;gCSbTwuZVFB8y8e_|JvP5-KEM1@f%;_xQN5qyyX|XNexCsbSKElRmrab%jUz%8TzU` z+b>c9&Iky6(2%J7Q=-0VT74Ebs_M$V4^HK>gCl>p(*+s}X0&N`Gly(wCu0&gAZ40S zLd506_4pp4z+Z04XBGkZfPwFnA8YyyC2&^gH_B!PGF?nrLtL*Tj|!0o7>{m|25+BR zu7b7s6IR#u^pXu>I;@P%oj)|Vz?$dcXe#s~0pn%pp)>Cp zvdMz)ty`~j9{*~YC#X?k3l0t$Ib2cDc#2gxk$tR|jvblqka=RR(J|SLBexT14-j*! z6uH1P%&Z3%Ke76a)?FoPQCay+Y`KX;plw)^?#M`UyGii~mElhcdwwsyx(yRHWR;u-U8pqJnk zZ-Gd9W0#7Mlr8UOueFT4w-iU)#o4xnbw;H4-pcf18<_P({v03;Vh}KD zjLW9^mjy)1{EM>Lw~WX@LTc_WBY$MR$y52&Ge2kU>B9X%6^xnI=;V)EDXol(&|1-R z31=S~FY!XQI7mr|DzM|9{RCE{o#Ws50f#oBP!Mc#Mw?k3P=QtRbpoY0UsO2f7Rh{A zpRQ8nVaLl3ju`!oJmwD&15u#%Q->J_?D;uILufojJ*^$uKwcAyG%&_<6Z<~ZJs5R& z{@%nxxY(f@VUNb`_(6-GgXxy*5kPW?$v8Y0~7@bhhX?c3HQ#N$FN;LFom^u3ehlIGWvB$kl>e z%h67|O56^=1y1t*6b6Efm?!8YYjM#*eF#q=sLhR`9>Wy}Y(igC+Ypo*S@94@A&~yd zK*|_Au|1J9B(AzDvGQEsDH05lvVgzBA^KkR{VhNJFF3jA8*O#pgva=S9W?DEavs`1 z9l_n|j5}(&NL>q3f$ryo)ICk0HX;_{B+W7NYi?@c4U>tF2;a@=PsfH8H=uWPzX6hC{g~;Nd3&3iZRz_pG?@7Mf=i3@X{G4#LRFw2bf~j6WS$tPir}Emld9K5NaS_vorox#D!rQ9OF<+}aUi+{R)CYua zauC(k3EO@rOx}XNjI?OLex^EdbM&8NMQoh<u-NtnvZPgLl^{$}k-}W+9 z8Fs6q5wgZ?SZy4;pFdB2jX3u~qdLY406q9!{`%hY>XMwYXq9k9epuQ;MU%?!=Ba6Q zh+EO1>!TL|XHL&pv$#ESJZ<75DZ}hEvG$uaE|Ra0;jhQ(6O3I?(lVNk_0-kkywC08 zQQIC`tnOv~9{b4RmL7ML^#StkEonYNJjUEs-F71fKw_Z~0K**~4wiW(UL`=p=W@4^ig#G|@wmt81 z;I5RDd^$)~%ZRnk<=J1!!fH^b&fa~Sy9BxSD10=L4KQiAKOwf68_`K^axNp-9c%H6 zJ+n!t%>`3uMULe_(^@`v8RPwD@x-^Wd#i;gTY&2n^SQ&7!LC&ZTm+NT)||EIZ0{dec5B^qD4Q zm@bNA$vcyKi$5=w3TKENsDY`s>EOkG)c}H~9&^_)$Q1*eNwV<#$%Okok>mR?rBuZP z$W8(dRKO*{cuQS9Tyf^J)HsLv{h`@|c<>;HI9{0W%^qXHPSQy*eG)k~C9GvA^HS*_ zK2L!M%0FmqW=^z2bhP7zMkl}VZ`$EKFG!w@&d|_l^FL&Lw1a!_xlo|WM?^=2${}r= z^bfo&Cg3_e}YXg>?lFH5)yEp|19hSs#<+!uSae?$HLyyb|18)8Y(+Hqw1|PSx`sKVD2%%Wd^RH(qYwP~hxg`>7DQtc_bt z@6rjjEOBkhIp4m5n6AFam9O>k=qQixoi*>9mHBBvcBc#w_>Cs35DDU5%Oq@Au=)6W zHeWfc+m6_7&XrK#fg?@o)4@w5I z$(z~0ZolBM?7FQe?qZM`qQ#hON}3B}JfaI?D3aD5k&Sc27+QPRdyna|9*9tG#nTK*XE-eC^8|tj?vF}+ zL?W%1{uKLq56c|YpOIidxlwy8`ey=dOUT)GUHvJ4PxXFi+fGHd%q>?xUPQ<93hc`% zWpPSgTPbQz7`B`A@znD4&LaPpXd4_GneU`&@HpJoYx}0#jHoiIRXzVtAS0`TzHw>5 zE7SC|2cmLzp&dn5ky~5bEGt}z_1`(41|#(9c04x6T?h(E z`oynxn8$f?qo103k9449jOaVxc<+wq5Kem7v3rFOto*6*U0G;hubw+dE%Z3sbfd|I zfo??xNWh;@EX6(lz72lLQ^DeiB2@jE;i2))4lhq0zOqP~2dVJzsM4XfDXxu{H z{YxP*fV$&Lfah%DsEkmFpfVA~AQJo=1{+PX#FEs%bz0O9aETBiW`9AHpGDZ4GN2Dn zaio#w7y^G?swY=IDE`7kA?#81*XTRHN#{jAM(}rgAoHW~?m1sV*0Z_*yoN7^Ho!-g zT#w83Pr7@{Uy(0xY@*)}1=I!RV}b8vP1Xk)4k`K?;1x3A?(FpxrrFN~g|S{d_0kjI z*YS{oV}a@{libRc?Fq!6hkAv@(ieMVc9gPAD*H1uD#e!Ox$$2br}zcBaAZ-sxVw9V z`V?-M;RC5DXu7wNMnC=7kMB+x{XOP$wE8LDE7+((GN0bfwf&2Hwd8ZcDWMLAH(w|k}$17de+J7)Do#urrmME!=KSfn~C=- z+&2+DJw1JEqIR2E`hct934WU8kI|PU|KCc?J4&%9=BMRb`=s*JIhkg0N4aPT3&e5}` z=%ef99&ua|N4^JsJz|JClG*SrV*Y)IH3B?xa|m+?lMR!@rzWZ^ldMG-7h_!D{Ar%z zHE0I?^4IVedHj~kxRmFQD=LGzE|ujFJ)`v4PDMB!^tmlU!splg8|Ol}h=nQ4UMdH1 z|I+R?hF*S&iuv{XkpPdmb(yl0KKegmng$-#)rFs|7F2JU=CwML)OZVz-f7Bh7DLLl zHE!#7wK|s$%LDc0wAce8%5|#E25rlIPxZ@7Riy0Y+r3rMWHDy&RiFS%< zX%&0BXo+4*&9mxg5klU6pJlzbLG2zAIvCkih*s|!A4k%)AL~mipw#YMx@N>zcE?wC zeM7Bi0?Kb{<)=5#X(|jLW*SFnr^)e7sI&-0|)(Lsbu?eqMnd(IR zyv>p>p~pd~Ujt+cs=mxMsaTX9_Un|{HZheOmSvY~)g0-a`LF#sv!VNCZ?j-If5fX< z?wS&{_rNvpuK#FTuG`dRyYRbOU)myL{jF^^POG6?VcAXWFvd2}Q4P=n8b_Fr%T*9# zPGzlHxh3(jTy5X)$d}1Yf3i>W?PP=c`>LwR1Z2k9I@Gn8wQkxhFyuSW*yLhxuFI9l zvby;O?M1c33bV`889$D@0$qib7Ad$JZw)yvB!q}v?Jw5?5HSOE zh2KvaZ3MlKPw-e2OBN_$)9qgaV3LN%f(o1ip2VR5#27eK9aw+uVhbmX-B>J$GaPM% zYRb;spmpRW0RvaI{Sb%{b;Nie>@9gXE~XV8Is7rAmx6(*Hlr(HJs0>jrLoayGXl#y!0<-NOWzNc_W)L(IXP09o+`C~nsCU6f#{T$u z$Yq=(eo}rQRE6DKK#O`yW|v8d==_CgK+2oocDCvE9D5P%fovs=A2GXW(sma^1~uYAAMrM;jnTG?F5@oCe8=6Z2#ST4IlFVNzt_m{ z&0LY0vtw4s=;6Iy7b#5yZi$Z?b?Tb$?gmyX4>~oL-8wD4sqYrIsLM|+300x-s;A1S z_C|(!G8;bGrYq;v_87;uqT9THVNK9BHdh3otCw&S=7QaM`e++kAw_+OnmO?A5?EHV zs&PyrMqSHk6j1L?c#)y&NY%g+S6Ki=Un|>=oPP&@8JT+;=$=7TUwJ*Le8UV$p(ixu zaWh7aa3hcSau#|E_ZKq6#@96jqyy=J*?8HRRvb@MAcC&Kv_SEi@U3J;8nP z2z7bYQ8w5xT8LUjVp}Nh=nQ-uq$Bl9bkYc=pB?Vayvj>gr2O`u<>ExSKh~jLF3L$z zgX>!hvs2((Cw*t5R?cEz#sAwQAFiIJ=d~;PyjPs_KF?PjwVfH<8opN%bTw(^IX&K1 zC(9*(P7z*nM-7H3#J!@~2D`Su%hvXhP;?fscR$V?6Lz*((;lla3^uABv*6lJV{dK96v!N1dodsLvk$B9Lz;Cb9QrF|s;!Q}HQQ z6xRolj7a&{koKsuNev*6^D93&xXTJ1^q>Sf#IrrV)1;Tpx=G#49PpF@YpYbVf-uvPGI?i1=H znw1IGQL#vS#68_u6&k9KD#m2;GjJoH+xeMh@HFt{u|Q+D{WkWCuKU#(L$)a~Qc$&v zpK+dF-8n6PoBho<-@QJo##@ps6`IoWnZs0SnOVtL=Rf^0AG7G%RL?}#f|3NF;_!|T zZO!VWH3bdsBrjW$`I(?J*`C)_7KIGBs=KV-qvGaib>`}J2Bb9;L0F_B`U7iVca?R9 z+0#j3Q4UQmHYZ-3|gwXK*`o&@s7 zy~A_l_t63;kGJxs+Y%ULgZGmZ@u?4R>`?*gS0uVB!LTsr7v{ByBvcPE-H4*pz^UMH zIMOWSj2^GBe6Iz>1A4ISQ*77FARHNk@cL5>X1bJT_Jg!jNYi#pqfncvr-(pRQJD`v&-%tFAX%h;L|su~dFfedoM8%T-5>juq0~7dgf+J0>|pF2`9Ins0loM-6Xw z9(=+%PcsA#Z(A~+-a4O!pZPbIo2yqJl31I&dWWaUt%cuvlrHdM!b#mu0T0hx$IWc3 zf%^~(@I4HRThJ$O=}oy1E22p%6c}Md(fF~DlaP*2-Z9s3wYY({{@8-phTz<^58RVVS`6-khES)#8wZOvzwU?}^TTB&e1?)Cgx7 z{*E6XDH5^27jbUliznqeeu8H#(DroH@r z3;*f}$ghGRxe9avfEW+qC!s9gA#%vyks8*;h6Yd|B)ELR*AEU!?kKr&Vt8-qVp=d+ zvcSM4jL6o?nDc1^itgQG{2O#yGT8~N!D(I5`?{@+Sh71{F5EWQkV-Ce^5aB1HB5`bh7TSVtIc z@q(kR!fq8RVuw!Z)ofGXslXnIv;Oa#hh6#YqAOR4qH8Y&Z5yjvGH;N?M0?*~IE!C4 zXr~4DT>`CX!;Jgi@@O;x56ZxCi$FEydm4U5aaf;_ktMySuaVyj$j*J#+tx z{fNzVo@=dR>ArRhwCN^r$ghGPZZxiahqesKdFCj}MY+x985b70w>4EZEZ|P@|NFYg z!yx^R{z-Cy7KsLB-35C5MLfB~dI(gx07hU$8x+5*g9So}Oj!FgiBcFpxoH4`x!~k8 zfOtBq2$~Uz1A6`ze|RD?{4b*)tzqjns^e*3+_h*ofu)u@M=PHWaw9dF z^&wud`wPPHo5ODi2f5*#H25aWC~61MG>wXOfDE|TX^8~~Y;Lo>^efyI8)F~f_9Br{ zE4<%64!gwqjU1nAx=@*+CeB4kU-i$!Ed0gvCtfNI<=L);+Vh66%TjvMtS{8UYIYs{ z`FM-K^008~#ZO3Umc2#3anyHk-1c&f^SS+O|Gzs&ch1a5(SB^e_ct03`vSJ?W5uY)HL~_MC=ORzYFGY@H(Y-v>YW{O5x%zq9u^{ zzYL`Ma0mt{R&=o7RLNy@-Nlc$B7vpKV0=atQm#~S;BqzhFZ z{J-#-axirD@H9bBA<5q$q!<RKdSvNjg|`ZXdN|OIXYAVEZj5K0g4r)49rHN>DM|W(He@6k?(1My7gVyE zviy4GP6Hn^(X4IfDa!Z|I*Rx}gw1}uQ%yO1kP@ zC)lOeif=Fdo$lxqqyEqJ+~WQ=W7@V2KBs>BFP4B|TQzrj^D42}QsSa^evw&Fzd6L= zdU<7`ex80vF+Vl?Out{n@J@Ae$!mZ0?P*Dpk&Rf-q8)jGwRDvl+zWqr>-XgRKRYzR zp}`!a{IF+{p zHKhJ(LFb(xi+?RsxlBUL$1^i>R{VEHQcFB|iY!mcNmHZiC4Jyphj6-!!Ts+LHg_GK z-4Pan%oDguG|DxUDy(}M(Wj76aMNRcumPNQm4cCax^I1#&*ajDg2RRO$6ld75+}ds z3DzaFe$xEI&pH?Hs_OE5cjzNS>$WQ47E~T!hilQQH7=R^3Gvur2hi^Qcd21Q;sZ~! z0!BM-Bz)zxmK-+bG?gk_$wFs@g@7eM*&-sfL>H0cd-^>y{Y{}*08|5wA4SjK9BG5ecp+Tkoc$Y4m3uu^p2cj z*BKB%B4HmTXi{rC-Jj~|q>&yrDdkliJc6n!ctVE)BBzKNJr~Zmoq=Tq2 z;TR{0x59t?IHog)GLEuqq*le zn<%Q_HQZmDv*8MS-Rt$~_+zt;RF#*ZSylKf(m&RDFzX%ueHl&RXYkC*fvZLw^3J>oZAC z)x~whYk56CW$qQF@B50mU8Pf`4OW~kQkWb`!S5U8@4&NX5NwcG?-s0ZI8xpemFvsy10}3FQ1f@9usyQ%JrpizElS^7!LM zB1&@Re)5NYA#EB*oiLc^pb{E&Gkv6Nl6OyC)v{-y~Nxafg=9fyqFfokw22~{7DPv|Y(8!KfRb=K#?7qA89e+gT)K~vM^@|f1udc>>-{vnl<*dREYDW zafv><4-XjL`PdIgC{tn%sTNe#sU}we=i4dn4h5jNt&?)q1*XXRUdJ64@RwDD2ZcZs z15B-F)l)Hb$~s}@+j~81N?|o@YrdJ);3Ls)Dy^Ykx7`Q#3|gt~ofL{tP`Dd*+6ROW zGye()#ZNkK3{1Eak>yWFbVOcSkG9Ff~>2%!p{*%3LVxeMMDd`;UsXZb~Rxs|);L_KPA1;#5T=Nk{C6l4Pe*mm+YziZ6s z5#iDTDDKorjNpz+3u(M3w6_WgK(B;z#QP%0DR3wLcvOql35F)DoSsK;QXywy)$Ryq zb!=WkF3V&<$H~YIU5yYq2c8rXO8tY@gDL&Dd1Uw;w9VpY`_x?kFFI>vCIs)R{ z%5ld!i1VTB8Udauqb`; z!DPgz7A(&HSI;gS zv^^mtk&6ZNrCIbm2mgFt2s#9+%s+eR;WS>pI6WJ9o5ZA~nOpVcl3KZG3qQjE-#<$8 ziT3@fXS^F|?e*@~AE`fTq%>8nCVHiKkp6;HeWjLnAPrWC!I5%V`n&YW=h=nL*R#ec zaZA#BV?L~)({V9m*7;iUM#okAp|Yj?Ri6-+$VWEpzNDYEyXtL#nYd-W6TY8n)Fe2} z$p+GG#mq@F6XkU6vvCFRs%qY(<@|a0KL0FXVRaR+{kDH{yHNPG@!NmxS|jz>a}U>t z*OM}0>^Xh*^-VQws=k!;z90Xe`6ZS~INk*jkYbGIg7=YYjOLCenV{T1J3}YSMgm#I zGm#v5f5GrDQ0UuvVX$|jOn55evT{D5=`X~0W;RNnTd0ZVWxmo%5MY?b!_cnbNJMQk zYxtb?vcr%3gQyr;pVi$i0CR}(Bd2t3uWPVf?z17COf|hK;TNVMn<~Gh$ZKc{3JpOX zbKpk|CuA3*;?B;C+nCQ5-6Y+tL$-Yw>ob&@%9+`$|L{_bZI<&=(Y+W_s%82#hzj_8 zy$qTg$H>8%m@bUp@R~pZQV4{L=-o8EZbVO4@1!sh%V(dZ-14z{$G!}LKESQ~r$MCMK)d`jDE?c`K!61tEQ357gJL{@%1s5HcCM)J_E{;hwE%uP5gQg=M(B+;f zujPb}x&lxtL6NQ8>K(J>?z^KlbvborO{MJ%i(-YIk1fTUZ{V)$9lr)zv;G&j_9HJk z(A-{BVwqQ`cD>bIswdFF?xS$DpgsLkY3XC6S*G$X4^;V*B&?#r-X9r{?Yc^ddw63> zKC{iVACpvIH!eqcAvUS*Q!x(iJbL)2pxycu8E>S2!QU!h%R(&NDSq^Y6g-5nCH#A_ zZAZYF>qu?z$<%IQwX>DhEY^LO;}_y9nvhINFk(cuV0`VE^BQ_UpmCWk&2A$j&$_xP zuJc<^G441H2Ge824SIUE50>RgG^GAmK-d7{yuJ@_!3~@dXZn@{8B&`e98vbhjL@RSb}W-`vE zzkd@9svnpLK}_e`vB%06UP6!iEFNCA#+@wFCsvJD#6$#n8a>f9tuGQI2~n<`0-A(O zCA1vfkq|8l=&$D|)G-x(R+fK^91gD-73;%U#te3b8pR&wsa<=LG()J<_+LjO<4q~C zg!O9=nL6tx8~+s=@SYZ!brr$tLLboujSFAMnOgnb zjY~Awa|yV)@1ocQu6R(VzjwHqZ-Kw%v0Cpo6E0I`GMI#@<$61^>k5g;$fab$wkV6|>iGI-$eV2Y zRI4*-T&i~T33lEDrJp}|SjT*+qhx}PI=N>W+-5>K_Pfc2%fR~>r7j!4OI4;GsKDLf z{pBIbY>)aqCkQskw6E~5R$!gF?dZf^y4+0VEnU6AMT`ln*3!1+ek5s{%L^!~SRshV z=NNCr9&YVM+-NlB8WWmZggy0%7*nc`E*9I3H#nJi;p#3vG!|3@r4@sVgc{g^5;Cjz zQD5!QBLlfQh)Im@C|M;lYdRqeob*R@vJG>A8FcIK&rt5j+^vrb=43+r$6NKHb;Rtl zZqQh7h5B>{3^DrrF^?!@$pwPe9K_$yUPH>)?gG}asBjdNv#<_3K2|?oJgnqBxGmNKW?BPU%31ZwH9~f4CMzG-XC5y zm873wTN70-^UF!DHAm0DaW8lw1>x6hMt!A|P-Ij1Cq2%wEsE56G=o7T!%ZU}b zZS28s`ol7qr62Ut&1yz7D39&FMxzVyA<|Dg=MZjDf%Gv0vgRyIWdlDhnDG!@IU_e_ z%hBV7_~y;`#KcmHRco%7|9(k&>=GnQBk`0&d~;9PuVv%9hoZX8Gt}v%hD{F=1uKYC z(RHErYa|qcY50okGnHoL^ZC zwD)Uy1K5D}<)A){71~>pbP3$fbq9cEx(X@zRn?x!ByOHbfq}rkDPW5(0m6pE$QaFD zH7Uy#p+GqSUAPShuh{gs&Khexv?Hc?E~|0W>!@f?EoSM@s^*ND#R;mYK#R)3CgV}- zfR^z8yizyC#UU%?2jO*N69zc1a$Ds?Cz^ZzJp7V}+vM<0-=8Le%BatfzAe(FxV4eD zsV={q8;g2w%HSebW> zF|)z)9D+rBM5KCU`GtDff(3nR6i>q}lVi(JQp6$MlB~pbx&A&uQOU^uKlLIHUC^Xg ztq|+u_uK9E3QQp!rFtQ3wU!@734-U631TJHLmBS1-?ChChZ2QA*zh0TJTPWcXi9ju zt+=5P%wvb>h8Pu>$>RayJ>}2IV>DsdMTMsv%;;it~jgRHb z@Kg{X@nQLJ)va%WNX0Ls?0S=DEE1)$7k2aUqb7oF7quEEq5G=~W_2)mZE2W04*TX6sGI7SX6&zHtRnw%iXTrk5NO zB{$`Yz}ejhfJr2`Cd-nyKwfUW#rV=JHBxIi*gIGUC|FRWN*^;2Q$j%N@@%=U zSv`YK7kIFx=eTkNJq`0ILX_xn^$^f;(rQzqN+*lv9qQoHAzfb3MSIkNyl%LOEVs|! zAQ!7+IE*3(Vd=wZqx~(wC+H1E`wZd#z1w4f7J_i=KDTg+)+QM`B4oL#Oa4qoS7k`K z_8Wbp`CszKnpD^sX*UDfilC=$zjoT~h!|(u?s34kIg}Pp#6Nq&VEs%~>n@)qxNV9` zNFMv~lUluX*?#c{8O!l6UZoSKwiIaj$e12cB=4&~o%v@DQ9LCAr&KNaP z6i!o#$iEZTP)h)-tJMUw4;%T|h;Xq1?&+D%IMAq&L%OrVQl8XWzN?{j5N*brSSSno z#-6j^5#J3rzdHurf46)MpOzEopiZL6qA8-O0`UmQVUIQUMkcw8u)1w9;frmx=porK zt7sKOiRsU>%uPt$Uc(Rbr^W5-(*^Axi#0Cm)Cx!TnN^4GDrT>(HEV%dfML>;c)k&7 zl=77;R?v)V{)z5gre`Nz#3xqD+r$~Kb-9+O%*s-oyhH^K4aovI9pO0`okphJ_;G&h zHlcICtC7FZftp-FxUK>c4OEN z5Z1ZE;rtKS@**hKEgBNU4@6TZdp&}WX9`g>r$76v?KTPI$vDGN}Ddf#welVEr(#e3U)1WVm26PZhArdG}Fkm0Vw!i#9EXH8^%lyW;)39l8AeOXuDCo*HXEyq*Y9zNfSRul#0 zO}^c-^VW#u=$NLw& zQio`%HHnz;TyhhhUf5H}B*`{+ATW>-fre2<`75nRYxcKC$B>UdS&7~=jtw>i8Ic+h z(ef+&vr|%-k-_UZCy_#ZM!&WMtS<%gbIXC8qgnWsh_c-sq*%Y`f6>;Vo`4E^{e?<+ zec}qh4TwIRY?Qm57`#nC`ewAq#F_qaY5i&Ds0#U4HKB$jEg>Fm$GKrW>6ElY687xW zZlcxw!}gGFa?#N}6yJ>0>=i3MF7HT>Q4@-J^xz@wyu<9(OJa7rS9D|-#pHG7U^bpi zIy=ZSBs)De#+c4Czepjd0bgdq=02u;Yv${3_f5R0-DTpi$lPJoDqkNqP-%2ED7_5h z_+&UFB!4KX(hTxqvR^aY{+^Y&SLdT6>;b(@NaEFWb|(ID7rQ%SJ8N<9cgGrA>n5ad zn@!U$v0l_K(y4aG9wt+1z3VtKKI$jFGUuD4z|t|}UvkJ>KVH1o61C)7n!the2)XXMvyUIOvtzP%Cdha>h>vhJ4u z-%wxK8r9DBmgUt3_CfQ8gsWbw`fWuV73V?i0}J+u-{@)5<9EN#R+4*)&6S!*3Ncxz z4=NLU&pmXqtO^A^GPbskHqyD)!S33v?akb3Je8t4hN!r|K&Q=nPk+{@m}Skq#3so7 z-GIK!3_gxza@+g+sQAbIn}gw3=AYE@;(eOy&1YumLP{9m%xNeR1}2dd-0_ zqtyE583%iM_AKV6

    _eCfFA`@T2cq*)A8kN9$KNwi)>t zpXo2xO_J*hSPsx#+=nPJ2Pl3pAJyK`l`QQO|BvxjX&_fkp(4t0(v2$ea2n_x2P#qG z>>lS*3*v?}wWTByG-?_AGXNR@p7Cuk2MAfGlNY!kAQuf$(q7V4TjG@(+(9mO-g{*I^_K)UQ z^X9_n6NUm0Uuc)&S(M|)OVLeo{WNE3_9(&-72)FFn=baUEEBETu4=yiGqa%$ z-h;*mQCFQ-si-a6xng|n)Z4Fq+t>R=%jaM?%W?gc)eM(=gl{!vdO9+KtRmgRInP zvBh}ZB`E{E<#pb1&SCXI1T39sLihjA^j$h*YeLL9Q=K6;)xsWjdknXnqV_2$+nrVm z4+Z~C&W^`!o-W&=Wc7EX20ThhypjjcCr(5=6W`NtdyYw=dIP=-4n*JnK@rO%qJrw4 zRxGkd2hS%{Io$$AwY>m!iHi?zDHTEU3!VZ7id(qKWe9AI^i;pNBHTK<(BK|^v2dC7 zpK^&>cauE!qMKu>0wM%TXa=q+2yozwCFMMz#l*(}iz<{h_Obvj^@YvgB18{>I?95t zk-jg}7+!?H8{QZWY5kM{K;i?huKZ_W#E&t}U%E`Wy9k!EeYp)|ZHo61StT9~(XUBp z?>>rTc1$pYC);5m8JKv3J1_v1fOGHg-#Kgfo{zmtD+4>X5nLqNwIRwGjQ69Ws$i`A zUO|jarbFcF6vr;d-#-xtYJ>+G&pW^0@5_CR=Ke1e4lW`{TLS<2pEBzt&;DbjrTzwL zku?y||07EDW?;hZ^Ey01MJy^|;YOMl2{$~;tMZpVX=_@-U`u2`Wd;y=r#f@|m;HmM z4{D5s?GXx`LeqQPhbF>aC`TJX=`oSf$I(pR6D7rI*7`GHg&bruB>*Ybqh_sPoCK~%Uyy}l3#W_iN0SeyMyK7f{MxIpPd>1Uz-(av z(!r7dzMg+ayx~t%nm4i8NTynSu`2@(1pn@dGFUrl-9CiYND#M3r*p@wM^mCVB(%qGnYJQ?^J%fi^ugqI zW>x;?W~k`FsOlfJRHuA$S?@U2rfA!vgyx@j#HS62prdVLC zz=dBwvBss>!(l)H;4t#Os-&;LZ66nvvZW$YG|lum?@!Xa;7VWFW@(kn=2nqJl66vxt!de9Sd z%s8$lN-v1Sha@e$bv#iduEqcmQant07)yL;vbPBK&1>vU#2Bl?RAmPCm`t)zITTdf zHd$OX%mG{qkv-`Mv6UwL0^84w1Fz9=7PRj#mN;1`rgNmXd|5PR4M;J8=V%-%JghUgm2o0%<}hceqCV&R#mz*Q8W2YmuL0XSxMq zlb5r^?*2U?cDk?LPy$Hih^snYOCK4H@_Nnp`XMSkYSm=qP6wXBuIP{sJKe$;TtnW6 zty?iK*kS8S>Sj6l{`WQ(vx-3c6JFxFNOigVvOnkGpp7B${$KVj6LnH$3IdhP7h{^5Fw z)pdyx#N!z}_QUb_&0|l%(yZbQNSb6dH}bbQ5&^rUr=MRipW=Vc zBWJdXVs#)Y<^#RaC~6hAq_#>)+DHt5ONZZkcxtNi!8oM<@FjKRn zmexdE1qI{bjj4=*0nP0fjVxCRXBO?~vKks5b74c?U5P=##BUTu?7Q4D#~qVAcfVBQc(!@kgR?&Xb>9X6o%wwB~bBWXWC>j?TP3@(m z#nz>+Jrdg0a~MJ5i!|eJ`N!0BoznArD}L|aEkN39-jSo{QkT>2+nKwY%!k9@eVPRD zBtT>9)QSJnpasAiA^zourFPdShl7K0v%7 z4v&9Q@TU)vLsUfTV&nuDS^|uSd)RFqu;XW_KF8{0ol2v%A`=;TORq-;P)hZHuj6iC zI;nq3$MfKY>4WQ*$A56-<%J)-pIUFEtkf-pxhU38jsw^aHzn#g zG*ROr1ZT3Ox;LuE=O_rsE^q}dC?(@(2Z*C?QzIA&r>7Mw67vM!Gcr=5$1Z96#1{!T zM+ZdSC=2EKJ5z-s{*@xKk)L0G~ zgSdjZ+zO0`PQ%|J_&zBRi}Y=TVuXl;%kzQKLLIM4G}e@13U2A^`c0v|?G91l&(xXP?pwoA9QN|CGXtlxg9PQqn*$0+Y=(~jkS zJFYt5K*BDssUSl0fK_!i8G7$89*%z!b)aE80PWJ+Vg*h&xZ-CW0q{WI!a?B*P>!!J<~ z5qQDNk328V?f?aiED5N6P^F%BsPJ2UiaLHw+#8p5%cQFU)K0p1f=2P<&RADIyaOoJM5k#vD^`d&_vvcB-*mQk1EZ#15MI zYpFW^OAlVql;N?H@}F{}9Q06XP}V{=SC-eHxmuhi&3ZoTIo} z7m2<$O3RbUs5P#qFzc4ZC{!#eNtN-b1TRL+WKTA1?vFh~ivyHJ^FCUXLf?(fY~&DI zO|%AtiD~Kib)1aHjQ9h22AsTQihin6jkyo#g0VzlaM-k-LFib5zc{$tVpnY5mL_ez z`o}f87WJ<;&vavj$V?joQS6i3O#)g!>A-Y%z0I?PtH?4w>40PVBT2dqI;B{!!--_4 z6gS^hQ+lXfe8$71daOUgUc7_hmT+Vy9Z+I7%r4;)?G3fI-jAr8$)#Gk54WQ@ihJzM zsDV_ny&=G8Q2y8GP`-m<@Q`4*3Bg5Z5k%oke62$Usdyl@~Wi_K5yS0;Ej9XoHRWoVDv61x_ zygwWKX&XGDq&w5TTqMHzYybiUMfY;gF>WlzdP|$D5V&9 zFFIRjemxN*I!XWnWVSC z_(kyJ4ud2B#Q?ute)=xPqp-gAGZF7d0+L>D3vUI!#k%O56%&IDjh9dLEu9Ht0nYt?# z*wMZ#oBJAE_DO9&H9~FJ-Pxq9#}U}rD$}ZgbjWc#(RS?7QriDRw0cj}RqLjt@=y#^ z!QNKBCNRHzqL+tgQ)`_b(^VMe>MI35w-$0nC996G?PxWB*1(w@1ivU z!p(3G-#8%D2iip^q{tS?wBfUEg@k2un1eY@@chbB4r{Oa)EJUv^Rq*5m zB@=|a()u{(w5KDu6Xiz8Aso4Q0orxp88zpuOAm4Dxd;_hlt-b6{!Bzp*(AgHK?~!? z31Eo2(B;UPCA3EZVYjhcDA2jF-Mc5s2C5iY z;6pR2qUq3`391P{mlxIEKlEgykuD;e$#U_%yd}9B+(4wYs`WQQrkS{|vBE!%?M>Uf z+mX%vr4Tx8^uBryFCuyuRpU7MaFP7i-V!1#!f-X1lZ|OEDp`G+Rn>59^i`k4A-?lC z^{D!v9#*i&wyd^<&jW$O><++LfNq(-DZ#Y6gZzC{KS|A;NDrED&hIf9D(CzI18sx% zI2}sYkF)bIySfHa^0QC+Vs@bgcX$2;tg-JaxMuCf!O}{;+l&pad zqknoW6(%=o1Qkp^xqH(LEZnu{ zkWP|ufvXk?5Kho*92QZ!r1?*NA+bQsF5NZMKI`h%7}hs_IPRRa@XXNELA5aT1&gfr zSH7^Q->Bv&Sp2J~4I6oZdMF)T^IfXmKr}tcx!%UNZAfx4l~y-W&?U|w(~59Pgww0Ip8`fj-xj5IOuY9oZF%e#q##zN$8^Bp3zM2p zAko-u>Z-~2eFM91v`{m3{BYYIKHpYA=FyMhIm?5$zguPhi~RY z?7gp~z27xQqzZ0XW9*>ck)L7K3ij%RdgVuFL(If?)C-c!frC!6IslBrBB9pBb>oi`9c^vmX*y{U}B0se>0!fP}4+5g*9&TIbO!CQXU(JZ7DR;&agveTXhE=idzOH-{TIJSb17wx<(Cv$^6ul91}(;9HcV6$Q5OWfP@ z%KvA=C@9zvpHUm{NomShj~&Qn$~popDwZhZ=QeKWU}bIPniO6P**dTjz(-2<RviXv%SJ&c-~s-g+Q!>)z5N;$^w0H{N2hWefeVu>mjU6*jZ)V&!yg~f#ne3FD29*6f zR#puW|1QkHC$)jjC7n+DAK3I8zNl(+misFD6tItfrR4vHOD0+?PS$baGiq@DH*x7% z#m%D$21@9@)H1#mbdJ0Tja2r{f()GsP5@DvUTbLJ)kWZRPaj)_$Ob_{G2v9j5vcd$ zUq^%6D(rlPt&1Ffk>OjTCg+VK8!3jS1t_z}fHIi13^y^XOi5L_>9V>5+%RH-oDK~XRB>?ONT0#>1)5E zl~HQ~;LhX&U7^=84{q#C;J z)IrU2ciQcVG@x#cHh}+c6b;D{9XabDT3{abH;wRrhB)FJjBu>`WZF@MFDNbVNNLDt zBi|LWhUtyfj2hpqA6g^rVSS0tYLVXTYvlu@jDHXzBueK)O@Zfcl@IFX3^M}6iICU= z^A*Zv6YB@A8`1X5*y7ak711vuM^ylm-wodwz$pqRA1BdXjM1xK<_gjbmBBSbEO(qv)LCY zPTZ36{r`*%FTWki;#9v!vWZw2J|pxT;pG2aA&VGQg0#9QV!&rxSkX*uT~c8}%&L=< ze&o%j*Y@Qw(?jP_-$TVjGinwZGzMNhbw>h-YshY}ULf~}D^kx>zaP;OlOX4}cVQq& z=Zx`dfOmWMB%ISw2yiU~)C@i#4{S!)!7JGNS{G6fk&GAxPTd_oJ`wt&;cnaCndtdl zg-trzHmC1I(w}`kP~xBAe?gHp$;J%k^=Al&%KD_IN^eE|vL2o%u@lJyKL38i&XVEl zon$xyi$gg3>>!b{j#=v^1BL_NNH`#09f(QsiWqly!M4?J7*xU|%2Cm-EW#mFC!`ki z6dBPHF`W*01-RwBR0dafIIS9makf0$MI8TMTn?k5VWptA5uRsN6nj=17kh2fSZHw> zjQzT*Wp_A@b^P!bab?db&P0Ay0w(xlw)L&kUT*@2<^Dy&+kVyY=ZZ;;xPbXeol0Jo zB$cJf9`R8Pnxl zKe5te*fJltgyB)Z)=l4TCUAM z*!a)X<6#f%xI7k344I;)CjP4wAiB*!9tTE&b z`VVL0dGjTb>qCt-6RmLQS`15{eh#VP=mbxdSRVGc?Zl8eOJn=={_3qks)o+1M%9#) zgI}Kw8$jgS@FVu@g`?_U@AVIdx7=)5qKd;=Bo*83D3%^k2seUC!>ExH7qZiNLd%=X z);)80mQ_Z`bLD)j+O}G;bsMtSpn7f4oOgv?S?5G@LY39|#cU*RSix<3EbFO7&4f;;ggMjE`O?YFdWsC@XV2c^al;ALRi5%IbKd*60yeX{L!g?V3za!r%~jy|~T6OE8o131?`s+#h1=`z=x(;J}X7DFpx8$JonrB-6t_ zE$XH%375QV`?5BdPrz+?Yfr%0qi_`Dm1uo@Z#M)#P!NWe8Nkxi4#%bzA7k4;yr3su zM4H5Ht%PWHJ>LI?yZA!r5EqiyVvd}Cj$$okT)ub5%$n;HpQqSr!>6DvMij&F=BSPn zydg8s8Ag(+p$YU#-}J`gZlf3Mnrx&zZlHDNUqB6DT4?AZbqPKb3H-X2KtsxiK(I$u z6JylagA$fW3}Od2`a2pTYlZot1>7!VS8IpVBMM9F1E1iea(C%7j1F#OeqhZNdcVby z^%~ammQcWJY&1oBE^cJOna5q}3c07jLvRP-cNBhzq63l0Tg~S8eIfZ6e-Se4z;{Pw z$As4}S}PVUo(q)cS?KOtZ9tJ>5^~79OwmK!Is9@2Ey9|*Ix)E&G?HGyZ>$@$$Zo8QA@@P` zC$p}LcNfwX+KoH&5d~*}>iF?{K^@>!bxGEF>3Uz*j)jbCt<&*i(=RbWSS<{)##@#* zKEp@2<;xuc7%=;9KsNl~M1G;WC1Y}De5dVyD9u95F?lekTK{mKqjPunBO_+aSPaR)nEmcZp$S~F4!IVze5VKy3S|Psdta5)fB6Mge+xf_>`=U zf7P~M@_K3hd!ILcz5It`NykF{XTkj(%5y|b>^M{N@QmYz3M}QYvPO>Ai3%t(>B!0N zk>y(nE4%J_$!xgrxYmEJF>&vl1^k#Bg4bNJyoi?U2FCqu74U0DftQ=InWkUOA}o9(zSdCmevgjJx9$7e>h`>>UN|_pkn%`Y}NG?mk!TD zYzEe+WX}H=U2h%Lbo}@IOE(CJbV-9qNtb|<(t?0=NS8EYAT83M(jX<>oufl!qZFj`d*PUqDAlu%Kktf4dos3bMo33`g@>vA>K;x%@g@q!R!$KsC|fu*nKAKi021MmLb-}K!74aH4fuG1?Xv5UH#I0XvJ1^Z4FP?Y-QpdY+$l5&d+qB7#JWi2JgYHQjJjX8WiIaG%6Pkm^ zv{oVd1;Ey`Np=6|h#E|7jq87I6BSL?gqVw}`1BVDYEl^fwm=wbOS16F$cm&51&?!`;Xs_Ftbbn&PxomIy4f~BggwP*FPwXkCp$MqXG?mN ze@r}pSD!spRIxIb5c|S& z%3^+9>Or4cc2?J6G&!E;KKkKh8{76$JVxQ#?U0a~`8o9KaH7 zEo{pj2R)?w%@@e5+S!`i<~LYMKraUx`=06Hr0k6MAR79uI$1eA&#xkxGQLkW!2#T4 zx1k06*6hdHaEn--A&d54Dkks#`t#)zrv;vGt>@vSwT0G9Z~EatHZMvXQ*%}vfs64Z z7Gh$P_to6+;;Y6RdzVrOubYa}^?n!k3~K~$6@%$*bHmOxmv@aj9+L{+K1_e|%cG&z zXmh@Vv`lM#QeWN}{bv#0yy7BJ5kOvR{h00Z*CPSKj}q8asC}p2OQ_ld7h)Ve5jN! z7l0uYCR0=DysNm-|4D^}5$ol6@|FkPkFI;0W91hga`t_1;I3kJ#k+|<$?Q}J!}-Cu zVI$|5F9Nj;MB&vz#9oIVVb#v<1{F`W-0uUzYJ zRyc-!GbdhF^`pD}HOI#Uz2Y#5nT^ro8s*Uy?{8&)vOYKIZCeQRnL0U!e*=l}yW8(F z2k#d0uNGH>K;5I=knTmn9J=tfio2aK?Qp9TB2a~e(DoZ~c)0_|AZ@)Orgn|#$jYJK@zw;~eHZnd_=55EZBhZWy`1eN;-oa@0?$mXh*@x<#~ zfko(#FBn+3eGfNNOfLUB(q3 z0naO9a75eYiz~*YGE3S&Wx9z6bMG!(=HFGD(=fm9tR#M4UdnGiyCApe##l?6Oo0Jj zS$QGcJKcA%{Z`rJFLk0?daN>7%Zlp; zoO#@4$#QBplQcGoaQB^?ffTyShdG$#AoFmrHW5+Q7O<=0-_F)Iz^vyyx|51cFWkD% zCT2qd%RY38E>`%&&}kS!<9)97^cg!N(IoMqv{L@gO7kcNdFW3njo-r*ZSOsnd7l1A z{ZJF?c0J;58KTA-`nl~|U+1n2*J z`*Lu$K031^v$LYIR*}(A0+HfMe==3)Fk}+AIwxtXv&p9UidZ&gO%Z)RMnnVTg8Z(H zd%<#@B{JxpVXs}A{^cS)!CML1P&MP39|znXO3=x<4$pn7ELQ+!N`*wK?fSpM?*Arp|K9~;Enx+))?cC>y!{}>(F>Nbz6^ISkpBaRbP-jRzko8mz6rgw1uA-0^jdYRLKPn>dmq*gq9j5$EfJsyvtx#b zJmWWaBLPnFF?+rdYa)NV^n@I%#5N8H)n8nTPhP7L;)FejKn zLNM4hzW0j8c2-yCUZ{6M2sDEd>Zv`>sGUchxr3ED1X_6j;CsM=Xig4ek@QX8q|rYP zpA6~l?TQHK#r)HKnjGr*1-^jStSud&n9Fcf|Ek^d=r+9Pe`kZ3j}`o;NEmuCQ}I-a zLUG&KYn-|8-G14=SNE+qxZ&nvHw`W=>0{vb-aQIs2#u$4C5xms6 zIgLBWLz0P*kh%%qc+z-p{eeWoiawIlqZn14teR!ufCcRV~o@Rr*;ZIjT8 z?Cv#)BtN1mfeb5TjXE=;CLym&*&3~@zZ93o*?<>l57Zv!7!AwEgRsYyUNVlh4q76= zz?vOUB$hT19MIHy+LOhTc##HaLt&N}t2I_skMkM#sOEXUhux0ZA9+Txkk3<;kr3+_ zOK=%)xCJFLjiPH93%d|9P(}UfdTU zvBRF3BO3W2H=*kHHTjKY4y#=sLwwHop?|X!0s$wtEzDaSQR}H4M$>x`)g|T!+jm?m z>(>#fw7d`B@2;HRI1u!nxIVj~-HS}VKzC|W)|sIbdcz)y%fV^|ZV$JztZrc*jXh6@ zYdHS9Cdb~!4vL1Q6i|oFE<%?kJYCZqTrZq2`TxEMej|?=ATd`nGi$?j`-6w#2EEg+ zo(%OK(aT7aS2c(8ILJ#gMyh;`*1dbWbplh zpJy*)4a!7O{;a6?;rwi9jP6=anCWAUqfh_C{l5>S)-$UGT2)q4@rK+7V2@q6=lh)J zUlQ=?J)>yZKlD+jYUC!LZV=o%g@l*qFRX?dIsB)q)T|I8(x!cu zcbe+{n2xJ7Dg|od;JVAWc=Smxg*lb4-%>akw;HK^7fu)w^$-!n zDfP)T(RJBG%HK;D`Tlk&mL~x#jwPid2>&Xn@r(<>VfX&feA+wwm4R^fjp7?DLnN?2 zV}4YKjAhypCBG$&G-u0?1(tbWU5+$XKv^Nbk5VxkJz3cAo0yL6ah^U7*GR{G#3%P# zusJ(Djw_|TvfCVljUX+OUV0YTlo_zU<8s^e3PdIRoJ{1nonqARU+qO6oBka@ zT{PT$QfJL0=hv}+GN47QqchP)JUlrjoQK7y=*Ay`!82aHI}Sl7$$*dPmgg#13!2vb zPS*S1Keb{xoW2^1D7|H*5o`3}mp9WWUPw3vkPQc^#@zEfY2TBmzLyv|s0lth+mX$< zzgtfo$OJl{9;Hown48#vzKB_!wc03Z11&{+%SQWO*mm>%zayqbO6^>524ZL04ZW8+ zdNF+}kBP(C4nyAvCzHez))TrDdTJDM6Z-fwaq%dN|8|c$z=TZI=%dqixeqwrrT3?F z<_<#dTAOZ~-{cVP+RrJy@)ROk{G8X?N z#Dq)pUEJg*ofJ7plWe>6F;^ zF}{5T6#5tO$5ZY;md3XZ1r66+GTT#9Xzk%Q-`fwD5p z7a&C>n^6byJ$mz0320v@<~>Vh$YTHH8Ts&gy>3jA z>LcaQW6>l^bv223=7R^iudA<9M_xqY;u`4b74`WlWd5R)rk`lHrAj5mJztfUl40t{Lqda*)Sc;o6>R@@9%1@7+ zs!wT(HM)VzkOip1t_9*dll&uemDl$w_s4zuKoD-m6nT=w_@f-Xk2M8Gn_h2Nd+HV( zzwL6qv?f2Serqm2Ep{}cuzWH@AE)u|bLvuJq8v#+7UUVP0mAH$&^LI+ZjrA8sf8)) z%}2f$(nz9C@s z9{VyDOQ*_+rbPw)N1PfYnPT0pbkC&&9EZBpaHXyE zKdi=)>i<7hBXscQI(A|C!8IbFUP3Ymi{Kg4=P}+S%Cz+Wi-qk#gUc`Q|9FvKc(Mhs zg*|TnBG(vMJpjFA{6vZ&H;U6$rTC~jH8tIgX^d%&X^-hj9k!bjfZkj->4HZeXOk9{ zSR;1=jwvo+o4Pw9*smk+Vh(ptKNM+$`{SZNkJ$$yA{XVHKz)ztF?$gI#cy522|Zb- z6W{+2Pke!TEsOXoDt@%vbNz+tdvG+o39JM$HAm(3fewDs}YQ_4Vzsx-t#<8oi6X#RB{={3D z^K-(cyszcaA=o>D!u#N`@l z55KZ^#N>%%fP{G2fg);hjj*ei(y5#-d#^iCJ_Px2nfDPdyz<{zX+*pmNwp^O^}7RS zQa({BlA+p6DNnq&aa6Hl^oMKycsE+%oAhohEdd9sc8_^Fx+}y9J#>4^kBUgyPQ3a1V8F28n%? z8lnmu_proWDzY0kR$rYX8UMb7ISgl6AWJwVb_Bb&D4H{ozYGb~U6m%oU|v5fWH@#_Tz zgQO+(4cS~&l7;wIuTJ(f>`?Cda`mR`b-PRg>Flp>S{6aD1;N8&@s;0lT8xYCo2PC<~JB# zZIs4xlZHMU(D~;E8GX5hl|!9}Kq(D|DCp#gD*233%zrDSfQPftRt&hmX`z)hu#FE# zEz2eykpUR707z+F%Sn+d9;H}!5^0J9xw58J-z9B$p(%wlh{JTBwf06ODjfL{Id{b; zD-@A4N5H6#OL@yAd*o)u8OY5^%)IczbD*ol>ag}2p=1Aa?>>AHuBy=OjC<0Emm zgqB=Hf?b?vZ`uEm`RljwjUd9qI2AYPq2kvs>R6HA01oJptNKvegr(v1UXi3+l9-6n zKO*%sJrW4--1Y7d^hY4a(i29GaHaET-FkY`5=G8ej!Z;gr8;m&HI1p(6v2V$u7#rq z-9)>Wd_LDAu4jW9i`P6B|7vM9IQZ`Qd}rAY@*2N#;yinJscP9u_6A?ol* zfxx6I=o8){)*okIt8j?nCt3*%7wCQmh6X=Up0BZIwtKuk{BtIk@^jucB^QyP0u7R5s z%I(+yj@8|QD5bD2;puY!oNUOr>|;4#d}<4ejD407@gBFV-=|+{$ISkD;4a2N>H9WH z5g=O)O^r)MY;GOee!-jvuP^&X)WZX=$!D1;8jCN&$#^&JciijJpD%Gza4PX@^j28S z?#u{;9p8yQ2?<-eNb~-AjC2o?=zr?}2V}EGhSw{N+ri$&7Wp~dnz@^0IrepP(&~ca z2vsQA3%1cnbOHxVttg-t>lmM64{*hN%X|79)Ko#B;nT-uKOu}iep*-mO?ifHB#%wg zzw`VeO(JFv%{b7n$ku_k%HIZ=|#Jocn^DkF$OF(;Z$X+ZPMD! zIUDnUXuY1S6NDPddDeMC81r!5MTFEj`{^Ro+!C-wJg*Y-T9zBqy+3t`S=N32AjeVv za;$HUk$55SF$(L4NFAc%bEzETf}}N|;Wl2nw%BmX^o(gw5%|V`<7`IW?-a;Wb^Qns zv!1;_B*7h9V5T1XL-yT6_i+J3n|&ErJ_48+n3!KnmX{=XQlqX=^@Ax)lsf z=riz-f8k;8x;8-Zbm79VHeh{t&B#X_cz;gFaR|Kh^N|TnD}4CR63zOO*w6AbsZ;Jc zPZh*pbEOx2iS}$h&7ytjdK5A^(U6n_+ZuOXSz#vZkk~wR%1NilUIR32Nqj*?seFLRrOjZpTz&Qz-YOy)iY zwHSv+EOz^*Vp?T-Y$kf3oEB*W>2I7Tr-X-ul61m3Z$>O}>+5HqXxf3_sH^DjYwF>K z;A&DMDW6hq=ygJX!L=k%%UCwf121M=qbOZh)^G|ufvjjJ)>0m9UehmnU+|+noLI3) z+0$<9VJc&!7a~c&795}N;d~`&q)xkDq=B4=_u`g1{nSc`XrSci(@@2qzdh~&=~M-0 zgWbY@F;F;F7g0Q&-^e)bL4nxP?^y!vd0(UMJhC=){IX_Ad7k{8&IHvS$tcjT`F98 z%2c{ph<611JQ@eQr`QQA!Vi%91-w5lZ@vg)SW&xW^)9-va|&WMs?1K3?rBVtDlG{` z?GDb&t>=?VH$=IZhZca3MNBiue$Ol3P8z1);={jnI#x~fD!$0%Qc;W$PWvV{fQ7|E z4}v3eA_5eYkaD|#kkQZ4>}Q9{N4!HveRNlw6{xp8qcrd6uz@@pfmnVbaxphSs|VD5 zpVQ*kYsVfPTx`uF4ZFzk-;&z!_6y4tUU3Mt5|j->YshztUJex!;xUc9c#=-{%d3^I zJeg|gpxA_i;|_daSAJ&gf53Rm1(zo0nIjPLGA<(5RQ9hJSc*2Fa^UkLY>isNBN@%G zz8mbvEmHXU(VuN3i-_PEBy^(bl?~~#pSSW>h!>U$SByzQA2N&-^E?SZ&)ZbX^;(J( zZ3#3kHQ71{EA=LQrk8T&9D8q*@GH!o8h5l^=*B!n8j1{Oqru2F2b^Prd4Gy<`n)Kx zA1{57aw+`s3z*MkH{6rHOff8B0$$^r@BIc5YaBfxEkA}NNxMLDf`*$m&9O}hLziu0 z$F0pATkD7w1mfpm_BrE`w*Y3#&<&H$CKXzrJYF_gX3V#xc^> z(SvlN!~S$UkfBfXm_w8`nDvVqlSHcJD+7a=Kh0_IH<#69&CXLaFNX4)ceP|gCG}`g z1yx#Pu8<>+vs;|3*%w0jUVBdj-G8W3gI{c-B~xT zacQgtU6FLKTR_yFDybEpykP_Ld_8Q4(i4e@5ZSJJUT{=kCAft9@W7P-(hSQ%UdNz55^lW zPMPkq@6-HPx~+S{!y(LO{RB*fhaJ{S!>=@-UfQ$!@SDKkbUV7axh`)+S@0p7xJ;Td z^b&^mZU09g-EcANVYvNaqvm&|QI+qids~I?{*27!lAYggzOwSyaa8~517hw=jdn_4 zek&ExMoq&VE|S(sEEuoCQSMoq+4^0IW`Ka$|j zSZ8ykl|Df-QUn0mPANOf^$ISDiheTtrt5;Po8cQNBo_Y49z#l^W05CG@5j{HHR$j< z@R-?>@}#ti&R!d1rc9o{3~BAxI+S~LDTVbH&2dy64ONO7?rG7FNHe5UBZ=W zz$v(CQ4uiS@X|dkpdrD=nS=#qpv@ z-*vvTC_tlQL=yEnBFc!`JXynw8x;+vi8U;clo;8=C#rs}3r3%;O*^E${Sx%KSd_fx zMf?Y=l=t4JT*5KCv7z5eUNxf!x`f&f69&c3M$&N$9fj!`H4z zUvhq#OG?pof`@kP$I`b4JFB{v07UBi;Klek0kDT4qlm(Wbl<1mU3t%bIW=vlmh&rT zhpU$B@e1&w4e(I;jQMN%Iil3);82v`w4%9Z(cxWDi9Y@9s#ojKe%UH2O}6(|k-*!c zR##CuOmjIPiAKSQD@j&~D@odtOQ+HARfFyH@td!eLnl0yL(`)bL;9ntzSZ-x9bWH7 zR|RNV2);Lh43FyC=W$%W=6_17lyLf`#hUk%d_rNqrz6J?+yi{q`spG9W(lnyZzxl@ znRoFEUm?qNTsm(#%07z#_x@Efs?^gdD+o-ufSY`m>jxKxrJyYi+hM*34QdsyPqr%q zLS-$)IY<3U(3Tl7o(jT9t z@8*@H*H})^7Wp4$-OBw#QM-rcyMVs)*UY-+)z0kF%a_f+_qwSy3xLxesSfQ#@jIAtJ&y;9**1VNVa7q;dZ9^j2jyKJd z!PM)Uor{Yd7HQUi^Gc*wAcaW;#qWl;;qfL+0*aoXz?vWu!cEf3cO?^;NJbnRcIar$ zqp_!qB`^)`T&I5c@mqD_{Z&9`N%_)JpBM;Lsfuf5hUr(WsqyZK?koCB`qn2f_8);2 z-AM_-1l0Zb5i-lb1nEm_;9BVsszmrw+v0d8YxpMaSs zLEl4u+P|zzFwvsad;JOTe50D9PtAYc7TtfGBrc(|$qdQZG+HpTcHAS`6&~5NT*Y!n zXGya#e2nbh>oT>fCx5gGy+V@dUj05pZc@3jCOE#`?}CpYBz^Z2L|mEwJ(BRWnxF@7 zs|-m|KHk3kRAqJETNI2jKJ>zuQ@YtJ*LzzpT{IucJ# zkQwI8PJPpRd@KhKyxoz#*fJc4_8TAguwtb{>H(1hXm2vvw(@Zs zOvaM_zl>oFn5-q^C#EYL|KOa*y9^^V@;AIR(sy3pg-msJ*XPwRY}G1qErl5eW`Zi? zXcvAo3S?H0^lsdCL2>XWRoPRK`=G|JtYHyfnS8#%KG6&!NMtDDsfv1e$;6*CU#6WS zbIbhhClP+C*I)VF&;nF;fqmi%x`M{aqjW;tS+}XtT6<4+n5w9mlQF8KO$C(M%)(Rc z+IEY!x1qm9?mAPoFq4G8e{$LNiS3)3g19qH2E1xq$#lBVY70>L`Uk1r2^&5b;fdr~ z!UesLV0GeQ(2WlsN5$Y8j@@-0$aFM1XcRgZzZ*Ww_b1VS-*kCr#(3DMGH-H}F?nt) z#`|`&>a!cR2Yv73G1bR%jU-*_&p2c#@EEs>jbquw(kdGB)oPV{pN%o+cp7)#?{QCRwXyFq;|qokr!ybb{S99YWM3@iv6gi35B#Wu zWP;vY2^L7=(olUS9-&;?gVO*u{?H$`CkSbHYut6+e4l?&7hHt(kIGf_Akx9Q=Ypb3 z#NXv+GIv)x2nZ)ZH2H5W-Mkq+apLaj=SV~cO#Ro%@}To zd{mrC>$q3O;rlCT-tn8^Y}N5}BK5-lR#|EIh-wVuh1FTA$Dz1c3qzZzx#F-F^;(ic z=6Xt+sBnAY8smABb)fTyQ8qyFV5v^0L}-=o={OI(9hPL+@#(KGL>}dYzPS(ySQn4~ z|8^3KwPbkSVe^fr>}L5I4GtTX@j{Ho+ z$VFN5IEE-xss43%LiqPXKrP`gF9Tzb$W0*|mmc=6tt26V1NKEb{)qRtTkhuVM+lvP zb(hZiWMS=FUe3o7<4HTa-o1I$H@D07F5j{nO;qL;HICU-nDG;q{CRjsc6?aX6Z%@%{%2YZVl7LkyeALvFYiGnQGO5i&}Vx-b0n$zfj*?yv}04Oh0v zgqw}azO-1uPX)Nr?zdf2i+o*pK=0Y{G=!!Rqz|Sv`9k${ls3VIS3zHu`YqAO<8SIu zC6BNio-aEYk`OQ1YoK+u&^Ti#XF`2f|#;D zrr*vF`DGrKuY;wIZCaR@jJbqfRG^a+0@wG4brv~#Sf|r=f9##mBv%=*rrh?my~$9#5>+5d$bf;-HYvgXgl;>K!=DDWoO2$P(g3MIQGa-{yx@o~oeH zEpN8JvobByc+PN|snD=FB5||IX$$nc$DF30`AKP~92dr4?@N`LagGKxqQKFtmCns#mr?rC=9hs|U$^~AnU(s>x?%p{M=pi(Ze+Q? zLSsUi5M5U6d3I6~zSis)%!cZIER9fadTaU> zHI~!E{Snu##7*278LuZWCe$mCQ^pP5bCJqv7FS{~CLY?OGF=^3$xMUAfFtX*trNO- zH+O9Cc6k;9T^3Qv-NpZK6fe;I_f9b=C`blg-NY12hwW6?bNTzfse`lvyIs+2u^V#k z#r&;3X1C19(V^TWpI8j2zqw4mP(JZ;sp<3O((KMN-qIr>&1l<|#XZjA5!H+j4|R?A zmLD5&F}BgHasDwXsco3=)n7@gPPy$a<)2kVI{tTwchfSOI+4AIbzP_*fl>sePVcI{ z*UlSX#kt+%C2$lAY3u+5biWqKn$nfxm@=%cqxuLB1nWNdL~{Bo?-+}C?Au=6+h3gZ ziJS%F7D7`b+g@WLJBGRR*ZIB+B?^}k_Np1$sbV!&V}LPx3~p}XKZ2fMMc>{~p$3n{ z9q~O}@9wuxBgm%8I%`($xMb4^7rfr&h2?LACQlbOkl z{gq~tY@oE=mE8xY%ke=ls4cp-OBgYP#-f_QNBCERc@lFH+vJ?cPet4bs>A(8In39V1&bt(N zrj%wd^rL*rE+6Xv?WgCD_TH|OYu%p5NsseD5gkPVKP`wGC1orMcA0k9e=0y`F&?R} z&<^*PhreUa#KEoip;NSQYDnUBxXMumG<3h86*oDMdBx_4%RNUzjhIIKCXcc!^zpm# zmOB0t`C3VZpzl3RHHlwWp|==rqff-8uxNhz<@!U%7x--Qt3pM!BcfPef4S9@)Y6$_*ayVIBX=`qcH)kVh=9fLA2`kEYi#Z){_{EwD2+EfV|Cw%;s;pRrg%Nk4lPP73dO3qSu?J z8X{mD?*m=WoCIHirp~x0{*x{3FS8bMkI>%awHxe=y2WjxYkJH?*em>TFLt_tiuC08 zDH6NdKTiZAANm7VH-$}zeomQPLog5q`Fue@_Yv|Gz}poPo!7*-XV#Bu3JacSGt zbi;Y~hA02|oa5v_4P(==;lQEb@D}5P%$~DxS(a{CsM4l(LQewGrT&PJX`dXnPjdV9 zm%Zx-7TaE*8ajc7$kR1mYspq43i6^CFcn}v&jrHM_wQZ@A8xj#%((%=ry@}sdzFZM z#BvfXvb*$m7MeH35=O1Afh0I4Qc@?N?`I{#X74z5u-<1`IWq%z3N@oz8-Xkgpot2T z>?1Vhzv#-ya@g1Ov#$vodQ%AP$!kyCK~MXyee9#y5#15ndATFLBeB!w#l-Klh+*#$ zpfA+tyN=k`^SVq?f;X?oJb~{Bn1gKlPvkGZK>lW{YJ|w$wGX)X`be(lHDUY)r#lpvuWU;;5L0BtS$v&i9_ zVpYemQYL7~*FV2Ya!(505JG}iyyOTb5V9s3qJ8b~kG+)V_^3vt=3viKyhcEFn2Sn)pYSDc_8fpk` zilE5zIiM-uHoJ~{eg5>T5h@zrxnf+xZsodqtRTB8&M)7f4|x%HcgWn>;!VSR0m#S* z>01y+h#4wp+B(QFl5yL3tvn^z-g=!r={;GV;)Shfgvu*)M}iMv>5(;3xP37L*@>@4 zunX4clIbY&-T94+Js&|rSVop6f)m6kuD(D2W9LiP{8z%_-?OtX9Rq8kZiBT6HBYk` z|fj=9$o4W+Z24JEi!D$Ox3_aDrt zgp0*wNelx({OfHw5}|Iri64UT9pGBi zLrby0i~kS7u@%jeSwg-Sha4rZ2sf3atp}(~btpp!aoKU0`{nW-Xq5Qp)HW%;Nzwl0 z(dpog60r>^fXa(*9v!Z+s>EIH6QKL`v>t>{gS~Vb(XWA|{kXJ+Q>tuS6YMCI)di2U z+iSW69KHwdCB?bxT5$031?qe`prGP-rdMYFXvqX=XdW-2$;j;VL`X9rVC4Z4TYfVf zu-7UrRE2JY%vBCj->i-g&n{?}q91(gEAP*Y|95Ea0;Ar0y_H9xb%+{Ota7WG@SDsu zI%pN4dJ4#@55#CB=dN1+gRfjAiC3h-MCWjfeqD2Qy6iL}qUYYQmha~!?|(7WERh#d zQRF~4`{q&-=a&rdtjnV72>;HTPV02gW$S(3PKkUrpZK1)HKKu6pVfi0pOKD{kJm(> zKN$GLL$F8&x1p4OO1Qc($|ssJgugaXTwBk{A!Rz8hWD3? zSRT@uZ@@}G+4z;4g!onCJPjRu`-WFfWWna{?b8G5wYbzpI8UOfhVr=Ms2zp#LsYCq0a~K~Q>|x@encyJ z+7T{mS|IWTF6P0T$!DL&qqhk6LF+wa6#Wcr>6qS}#%E#DGQIt0W+8lljqn*(;P;JT zhC;?R+HQ;v1YEyPjvtr!9oNoA4+D}19@@*PlowgH}sG!-o#LB5l7evvq3)m{n#0!x_c7tz}59o6#1! z>GyeHv_(wqByDsCY~3aytOZTNF7{|-iib;Ajw!-40hTfMZSP9F*yT6MQhKl2Q#S59 zQ&u2?C7=!a(QUupvpe78a|%kSq3c$K(G-|!Z89R9=eN(X+%CPL$V(Z|xI|m2G~26w z;V2FYu+T&MHS6lU(hK$+fXk2fjh^Wm>tycd%tb=u_iI5B6Z}%lJrCEn9%E-e$9*pl zfRyEwE`Rogt60L*v}%_HJA$ zGq)ox+dDFc?LnA`+!~gEVd!!iQOTeGh!@r?mKf~X`2K@4bQp{w3qGG#n{RdhAnr8v zN;^lw2)=2v!g%;ubWk2wCTrDYV5w_qfTUi`^`ktqSwMzIQEWAj_$IGhu95S3|j5>U!*0 z_(OHF=Gc98FP9NK9kh<1KldyYwfMca2``R%`Qif|<&;`PMkvWm#|A}gAQPQ8H>b2o z_yz%wQo2QiPAaF!VRw`rn}pDLe!dC$GR3Izfn>73v$CgV3ZAUscR+dWgtgSq#wOh0 zH&itZx44Vj0TI}Q!b*z!{lvxvk8~pV2$6OuJl|h?=oA>?TTXh0f{@X|bl6<6f`-?C zM;xyCltQP~jv5n<#)68)c^d9N%2yF*TrzS;@gWJI66MBhNP`k1<88=4%$ zi+=${YjY>0w!V>g*NwGs&fEQwPO4TDWxa`e{`P}8Nl?~L(vg@~@6VGt?>cM_$zFVz zvGO0jDvWT`VqFgZ`dRfT)?2ymPoP--nqv5m!pC~?wb|D68HK4Fb_Dw8k2u!`P18@_ zK;m@1OMqEJLU(e<>7qCrcU8&Dm!2Eq=ru5=O^uDlTYQ)zT3J(K)!7snHzissdBAnv zu7{tcv=XJ&gNTfKFwDdwIqiBptQUVY&hhqgrt7-MQhPZ9Mtw4G+pWj&@MKeakzf)9 ziF->nvDn}fpqQgaR$#osHTS2^$uLo?7SCE{M7=Tii-Ls-X3ncA9;KB(3K!v#Us+^v^gju%rg;zWC1FWzhgGR$1wn z#qVO#kZtz9u4aJ@f@yQs%-vKsJ-d;=sQb&hQTE623t=9I$L+?@_<`hG2+wSH%pa|aP9ADAYSwfg6(wqJ};FM|f7+o2WgXJq^G< z2|xt9tXBuUe8_Z7bO)Nv{(C-}={kRiF49R4T>ovpgRayc{ny5fv9wp{X}f<|SPVkt zmo||yR-tN&IgVwx8&BwJ+daTn#IhIR1)O@36rY1QpGUJ^C%u^?>mEjClw=`;ucRg9 z0%`A9L*J*EJj%zlc#QayV1*w@%>8+EDTC1dkxHL1HnE0kEHHl4-wS{A9(E)JzNVBV zp?(JiS_T|*bjw*whmg2EL)M`aE;o4UlWxNyKJNY+*s|p+tD96x0&4_;Y7sKn;!er8 zJSnN4$?Kq??+@Bj(sX^m&oErZ8QK+ZbL)^kJg=dV?|s9P3M)_FDd`cTNNU6BIm5ZG zSpqc_`~A~!rzCxgmJAz$(jH}mS_|1*^ab2#&8)KW<3@kdMzZt>LcVwp{QJEmMxM9p z>yP%~y&4j3-E$%F&wMKfSkOw~8n@_w@uh_XPMVf{YmP;$aq8~Mhm5+xZG9|7Neq{; zdf#wVNwX*!!an`TE}@6wIUYy9@xKVj>Qt)@Q@;|Ym1C?&KHd_ThltrE{VHko&+z9` zEFOd;l_zeFNUQC?`Z_#9hEkn!ie+;Lvc`iJ-oCRzl;WIUPwi~)1uD_MxALQXCh&Jp z*yd&t;`O`X8yj0kg*QB7~leCx5P%<<(9 znW~B&)L#S;Uu%N#*XjMDShe%UK%n{`tz6nNWL7~oHguc%5LZQj@y9@XpYZ{1w{LDU zr~-0)E_Oi&l9Zq)>BZ;HXuGYlMycf>Tj>fuviAe`D zZ?B&#p8jg5?wc-t(9U{+!@716#bZR#jo+c>vO8kKF71@w%QIbz_A>@0i@+j_u>T+x z$(keX2QN)OLmo_VVfcF_-g+fqKE`rl-QZNQNtCb{v%?NI;?3q&$(@7|@*&0=!(P~blAJuG(kT~y@T%3> zi_W0o0Ujcd+y$Zp4i!BOb{!#@6^XKqD(q5wUwMd1SwKpQ@*jC!>VxA-V=XKw;DS;D zy$vxWUfK?0nLv^-_&R}mt5Z6bHWC5h(2Pq+@gwrCskg`zLT1kp^=gp%T|gB$s!7v&*SYHSNphNcDEf7hf{ z5Y7w7-b5y=4MF&HHTlcLyng)GRJdIz>uV4JFO|S0-R(Ezvb;Y%xRp$;^dkYzkxWWGeZ}Y;z`*ulP zmS&U_w(B0%hzi6NjcXmee_MeFkB?_V@!qu zwT1;*&|B=?iPx+sKD?XE;m=<*-*Ce*$1?Urf!g+1fhw4Qxju2^E`QQx5-6C8mp1qqfJ)iJ$phQ5J#{ot7Z=*Q3Y0|1=ACZTZ zdfMm3wGCGfji7L=14bH;Vl!^N0R0DvHp_d3O(Uzc(HvfNl&N!K=vnMQglP~*LTEBD_#`_Mle$=7);`-*f~Af{B#%Ee?3*TFb~x79QFTvsu` zZ(fT`(sKOHTGMDxGX8H})?KemkNVM>o>h$Wt7CzhM_9!C&LG&vCG2M1fGcD0ojm3s znl@A1d!gm&_wu47fm-AQOc?K7)V#fdu#xR5PUL#zztKiH2&DpP9%q|aKjLNy4?h>< z5EpV2ScvW7)3S(Wwc<$#tr={NScFRxm?zx6Z^Qj%N438eES1QI{RMab9|b}z*v%Of7p>h}B_N7kXr(x&%GvsP_TPy?^>X6^=Hi!vYBw4ZMaOQh zm8exVTMU`$v71A|Nd$zo`x?{sCy$vY#xke#Hv8E>gxg+CU;bPf{+6G8y?YLnwrWS2 z(ljy{?qS_2r9TPOo!9iy|5Ro@P|oWmbj# zWD7{#FLh_%)5fx~^ot_WM8d%(`~GL9U;;wCS?&-J(S zpZ6er_kZRCV>jG4PA|Gjv=B7&u!o4eS^VEin2G&l`+L$rjH!3wl$`M!wjb0Rdj;Tw!r*y#HtOX`Jn9g7+m!(UMemV|{x&V=LYB@Bq?WQkjwG=Hd zGT}&c8V5iPi50ZH^G8$($qlq@Unu~(f_1k*$xo{ve9Dlar2uYuW<`O?*RX?xLNBgM z8XGZExwsMgP5~3A_Q~>6A2fTC7jgj_`U4je)yW^gZ21;U486Fq1dShQASmk8@;7Rs z${O2mKZ^mW;lVuk$V~6t{m#TP_X0B85t5^u3F`X%P~47mUMC7St(;w};`tRg%l4ze z;g|F0=}@HWPr0>Fu;a69)-R)X0RuEKoBpXfd#``Rb{}0qtzODbf1}AoRKSU%F*K5r zbsf{x8a6+i{+e`jUyjMRXByOhJvGg`W)vaFqCUE}WbUm8o$~8iKl-Ttvbn=+L*vSf zU~bbsgE6<1FG4KTFyRfl*a3JApT8ZcI$-A~*Q+d=38v2V74b z3c!j1^ulD;JsgW@F7lKS`|BBEJh!pDju*J^d|hr9+XRpKjh7Ey8%2&CB(ZJog~Lp@ zNhinzJ}0Ssn6I#~HgpF+l&+rPN_{rCS?3HOA z-(=}j*w6-!3(Gb;KwjJ^URB`G^75!85p9`Uc44S#kDukhiO&cL*Iai!aywp3s z%b47wNh8_ItL!(JYv#TbF*hbYA{H>rE(QqbWWU4u+^wd*?yJpSISRDRDsR=s`0Lov zXjt9G5~!n3;X0VG0-Fga@DlGhXa4-H{zBL#zENBVX<_9d4j*MmI@;Ol2fbc=wg4BN z#hhz64LR3#%uq9zo`q6BZWzLQ+0|`9O3F*TXt4{^cFG~aXxULS6><}o4OdxKFF^_Do)G@l*%zQI2k(&_FmPwWn$2GnGc$Vni@ig%!ng1<{JQoAOTmC1? zevX9OQ7>{((()hf1M?+BKmQ6lvO0+#_k^2?F&;75Q@1XVI>$GCs+c2q|3|CW<fewx9!B&|B>GyHx@&a)I*z%E({7?~KwB2;?ruYKUbBPn3PoPyWjjPpFAp}SG}2wxHQ=GN?U;W$Q(c5EZ%G5H-f=c3)TANHs~8k1yn!6%0TIY+IdCsjz>KrO>YGviJSt_dyUy81pEfjg{`=t?RNp} zn#)E%U*EpZjz+dHTbKx}?CTuhCY(}9`0<0EW>+nL+(d|G*WE_W^s(>EQH626f#%S0 zP7KI&k_5X#A67VIT|FgUKy;A%v|sLd-fBPVm%~AoR;gIbrmg!-DvrMxu;R_XFL70P z^D@eBa5JZ%zIAXuDLeg;b%>Y&}2B&ELo?L5ebA2RT zmvD^<+pC!U(YU4OA?CBeAw7;0AWr?4E&Q0bT7v|FB6)W{N?hOi^a=jRqvoMpd}cPKU=ws@xuYjNg6ckrMP zK4v__5aWNQs!5R0iL13C_wGVQXY2Wx6DVft4Kbs*9XG=m{CV6<*nsiV!mr+a8M$F8 zVDMc{#?_d(9)}7G0!LWWVNxaX^z1A)RL-==BnRhe8S(4XF)vQ9LKU*aO2)f(>Rb1B zqS19-m!6hAQ&s`M0+UJKu|V+^l4*c;V5N{zyC=W9XQnrnlC zk727R)l*kbrgKvtXdBEIMI23QjgA$_bZ>al-@KMfY)uRt8Z^2jY$wF=C$!vbQdc3I z1qDThWjA23A?pCfXIT2NaaIFz+|8MXdyT-VY2{xE7`*>gw2!jw=5DY2u1)F$E(rs% zfD4uS+t)nTyw`l!{MQ24f;D*K(E~&WtMed-2^Dq$2hwhv?zSqCs(Xys(mwdaEhU7% z)3(@GJ-d3qnzga_!li$XxKeBOlfyW_6)0f8_3z2Pb+@#wI(3gS8QkFN zGGGgPrfL{ELuE4#%4z~u@f#sg-DQaZA~Rz7#0w@d=P5)~O(%^?KNRn~kdwzaoM)sg znDmdO+=}0>b{;irA6Zo&{3_J>VcOoGNwsvzZ+B3p8s&3l^q?a&BC>?0P#J1t)FMr=h(TFqzS(p@VK~xre<&a42284|&Rm z?|-&_B*r8RDGDnCI$*cYZ?v+vC-Qm9R!C5q^!D?bE<(itjNZEx|H#F|kWG3%`3KWc zi0Hrh`O#1fOvBqNVMSh-oef>S{CTDKt;d}2afEi(F``$hDF|UeStK3UT@V!f*S(1~^K zSsTSBgS3E$-``mq^07t6;XO|p@LQ+ZVY#^2Ns$DhkkqC^S3xssH!=d4q~sHXL)^YFM_@NS6;*b7gf(K_hqBW@Z$8;miu(SEoT&}N~%cux8*(@Z26OL z7qDdwT29ZIIW)C)&|Q|w+NA#q@oVJG4&~Q#lhZvvr)fjTUy%ilJ%>liHBpi7Ou>H| z@cUBee!KXhgQ?Z*SB!4A4xY|JFW5#KG)Of0Ohgo1op3X4ox-WpbicfIWuB8NvfvZ= zczL|z)iXn#@Q?6yNw8DfiPo>1(*7#mV7L(^@Z^oF-23OFvj%UrF{v(XDz(u)q+78tX3xu1#w10Ype*2_66cIL+#3Eb_R|+@ zy$>a6mJ!zc61s?Pk4%xW>wPV`l`SF`T^-rsv|`{?H~@6hLu_hE)9CP5dDHDDB5ZT@%d7 z`T_ZoE=pvd(ed)bS1+mt980!=7f@V9)&U4rHV;FT>@bA z#lQ1j+NKlBe8ZJ+9Y;BSPIUeQ#`_pwt#>rZ7P@T(RSpUjHI}DsRSTZJep{=gwN~GM zIvZAfA=9<%%|}+r$~@5Cp-Ltk7pwy@jO*^SM5>&K%0*^BFx3WV(t}Pa2Xb!L6k@;Z zj0@32U_Sd3s_j*Lw&ptyiuHT!a~Gk8^Wa1CMgx%16XEM>*xjE-YS&l_&8d!o)(zpj zTQ*{GT@1f~qVH!ReEz#ejk#AL29-j2VAjB?hQabivs*THazT@;dn}Nn$y8S+A?Tp^ zyNN8H&Dz@=JrAwQ1y%KE((2?DHu2v(G_Ke(8dJMb|l8oA&j1$w0vNq*A z-;f#~ZDNNev&uuCFYm58+zH1U{jxHDEra4Le>!N#eHos+H!MpxZXSHi#v9mO{5$_p zZZVbh$YPWqBD+lJ-Or)1@Kmlb#U%Br~_xboq#ncNJ25mJSG1;?tRj>9Smn-nV zg9k}=ZV2HDagBsBx#aRtq4=iLQ?igpG9F<3+Pip&$#u(x9&}hffE_(=qH^+_f~OdL zJH#TERKk2&p108&qR7HM1Ze~`IcNmyYG#(|o5DW#$5I_VYu5Rl3q!Jdhzas}t==Kf zj8Iq+@`P$WU;%JYII`SMctV5lXeqIIG5sM@;X3d7Q;bo;Sqc?a3e;#QI>E9^CQ`-i zzA-ra#0Z=f$rJ=uVs10@$}-3bB1et4=H!J~=HTcbBX_*;gaQdkN2yp;WK^~=Wv{>y z5#2vv{_KYspyJOL;79tjFq-Aj8L6Dy@?3Rn%Z>r*AMS+xEKjOCs2W-z(O9-_#z?49 zWHK>>GM>l3T(HGo@uYLQoUQRaaH6KKaxwvC5Z!i5ZAef$U|c*1_~;Ca$fIQB4jsU+ zSz9+v%k2umEGWY%vDf0V#V`Gyka4+{!>^o!gsuOCL~H)p`q5#nds^7sXYU$+$jpoV z$Y#Ur5vhv184a#~_w!!=G}|ZKkO09F_^m!#9@2Q}vY6^6ywA|96TK`jyBhHUm&)!k zqU!FNbn+Le!SqdAX4PznvqWiXdshX@ESYWJ3VIpDdFY$rVfMb-%jFt(pDx#1ce|^8 zg%cs=U%2RPhtL6#z2V zm)B46;sK>1Pb$PNFWMu+1YjL!uuB$ksA3;vBENR4AY%iFmdLj%1pZSXLA1o!veI|) zAq(00f%ptkw?FE7K@8^lkMm(kSiz_E1TkkN##jh$71yN_#N0TlAvUSGyKdYg0Zq=r zN!;fg2Gj!9fEmAU(|=bL6a#(LD)tx$NCJZwCGPkA2Mo?4?><-pZ8}~|$-VTg%kdaht;hIUm4h`?paSMxWH!FK{rdykx|atM1EQ-& zlw13Xug9q~{2zF|&qa8c(JaukSx~<9k5KO73Vk2%U$*Y1MbuYLM!JYY@!tiBA!IHY zPtRj<*7ff*5~HSLZ)3W*$$b;=;uv(I7rI_@%R3|igw2vPHH6Cr@smFN>>w3-`VXj^dT_%htG`u%L4m7!F63*!LbM+ z)%`J#Du{P0y%BMrrnmGUWyCcYc&K_ox=)#lBoHDfON8y&``U(`AQTxJ307EVYwD?D zRZN`ZYbYsNRrnLwC5O*k@cL=_E5ia=1_cqk{Nya%x&Eh9lAM@`SA-D_ZoI4X_#~2& zPZ9o!&-V(w(EuzXzK}K57r-n6>XUp1{?I6PeWuFRa1C4l9Sj#RrqnE6f7ujxDpFs~ zs7$=4SH|dm_!1uL2Rl5Qhp3i!z0hZi*_~cuw4WF3w|w)vX1|W2W}*m~xaRP{13mU= z4#eWYQ^^CjLUxker;^lQy_<4t6pXPwConPT#g+!*_bpL_G$aSF8#9)VKvfU{y9iwJYO^Row>u{#t%cw~->f3kWl z>dOQ3tLi&TVZ)24%C={1-v7Qa@gxO*$vWlqcDm-3qgv{m*;y&MeyXmg z>iN^Te4|uB^CoRyaWzp|js!k&-rnnt1*jxy2egw?cnP)-FlVj%Q9_TH;>bbN2c)g^WGvmaMG z_QBlRUzK!$ugpmRR(b|)W(-{x>j$SIa#4=La%J06!(thRbBtLh_4K*UAkqx#2kS zpBm(is`TwmuN|`_l6p#HvAIHJUnHM@(_|JAGH4uC$CEC5it5kLo?M;@JK!ws7gcJQ z%z}YelZu+QLkqO_?FWG7tHpts@Wz)^{IcQ0C>K+atbY$S=bX$LEq_~9C+cQ@SgI_g zoZQ3&KE{1hf8oxjI)RW=G;ufBg@3|JWwvhXOsL*&9M4q89& z;e?wdE_IZeW144de`)wHz33TwgdVaH)ZO=wAdb!{SY zU6oCqm!P{7R z?iZ=EaKfLJ{*qBL|Mp>8@U^XGF5PDrDQ4CRV>9MD`|wegUEEQHqCc=OJw+;_i48z~jhKrbm^-~t)#ueF~QECnYTj=CX z+3vomV=nrpQ}V%358r(G7DpyoKCDe4 zWYqzub%@0Pqv(x!QiMIgTkf`)W7b%jS-%G253*tzY8Fm0RIhK}%DnD1y7hHcFL|kT z>XLsh7(gU#xsMlkbXhyPj_oIs;Jh(8T}s#`pg+^lT}&CCa~tK3S@$q%$Id1Tf4L^e zfu3$uC({EVcGV_{o}LwQNTUqjA;4nx%qilLJ29W#v-^Ly`}~mtbhMT(xOI(o|~VJc7}6+{ZiD8 zlfQQIJ{&qQqt)Y1h?P%iA-7~M+M(poQiI6AtwWa^OiyPMOt#NLS74FqGJ3t1ByM_! zis`9mFSK{KdSjC>xC3dysBE2M z2hz0d;JnySK99pGUcxLToiMq>O@V;a)^`btx5@7Psv!wiU+qIt0MdZdWo zsiA))PW|wW>vjisP$=qhl>!HjD3AIsJL*%+zwsY3Mx35RgvqjR6Hk+X_?zD#L8iC%w(^ov5;cxD}Z>w^D+x!{(RAy74d~mx^=Faw&-)AkBHKESOWnQa z>5}@wt}-jFng$I98MRWcWVs*djPw}`HLl?vLXNU8=j@7~!1HUJjPss1=#3^$KVBA+ zR{VAa84i#pPWafZr&TCo4D$!zF-IaVfJPHf6(~%_PtOYduk|L_Zj{unxKUVOT4MiD zuaAd4yIKB;km@tkn(+%@lzHATEB}^N)Al|#X$5Auh?Qcwuy^;M@jKI*nzEf9y|(`RSU5ga*4|?{9y$#F^wCo zz>_uEEZ_~L+Ruga*-H|4{sv9N4^{5nupCRXzO9U(YT`{2EqjGJ#u zPn`D34f>GWuHDqLd%~v1%7xM5KA1ORf0KPKW_HDxsGk>Rd5VcSl%Rkd-F2P9Yo>6^ z{<7M7Z5XdLc9ts?*_!Hp2_-0w`&ccnMa=rX4H&vIWdFT_B|=-w=q+l6yBNyjZL~{r z9kmRdq-7kP#y;QQRwPI0-IRbRQHWqzVB!}N(~6UQ%+4)(A@qb@k_wsB-UAxi=)avO zYcZgzb)r~@tfw=hs==kd2E?Y^eHPL5wFkNdQPE1{186`;=9SjcFm{TcZL9~mRgaHR z4Ng&v)x~5(!Hpxe)|)DR-LTz_ZtbQQw^stahPG;dg$Yon0p6~oBH%9!5cVEfsh@5H zC_b{p&ch`%s!aeXy9qt1)twx;ONJ`9uGzw`a0@B1}^suNX_3FFPL zk~|{eb##&gMt~c*06hlc_9Gvd7t(tB9j<&W2ax?L6HdE@o_&T%!7zuCRb-TU+ZT7X zf6X0RaK6mA*wZ_1-?H8J!e%2y?Ha~Hvi4Sj4yyR)<$p=BoaWO$re}6jE$hv$fDCcJ zrZ7yB{H}n9-+?dBq+;GK$s9x5}#LThJrN{|Ge=0{KRLrD|Dl>^oxV70WkY`+g=#^YBmBt%>njUu*fCGppDO4 z9el?Rrc+V{2nw<&z}>PVu+ZHMj zB2!bM%0{F7ogxNpNbm`x-La5Tk*0Kdod{++6Y=KjvFJ4yA;c0@^U?lQyUjAUHh=Au zSFdfZBQ}UHjAW0?7FPQ4i%QwZ{PI(kEX9)79~_Qz&;xZk9z|-Gnd|2qbaV{1jv*&H z_#qS0=}aK}cqt|Hxu^J#jJ+LlIio>BcN%bpaz$o`xyg~J zMoscU>_oW!f;#G>zEs1sxbvohX28MT8ir`@dvZf-?+-*mV_x^Y>}De^c_v5A z$6Syl<&dT+MI@4f$r(UZ+;lpLWJ6?aw8prz!0l9IZJU3T=HOz9DnFDK{aSmbDS80Mgm=Jx`5! z;R<_AO5&TohhgGD&d9i=P)x^)HLVAQPLVB7PmP1$)Afo6nbMtnh0^#~Rr;DC<$-y< zjoouwC=+}wmPCHTsJ0h1d8A?k=#?rp?AihoAuo$6V!B>y32T~AYHv6kOIxzk|CjGYt?_zs) zjov_IW9J4%v&#DZ(=TwqFmP%=hQIKhabePP?IxVhu`JJ6q}|t7Go$kM3f3++ws5&R z=X2nFbF`xU)CQXB0fRQz6c2J*pX00VZKtNpk3=04+A4)ks*p`QmS{XR5`7t*z6Jtk z&AcCAu8x1#-h<+3!?3h-t5J?-1;lSu*0FuJ>#=`t)U~(4DB^wnBLXYufNk!mz!vfH zvnQ0pBy7YRn|cJ_>bo}q%htc{v51p>#r!vXq_?@}dUN!-IDEds9p+?0+Lyd3Yy;Ld zH%PpX1n0LVPnJrCbei)}1ntUt*L}UAd#q%V(SyFX8vzEUAwZIOyTWaX72wH0FR4Ia zX;<2H(Z8m#^E*5LyS)wPuB0?uH#GgBSRHuiMYB1S)*MIp81yKwYt}9cS@xmptatx_ zpYu8yhphL`HQ)iMyeImXS=2xn8g_f(2k&04 z-PFb)Z!G^$1c?mscN&ECOssI*j=52pHwmG;Z&HPnMD@~AkfAwOi3Gu+@B2cLrHwyY z6PK%p)kC@er6LnZkUgdUjw?Nj@Ik)hUQ+8NuE{^?se>>Q(mBLGB5*z{Kp1Nivl)#0 zqHZVxM$UAC;$n9-6B$}4y|F+^TD5l%v!beQrce8~UM;d8+0bEIEYA%M?;h#ewQ>#L zyd~#lv&iY~^V-r~=k_!fz0_~BBDr|mUgJ#0VE$bI88#sk6rCB&j^V3k@hDcVsQ}*D z!w|>!6_Ge;8%IB&s>!g*-;A2y$~Ke>x}%1oidmoGZIxvYKefouhJW_J5a+5!BwN1B zY<+iXMu~v4NbeIWz=dN*xl^;Uks#XDBAcS{_0#4+m;Fp<@XfPAO|n4JWAEET7_s=#Q*M`q?}ww$a#$sT3lVqyd^Y26uz>Rh zA(FLTlx&sOX{2WARGIZ5*&_*3Ro`8?*x8=g-$5O|jVA2>BYO$So~SS$`F+pOF5=0r zZ|ycR-0}IjA>wKq>ermZFpL?M0OW6#ED&K>&1hC0+^3O6wJSA0`1Rhje0WaYzW#!- zq&lxTuRE_KC=Y>B*bQdkJN4^1jQ2?$ht$!#U9OVov2?GzP?rpA6?1bc%6C$Erbipf zSNIhN%g)ZR4B-82jgRFxt1EkdGyS!Iadpk6-he~2>gN^sb2vBp(R4xhJ;<&Ep|c%` zyXYCPy9tx(_q&*u=+3~cK2r^JYgYjor&M&!5@mwzU{-n}2 zYl`O!=M?~8^I~{RTdAj4CL??}yf`Q_3&R9#-S)Y^3h@RUh2UFo_-3BV=+qugN**_` zEy<1yO{wLxXc0W~z5X{u4~Iu~vf`d&ERC$;=bQ=b^?a@Q2}AEdGVIm+ucX{I_h01c zTETJv&&w2q!{!Cu6-xvB6~#A?<#F)Si9&=sHpk0)@skuu)B-`G$eCS)zGim zYKrw%EhwqrgBJU@6vA=fzCP%-9@aIc2S&L2U9_Ir$}XYrWUnQFgAI}|Jh#2WrUHKi zVeN9ZnQKMdNfXlgP0#9YrCeo^?@Lr@D)m91dvGogWHx9^E@^^&M%*smIM9zK)IIb# zHBYg&(cW`)95_0}OMm>B_6C9yS#+`#9gAL^ml~wbHZEHiIEBOZtKTSsf~b|@QhiJ4 zRKn%@8x)-gKFmROe9cR!ftG`sHcHOWLUbSR=OGpy5`U)T#@f!3O6E~~HWE-Ph3J|1 zIPx^xY$kq+=(qd}F?v_j@u^c!y}d`uB6uBcrY0k>H)WZ=$Q`Dymvcw?I&xQDVkQP; zVc8>?|RIn=)W;+y%6s~_j$Z{Umh(Rxv5*U^SD;scq9du;zi{c)?y z$R5+di-0LHpF`pO0LRzGd4c9-!kNxR)lM7dnQz+o{u^BYi05+K(h}!EYm7)hsZ7IdUj}Z_1-KSmm0sd?UZzZ~N^sD<#(Dtr>32 zt|Ee59?n&LIsKzkiCVI70h?#N&|p$Zh&Ei?Vm&~5&GOfjbg{R|=kerDQT65a{^#LC zcnkCJ2hRD2kd4LN`J`-agpeP+Gc%gkM2qk6n3XSj^x}e2cCiV@qZKquW*Zx;=QBe# zrhdtXG`P&lup7nng{*n9iaBdA+!<>kW`f^gyO3LG$w8DM~Dm=YU zKYg8kc_d*|{2P{==N4cwZw1GU$sjFRu0MgFc+)R2KK6=3()bN&@fORjc5>$&?v(i( zfC45E&$zP9U<%OsAi#Lh_|MUgTHp<_s1E;$0o7_FDURxc0TI&W?Pye z+;&&^B`K>HizJi=FQgobgW1Snh|c61*2f-Y^pOfh8Ezbm+~Mq_@FhA5sSPt-a)5-0 z`;CReK)j;G$A43!?76ve{%`&D)X5P)I)Wb8#lWc!+hl`%kHo^<;zivoc7BbytJcyXTK}+mI8@(|y>?Owh;Xmwh2$KvrbqRXL z$!e%BbSLgMq=OiqFhif7e@EsWRB3(nK--98ZkLpyn7k}V~WG)e1 z7K`dt!+L#sKWb9}+c^{g)~9qX;jM4mL!F+$<(Zmh9t=GZz*g`e+Pkh5Zwq?A9M7~| zBu&gUAw7yfTs5m3UK=}nC<=htGP!oF-t)#%>t-L_Nvw93K|#mmR)aPgru!AbAUqFJ zFcidII>cn`!YIXnK=tv-KQE)vvMDT*#q=z*MnB4pJs56Q`|2FEwhqt4sPYFc%ist? zdJOuDUpDok#)~N$P}5OBGsVg}V)_fcyPwJ-Kb-CKR+};z%e%OJ1l{-Sj6kryfT!Ee z@gFc3WPcJ#K$$Pc+WzZ1QnULjjIqjVX~4B+EL%39VN?R3o>eFu@W7fEAgF%8uhLmu z_4QBD@VxmMjMPR*q(Fyi;&58AemM39UZOsmg%CpiGC)Z?=iH~#XyPvyg9RX~vQQj* zz0h`RJl>uhhoPw>noN5l>R zjAytHd9F8BP*&Z<7?+os3opK1ZC`FIo)o$=*k?zc%;x5wzi>HN{BgcAOcuCRI#EEr z5!}w}7>kEr4u8K%thwoav82bJqu<}PP{Gm3-@j*q-%VORy*U7U6gob4)!_@AqsBTj z)O6PG)NKqR&G50@ zwKUIJ==qigT!X?^3#0|2Z&1HMMJ?@j6%CU`-=7&7q%}5U@r{@btq7o_b_?JdnYTNo zzd-DaFr$A9*z%I*ydZl;FF2+8<>rPGDsYe-21m6Dy1Z#s%S8LuH>&!JK!j%W$P5ee z`2w}WJlvAp>cH?CCx~^#X_p6KWUIs7e)$Pk?Q_i+X+~hLKcpaVioe&2EAqC*2mR`O zR$VbsUcD>3?kN_LF7BXyBv{4pko8)U(2Lp(&i1tM67}=NKa$~-f@*`@pU}@)^`~!{ z|2rwz?hE~`UtjF@ckHhVyZhdF+rwFVfd_(CtY|%}>X2cw_#Xk}Z$rh8omr$q5& ziPJ*VeCEN%1C`5g{dxlP#MR4z$Jbc$G2e&Eu?*${O6E^0&Vol}Vd$G>R{~`Z5@k@E z!zeq$Yx`4OUusRTHTQ-?I`2_c`L7(0ryBK9oH9`VL{e)f z^58u&VB3*>`z~tz7pldvC(P!l#{zKS!e*qG7Cv};@uZCt5c#b97EM6z(QnD_j>7nL zQST3}P@05dpaNiMPpNk}e1gc231kd{T1X3>iFYK)Y1PE!V~DP&0gg~3@)`d<+95hJ zd?VhZiF#%BeyV+$aW0-L2+x;I;*ynO59+yaH(uER;q`I?0tVm8M6(BjYEA2|NScfw zP?PC`Y%IcuH#e)Eb>N)&W$1J{x=8V}F)FBaKRjTTo&;Io(2VVBeTcV7+aYI3cRFbL zSb3mFBjz-_HYn!JdgdltgAI9q95^;6)t95%t<{ZhG%uV?qCzycTZhDHWNTT-ndjpY zNv7oa=dC}O-KOU62&CR_ZyJ*iazVMJV?yg23Px8S&j}&EXCLh&~EHy8kjj< z+4f-bFm7AN2NYP3i5^YAy7rrd8Nm+D{M--E9?otKOlbT6^1`1ii6Z ze-wWLeOPz*BeWhht2+-P^MIYEd+s|nGyh?Ksqu9?xP&Qd8JyrT12IiY6P;6ZZY#W) zW+w!R&$7iA5svGuI`muJHmd=D7QdWMv(7n%gcBL7A@Lh}=utJj7$E2Rk7naY%;-WU z(q{|E7oMZ_>yGcE^*xP;*xF8GPe4>&MU-(J#iENPqUUXfB=ze>Wallag4V67>2-#t zOU}KQ4G?k82pCP~4Xx#H&h~oqDttHTg(P$DT+By-tZe^7# zOf_0;bZ$TePS8m|0(=T1B@xS{IOj_jp|dw<0X{=VQX>mt1)|xELLa16wI2 zI8R2J7rOWxHLJ?O*;lQhrv(=}MDRiEQ8NYslXvY3wbTgD5+^HWBwad*iXW3qnNH{y z{N3D}fhEU=dnya41KsfiKTdz{;u22whbu}3D`In|;Ycs&o+S;C5%v_|*m7R6B%1js zU~fiORf9Y0@pWL=jkD_-j%*A{PhvR!Gi6byPxuu>)k-`OWSRpvTnw$)li^ob-RZ!s z5GxI-=(s51e_m&Ap;Qk4er1-l7^}Umgi9Xa_=nRSB$`3-0wpy{R*%+;!!so-jHvcz zVuYRMfG-!vaycH%e}Ubm%m_fWi;0P9&} zHwxH)#~siANn*-Y^RoTL@7P}N#;>ULl4LVHkL>vrUUDnhjVksMEd%#lXhqO{wK@6C z>{yz@V_$gR!Q+7=JV&j|xvbxc=1%`KIV@xDpN*9IQGw++7wn5C)xD%mSvM`~W;dsx zeclAP-mmv?21Oh~mfv5Go9f*KMU9S|438S*?Qy#mox#graERE7D@fDA~CwNq2XURLE$Fcoy=d)P*av`2u@<>GS9|;&YK@*FW3Jb09t=` zyfA7sp`Xugke>VkHxhOPC;n~9oE*+@9mc%s$OHTE+kTu)}stg~v~Kc&*d zz(=g;_>&`R)3515Al5nI!tWP5J4`$IFssA0NAnC|<0JELJOmrPzLJZ+0-BbrXz8*#C@-VSnS=iiInFChc;k{>oVB3v zB?Y1mzbn+(`^$hao2q(#wCpv-;Hrx!K*toQ3Vo$l5P^lLhL@S~P04DRrSrf<1!x;} zwquqX7W}#3tFl?Leq@r^F6b_kXTLLXWHh}5ozYZC1m{Ny)XjWDYJ^rhcLe|R0o=T} z5Uc~&EtWdsM^v&1b>y${hiq(Q1c_A2#d6`ax@esl$gkMzQ2!=?EJeAOU({P|F#IoS z2{c6U#6a1C7WV$2QNq~HMz`{MqtAAIgHdfNf*@)kJA<1iVm!4gGYQkh|@{dx?m^z!62wa9sY z5L@L;Ta!_^p;R$Mgh|;ZOk#r#yc!K%$T0?8kn!1Xb>>@SUQOqihDd#%E=0o!Kz;LS2>@`6I z`mr#LpMT!aqv$tE$vE#QCib4kwE3Zx><%k0GpkIQ$CZDxyA`C1So1kQN>g%_hkr;? zMv-!R?Kmd+oU%T57RV;kIHp6OoicHYUge34$$pSd567f20})Dqk2vK8P=wNX{bKqR zqjWF-@n@}t3K(zK`+fdxzbO}n*{@b_D1Q@|}QkP{zvkjoxFCtRn>JLIS=pt5e!qpS0ZNr*4LFpKza^ zt6^DtyQEW=&iQexU-*`BWjypq@kU8%#0nE%_la_N@EE${Wb+UeDI-HDmTOiBC+Jza zu5`d8zKeKkvW+~DecSAm5e%lTi+E-rC^BQn7uA}_B5u<0WG7P;i#LM2`X%-n{Ub}g zF>0Sb(uSdU^xmMw2wlZx!j{tf1N+VoA^wfI7jB$Qo@^DSg@`>;&xC;M&3y8KJhwmGHc6^=@z;!|ooi zEs4+Fvpn88ModnBMaV96O%0DdC82xzT?yYFZ}2;?7wFB(%J#RGtvdFaJ0h3=&Y%TCpb*hq&6*bEqgzT*sfeYIt_-S72pZ#qO zi8T@T{egyGH9t`y4C?W(3kq2+=?#sO(76&>r`j!YM#5WnuT4@{%s$_(oP?QY3!k)# z>KS^RUp62oIcNep7CaTkY9MgbH$+TAFD2W>G5K4xCUwe2_L;2Art)v9q{qYgI~f1e zwnHN5eEkR2F2e?Y=DDZ{-pi2wt8#8)Q|nYYlF1apoA~yz>qACwg2xbY>5ycApd-^4 zADP;3*S*8Kd*K%@6rX?p7P%#d4R+A1q31y7F#WTOX#Vn*EFgZ0u}j5;()16?7>m|G z15$u^iW9n=H4**T58*qqz|HgLMm&hoV5|v+X%B$GDKH|WQNTRW(IsvfR%O(-NvT$#6}%f?!UzYOF8_$nPy&2 zO6NsEmtKL}`22GeI73Pu(!ER_m3@g@Ckmy=rP1wDdcq|(bOZ#bbrV!0Q*TZ0vAb6) z$sI%`L*@TJ?K>qInW%mHU2-pb>xb6U+R;20m?=s;y~L-nIss*oi~84hui;#FwSLe> z<=;-T=3^y6DyH_m___PdR9bL$$;{6jrOk6UiK7|~s~n1XLa?O7W>sOCecR)0yS|rY z`rWJ2;kSGjNe$hiTlZp(C%oB{+VK1Gzq)x5Bg;3)E=|&6DL1yF5Y;8#un+R_2%o(A z?*BomN&cW1KYSNv7vm=Xnvg34i&F!R6Y(PnFAxdfK-%d;*uQ0;=nobb^k*Cqs!@uA zG(xvP2CW`JTcWqgb6IW8m01z!flLywhcYi0$9v6J-7yzY61F`-a6<7omz%u8M119X zIa}f`nlBF9TZ*_$Vw$WP3onM))! zNB3#7gGY{sz4cILoVOiVKbh;V_}GH+AB=AePN$Z_kzNXzr!ZQm0|aXLv&$~am;3`I zZr7Y8QtG`;4$w|};TD5YU^GAppeJ20=>goPv+#X59eU<2Chg;%F9_o} zx-BI)yHh_+)KeeQHIY`vWsSysn*QELb7bD+>%Y~8*iGI!&|Oe+CG&8%-?8^S$VD;v zmqQ;(Jq6+?_!cL2UpAf3bJ7E@@Lwi9cmu`Spc>f`Q8NU3E~PV@R<9Z}B{p7q+IK(n zbl-&qx4ORtu3v+ekm58b4&r`aS;c4wK2z1wc|hYB2V#7BqC*8J9q*@f{B4kMSxM&93e8<(+(W3b9{1wSf<~cqy(ydX& zcTuk2{EMVbm^J%i6IbB;k}i1- zAqW?g4_uNul6$(;h4-e47;H6FTL}P+U-}imT?YMraUlB=SfKKh!Yh{_7PZ#%kwSH9 zli;tZ1Ag#p5=g1+QnzL?VEz(pZrBVfR3~Cffo@`8CMw`Fiyl+$IIDPqvCqOl^(EVv z1-%QzvMz|>;{rK}gf{3`Wz7Q&`GNb@ga%i_-B$aarJCa};rED3eeT}b5T+{v0FP4_ z{v`2&m@ai{#bqw}(sh-r3{^f@ELTVLx&R!@D{ zl=k9_3B~n#c!A+5;zhjgL_ZGmLZRB}`s-A6eY4R~MKFB|p`NK`x)549(kSM`o0K6f z^v0XQJ~d!7(4;h#*wHRk7*noy(3d&rq^0Ma8I1w$a^K#!{YTJ7dHKrjA}{bPtC2kG zEje7OXt8hsNedikvbf<8A)EL(cH}`pn~UhWMgin9OOQ>fsyeZ+W8J&aw*ulWe6a^V z!?bS{bn1Ngb6#ITr*iLX)_guNw`;x@Gu{7o;j^K(DBU_EuSagE<@a`3aJqk*?7Au#U3RRl&cEt6~*HHWAcp9EG9OORfz_%}cc?mk`M z8!su8SmuGkFQ5C1iN7y;)|X`kUG$~holo6Aj>UqNV}>28V|Fk7&H6?JmXBnZsRkfj ze0U)}Ek)q27}Bsz3ETvZn4C!?PPUoj!4SmrEpjwG%#$hF>5o|g@AWMVpBPs&RCh0g zLUbQx0xvvsu+!>HlDSVwHdCSbT@1WB{iR#lSd<*-w+-7^%%u4}hwzHzG|zw>?{Iq= z?n;b8C$B7zKY*mKbVjKUoO_d978{w``uPKXw2%c*att+sF7^e$G?ui)IyWvX)=F*? z1n<}Z{WnIk;t}1~-pY%=6k%u8{5$HSjuieuw^h8_)o4-ByrC|BnM?^>S(g5|hj`~+ z!WG=T7L*nJ@?V}c!i(KB=|F}szBu^jCqpFU4>M_)2F@5hDhB)rtL}8DVn|FKV{#4Qm?( zyypwg#5WV{EkdrJHlE5*rp2e7Gm)dh z5|_f%wxfk|GUumrBM5Ut0_3O!s9@=>zI;^jysJ zG`zvrQI^KE%^^W;$OyV_E#Dpm;sH|hVHn%-PIUcedHu3w(SX40tGZf} zVQ;wU-zYETLQ;lN36ny?lFFHJ_&;-79gb(2;?OCB`z%xO z%wXtnkD@-4MYwekY6kOz$1-oZCvJJ8qF6M$e#k|T`4DtKNjTQ#5Yoip5XKxGvg?Ks z_ky43sNJLFL?65}_v9O~`6iu9cC~Od%Pj;Yz#d?G!bg7Neo}bLowT)KL6C|5*UA$u zvt*!d>K^AF@1B5zZO^&W-o8*0`^BW;uZkqmyv;D{JYkdi<7Romt?#2wdRtuL;~T73@j4Bsj*om!q(L#Pz1sXg-*&e5 zo7m`0x*%t8|FgG6xU|fOBo*;qjg-y6Q~zmdLXhO=Z4SjgI`jJn673gsizWFa;7%== zc_zQg=XC#rWfoo`luXa|w&csrw&B~DE?>n1>CXDEb311bSZ9aZnq#--&xySwWp)kL zdsvE0WjO^8$*S6|U8@dcQ;3N9tHVNDP1akQeFP!rekPIDh35q@Wc1`K-&v68<1l{@ ze?wM8M7jAcB+RFf(#)hdDC#a6;>f3|{c@ME&pa6YK`Z$&-PKW&T5?5M92L zL85BVInFHz`k+>#N4BnfgP>&o3HEtvyV}+mORTtyd1v+gUk#c%Yd^pQIKd4tGGekR zrEqP|m4$u#w88-jph8kMgTc3nKGZvOfNP2Ok=lVn$%vx}i|+YmI1z#kHzWp^vM{`Z z$#%&aM?BO}&8mN-g zVyaD4F~(GsW5Ucx#ikj~{fDrSz>5i7YV8M%-%LY2PD|c3jQJS|C`%17wioewOO!!f zKWs|iCVmJ%;n?OfAIjmP8B-?>1u#eVOTBNVe#sCugQjAZ3S^E&Ld>p+o)ELrH!a!0 z$#I9Go7a)jpMFzUSjWwYd}VoJ2bX{TI##y}g6wghlj~OJysyb5H(Ng_L6=SNC}@M> z;g@Sryr~<_vN`WHoAN(#Y1;%cRGLp_N-Q^X+bl5Dh6tvJ>*9XxSvZ7zM`4;~zQy2j zjJG-(q{7PZK-pvs#%~h+K*yzssb?!tv-G3dgw_oqyW#yw$}mlwqJHSD52W^Ga$EP{(+LHv>Jprnw7SQtM+#h9Lsa)1NGz z`|mLu>;!LfL;#wL2ms9_O5q*k1aNrvpaclv_8G@`-Tc!OdBM7S(B|Xz#%>SFeK=cA z;S|{NfVABN;7?9H7pm=Zo{X9s{7j>^X}cu7e9U~EOl>q*FRGvHZASON*O}}rV6%U8 z#yc+cWj28Be#tQTn~8!szI#v7OrOaS}#>-8+9saISf&EJ+7*D$=a5a z9SrQjX19KLdF_cRGwACMbMP2o$06*A2jD|K4d^7}k}&0B-50r$|$xmDE-+KHLD7 zbFX4zaPe}j*(?#~<`lJoZ=QQdTN#+h)0y`{$iLKxIOtN&uW*auLE5*Pp92MD1$yc* zI59DK@1t(^>|2g}MptT6;S@|C%(z9X`O%-fv^kie1{#Mo^r1I^jWXx9y8but8@)cO zfS4o?!@spyhJcb$lDbLvP1Q6!{rl=f=Ta=*y@{Kuqw2}QnGdVIcdz<8UZR3VP7fBH ztFFQxR}x7~`eFdVyF4qm^x(X($`QA|>e0a|=tww!W|FA=wWznPwfCUp zafjTB?U^4q156xIFW)&*xX7;H2422-lTIXql#+;8I`xcrGRuQ&bky3Tw(q6P+II~> zeJy}x=f@?Mm<#51RmmXzHxUeB18oU|N0F+I6C|~#_kL{L_5#B9YSMluU;(Wul>nzW2OG9mOj+4#shz7p1yCyyzX27%R>FD;`zwV@+ zowm+o)yXDROFRc8#^r(SFX$Tqg@xJkAysV!*RGqYkNSX<22j<>+djr&RnptBk>zFO zjs8t1V03pca{NZ7nK~OrKvWp6Y$~M-;Q;Vm|Mx8-u9k$`x9`*6brh`sIUxBG^5LS? zA*6;1NxekK4SfelqOUZ>IWv^J9+fC%MMua}UlF4QO$k~i$mtf3Q$Zn$ZR8#Uu<#5e z$ou&iwcxKy0rKL^1tf;FIfu4eg0^oKT~g?B(??xKKEa2xYy9{K{#6tTtwP3R*0)F} z)R;FtNG}lhG(?BZIVoR%TZYs=L4VFTq!4UO?iQ+6;-?mGp?mp5jTK37uaG$Ilo7h< z`WQ?z=?(4^vHVU)T4zB+89P$k8qvd~Za{x$H>Hbehcona2OryQRT2PK)t$laBGrq3 z3U}DVfR7DcSR_$+u;pthZOW&4fMtIDmD5)eusp`7i4OyEtJ>p@?@OanUri0|dk@uC zyo1lrxVGu~;Sl3!<00$qdA}s#v0x_z7Y!dl0oPg@CF%nnYY7lr)2u{jY4ak;pF_D{ zw=vc9dR1FIx#A|(XaLRsbo?oSV`0$M>$ZV5aL`hnsI(F$BnylonAXqWU%y>epj#;| zk;R~?K-Qx_+-(h}9}>1vSjy~Sqm+em@d{qs&XSKNxi|i_`MacEQ)6r`h*FlnxBvN8 zW*IrDViKV=ZX8Ry;@+mA!nSgT<4Ov+VqZJ5Se`MWv;VQTl;ik`eR|ct^UR0&-)M?P zC+M}_^CoTh{y?XD5tq?7c1JkDA}sm82UkN{D3pOhF*h`xCQvLeAXGHo6y1%{q@Ped z?mMgGmqEWMSQ%KPVIB&!JOMmw)iLj;V%D{kq1C(NJWENSjVJezR|G@OFjk&(h zIXQcI56|rvSN2+4k?5zW&P<@pahhqG$2Am5L~M(AX@~o~sai0hYqN;O`7pOjF`aAd ztM7CM-ccT2d6iGl%$?{~$yWO3gI>8>#Bdj7DV{jCrMT;F`BTw}P23X&Qmg;e!ixIU zt^)(;lA&Zc|D}CqV;o+^kfr}^hY=1x%(pB-Ae@BdO^nVqWB0y!|8!&zLus^+yaiGx=5TsVFsnTTf ztL9R0-&2_~$4C2O@g$eI*w$(BtZ}lkUDc|ELrcwDY2oG~NtLXusf!%rZ?&(UTi8gX;|w=6M$pL|VSM;~54L7ftR5*=wX%8`Zmq z`HyX^pL}6XIzw(ed?E+eFj`raZ&ip^gWeOIs4j>E+*duu?Jl-}4`qE3k3WxZ;_22( zf1lfVR#jFyMo}ZO{w~C+_KReB40Vnp32>B*hXl)uNt&ege%gnC+Jj zAE37~;-Wu$zx>{&>+3XK#mt$dr+94D8=A6ce$?7DiKW;=21O3ympbn3 zK;%dL2*X+|jacYsE0jCcOmWRnq{3>H!nyx(-Dm>{hJ&2 z>U6VC8q$%5ul=R^$7Z?0{z7%z+qtak^`TTbF2L)L4UXC?oh0M?ZvEiw#{tR4M6ByT z)il4WcIn=(QtJt>%VNX5^LXy71aetu16aAE%?lDI(8~TmK9YL!#cViBu6?ABSB?K1$bG-7{E4$qf-MAPVA zEDx?*MzaTu8T4)2`}K=^~qc|jb>b=2kfU&l>uu2z`q27E<8mZ z*$)=-n>LGmFqZi>SF(*pe|XiKz^v;F*Uf0K@WM}s!E_*C4@^;KZWady0`26 zOV6Tp{E5kPQjJxNdEIYH{!Z*wxqfo0Udr{xsXR7(z z1?r;iHC_dx7=bbqnLbl8f)WZ1nXY+h;OJTm4Itg8E+lrkzz64h*Ak`#Ghpk@O$b)JqWj?L8-JZ~g=FjMeH zmQO6-SVGn2yE7e()HYyl5P0;y$bXpZl6L?IMC>7k%=YY9JTCsvxM0U~l__#(^BP_) znpE&_yZ3|D?m!e%sndICldP<4_zb*A1{am(>cHJftz#f=`DUeP8AyH9Z1}i#r=+NI zz1USI<44SUTsR<$i*Wj_V&M&ksL|py{o*|bhm}*?>xTi;qgvEi-AOokdYfD4g3VIq z$f&2~{DW{l+Jc)m!2N8w@B-cEAihUi-qw+C3QGsQ6|qruQ~lURU@5fPe&xcq>c!ox zB4hpt8E(bop$8--Qcx#h6e#A)_KG36cTA@N?)z}+1WD8sF+aL5WTL^$ph5X-6k>)K z*X-)3IOxnr`Gf~zu*LwZ z`#3|Uwg%CI^h;A#}cJ@e1GzvP!8je8;vy8nzV=WB#GARLlK;7yyu@NK9?benYQWI84b zH0tpOF!gVG(zcC-^pHG2wI&45Mr%s&J6Q7j1Do-&_HI{YcA+sR_;LGok+qt%Gq+C|Gyag=hQ*rCfe@H$bKsK+^ zcAO>bi>B~STX#0_j`#Q?J>6beN;Hj>M}p5fettW`8uSdu?+zi_%T3EzXNtR@@D9?$0-i6?C}hEqAG6xUO2J$v2#Z zcqcAKHRvq8+Tw$w)Mhlu6X)EU;}N2N%ruwErgsjR1`Ka8>Lk`LdyxNwKX8t`K*6QA zJjJF&BegwHRGsOed~-eJ@wtSvUE1>%+kSe(K|bCk)H%~$TRd)%4bR9gsG_zofmStC zY%1H5u!zZZW>;>r63ux{e{t0WitR2ggA+`z-DeSO*IQ+X&Pcf#4gT1w8rORcaclGR zKui+myoOmhHEDsCbnbO#w8wQ%)xYds6i>L9TjWwKMhlK)3Hc;51{D_?;ZZV)bCT+n z+M{B`M>gnvr_1f#3wL_Cv8$$TI_huXWUjC%R|%+#`UZEtrYs-G8%kn>5A;$Eg1B-90+EvdO?LzBo0HhG zBPVruWH;Gr%J{%UzUwjQdGOH}X>ZhjlwSAT#(RkIB?f{VCpF}Tmm5QTeHOd*)d}r! z%=iO?p&vt!617Vjm!Qtw=G218<1a8bb)2VZDC3N4GTS8rx!s6ZQqdt)+=; z<02%$k4O+Yj^&eUdrH{<&E<4UUW`vjAmB~rw(nk+sGHwM8zNNdeDa{v@EUT4E~WYV z!x-%MxJAfO*YTVhx6H2^b=22eJ+XpMeQkVJE$Kk*@P0CyvLG zXOdO6Fl=<{E;=w3KNI<4ja&!{N@`$@;fKY-s{fdIdxficG3#g{sLOX)^7|dqhh)5Q z<~^@l7ii23(rm(K2idv-)XvpkmtkvJdsB_01Aw^YCg$|}^tTN+Ev%9r16!rrm_p~L z2Bz-79|a0uLf!$plN(WkPRu`qSz zfh76mV~tB|-VBac>4h9&gHK}AAj#AD;6=UbGZ&x=)ubzp1tgjQ)C$OymcBI$8~#u| zcS&toFdXI4OKz(0)t!f#F%52_QnRq2ls$>VG}4{PwUV88FPaGcWvVlxaNBFG{qbS2 zp-VNvR%d@=w$XmTdVPyEozKm`b?i}}QKP_Os;SeWJD9|LE3RzxA|`49ptFlre|A!% z&1o7yrKnvraf4A(qfpVoVO4*c$XCHrbamo8H=?jw?|MgP8x=JxneZp0gS5)l?L66j z(Q3FTi}3zTv_U`n^tJ@a`ri7?{!k%9>?{pzSKOiPIkoNZfD=N}XvY%y&qMp^U$TPC zijl|Q18u~+z5dqq$#kuWtJ9!3ZIr8-kGEqP(sM8!T(MwJtWzf>lfPf3(K~!{djzqc zX9ut7 zV&LUYdF^xY1 z4vVM|rLDy8{md!$5=5ejsmRv)y}#05&XYx)@?XcHF~^1(;`zV`%RFvjqz0ZIxZl#H zq=xz!ci1mVpp!vkOZ$v-Kla85NHt!`%s`4aeg2NQvUxukdVSOkjHJX(^dQ1K?#8Un z>Ekz+n(po*!o-T(J#>IPg>p)S48(-LNikp`0f*FXe^g@=?}K}}pF*DpxGmUHcMYk6 zmIzipFdyXa23SLo!=N8-uBIi=5fqHN-7f(kTGHqldaS&X#<0Fu=$+&fba9r}xV zr*pU(wP`iHL0P1_Fn7oK!i%?f@QQ3gTf_hEt{qA3oD6NX;~ud$z1SwN0H}m$k*o=P z&X}24=T{(I^6n&~kjuLTK}6rUz+TN4b;Hcz)O_|Ztlc@8O3iU) z+kmF<+|4jbFV{G|Ze+Gjp8B;N5!aF?xaD^hhERgY(Q%9WN4U zw8(3wqWO|*kSKyWOVPLGLc-x2tJ&tDzg2L|J_iwgho@ir6AJe3en;Ph)YY^pU92=j=oLhqw+^kwF;#te#aYAnJ>4gq z;#NfE4i}bqnkbQR&iXBE#!y&KGT(gWXl3QS`jXlIg&KpQoOK++zv6%0ALgg-liP30QuzVo}rn+DGm08~-V9Q*LZ*{08ph{I$~1ypdQMPQW40N>a{f+e%k- zA<`e2bJ##FG_ku2e!lG-T)rqhKv#NR<2a{Wu-LyX4bKpgQ2o_sy|spB)wG9~@-k)p zrWh5GQI*CzyLPpddSsq6TC-%CPMDG_io#V&GP0RRe#~jqFmQ)oNx-;5UukLG-*}k2 zgf7TY2NfC5WKo>O+_!j7&pmDswd$0xilgAvV{FiO5(i>6S@^68jE6Scl{W}-Eu8nh z#bmLm%ntbG$B_q@NmP;1&3aXD*m3^Mc_6L$vs*-CCs~fU^UH$jU`nyfe7}A;{nrD} z%*yh~YQk}~nicrFRg=PTtqNiN3!;LwW;wwE;BsK)j+Z;T_1A#abv?c-0q;6xDrnB+ zFCl7rkr7t;IRWcABywpM=&b*PCAety2J%GI?^5SptVZ3>VO^Dqdyjr~ehb)X=f8|0 zElbTdSmrt1A+fh?Grx*dm9KguRbuysrZ4eWuBtgpM$H8j)^R+pTK?sKlv`irX`<$i zg>Qoz<$vz0naS4e_fPAT0Httr$y$~#g)vB~n>4#1(5qAS`k5WXzW808o^{w4Ung9C z5=O6MhbX*#9gK~FtBal5itmjx8?xHNVOv@e|B7bY#enUHyM-1j;7%iOU zUZB`Fe)@j?H)BbODE&K3az{2v+!X0$fkbJUfRK6L%09WLeo8CjPw1HZQ8|6W@f(<3F0v~vGecmj3jPI3#cdfIa%_<=V+OU zV$v09KU^f*TWV4DEk_S{H;9aw3%;lm`DCQIsDa3RWY@yiILtx%rK3!DL-Wg1$nB#i zDIBc|i$hMFG{L1aNu@dP(_2W6+{iHY!x$@ziHNHx-`sf1K+H|DkXc*J4d(;9{f$6V z00Y97G$<1Zf8EpWQYA^ocVCS)7Suw43kyZB& z&-mk$wRhDSiM??xtkt|0>-w{o6P#y&u4u>af8)Lp^4Z{%E8c-U47R@w4HeGJ{isAo zO@o88bq)(c-CG3rf+2(5|)Ya&DS?*UG1)I%s z7`-8*Y2VbfE|tyvs++UPn)B(Wb=8Ih22+&_?Y3^|`PKOh$k%OBMa>pW8e(Mj2Zj2O52 zD|k>qe#P1Pk<}7W8~@m2aO9;&*Di;Lag_PM)R*wHHC5FNx0xlrGtQla=%*r2gNzu3 z=~K?SdEeo$?f3IUMFtQUtj}y@9v5V9Qd9&8SRQhI@Lr!4SuztS0?7mI-XVfYAh>I6 zUej7Q0ZNw8dEL17(ifh*SYAVhmtfiluC~Pr8Y1l5@Huf=Q$jZU9sZXuVJV096G*AJ zo!c6w-zX!C>}#6|0UJCY`;$cTB&mW(V;Q9h_l=6w0 zc~qj6*9KIS? z{?4*3dE3GI3%>kK$i(|c-&)CHl$0?n=qV>((WsUlo6x(fF%Akko;FjeUeRj3l z_0RX%E=i}=O}e-7RkKeSbYAgC1~1Xf5g(*Al*H`u5|2+!4?+Iuq1mRtFA#+>+Ljik zPNr~>=qOuagXX5PG;`7Q%~g?Ynth6ahv`e$HLmSR6Ywc{o66FMeMMmNc}m2P*>sbWTS60ld>#G8_&MlXrnnKppmX;I!hQ`elO1 z4lLO;LNwrUeX8znRf-C-=Xze!3_h!Z#=-GIU z-{VCrKXPfg*tsad^3^mI6+Wnd-Uo`aQq!|C^`aLjPn;D2Q3-t*@!D%Q)g51RvDb0< zdBLh|Zn|P$O#Fn9131ro2Qb}WU~^i0g&sGfSRsL+f3t7J=csCI&8;6nHYs-HtV~;I zkTWd@42sLvM*L}}CJ~asGXfKxLA>YLxSULAT&|Hu3Q6(!CAd*D%O}2T#KY*+SmW2W z4mVdtbiuu4Mttk;RCJoQ zX6vnlw!TlDroYH%57-wc%Oz)tEKg!|Ua7xk@i^{8x<8@rq(*h`VL!iYQ&Uzc{%~4@ zrBqT>zV6eK%@)!aM$w@4JRqe6@bBMvX#x?hhz21Y);aNb;~OQO(tBVR1k z;L-rTNI+4S_AZ~aVXE8jqD7PEJHq5as1*Lgx#?{?H*A{4u@+(TTt$ug5%ne-|DJI* zryS-)vDw-m?+!Oh8H_{C;b~p#_Lh0-fTiy+H*a|z;N>geqs!h%qV`-EGH-Qdq_E=h z(;LH|al_Gp)<+>k^`3vw9qOaI%T1~#$6png1?YS&i85c=Bf-dV*H>myM}N)RqZk;$ zq+HdM$;Q6`PUscw*@6>&<_4h5A%fXj5s3mp?tLCb<26?uJp-@$4{htII!#CA57MLZ zzW~)Ok>ljeBZq#8~QVGSRw@t9NRD>VTb@6 z^y@LlsRUI`AdZJ98yr7=3mk757HS_Jd`ERN=NlRIC~OPX7Ta~`NuZKCb)#DRfzqqj z$To6>4w$cII3Vg?X6K`ma9hH+AaUQy6H|+7!;)dP9p0YCg?j2zW^vC%48**9xgPmJ$_b(Q@F~#T5cuKO z7jrC%WyGL9m8Xn@kj>OSX%?1>9?ljn+gwINPUn2*Ww|i2o|Kc9_FF`t(PVn#6b1~a zaG-BW2`2d(wc>RQX$yvE+uUy7W0KtZHd91v)IL|bCB4fqHGv7QzLtD~ z`a6yaIV|gUCog(8>y=}6nO95>iuiJ5#=kH5XP8*hf^@F<_04}SJ^dXqxZ8+*;4r^A z$`bb#ygO;BnH$CgAjV;3G-jM?dTA%i*C?5yT<_)%r;D76FT{lpt1reNCbl`X$D;+V zwaj_$g}P^2OJqN9=6+a3gZi#_$fbAw3^Cca?2fdbC%>X@(DwY1-;_1e7)Xr@79f^E za)^4qdH$Yp`kGNEE!@vAm8nHEZ*oP(sS6r0vV5haJ+|Tl@VxZaB+UE?oN1-VVj=MQ zW)xTN7y%M=(ga6m&Oy$o=LcA-M~|`6+v&9syKTYyRhEWWkmGmKRRTzU;j#b=J>(hW zEhhIsd6Ft9r34kwIk>LI$q=tizThv~D2pyR>ggq{zYkpi`i>bf4bJvC?1ho=yWBSK zp9=h)7{w@i%^mi7;YJ7eC(&7^Nimng>cV0IGjmRLVc!s z2T8b?WjW-{g(-1n1p&`b`N@I#jCLv7;NcZu*VEK(%LVt}2?%o= z$z$wuZ2RmG-W~}XI`3~?7e~Y)*q4A{{ysTB;E<<_0w%$9d#3y+htHnyox?wHslHML ziwl`@54+AuwPB!i1q}BoeSQwKad!11n)?p!!YIo~Rm3Feg>O+>D~dP~sSMC#_TWSA zn3C4R5-@j{$79Amj1G=t2o;$z**lMA=#ri3u*Q3-hxfU>qk0OJ&_CkdW8n$!#D0v3 zhP(^-^#GVC{p~-bA=LiyTzOb|M0w=dWe$@J>03K8UCb=&l}ZBWSGf!jLTneBefqwf zDNa#tbA;mJ+P+-J^IKI_%+|1taMI!*<+9|qm|qv%?DGr)20j}5xpyo z*1v#!swO%K_d_6dlbIbR3_T~1UQLo=K#$ciB6$|Df91f%Y~ZI~_vI>QU?ZaDNS({> zf{;ui420d-|jhkUSn-SdFc=9)=11bYXzxWYY zil*Dy$|_G3$V&3LhQDtlpmYqzo*miPL;7#M8}6y5a$pLokVzaRwJ-=pDgTxki%Yc{ zjfn1l*6mSqB>66_lc}lK2)=DMQm`V?CGcFg=Wr{Svyld}CYCCRh8{%4TbK-hH zcs{HL-ebYejqlk(`TuWrP$qmJXIX9DTJGHE)9}1z=oF}UE0^Mmf0&BrOtU*=Q>8#T zQp+=RQ!6UeP~$)ZodAvaQBpO)-La>3=1bY$wvSsGvBdSw`h@5o;~=s@`^7CV3QJLk zpKv(`{33GJsAp!(&Ixw?WeXYTn6fnRnaATc*V=qxvMzf#CVv0MIpeuMNTv6VJezH6vs5Jsktj=Q&QTKiA!PKl>~V}1wD$yl^AjZ-Zr zAZUw}>i=OTyM}9Lz;YX{%A5&aKT{n_DXma;rnFW<)hh4+vyeW)m4s^Ta083i3=1H? zQcr55C)nk*BwDLM4nz8tEAz)LCGB5umos0Zfv4E4d*)n6e&U7@oS}fnK;P_uoa^*WG z*;7X`EB^lVD`TBI^+fgshj$0-y$^xC%khfFw?7c&?@#-q)772;qoYeAr zD8QTs;m|=Q0Z{8rRf=uS8st_3`OukAFPia|B(@bRM^*2o*$_Q{*nh7brZMBxQ%{F7f>0031*E%Uj~8=ZYj zJ{NDE5?qxZ<_yi-AAMKXh}ko*fqe?X`Opg=$c^sZ#Lju~$<~;G?U!XnR8w)QP+^+R zuhyFOp3Ch(4dE%X6#e~*&!c(pk!fAcBP|EtFlo1Y@gvy%)D~EK-qwed3K&)zQiCf9 zOHE<*EROeqKYB1PUiSrKnjV0UUY5|9Y=K9p8ai>JI;BhOy~93OGPzd<26lLb}YQ8U$Ne~Mq~Q4I{@ zEo6PylQll4V`*D7qflj+ow74zHSQ+%sz;_9+M4vPRiS#PEW7%itO>fEH&;-TOt{rq zk8iq(+@dZ6~E zA?kE@KMto(-o|Ki7akKTb`(;6^nryEqX*MQhGhb#S|*Tg0wZ)+Z{gLyP<{yQBD=+a z1+Lk>5@Wc8eHKO+2+;NA-M^}v&6k^!kJvnqGE{*zomQO+nR3p|4t}{!;GOPuaFXPM zd_tvKTH=+6s}d4!#pc6l#ra*CR0R6h6y~RkNu@O{sM}1sD3~wkM)Fpibr|+p26C8j zm=8x2gopbs8~Rzx;_tkoHoS#9Oy()9{pL-XE=}+s!v@}ut2SFa$^Z6mVD}5Ri1ORx zc{vh(x1WoZdh>PWlQept=JLT_u4e`M>)^j9vC~%Ejy%g58;D)%;> zl=?|%zG@8V!u>>a>mA@P7Zz^ma9hs&)LhX((GIr`ZA11$J6}{r87UAE^02Zzapvfz z2ctx+z)~t; zm~3;p;9U`ES*z1aP*qd$YYI1;VlM7i`Qn-GHkK987+@5llb~U@Ogpl0?1Ell}>B02X zxtZ$#m_L@39JLX+!||09@se-nP>PJtkt48<+j`Z_lkdqiM`z)EVy+8zE-Wu@44IPM z6A{qZ=L66cS}i}de|cO`*W#P=GuTu)UQift(=z+NxO%IoHXEo-yLj;e#fm${r8pr4 zin~K`E5+TdKykMM#jUuzyF+ky4IW$rOy2qawPyY~%1I8NCo6mJ`@SyI7B=+e1a(!@ zZ@_l9X7hUf9;Aqqa$3 zMrGPw)7jV1+LZE0EvP4kD`XfZ{{BcP9Az7CAqkJ+YvIKz6yN~3QN6Jjv1AD6P=pNp z8F8+&h_S_c=D3|!ge7HTg9E2tGBLS5KvkY?DO_ytJ8eZ;T25lUOiv2WK_kr)zyqI{ z6cTmNHDCp@1Xq1qTZ{umG&FTLx}@6(Vsq5j6UwBRe}Ftdu{sv+c{E7XRp>-mb1@{i z4rfByG%nEw=KbWoF~9RmYe;IU{Fzi}!iG0%0ooA3NwA&EPFYtsTNBW~>#S^V z%XJL_x(%+iEXjQQO-(PGMX_FYx8a+*B=(u?^K~ml8!fggo?W4nFZOt6fvqkYvGlBS z`3vA%B8gv}{ z+4(BVt$%V;e%=nZYB{X_>&MKaXI+0&@ON^h1S{X-lj5xkVj8(V72~_71G+ZLiZ|zJ-ocw6!B>h^R~|op|nM zgZqT0#`k_yv>xj$$7t|o9cPz-2{CQkT`mSa_`C_C)3g0znTQN;1&>$rN|0lZOGN6t z$`+PZyqYD*Cnc5yb6kQ#PFn34NxXm2v709XO90=I{Y7ovEIA z$Bn30qw7SDD7jSPD%1f>h`o3g}L9Q_HuoSNGcRv8f>KU#iMYT4mnQs6) zK{h2nk-=2*H`!1+Bc9u!k_D6pZbA#{BytooMOk$5K>`7Nd1_qiE`gs0K|Rv_|4fS5kp=%Wwk%W( zs%Pm$xX3XWE=;+!;K1F&gP4&GZ30A-*)1sOb>H6tbd2y9jb8`qP|Aq-wrb_*FTr1h zy-zodC|tRDiz#f7O!c|wUfuzTY_yT+QM46aSYE%bO7l|73<1O+Jb5*Nk(M0x1qQf* z%~L(u67i3Ly}EGbjFxPb583rm9cp%op%@S|OAxj{ zNh`-X7y^iK(Xz|f*c;q}otj9dn!4Zpn;@7pXvmw&CTVKC)S z5sYWg7`q%kdE+Z?8Ua);u5*lWRA@yj&E+3}kC)7ozE7;}`^1p_uvLX@o6dDPb1VKYPw?hT4^cL%l<= zUagAV_PpD75h~yD>5F=mBH6s{Fyk!FBJmFjwX$Gf*=|Ab@$}{aKcL(%ziwrtBRw?s zZrOYZu_1c%*rEA$&G-0bLY`qZ6J*Z+$rokG^;lYV@$guKPGwb0jCk?Z3gANEyP9lvjoG0Jwi(wXu0b6}91tZWjz_iIQY}M- zXZM9};+HuWFK58~nI(3R$6^nG_vUnNSTvRA2j9sfssPY0`7bu&Sv1a5DN~=9YlsDY zXSg`Kb8vJ66CV$e4y6wjhTXtb-0c!gyY2+4NGRPMlbw&_10Bs?fhU2{^n4{nD;HL5 zuSi`6%G%>3nL{I*E>Z-5hLePg@U<9y5^u?JNicCHU>OZmBi^yi?#0n5k|5ZJ)J5yD zpYSqOQ%o^_=lJ{XSLET`mUM^X?b_QG;-X|W)oXa_gb|MRjo1@Lxv57)cAXvarM3DLZ!(j~Q01n%C@EZLn<^i~TNz$I*)$If} z-R}$(NCg1Tu}>x6Bqx6#nhv5kc3F%spXx!Imk1BtJuIZSrpo837 z%K`KKIM>2GR#|**pB%^me;(gEGYw9d@3YSaO;F5*1_9O6vdmrHLhnx!LfNMqBr50I zqBk_Un*EMBi$V>KULyEF9$g*`)GrVH=f4V#n0#F#akOPwrVu3E6z}MlZ>Pgz^)Mh? zX+gL1i-w+8yLzpbNw2Je6;gMu|NoKn<8hscp-whkvFnZ>j}%z)e(;CTTh5C7_tMIj zP|xa@jjDQuwonV|zKM@L6HtJPJf%l)gC~877teWCOs=lz`1=Pr%eM+)KykW`}nGHNJSp zAI9Jhw{^}WLblu0KK;YzFDu^{k0yHTtuJPBzc;g~$o)`UJl%M~-z+WLNq4WEWQ%~T z>0XL=YC`9ZB9x?+p{L6c+4xlt9{D|Hoghb*Ss2*;lzutCvjlid7d&2mPJR>-f=7(|I-{72YRh zWialdkWO5sNJC$u7mDN6aQ4%e!z28d7Fy8AtoU=P*7t8lvpOoO<6k@=&21}px#3cp z^<75G_b$&iKj}Z(eb&>cmN`c_WQhfSV}5f5En|A=7L!ra<;`j5Yb|vamKzy}3fVYC zc7{qSg_ZfYPLViX|Bg61mVBB;egah$kmaX}M{53>`oh}>zO8}j`W@#O=X+>A0s(`& znH(d#ma7VvtY;q9-jjm2R~{Z+#dukrLG3li$DCQ9j(Ve0ZB~5|Db5oU4SQ9D^?N0^ z0*nN%wYOo}s1o}kwH{5R%$nG(PiB0g3`Bhnh=O4JTI%=bzq-uB{F5(Z?;jjPTIWGq z42=rtk;gAyhw<+Ya6MD_hNS# z(PE2%atJB?;VRWl`OP99=*$daTdSQq^Lii87!LOlOnN)3#lPMy1aHeBoA@Bp{c$9p zU(xZ$PEMbr4AIzSwaW%uAm+xbz1+e_7I98Mrc$W2hde;=-(~H&`Bw`{t zem~9xK@_SOrHh$COj|RXY9?yt3$8Z@XpQByX^w@>1qLUFGvuOW;}^GPVQ z3!oNtL)2|}_!RhQ$@`0CA1&)zqw-70-E87O)?DEcn?`G7g&i)XXOncoZ;zs0R7K<7 z_hUQAMr^j;!-rTj1R_S@FV@#DtQ5)}mY5%{A&?n!=P&*@KOtoH#!flG#;jbq_wSTq z`qPBdOr4O@0_4BO{KdGt7-3{>e+IZzdOcuNbHo9MYwTV=0-d5p`1+z8yg|jYplzaT zJcdxvnfH_4F%}53!T8VidyhXKfNHxI>>887&+TNNQ%L77`fvYoS~vEoa`0TW)}4~Z z&=F-RaQK`w+z2)3W#wU^KJ(Z-uuL2-NjZOan>zhNRsmQ~Hga;`Xuj0c%8-;#%k_F0 zJg#UoJc&N9Dg9y``H5Ym@|+pVdM>b_u9C#!{v8OGJr$2kVO<14{0S;)7DBU3s_dK2 zR2LhHzL;zi2)W1f>A0C4=y802DR!k4A~f<}@owx^ipwLDxkUsWb71l1ej;PWsO;M$#XL zw%cFn4?gnW^T)^!wj8+7rgLvC!Lw(dTxIkr9iW|t1yl~->QrPE_=WzF zTR#?1^x%3lD_q<%tN0BQ5}A)!gpzp2g4Bfd;p-C_lw zL6Pp8W5>B~eVjr0tV}}@L_P9Wb%;z=_Ze!l;{`Bms5$S1h^{;JH4J(Oty}+t38Au; zCG&NoYBzFO25K3tm&-?QJn2&kTs;TI0AyIJF-Mk%luKBI_PVDwQcJyd6~1K_2oe-D zIa4=uH$*Pzx0N5nlQ1^-{d1 zPt&Yt@Ho)82AnYb)hM@tVwFy$ky0RDteV+((2jtuIQbinHPDX_{!5=1VL0XC&qJGs zmnnvtXvt$8mOM#n8eYvCZWqDR>ZPmBzt72Xv^}w5B4Z%q!x&l$Wne(ZMLxEvGbX&I zr{;|SYS5A~>c4$H0{&=>r9woZSbpC$i$K6y7J?x6OJBp%Z9-=bxy?)rp~%zt)4Mlv zQ)WlgJY8s5FT-TeZwm0+Zi{2gEsu;8;;gD+bw2$|cc6d$`q^vGl9Bw+yhe-%sWZ5GWP|h|w41%)Roqef=7mB%nrb-np-7 z-nk9?JPhMpx{ZvI0R6GqbT)t}G zqQhtSsAWFxkbJerUN|#>+)Dd^rCWGM|LIfuioV~JFur$FY3+|LwI;npQDWQIUSEs$ zgHP)|^PB6pksUgU^P%i6sHF3utm-v67mIbXQ+2F2*_sfl945ks8o~xm$WAZF($A}aq#I1$q=iH zrtRXohVfk+2cAuA$Goxoufin_%OKyOE~yL@r1GBZeV=T^+vjlVjL69_uP}fpz1mW4 z!V<1U9o-{>a6{w9=AoYjUo;B~Z^XpRi1yi_Gsi`$>3cKlsn}SO$oF5E|023e7cQ zxd*{}_ix1Mi5bH=`qvMXImnopA`ha1`RES`X}nQCMwalIpUoX zon;Ygqlv6=7unK2BDVdml}1<~ zt71~7oAz@mCy&?kPr%}xfc{luN}P{w^y=DD^T}A7Fo|Gu8VnY-{Y}=bpnWB54?E$j zO@_YuE4BXPa&l|o2J7fm_(YIlllz;fw|~&1BYnO!F|IOwBHi#W5(PIs3$E_%65lJ} z)xh2xF-$Sn7K_xGkxRY@QV^kcW^npYmnl zml=mISAJ9+>~+%>O%@BcO=-|}x;ne+$yJZe*UMpNcqi~DyA2btAZep>&-|+YP>a88 z-Xw8Vl*%AW^Lx%BMD7;IuTXc&tNW0 zC7PD??vKA~og_HXBTV7-0A_hE;2L8sA$tst47A5B_ZumWMsafEm0k^r>$DF+6m5Ph z`+FPC`q|e$e?-oyV&fudJ!oRPjjDsK^SEpAC`bNg$2`pqs8L2g51pSTL@p||=?Hv?Y?(T)`luRTcgwoCs&lT4vYRsv< z6KhxO2RkXr{H2eydGEz5{AfwhoQjCiK+c>(Q2>KTsrT)BaVc-FA|KLUWRCLf1#evKN zQ_z6z)MhtNjMVX<5#}Arj-8%7`is#uwp+WI&j-_17<@7GagA{I-TK6Y)*&pu9KxF? zM1LkHJ5M$}Z;1|ju|d#Qfs~V))i2lRPwz~8a=O~|6@r>cL7e3~0=w6k)qkz4(`h?R zQ$EOh2R{CpK)ry&Gn7pKj8*1d;l$ZLu2M|#lF~x*0(L8_-?S@rO|0wTFaX=7mK_I` zo(wwiwQ_nX1Y5fp20gpj_j$WsGw^v|lUL)S?J|Bmm6j>TzOIjwH2NHwo47T0YptJ4 za=e~1?#j)468bNlfEPMu;)KA0q&A!I8HU6gHol$U6SV0IiD1P~XKK{2DPQ_NO;*zp z;yQ$$c37utOCDg5MWba0P^-xjA#0Mf?Yeb={NdGlbQv`0fSO~kr*x$r9q}FhAyK$T z&YmfTOp2}DNAqnQ`mnpNyQWypm%45Y!T-PhrhRxeMw@t965XZz`tAOKxKXPwjHCQp z$_@?-@#^ANwBf=0oI#r^J3*U$&~jSwUSwG&DB^P7nh@Q%R;$Xf%8kq?Dr3ZaK9Dr5 zpey9}kvGXpt=C~A*T}e^!4nb8jI2^A_X2`L1r8!&z!TAN9zH2LeY6eq5J;hw2bm*@ zkk&93SK1QkZC)gN<~Zz&-R2pOrRo#F6~FIoP_9-X*EvI>;TdHe<+62#u7z$kMv8PE zj(;0Hl|-KBGjPpkN-E14sbgUNVHzbu{1(nNKeD%ug1lFsBu<+0VMy9-hg^FEjV3Bn zN?G*a$(_;vInXO_z|^bOh7+LqpprcLm?OJ>`%4z)*xE8q9@Y9<7=f=8zkzH~JdEgkK` z`KJapX1UsR)=%E9D$i6Jmj9%}XH8X70=(6ZHXas*Tzb#hOyg*r@aeO67#a*jE?vTV zYUDM~fY0EYVMr$^OeK$eGYFZ1^;!L9s2BPmc`POj*U1jpS2QdA8QA}>0e7cKo z_i8ty0vOw}Xyz51dmgI1?1I)>Sz{Z@+$`p^8pT=vkg8RPI(j|smNFUCrbZC3<>1mq zv{~bSPBjd^0+d>0`HME_y3KC|ukzeVS+23GW_LItFOGKnJ1oRz^0EcJ70Z5PK3;ih zUVp=r95`#m-eWJ7$No5ndB4X!TBdtGQ6xk@T(#zjUKR(qAM)`N5#W31`Zl6Es#Z{N z4$=}^zW$?p9!RHA>@hO8yWJ^ZAI{m&&t~%ScX4oE+_T-$ExSY9=jyzBXo<}ZqMX&v zl)M__nBjh6?7fNh@hYZAYi>|odD55n2ZU$zx;V?DA}s4^hco$5S*+#nW|_6s1J=OT zEQ!u%$&zqGqKY`zyKrZE=}+Bo65a0=Im}$|*lWF=7~^mTW8<%;4`PpD!E_-%T*mj% zp7@ZOsS(CKvMai{5_?Y&5SIYqF$@Q9HxuSXN$0=~LgH`WXf}S|$1meV9ipj8gMa|< zS1FM|7eG&|(;b_b(D)0T=+NQG7?HLIewTd-(XaabyQ?%a^m9VLsDJ$5wueNAV*dCP z>4O-5)}~tr>Sl~F-E2`PsyuK&sU~%%F-}n-K=5Ew&Iv6%gNTr_!n*(6Gt` zWXKtf+T)YDUi2shezv9j9FkMoeMrQH_^_2hJKaym9VmKd(=COo(rurGBr1Qyj0KEp zTf^S%C1yNxzliYah0>yLJJ6@iT&^|`e|3nt+=ZGtB0X?UnSA>J4d@`^I^rfxr``F` zJ@C>@OSwWwWK)s3MRi6M7QV$5)kl;vIWT$r1_w0#i+}y72;{8vpkE7>i)nDyaS7lY zY7Srikk4DUa(L!v&+H!zZKWGxZRKAd*Z@t?s$Q}Ec9^G=FHDw49W)8s7lOR=h>1@X zcn1mY$X=Xl)x2+Pp6uvSn&(-5#!WT5q6*#aUY8t3FcZz~%@Rg6#oO!|ct=BVAKQb1 z!n|MWeh&l?+*)pe{Q&_w^k&R)REvj zWCTI!#-|t#P1V~>4``qbbB||fW9yV{dk5h)J}@1U>H7>bJxH*Ju>t@TKV150jc%NW z_;k@gb7f$wR`(?7JMtT*YCN)#llUmbjzj%nSNI@lAKESCv@>{Lg@YN974&Smt1rZ; zY&kS{S?jBEscZa00;HjAq{o`7NX%Onxrib<)5)8tsS^Iwo{2g5PaleyU*2Oi`@wfb zA0h-MbbCH=!eFxKaC&fTiCbu(oT9t*RY>{#`s_@6{{EY0UU<@fG)pHc{K-`}(`w(c`l=O4pbehb_IV~MM_uj7RrX(=99NS zrN^#Z%0*Q+??ik#d7zoyKT^1_X*4u*ky~iH5sN;s`qZS>;V$jll_+SJWEHJjWX zzowSFId!E5Qyn>I|(E3)xpwm$wJ}})(A6aKC?(#I>W{^j6<`fVJ^R3_sho7PQ z1zOiM2^WoNW8jT@jg8!ZY&oBY;9PlPHl=k z5ctHJ$K@sJuc@?nf$n|51AEdejw8euCJgn~an-#h-{uI}SP;MMl-Gex)Vl91cj1Lt zB1w_MsXyMRx%nE0a`2J=Yy$xKvT6)3x}uc^5zw)4QzO~V0xJbT{6mAI&pmbZZ^V5| z(?PVEOFT793#F+;K^2LD#LQ8wgI%}F0%rx=-$uQomo8~KrU8;}9g$2hM76bkDRXIB zxzEtXy0GLT(WQu?iA%7`-gAr|rrC+%TWJ^c%>M5Dx&OXv+1@{zABC&ql0Bu6&(kr@ z_tT|+bIjJ-FQ8B68*ibbQp)n%u-4%dDfZz&i0}N*4bl0?^<0M0#YNY}O_%SlD;)(U zv#=p&tPkndYS(Y@t*&*|^t8$FxYeMP)1&dK<07jP+BSl`+p%Yo-nP`hANp1T4qz}) z8whaLgK_DglaNX~nyR;QiZebAELih=v#M3n)9^_-8JVHg2qfY*(b-nGfR1%|CfSk9 zbDv+*UeDw6rnTg(Xz!};)*|HtZsM41VZ2%!d&365mW-UwMsMFp`dpEire5~F2{XL! zH1g@|tJwlH&t)NO202$%JA2-<@nl6w#xA$)m;1vS1#(QE4lWIXtlKB=&S|`RKEiLu zPxmXw$sd@Z|MvN6K*=X>VAP~rZyukxzI1(YDIkFI=Lx!nc7-mG)T#G08@WK0jiFSN zU8~KoBvFf0yZXo#FQbVaNyop5175O!z>lxHsH22`kZ=$QPuoH`+y7pfNuPa5R`YPb z5#+5;O@58k^p)>+xg$tnNwnv>0|;^DO6XP8huIjADzkr9jmTGHKQl(+#y#MCOxWt- zyBK-gZtl#4C49f)Ui1aiDj!hPXNnjpe%%bkljucSLuBv%%x9SEPnkuQYBGtK&Mv(5 z-WiXB)SJ_HFc8&@*Vm5L$ulK`;C)O-hf0H~zz& z<9>XrNyG@_mrfK;0)Jp2b`lYtO_yC|zb}!b6RXV}ZS`;TYuqBP_ud_|@QN;;wHn6~-#m*qji6^5KEi9J64 zYi%bDATOaYa0?IZOCcr)vp@?(js+$h_$7EGE0jC6Mvv_~Cjy?)vI%8UNL~v~O8WZi zAE{B-5P@owuDe7yvG_%^KykFc%WUN7LxZH} z+5J82>HQm{y{`%ZpxnoC({oStgt3Ya)@&($7}`2m=(KUDg>NygMSgkjPxM6U6s4av zT|;`HS_R~jVt)tjRu4sh#;_nJDum#!iuxe7!d(&g=d@*!iEoPWxq>OnPVxL{mcCJw zi%9R0ToIN_Vzp+zi?{eE=b-O`3e6cdsYX~nbHU%u1@xl^2wfo7W_?q*p)_I3jK%h} zx*zvE^uNJ-AZ9z{I}9_T;SX+qJ-V>e|6Kb^q91;my}xTK{hPb678L=Sp7TA*-31GL z=CDIBc!VuGKtw8mx)I(14~humEV^+c8y;yvJA2@zRQxoiG(%O)QHRDUw+w^NNOe! zvgf*Y-0h1U!92x&(3lLLug|9uC{&HL>p-ChUg*)*TNAGu1Ay>bhM984{TQ+BCj#6dIHa)&q(O)Z{ zI#n`WkH!@dp~3@H@0%-&vn0-;j*{!Nu~`!G0+r3|;AZ>#N~g_5z5G~_TFO%5n+am#$Zpb&H$CWh(< zN~Ho%ZN5$4;C<%aNL8sgZkV|j>RQZI3!O7Hz+TsQV^dO*Kk4+t4z7|QJ5>+gIaHEm zcX>LQUo3f$$}R)dSAZ@azrzUG`~Mdq+gDr4^`D(1xEB7zR)9h_kuTvjVfz#QV@{(; zdb^AQA=xwuEAk19x2%{**t!GJ`V1-CS9g7&ZqQ}8U1N4#X5&eH2{L$SKY`deC%xvl zgsbqE-Y&GY*UA3BNrN-*>!d(k$J@nC~o3q<>i9Rf+2?g38jxKM%X>p?}Z3M zX2EQ~&7sF*Sk{k!b!(`alplkW4fo>!r2Hy`>Z%D1^`4flHx}WeDgBv%7S&HQi^jH; z?hjV?(!jX#-j?#(2)xJwjDDEumcM4@`u`!ar}zBH2Gv}=6T}Z_ITThb+G*ZA_!TNR zoei3q4HSH_b^zegp#DH3gh6oToL`={Es(Sml>3m1XaXi;vReg0y@R3Fx$ZSrFAEeP zj9-|9F*uaLi3Cy%0TYN?Qe*y&-|#-?(|ym|7h~cbYBq}SA0t#>Zg$Lnx`$N3c4Z~< zX7#QA76=oc>O{>9{^CDmN$r-S5Ab=;;VGUFo>K zOcp1oAmv+WsMqNz%)gd4GGSEYBxK4SQ#8D8FS}0R$rDm@BxOP`ZEK{_!!aIW-=ET4 zg6MK^l7^`H*gbiHry+}p77jkhee6>gYT4hRal0eI^;x@2&vK7#r)eo_o9 ze8m}Ugg!>MgY(Y9h;CwY0aY=-aXqB7D2LpzZUxxdfy#Rvq*k1pZ~}= z_?~G{^K_8)PVWJ>aRmOPn2bR>)n*C1bFMm#3sLw;!Fu0*1neC*IuYMgHSHJ}Se zi198aLoxvK<6FqBM2Hd>qhXN`6L$e@NqG}4V)-0ix=?!DF^L~N~{S#ba-#h+P-AenZ0b3*}haZEmk<|)8M4%TwlvYNYyp4pw#W` z6Hi&0WW}easlD$bi<@edMVm8yg(t@q8;(}Z7h&J&PPJZm#noSKXG(8w>Pn&i*5w9d z7tWbrPjv>9Rz>+Ih1C*JSc_HbMYWfG;=ea)R<1{Y?-{x4F`xQ;>EhH7J(yX&bnCp$ zDOt8uJ?pi&e8twgY`UHn24?vABsqURrKQ27rCHdtslHEWc=;6T2R$@h)JkSfcIQ`a zkW}zpaBVi;wsYN}juv60qnEo@p!FeZ(#F)SR|&q_S3l3^S^!0y`<3aYTc@tE+221o zrHwK{HRJqZva%x2y!IO@~R%SqANAe*Nu1>J5mKzwq=GySFx|T^@#24n+^AwG; zEzY~$_Aaz#>B?oQS|NIv8svi@?A~`^tM3PEY3vs+wmX6#8GY^^*-hYw@yODvQ@Oh? zz*sG>;Wpr%+-9wyp^yIjSZCRaEmPT3wH1t-#N1jZiZwZ8%D;Uvx8b{owiKvm46AS8 zX-fvuwxI}!BrL`eyO9fRQV;d0Jj8E8m2ibcq&&JmnmG*Q;%nsDqT1g}o6r;^2$(O@ zEhG5APAO+gRB6{TwGU=<3~Y}%u+S2lXaX&^Tg|sh+~1R)EVN^JB}OP7-vSXM?Wh;y zW{s$wNXKa^3lA1~)N1Ns;A&9J7-WmvHPFHcmuM$Jr<}Ypnguzf+b02d$bidHbMM5~ z6+}08f{PXR2=RX9Pq?8*?_}kO_YUa>a1i^O@*hz#E-C5E;cwbooubOPpQ^st2sTZT z*c^gUe5kYE!fj?K+e@YvhDW!+oHOuHs<{Kcinm5{ifx`oSDhrD?~BHz(hC#WJG!~ z(lVo~1AVigk)$F8H{sjBkq?O!>|K>iko0!Apzg`J>r)|cp=-w*jz;CrCG|^Fjf$6& z<6Gj2KhF0hBRi%{>p&IHG2STvouS%v-sJHMgMqSZVhYcur;^4P34Ne-GBc54vR1?7 zNts*r<$nTNvPC&l|N8qWg9d@Nn6kHK?fDX|%_F(}G5_+>;pJlujgmlLKC5q1VlMN>+-rfu(yHgYT9HcD zxH*$$GV*we+CC2Dbqj1Qp}ATEk_E)}G@D^v9|(~v28K_P{OGjtK&#~1*oMnU0 z6b^!bTLw+dc8VbZcn|QIF+HG(@g{_qo`bXTvuU-s@cwYh^uO{pJ2xzDx!*zpeU0cI z0u8{>-&^jK`KK#~HHJ}-y~cPVoS}I1_78|CfeM^!CI}%E{nB~q+iHju%eFYul{D+lYK6=DgZLxa%QXFPzC11j5q^z2j>yZLc;Y`n)UXhVKr}$X?Gl;yy>(q-(}$>BdIdq`Ag^iWAv2utX8m-P_?!GKzn9z&y^KA%CFr ztMF9V)+x;oD*=C*F7atv{D}PtnG{azh~|dVrGMCLnDSr9s|gzdyRA?T=HP4c3c$zy$osc;pKfQ?jjSk@U`#A3j)_?sYXMy*UJaYF zCGDC96X$(?-X)ll_Cty4W9 zGHGFX-I?YOP`sqRKYcIwYCDvo^vA^CPr@G6jdlR{@}WoNiw0Pox8VQOdD*HH7MQAG z9@-?@&YbqV`uM59ov7T@^{>jU4&x?9W=Iqm**y@(Wy_ZslL<&UDAXjhS6U21(&D2g z#yI0G$S8~o#*$5{; zakGVeM&t;@L^JEH5`M{>9rnyd+Z!)|V()2|6lJTW9r$MSB$0hCyGbjx*nCw+ zx(1X&V}*;wU^Gk5%-&#)t!b&Xngk`0GVH%M>OsxxR*er~rFXIO&J^m(J%NuE=g;bl z_Xtc*Z$V5@2Xg!aKLMaWIsW8*T$b+vakBM-8s_eqUgfHJdzSZ;EB<(v_#*32N4DJZK$XpjMdHH5Zw zjp{dPX}ZUjk3yk(nQ~RuZy}*ktYEypPFqx2>UV4TIKTjDW49-L3?PNZM7h+WlSkDL zCpUFaVn^xnAI2FE+iB+a#T}E166J8rQFFt;BF1ix++5z00VVYr+TtYm`8O{zVvUeP zzL?R3D7u9@)uM^xhe#%h>!Dld7I4SVilh{y0^4JWJ9aAeVjSb<*LsoKnU-pE7%!vaW2gC@=(NzTQZyFyyd4bymi-;y4XGnJxlFY&G}U*>9d=qfX-2SW?>_tK^ zVG9iy;+)ZaG228WafFcJccG#UM^RKp!f={#D1@iK>FB?JLS&hEm-eAXtQIXsi!X#9 z>lFzacUc!iRMw=b4ZtyVWC99rKiT?Jn+QuflJ@IGX8v<@Nbre>U);uThq|z| z!bRY63Tma2qo z8tFTWNMb6yh@b{~zTT_gNuNiBO5wecxMz8CO2asT04VCiqy(IjUm`1ZMp@Pec9?Yr z?k@D?iS?<%dG@DxZbnNIP)iYiG+T+?Pg{crnW35N^NGS{-u% zwS{tuN-lgz{#IJ%v)GYrsR$)VfT1gDz4HE(yT)Zv_9bY-))~$0CZ)L%`}Qksqv7iKs0lbDl{s{LEdVTQ z!MtzOEzm40Y{gqR&J?Ve6ihh@sPiFc67gI650^#a48C^YPTvM2+>r^pHXi{aIbsgD z^^gp=QS4`TfK?;WD*1uqgto5;pcQV{hf(caqAqYPctV)13Sd1*eGSMGGR1-~I&1k@ z?>UAbaD5a{id`~}j?MVv;$;RK`*0~<>Wh;jRmVbI>iH8_Y-P!x>1vja`wbL`hzI&P zvNj37CG?$GQQrj#q;rIgG-Ftt11~KCFIJ!F{oGfqe2Rimj6{8Yfa4PmhWHKu)I3K? zyT|x(*tiY3N19(TD6kH6L~%ihjUaG*9fXePj~Lud48}k}-$uRGeeSvE0Kx&WAUzX1 zk|7l1gj2gyw%Xw$Q<~GE**@l4HSKs(a9$b3IK$U|k?E6%7l*5( zbv4F}$&MgTeit>@Vxuh6Z*dq;j880BG6Z+wEOSAX!x>1Yv`Z)`ZfmXlq5hVv1`d__ z>UsNX|EFv12x>$x_}_A^-J#f%XGqr~jQ5y<;J?~vyVB|Foy7KTrNy-E?riPfx=^F# z@&VSK&r|V_;LmiKTxdD!$1?Q_# z2?ZtTSj?8sc4zCxK9WK+#bAGh_E;eFA_$92WG@h7b+G<65OI2WO6nA^4NDN=375C! zuI6kVEBw&%p-OMdILF>N^2-ahpO4bvM?~h@_vmf{K2nF|55ez|C5FT|DRZaKpaFm| zqpxjj;Sq?q8><%vDU@3Y$MP1mp70ce0qHE7V1jpjBIal2V01SNhk)Qt^3j-=pablg z6@Yc-Tlib1-&0mmVlK%}pZH?G$dF0VX#Qt8932wmQp;nLlu?}-^5UCsf}(IR+?H1 zP@b;zg56A;#3yNLkh6&ee{7X~yWd;RQ%YP510e%nq_`Mme%~;+y2H?XT?^dk;2soU zTc8SXGA>8`v?eO|0;GG`zXtSTXf!y_22a$vwK};8GC_(JKFYQaR&CO{FEQfXM}x z>twFpCvaVa;%-HqL)@lJN_>KvMD_=7Q7|_ zQXsnb__~anv(zyX^r}y7vIS#iH|-5229onx%DRz_tTO?9C@x#waA#{R+!OcDEVcK5 zWVaB;2Tbzgm5$YC{{bu8Y^$f2MZ9|784O#)#+lt^(;@dWL0;xUu!&4#|3WNzU} zz6<2@YZbuO&3jheO8rEWiZ^ExV)WxZ|eV&>=i!P%!4kFrmLHkuul={z1iniVS^PyEf^ zKY%*kr|vQAN-LFc*Gwp>gmz25iE$Cd&h zu{tC_fA=U??^^~?B0*mTvrOHoBsTb-ez7%dR$ooazw+Lpj&&c_wx#&;eKj z!MCM@WUhlsX4L{Te;1!vZ|@a|Wy|IBX4xcJNmK!D?b_NVxau8}bigD&z-5w}tFGZ* z+{Ub(VSPX94OzuUDDM}ED5qgV)Dv^7lS}L~NR--Q;UX81@BO9wh4p`TCvA~Tj z!o~@VSJ2p!{AD&(-=!x`(9UVzFn=F!=PpSf-w2k$7VbzWoZA)xMuJ2$vJh@i%97SH zApE)a&#)expG|uU$${HLQoaG!B0&=g16Y@WYAk-hi04)Fp~rs(?%>xvZKBaNlKrK# zli>}kBe#*9nen|0!6AZoHSy-{zCISa^!d{5?D7c3M~)~&`2(c$*J_;SSMBOwh{yy* zm}q|DEB(+AZv+cZ&H8wKwpk`?_bS)>Aw8t=U4v8_n^(KK6YGm4v$345*uE+hw}53D z!dMqq4kgy%K>nwq^qs-^dmpV)a#p91|tDWc4x_`Sb$3LpQb zDCcHDPV6~ANf$mm9t4iO%^?7x=V|`d-UDurRoX1ho?ROsu zF4jBa%U+w98{?~y#-^C0nE`v}U6LrQX78VPPlmjNbZTm6U_YHX-#YcXE3I_RbQODW zhAS4d&){&FF)lH=JtsZqX=1mRo|g?;KX*TZJoa;MpfqAiLh*0UpQMdmyu7o+8BtI% z_f1O#&(!vyYKC`I%=8T=t9L9{^y2$Bq0i#ebPl#DMzhgb=?w_LwVt(_x;(MPNo;B3CpfWNL>|>^6IRd0;}2OzFWmslY#xv zn*NDDkW@b{Z+EDWw>w4h%3i#!_|N9epMy%@{2nqpu5&jV=4}V3#hFjZR$-78_$g&s zV~H*D?R;9Tw%(h@pA%49LOvnFh0sa#m0PDuqn&|@6@KvYcmAlg^2uVm?+}?;SKv~| z-5|5$or-)Ll81nmQ)g$~Om->L;f%sw*UzLm&9J$(d*A`MWne|Y-@PlkVd;~1OXqO% zEkm3)hQ^NKRkBlM?`A)=vwt14nnJM=<`$JvgwDQjSWJJj>|@ng8d+ZHx3PuJ9!nXb zV#r?edY^n>bV%k(>dl0Ax977;_(q_=fuX5iZ_qT7EiqKcJ zsyzc{=ciq}0B4DJL}%1l@%^h|sEm%Wpj4GuMM~{1kt>b2DFFQtxbyRV*O8rPW#Cf` zSAv)`h(yb(6@MvQpQ7cC{o2{DTQ|Ui!Puz9?FU$Pm*pK5uegK?wr2O1(t|)t3$`GQ zGJfG{7<(R37k9iy3#(&A-z3IT=*yY7(-IJ~L4IrmP!k|T*CWy8Z~tRJNpdFb17Mr! z-{1{FJ8C$M)H}>m_L#Qlwra{K5tY8*jncPo2J(;_iV){WXK3%Iv|3U(qJ~05+@Toe z&IHwSofZ#F8TXuLq~YxBvzc)B z@42|(7uKM&a!tgxT#2;gyKW3{B)T2Ti&^FUO;Ok8zN}|ox4Z<;gc7Oqp-@l`gQfy$q(Qmc%jitV*uu5<|UDhTA3%(it&X_w0d%!6XvZ0$OOoRG<&FntqJTvXjOSP{? z4<Qc8jX z8OXuYzgu{J5!c~PCl`DZNW?BZkH0R8aZCIi-|bA}X`U;dR=J+&tf~2mm3bVuXMQMmCzfyl&F}c#Y4rN<-U*U|%dbVV@ zr4Z5Oq4j#-s6;+~w5H;?HSkkGHxO$AR^axPsBC9u>yY2Cc%XlLaqM80RKPdf*rX`O zu|g|9ZML}8MBSp#dg}kBYpXxS&s(4CM`g6h@Ck~!{52N7{ZFyYZv8Pj=dYHvCUT5o zJex4Gn`gn{e1G%Q*&xbIu&(scirOO zwVs?ghXb~G15SQktB$L`_Y*6-P!Z-`8rw$jmsHBK7Ds(QH+p`(`FrJgInkoh?p#YM zvF;PgkU_p)kCs6X_@wsD^R^|=A+J+w>5b61xJ}O$-(Bw_==66@-(8xWFUTj+xb^BL zo9(oxV0isp&7>WswFpCM^kezqEgN=# z;#O23hQE3Cuf4B1f-zBsAhQ07fUvb| z;HG1+2fzgX1WAb+)=~qm*aNr?nQjM)jc`%bj#6cp&$kH4|8B?Q3K0S?IqtS;w)Q+R z*#8;L^)F?xiko@_2P8T6-fe>FW5fp4CMGiMGQTxV#uz0D$)x7+ph0vhXt%f*yHc%@ zV|c09u^f1fZ)EzMdmKiMvFZg>Mnzh8XRX_B-&IYM$%Zr_oc7dAIE=0iy`!4opOzPw=V>+ zomstJF-!D|aVU4b2~j@*Whv!sk=0mc;bG0soZb<_2qLSYOnd(Ed#o`ub>=GmUWZ7N z4QT4!ufrO{7~!VJ8EL9o2Km{4B>269R_63=Z+RQbhBvApH4pqE8QhY%z7K4FYynF9 zJh~l#$`^oV9)k;WP^s1eazLfq#nzQ_J0s4aRAaj(`)UCLQ~Vh2)t$TlyuYJ|csYNe*0i&6KAE#zN7e?NyVnXW zR#%bn*|rv`WS7btL`@|&iZ)cs0Ul{))u5}|P?$>St;$0Dc-@@y1WL!$maCrLyeWqB z&)x0z(~XbM%;Js==WWAXFVgwYh9S~ugcsHXquVQ13QXC!WL<2e%mgEKx0*FNAuzLC(kPVJ?b+Rl7NOh`Km!CHiditRxc1;7ya>Y* zH?&k^TP9jC?Y=>>27R~AKC75$Xa{;v&pH^k7WiI~c*KP+aH2)xREFvLlI^i8%f30L zWhG%Selchwv%hZ;dPw*9v>hDN47BE&K~?C_BafgMP27t{n^b|RO9$_2H^$7Ar5T7P zaYa6>6#6k$Wh9@_e0*;IzuAI`Ww(I;6Ek+Y*p^RG^t?MWdb}9rI^k0w$D)0Ks{&$Z z7tZrT8xBI5sT$6ke|!}Gb5fR&)C^ArKlKY|+YHWvo+l;bqG`^D25!!!#Sey5V3u3a zqEt05DO6*tB`+DKPp*$d_a|LkAW!7)Z_$+YRM*JK;q0h(*C|~I0A9)KslBU@S7HDIy(IxURoZH3~9u28~Wq5YplRmAw=1QBZlj6MeYYWpS_#o>Y^MLQ>wr-&+?1^aQ)DGQDr`> z-Mw3yKQ}gDVVJ56fZ*zJ)aIhce~K-=oyI!c1AP~8`|dJqXu*Al>*Z>8e3otcOMtf+=OOQ2hi` zoCYZ6R4s@-`DFRBYJb9E z1`L8r8w%_tYrl&G*_yT)x|CQd5fd9!5erzz5YN#8Msd82R2S#t#!+M@I{n_6r3v99 zIqh3;;8*!Kt*s;T>stRjyQyC!00V!cc;5JZxzCMN3?`b(>UGQ(MrKYnKMj2q`$MZc zdW>N{_!Hh1v_8L@<=k)^+CjDIU45}T0>@0(w+Pl($^C#E4@p7>_>u~<4JXzo^}{Q9 zjR7BDxLO73yIg!_-E&ybyEWNG^O5O%xmhs8k0@2GMQ=D{9@%NqL^x?s*{f~= zKU-x1wYwG%_MV^d3&G||@-~+I(xR6d)T5vBNMyZ<=+u$f2J^G6MF&3)Mm4@|k=;D^ zpr;EvXMt0BH31~M@V*cw(8Z-BZQX;Q=f6~=Sz=q{=3iMm#qVFMEqBz$*O3XGD-e}I zLZ9ONP{O-Kkgxrq?@P^Jwd(#K`T|Rv%*gZLx_6hQhVJw84H{Zt_{W;QcaT1<>cA_j zZc>u3k+kegxmWAS>%@gi>>t9vgchF6wEad4ylSm4bl<)dKXuO0=8TEpK~O!v67Gzi zbUGb-X>~YHS}13DdT*lo)>uLe#G8!^Z!+*zzg0^K)<>k@j_b;VM>^dq?q34p~tyHg`S#b$B9cD1Q-`7s9*_^2fVJ6fXm%WU~8| z)-etD58==v%e?|^Xp3=!8}#mYwOU@-!q?11L=v0TPg17s<`h;&j3)=r);x{yz(8VU zV~X{_r&OR^)E2#Un=MQFQzhN(qVyQbYHvk&^nd9&4qQ-9JZ#PzGPV;&qTu1~OHYPH zPhbD}9*X@-oji4-Zqs5Tbso=tU_qLDxYZUeveX^K-RQ7%#;9QL2{RZ`>94Te^ne-$)7GeKBILE?9qm`7P{ zR+ZS8o+z?Dk!b=~S(_vtvM9u+DJCtFf^-8Si$&j0B8=DNg9Wj9#a;U8!lp&(}|xw@Ee3(p!Vk zi$Q+gs>RucuxU0odKgV-gahcin;_Nihizu@CsA@L$H0ZE$Pw9qM52z{*qKiGQL5WV z?^}yWIWSJQbta6WDqzos7mWT)A^fr+3%DDMb%dsg=?Rll#RQzjy2tE{S7vjBuRYL{ zI8g|q0+kHvbnGWq%985{|Ay5xCgT;P9M68uO8=8o!dGu!aNaItV09Z31vNXZe(s{9 z_p3mpWG7?J~LUW7%TlgF>k3r^;Jr(%tE&nBcjRAVg^|4 zk>lBP+DBh-=~0fK&*4H52;@GvrhW~CX6{M&%%0(S#B5SF@}u3Iv!iBgh~DiBo)UVzIz@GlOd)AsaQ8CE zkOSU?C~eN^d+<`XGR-oQZ^Zn|s2@PQszq^~CN#zH784|T#>W0jLN7-cc?SH)jG;{( zE4NjAlkoDiL?&`!m@FrM8HbU{kX_0EBTAvX2$3RHG5! zWvYzd|9zi&f65++RV?n^dg8q!cKd+AC1t#Y?t-@eDt411gCU;gLSZ?GMj{XsXG>#{oXwg8dm{ESsahVqzxU2Qsd|7`K;%dJ-=10%BF9 zb-)_8npSa8DVPmHHsbBaZxMK>X>$d#UcytFt~e+`x12atwK*}m3vL!R@_6HkDoI2z;P ziGU*3tbpy>AGHWz+1g!$l@L|%vuuv3t^Eo(~Ji37rsIcg0-Nv`=QO>i&Z@cBcy9>fj}Q$f}3 zQJP^J^y)i)I8Hiv?SdJ_*rAB7GQU|iZrGI+?LGGUAm(!6x7giylK4_6e1BeHa$;Fw zXdg1&4U%oi4aZ!dN^^T!S~sb!i8TNFjdV2nkjT&U63OPwUi4LT_v?hIfRl-jyIxbT z{{nA3zAopfol&U|0O#lIliw$R`?VtD`-0+LE326@Off3fQcoPn7H8*@JbUCRw=ez; zpLPa3dFQhPG6u)`R1hmHBt$JeHvGeF&3(cw6>hG4Yxf(?@F~CE9#U`9@X0g!6F6BZ z(`=^lC~6Lt(Vop83P(T>mk|bYmwxgOL|;Z171TR_L#uzXE$AGJy;Fj|>kv831*M`0 z{6t8aIhUB-KYNeN+8er43Np(dH{ed@VSZ16iKdaHs%QQsN3v=S%<7Mddv7&)Id`KLj;&VRtKXKKUp*dP^n;_c z5WOpkqO%KrW%>rR4guG7h1d<|d()%lJ2~V#r}hX zZwAuHux-Fp5QFlY$6eu}mFtVFQ4766o*|XddN>6+&j&w)DJ;Uzbc#O_xGtYdsFi2l zv81r1PDV8+!yb~w3hDPvo^1U{x=7GumamC=%dutYSj0otabYq5=RBLi_fNhEwcvb_ zDD1L$3ij+{EVM|ptGa8U#|8WEkQX$>*{>|@HPkTs0E;vIG0AK*vs0gQWmvU;AJXfn zVvwm&IQ)mh8>TMoVmgut_T?zc$YnR?!XYlwv_ zwitrzVjBuwZfEWd7qFoguv5QG-X?ix^5u6qMnUIE^$Q+R4aefjI*s&|7OnH>llLWL z%JrM0_Dr1uy?16I=lmIE?6*)6eee*iuRf1RTFa)+@pFKZj#=tui4hUSocELDIQPO~ zN4s-qeU}IOWT1crMe60pqjhFq6F7eFtcFRa>hrlZjfM9|kpjzPGuW+&`&y+mm0c=y zxw_%J9>=Uk{ASas5IxD&D{F7MAjy zs#H#(%^Vn3zW99wDfBaILY^%`6Pg+Vr<7kL05o@gaLjTASc@%WekvJNnE z*uFzt5A>I=LGaj3Jp<~16ufA7zrZb67!!}^Ouas&>HlQ zgyj^1JRgiXF4qjUoRo*`PyHFA7{HO<+j4e}E$o~teHU9IUmX|;c{z)tkYFJKbfZQm zDx{zhso5+=WFf}EAj$J?tNjObh!VxT!`UXNJ6i55h3qohqwwh)sJV=&jg3Fg{m(Fq z4Vhovgv^Z5x`KDR1<&3ixCLYX`!~|6u+=uw(zBh93~CsYZ!=1V{Iy=Jv|4LzfHqhc zPh<)2O`S_*fl8g*77kbcbbvY*I z`Hz4KI1@Ba^%t2y;4)Yjb*&im-D1J#F_KI;L zecQrBAk(b`Th!Viu%9t0>f3?IKq$fEl1-@6e>LMQfctdt1|l=}vp6w#xHA8~E-tsx zi7I_Jd8I|4XR2e}x$wb3!hh|)j#WVp zyxNb>cK>@2TXdKPRTmXI`op;vm2)O4z3h9sOpF{kYrc$Fi^N{xdL~?agx$DDBp)KB zXkEdr=^)LjiivUcJdx#{;%tev$iKqd2!n53DL1xrEw>qmAfM>g@q|{+6cANI9b_e1 zzl4wrT%bIE2yGK7o47vIF>tL0ev|XIwg9+#l~sWv^$Qy7ZcCKzsT#cMAU_H}X=boq z&;4=58(oyw^T;_!Yik z)t1uw4RC;fs_e3Evg|Tp^7DVoPnFs#S?WKu>B=Bc=UYDrKB^FOEHwvsb*#v*eQP^x zQ7JvR2MWlzr3c%MhcOIbh~omTd$_uJJ)9yWKd!Q38#q4FiQwp)i9|AZczkNVjS90D ze8$$Dtn6(x`eM%8B;m41^cOv&Kmt=Y|t!D2hsy(6FlvK-UMM13PewPYbDgk z1~GpF=N?kr7i=H7LoL8Mu++B{gjGxVzIA@P&m6a0uR7viZ|^|<#(NJaPeVS5Ur@jm z8~m+HDaKIqDXwi3KPDjb;^azb65HfJ9I4esm1OiQ*nU2;#~?0=5$lt znFaWlGu*_HX8JSq+ZMiRdkLVj7=3Z%eu@Vt_Os`c!+8rID7Lh}_~d(7SA1ARC~$xPPnJClogB`;C|a(8`os%^KCtEU#3P(`^jjMA zs73#<<2Ok3C!$l2)#^}#JN)*SOU1=YmAvjPBZ?cob^M$j+uPMp$yF+yV-#Y|KEF_8 z9$NST)<+$zD51a-ReDX@(TCGDnZ9hNj$W`z=##r+pa6Cs1KCSz1Q0 zFvBw{W!DUjN-NzuBo%}oFA?S;g#_14rAk*)3BW^Bliy?WAF0#I%C6nrd)t+7+_RFi zT>LtsTkFiRMdxz!sTzUpP4HEKfs?jFy!WwfrO1o$?WA&6%?~Kz+pZ?ZFW|ESb`wNK zyt~iz#AU5zHT_7!eU8gr(+XN_@?7s8h-*>EwhDRq60Si6b1fC)Nve$@72RE`3yG`P z_K!L!p;1&&n1;=oL0z)f&Ch%~=_pMCyS!IiYeha7$92hj57;k}aE~}6YDv=}w=-b% z)$y>suvkyTGP2)OpdGu@42ca>y0hQdOho^>88_s5rgcW~6k5f!gz4whiT0ew>7fvq z(@nk{iG@TB+I)V}^@-W=F5iiod@6df*NyH5U?N|I0eg!@xt!SGkAo_z$0}BBdOX=n zq$r+aD$XHIfl)&$3j#v`n!f?76u|v%zc-Xq57GV?GqMYIcl^f;o0mcDc$~GHsK`_T z^e!i5d1YmratW9}`%uCL<0EY~-%t^fIua9aUk-R|F1iV4vL>?5wOIEZc*rqSTmWV& zjBEKz&c&4DWAW168U6|$kE_|D+Zv;&5o6-!TP6w#j#O&<8`D5|!ItqaG%ESaoz3*y z1Kq}8n%My{~wO7+i8;n8Gz?4ZRjvizOtdWfyqsg7E@ zpNoGINfR|s*u|L^QAuhyQ_tY`-h*8uE4SVlv^A20wIDi_S3 zm=cYz-ib?PQ=b|mmRoQbQ!V1@|9skZmove5ADPMc1v_*lm=OgNq+qz7QH!&Rv-uvv zRpKsB`tFVQTZE8V$gHv;TLgF;hI9NL)59IjZXf$TXf4{qy)s{MUXdb%pC#}L+}{2EkH}3U7Go?#tw4gp$djA|Uvw!Rg(z5Cd620Y9W{X# zuIRw2TI~OHy$-orkG%cld+suxF7TCvRYeur{HZXCUtu(aHBz8fBwv>OxK}0dX2x0p zeiJ^k{-|on{EY~vq7rj)DZE}O3FbP#l^I26S-gy%=UY8IN7i^fk&{9}UXe3mhsE_N zmeTf09uJ~QG(eerfa1c#YziVQ@z~;Y9zMJ9b3d3eo!hRQHJZBOs@_pew8lL%*6wy@ z;HS&I%=PA{(KO-mBe4!3pR_cqU*&neoaH|`-=u7h@6Z+BM4*k#e{RODtkLv)lgE4} zX{|4E55Tuh;LpmCtNSloZ8vj$P3s!>4x{$Idx+V=pOKt0cb}B9V~f;)yB%WGWmNVt(|lDi-$#iCOJTnqZ6*l0}$uYjzTB*XMBP zCm{h{QT-xJ0Dm8z@T$8v2`0rWL5+$(Ef@nYXeQs$Ny}21U|$#o#=# zG7w3#5DIq+Q?(P&$?0#AoqgHUJ0nOmey>0u=_Vy786LoOW`{=Nh~a2LDuP|e8O}Xw z(5~mGi4%f6ig%L%XX9~wRyyTJ z^63QLs&gUKD&I5@c^e_|5NfY`@=J1B8EFz#9r9%QyF%ifR!*3cdfpxO;3#mj08~5E zpGvX8d0H$CWSIQn3N+C;oPA634h)Ny7P`b#Z$>Pja^T32qnWRd@6$YAs1R9pmVgl# zhr}B`0}uNrb2&j+|NJhNFqJV%Ax!lx$=~7CZigYu_-%_paai2^#ihV?;5Y?q+RK42 z@azH3py#>2^>hwE}r>Xw=u}t%2K_q4*pnvEjoDAK816MKEKIg z?P>}M2ojQhcthc1Y}UJ6dLy8qrL6MtC&q+Rj~P>Jt!k*06>oc(?8>e1L&kDC&^!H{ zU<-YN!-IN{ead5tZG*Yp>`ZkF_mr)|nyc{IOWpDjON(-AYchk@e zC$pN0${}JbJLkX2=Rl7}@m_E!g`fAOueG#&)aiLED;QZb5JQ0Hm{cJ$^ ziNg=35+$btDO>@W{S!X5L`HP*-_3))%!Yi#<7&$`k3(luevSpphm@J{cOUMy7_uI3 zXiEdeJ}K($EWH{CY%sLDrA!Oy#>0y53>ml z`Zw6nNKA=AkQp!cCHiC8x0pnZZrw{?#J1<}%u(M(M${8!cGk>C#WBV>8m;a-GcSm3 z&|Xjq4iAV8hv`|wksSzgCn=%ghf=brE3^Xru-FpRPf= zh-dA~3VgZ2^ZjD9rA3Z!BWLS=9u0_aKQM5wL?T-kbef55tsKkp`d5f*C2G=iNc1S{ zE;6f{q6_})BJL-qXKI~&ba>Yv+wk0j$2o<$NB&>;<;3jrUS@IKtIT4NJ<>TL%;VNI zh+vzOI6v7Z(BQr%`N2P9a=2#9qXPLthbjWTy?CIZ?4CAY#$(EF8k9R*17C8CEp~uP zYX&E<5JoLo)uK@{Uj1R!F*Nxn!+fQK;m1J#x>**yEpAG8Rf@4lJodZS;^`2933k%4rCLenb|CI(EgZ(2TN8ve?j68MrYh9<-?8l(SK z)Z9tR{r7C~XyaTMU*T}tPlPD`IP$HJl+YlMh0`WVsk zf~3tq15$mc-Z{-p_zLSK_hN-)6N=J5hUtcZ-+CrKNhM1gM53RiSa-U3GX|sxj@Gi3 zjOOC{(mW1YrZ4f7q--d=R1C$kx7i8=&4>J<8PhANy>`duu5Y ze>@eC(>mNXuFtaq!);l6;MlYoE1|{k;MEr-NZ7YVGx}KvKTe|_ngt3tI@s}*N98cR zeRFK0yzzQ8^BlSVwx?aHNt+G&I>s&b{bZ(-Fq`KVqC#(9^&lv~8S8%7(q7S{H^{2p zfITNSf=aIdw)oJ&*|}xB0|ICkY;BWr&}1RNQX8RBzr@j(h0~<`zuaM^dB5H{76NFB zX?t4jP9v@-7W=C;8myw*GMouz_NIlAje~~{PYPRmj8^H4nUfKo9 z6W&jV*1ugst?vz<_M37ag@uTA-m8c@=AEeSJ;zoVICLXG0DHTvjLF@r>(4GKy(d;6 zyR25O1h2{j(8{OE%Vo{dI}g#v-Ng|8;>>bq=bQtdd!MaY%!!Hoz`dMeYP+_tD%Vt{ zK)&13lU&T!>vQP+d==O9a*Oh8i^bmKgfOW{lmDwsvU&A?*@GG#lcYoi+bMp|9TJtZ z8r(TaqI>&-MM+ifqVq4-aR3ft=6r#w2j`}1d5?a?1S~U>-dD=V| zxx3_NnL6-^^z9h<8l1Brz1a5XwNpdupTa#6a5`c+O;+PwGD|Dr%PA? z)hP`4)rsm5EoT4uP0~XTCM}W`hUOEGBphASiClKsMH-?ZqqPvx838IoG49E78ZS>5 zn9V)ag20Bz)}Tk5!E0w6q^S+VQ=?~(t~9nX6H2gz;vx^!N16>;6h3Yj${(krU-vxcXT90?n5X($(Ld*f(XLVQe?vHT>$M8@2oPww8n zfzj_ciRO$#<|7QMUC&@q;=26;wte?^X!%_#wfUxWCPq%V)U3KVA9&wxZc=7&K9J=hufh`ug&~}LqV0pnuUcX_QFJYoomC(MVImG zewTI1;<7Hw;=@4a7ueOc5axx@W93v-Yw`J4tK?3}kF4g0IpbdNXR=m#1OZpc1KI*v z&0e58W|xEa&HU%l!#HL7()oH?t53!)Pxm%_dQWAe8XN!h9=BT@NZs$vd}UI&8t;dM z-xuYcAN%aRbxC8d8rI!|Lb&STuu$vGbx+`e1vM8o$>oZDci+-;TkQtx9i;<>uBbQ< zAev2tpfA(>Brs@$@iGlD&ToJRP34yBZH@CmW#2MG7g`^15QIEty$C9uvMX%4rurxK zkF3{3_%CI}u*w?{;7x5q5?I}4lHaI?Q;SIODL$CSkc}7phVA)@L?jxy)N(fNf4YSp zR$dKW@q)=<=`c#hp6Mfry77-fIE8CDiwSYWzEIm_OV^C1Zv{4Uw`Aw)Sl8n~atiP9S7>^E{qd&yH9U^x0&A0xt& zL@R7HWDKv$!kMNCcNPFlHpI|UfNctoKtp?Fx){!gb5yw#L@=bVbdAy7eEhp;&nWRx zu@iQFzA5(jrx`&{VxpYO4#!dUQA{>jc1UqI4{}Uti+#Y=YG^b*?bB}qIlD5s2g8@l z;vgL{-JqiqpysGM+i5C=a`L+xbLqWJFmR3t9D?ZcfWpRzf#x{H!p2QzBpx~Tb4pMC zg}^EbcA!~$t7&PKwuk0@mZ#sqrN#b5Q3||C!>=sx7vACAtV$Fies@kNVnBdw@LN7J z_b1h^Zx^$6yZphKR;RvD=i(N^gB}q1p=Jz)0jDu?!}#H(`^El}ma;wNry^%~O;-8! zb1~FwfNrrS&-(M;p@+`You!*AO)=?aV}<4`{f$=b1-yMLqS5sbbwjmpEe0RXD-jnv z&MTw#;7e-JXXIr%KfH~4koN1#gyUr%{Q3Vg58h&6Tw)atWYXHq4d41WqDdN#E>8b< zQtWvwssLvRqds@6pWCzVI;9R0%>&f605D+WIM8+zo9H1ySR!tB@(-YKcz4NC(mIX? z1*1}`6pB!Z>d@F7jPi#ih6c-w{G^Any}B#c7<0b1k{T@zBgw z0~y*|9oH}f6UkIM9}2K0Y0KlB5KFX#z8N@l z4B)}|=JaTluO7t>);BV>74Nt|Xf59{`>;@$da~~j`k_-*t?BU*xc1}b``V9zzk$;g z@-7)`p(81a75xhP3vm0Hip90RIuWFGPCJA!B7{9eujzZcbkn-_Irq8!Z|^zv`a4L~ zo}c6)-3QWENi#gMZ)r>d@CgX4tXR0caMuz(=XKB7aVA@O0zT4CV%Pg-77ojM zwKF1n{cgP`P;HsF@V_D%wKdPhHLucRxRscl?SD5F)2%P`n-7|$!6UkUStI1F`b}?Z zZ$IkJ-M5y1&=NHp{jto;^51#(!-U{esB;DV zXfk^RFH1zpKZEB=1*Nu<=~&Fev!prKv^meXIj4*78plEmR(!{`z!W0e=xJNoCYW2< z$chI!r=FxA#}7#eq_F)}(%;-~J0DEf_HKV7{8EMtcr%cLG`~*&5mMiB=cLt*t!0GE zT&DRY6uVHi*_qH(rbOsj9JT1Tn|>ma7X4*EU9q^Ht5X$t)b?Ii#vNH#e`4d)Hsb!V zs%%9!AITqSaCV%(Ut>=n^ro2k-@arQMaU;2(%`1hP*i zn8y<0P4tI3bfU>pY;?wO?hDB49gCDt7rlE@VA8-!j39$<`X~c3d(Bk>GYmd(!!A3K z@?adc7+CvpwB45?f;({&DLEx3Nq1&V6U%+`M`VC_IOyBU)G^>2`MN?ZWP46F`5^vYEzDnSE;JCdUswh}$4#dCMFy2;A zquhNyV^!EZa?>AQO*)X3CXE)s?xlaFn^+}iPzX*slvTKo2TQ3bJD4A~{|7V6&eBOB zku^tC8jcCl=m1~0gR^@t<4Hm^9kSeJ^r1=*YUOn#T&KzsMW?^*oY7f?UKy-sIZnJZ z8w>#czt^tbf6ZmNr3m!^N9LX2n<7eLge1Z1Y}Ujj zSP#K4sfXdFoUxHfFU-uF4a1RG*e+eTO`taqX|SPs=31)3$x`1cz>lFbE?5fjPl3G5 zX0&#_QMeWMhn-5Fcz#lV^u_Pa?RP|rTOd+X>Z^|NEpV2cBB1VN);c}0@D>5zTb!q@ zyg9v{*mXaQR@k8T>H3?gw(-&N)g5+K^Rfq;hogzn+K;@%^W0Z*|Cs%HV?GQx0MA$} z0gKM)*Ay_^>pu9sfhtmO!+rsayLyZuNOywk$Ea-A<90AfcNX0ZAl?Xpw2qdxjB#cld(}Q7uVIwI( zj`qq}@#po2Y}Ij1+bEz(Q+l*s1pwMvD*WWwG?K_^r)BqOGRbDps5nR1JJrUnq1K=u zG-oyP-SvsJ2TEw5K!82DXcE;XGuhB?CY@p8i$aH`i=o;5)!{Aje~iczShnqxPRx*> zvj{{DDKvq_9Q$<}pnfD3eTnTuD@G{W97)`3PowFOhe{sj z%N-1=s&_@1cu%pm5n)K@L&U+bL{m%~X;MzKv2|t;>2)T3Hm(x!Nle!JCt%Wo7L~3= z*ZA6G$oLW^Q`Nz|fAhCno&J~P+5L#u46kmCY5Ly(uEX;UQA>$>;{Yr=9n!2+7kb`- z>F$XkV*hxMZ*v{?bW=ulf62&_BsSr(#zdp%A+6Lv~$aP4AoAldu2z2h;WTr?rST%0K}l9`y`G;^M~y5yEw(8o@%Y1tEu>vdE!_+PFB zYRZGM&<^R&c!oe|G&+cVF%5LwN0JD?QojymGQ0k{2&Vg@?S3Ye=4S2f^QGE={_efPbl*(bDs%%i9z z2pnv+Bj1~b~>k4?T1^d;v2%srEwpcSmro@C)Sl= z0Zew1TKQV)yDX4A`8)-M>pswF=VHt)N1s8rBUllH3+ zL;x}!573X?1J(i>d?nW{rq&;`> zF2n;0-rb{Rc}^ufh)7mV?mDz6PKY18+d)Xv>7B*;%3U7|m+COgBrS7OS28r7cm1rT%)3vHnh^~nPZ8JCd9EOz&+NRRbr)Ey!ACS~#Afty4U*J1j~ zbM?2>Q9laFZK2n??5;Iz|9N+bBzc#1PC$-cWtk%;1V8qq*G&la)&NpAXOjeyz87-l zl#WM=mh$}!P!PWeoDe$o$$ACMx>>tcsN_?=^!7ZWwZFeaFTFpJAu1@pUw`*3u-K9V z|BpRm`k!3cNnAIg?O8(jR$ZaQO3Yl-ZZ#s>r+jZfce8V)aRN z!|oh_;BsO_;FP07s!2enjleuSvjicRl5JcsY3OfG(vAY`Z$0eCEmxRcx-Na^4C2*P zx_kNyVd*zM3oJ`w)1It=K$RN5nkSS+4?5r2to1mz0P9Zqk8l!);Lbv#E_paPkU=7a zawPwf<*pybf2CcGSf{PPn;MQ6w2WlH86dJT_F2LYxW=Zg`}S6`zxaJyAC?D3vJ({O zrQv`Bp}gvl`?~b$LHQI;aRNwM&it39C2drkKlu-pd2aH4U=RCLihk*VJEWYircwqLo5)RI5#+s*I*<)+KK;VsZ zW_I$D$Mx9dip5a@b%^T)3^_);jrYXVz0~oZ{5fk9u;%q@B&n9Jm9P0X-%rxP)K323)=>UGkm$`y;31@Y7`V zT=I7t)PxQnVzGxS{5o6)y?ii)>jMdhpunjr+E=viv|Wii;GMaJsEb^l)ON| z9COb&%wcLjb33^3$oUa_<;QYtXnR?*dQ;iv5Ls)56&_jpHgA^IjE~fFGzDRhrHM!rake`QQEW7vhI29jll75n0V1|A*;Is8G4?S zG}x+1Hm`fjpa_Ap=-6_3EpV{zx7SfCOaL_gB-USQ2t{$IzG>P>itMHKGHW$78O|F>wsFUbl2_j;}m(DQpdy0P1#yr^k2(rhx z;Xq1sadBCw{W1;|44?c(yTfEbA_rOfeolCwILK&HT)5ToPT# zgjmiFIAvK1s3LG1F;r*%{dze;LgK9dd}M)m+k)hK^$RXjifR9i&b!*0oYJvN5@gbC zeonlZ^5I}iaAUO)YAspD<~wYfkiJ&T<*AiG8wCe?-!8~8`OD6N)EQ%^(h`qebP)Xu zDNnLTc0|xf+#LDEkn33R^w*`&mb*>YQ2L#0O}3%(#%&^v1Jz8ls4$cE*)=vT?9Ad2 zSejwq6RT(J>u*5D+hVUcxin(|%pN0Kz}&F?5{Y|{o`QK`uuEpT^_zh5vG>F`)V2mA zH;?OFDZMMN&&OD-tzv1H@Se;Dh9S!r(AFjg7X*m5G;48;}xnEFhTS3`LbSO&YnB#^mQs{SdKRlUT z&S$_)r#kKd6AdZWTyZB7%Qkl@Qrln@y2_uT)YkIt91;0G>RP)0o&I=6#qYiD!1k&- zjiz&srHD|*#s5RqTX416Ms3=~-CNu#?oixXv_NrpFYfLhO7Y@u#oZ|uAh^4`y95jV z<(c=JH8Z~<_sY8VxsUVMgUn=ctubuBdA{@%ObXvb7b{J^aYUNYn%IuYiX|oyCjR$i zP=(UZgVw!U5o(Muh{!c(h+!Oku`>UG&z1(&cv&D!E@U@-nJt;69_1ea)n=5E>AzGn zPZF!=kfZmg*e$TX82pMpj6+k)uf$QDMqX`9uRl{CyLk;@h`LH1QWD}2q+v+R4amzq zVt15pkid)c5wE(Ck0hG!?N zQZ4$;I4mUFmz~f#1K)kqJZW+$1yR5)#3kE5c0_bp`A&vKZM00t&8VWqeVU?rl-H4K z|G#5R6g4GJpj4mb=hcpisG1I{)sA7epBpbs8fCF37W~df^>fhiNypcdPDLN<@Hso^-@^RWKE>Jr=~McQtTen>gDqi?F1(DGS)XUD>1>x{ zQa1l3c6_jN^p>#JTRyV5ETDk#3UJ1tiG|AM@r@QI{zxdlQ2X~{DD^zJkkBkbh}54y zIr1w?l5Gw}j>iK^HTq}%_@G!X@%)GDvAA{qV?T`jtZUkjF?%J|UZ$z7NtMera>4joD*Qr2cV!88}UXz z9K#?jb9!;6@ni|ivdUHKZulB`I{X;7RF-^5_pz5>KD?{{Dt0!RrAhcVCWmnw=9x5qo$Tc=c|d7lIF zd14MFsmnmclI(P$|9(oHd{dv&8~QFigY#fC^|N3bLDof`(3G5m-2WVR%Z_={EEe?n_%s9;#D#t@SXOTDD|WTCXWt;x3BBqBA5 z>&mq$yGg5R(6aRSG`B1OG%)?D3w#k!*(_Cg^|7&1@6;4of3`D7E>oA;r>H4J%B`r# za6bzOJqqyH59F%%m}|J7Z)pBv4hk7HazWrZ!HzDR2#(Ni}Q;Cv)=8Ce+`6QR~L<#^5FX1p%#>H04k zk4b_ODYMNvW=oEj!S(f%3$}X(OXPS=5MBtim*_+({6QT`sUzKk`DajGDClRzI7&Ja zy}}9#l??T+u`3N$i3KIl#I~@rE4_4Bd?<1QC@P{8hiZqz?&kGyCUX|5l4dh?=|@5XcjefL7Z#a?Yi_j^>si071Bw~F3gv)xPBv9GxtO39XzO*50+PB z6hHH1p?d$}s9}J;voM8*z?afuR7HNSb_Q^0d;?BeNw~^~$Hr$FaltZ% zyzH;wf@R#sLzshaZJV4{c?D3btb~c@ylWedA13Fs7{S>Gv+h4g=xW_5^#INXjk1bE z`xnsbUj1g2g2rk2!X!dw0E_9wwOHD3d3D#K>Gby_@I_LaXn`0$GL1d)QWBJ;3kvHL5; zsM}`HuL6kz-;4E9A{$12cvJ);;Dx*qQp67d@fi@N4PDtl)ZT<9up_a3& zn)?T=Sx+EG>PrWoS#R;jBQGMc5RcNt2hhX_&&B%n4%xXGG z`@P51l4Fnvje=W*tzYs|uEPNySZ8ir};S*(+J>CNQi>+L#PXxU~4!)2NBKQ~lg-c=62^H1nvMX}vn%vH3Z1zl|i8_?|dt z0gn63|5UTwT-&FHSXfhP-0-Y~cbBhhJleqKZCDa?a1WT?y1kk9?aMtSBp(jKSanm0 zQYv{IsH&oO)NH_#mTooZEI$$b`MTwA_WI{2^JyT8M5C(sNz-y)_wKG`o(#b_~s(W=vR4XHchIYxQ6VG=Flk zKz~9ASe#x@J}oUD&%Oj*8IgwbknSR0h?t&=IK>NKv2g(_R$D`|D__Z5nkY8mZ#v7i z=^us%i4&WsH&Gr5sguTD(3~ z%H*O*ao-T{E=dewu(&r8T67H$?Tt}7&_o9|hX~nHd)Pxrt(PL~9*#)jo}|V0oJsOb zsCuj+{=L4o0s7p6or@6Emye_o^dYtZa_nATN#bE(Q|R`@R*)BWOyP>g_e%8R70ROWd_u&m*}1qL z|KJ5wL7#Y0kix6jD~G)oS`uK?H#>%1QDqoBA|$=d+Sn~YKQdr`e7rx3HUgfG5(Gju z+M8M>%*B>Q6e5%0pU{-RZjD3|#H|=WO}}gP`r#lvkx633(46`p9lk>;TtSrwJ3=pkoR_&4!;62cFB*13b0{mi`|WIJJf(3}51x z`tZ|dS98;p;ODsxD)+S;sB8^4!9J;lAi?<4TMV%1B}n)~#Xo7I-B1$T*7MBI9CR>B zJ;0k7ba}KK17%M!pB7b7Ih9?L7>(_H)CfN12v`7O?*LW`cyw(buA~)*gp6Z@7ZK6PX$NH^zW80=r&}Jvy9(h9226V&jZTQ0pwFbQ(@Say|nG* z1?qI~b46+f=|NvT8($a}a1Ola0Qz8>%7o2N2*_&4ktpG9BrrG=Z#ax-%92h#C>ER9 z;ObP`tNlMdsgl8;k&PlP^Ot14{isEIjmjfYfo7R)wOSc-jb@pcevxWm<7NeF*&GJnvg>b|M{%;@yO*RSuhc)%{lD=w zr!yUu!+!@(?^YRUa4RNfHLFeD5myRbcz+MC0ZND0wCmFTCTI|4vcA-oEUIqyYy%U2 zY6r`G>b%fRZmCaZ5b}u0{jT$Rhx2qQp;QK8)%4sZ(I{w4W}XyUZNITQtuWOxsw&XZ z&j<5;(kc?no^$JS!D1i#$~C@KX8IxsdZre=3o4Hz-zam2a#57Atl-UCdrC zd!p)Yz;ZVfZ>UR4AXsrvo^`jT=g`4s<2uuxdJx7|h|9aNxT&fNY_Izp;3^^eTIMAC ziP7PK73<;g)e%gg_VIvs*ARlA+;;CnfkuDuHJ_y5u^YN_QaQamb&LkDyEVvtY7v;c zGxd0te&$W^=l|k*MIi)$Vk8ff9m4rsz;8?eyR zcRVPP5@i|6#o;f)d{=H@-#o!d9~Q2lf?M;{WiN4<=#m zrQ&iYC2J@y5vwQZ%JT^oi3B}&nTTH?2G5clJ=ho?Q3)2q`H%SHkUOb+xA{rzrT8F< zKL1@99zN%WNhOH$*XeNN;Vk9~NI%biPbPRwo0dK&LY#Ya7{N44_Ha3 zqSoO`pwIt`aW4Wr)N2so6yGbrBS(Vj0#O;9NN@Q5ij%Xg4#+17XNxs&EOR>Tbuf2g zF?;F$iM|(X=Mb8&#nU-L_S?%yv1czEA{9CMgt%KDh{k)^vr=##b#f{4UhQH(dR#w} zdi=Nj%)kl1Kw&OQ;=|4Q23aWlfLc}ta!9`{6TpOeY5GznS%wP;=PQ+jUch@8tSBF7w+<*bHv`qlK=shes4Znj3X2tKCaJ0W zWHsDxPbIeMJ0lh9x8=+lJ1Nv{qa$7M46EjQE+R8~Qpufn9)nk={m}$B)m_cCM!o@& z)PNqXH5W^|ut1V?-SnKnu<_U1 z)75PG{Rnmww;h66&r0>?_m~Lw1)3PaiZy%B($a0ay58ol{7JJ*@{)kqfcT|zqAQd-QoyM9 z7ciYKk|)FLyh*dGG`Z=YN`X4jx{HF!`pYVb`rZJE1~_4dX|_JPCMt0KXfeSA`|vBr z3pu0&P;hoJZr9BqKk|42qJVt41 zNbxBAl^|@*IYEc`J#r-3^7;n=70TI{uJB!iG=S^RwSUP9XijX`v$;LuUOeZ>eyd(& zJ-Nmv-;Lu*47S7P93Ne@hR;h3sex3UbsIq??W7tHiwSE8oA8z~TZcpL*JSdtgm3p?CNw390Ls}ZJP;F4*e!B3uDd2WC3%Da%sW-{ytuiWM*K(MNK=UYnJ$pUj^o$!| zTP~kJU3h*S_Yi9b13&9dwmXa|9{|u&;;Qw}82* z4!|4wp-hMzXc(7jx`qGdVE>EM(}&0F3kGa#-_Im4{diA`w?*V$vVq~_(^HH2sm%}K zo2eb%6z7rvY4!<#@Y@^zKV9$J=!O)EGDkY9_qu;%yWhpAa%n1dmoivRuMPR1xw^)9 zLdePz@oJrt++PLG{i%KUZr8NQ>7XObkggPOS+Rc? zq4!g42SOxu#B;gsU>%=}?ZYp=D|S_@{}gK!0u{n_@qeG84=s;b4t&P;kiY$l{jgkn zB3$Si{bp{y8z5UKKWFR&H?AWh<9mNTeUaU6uz3qMXJBHI`w$CZ!JO&iL`YUfhxt^q~Wff7*i^){u2-xqD7+#)8km&PNa zw;w0b$?rHQq8aJkagGaiQ&Uo_w>t#B^L7U?JQ>c)j8Fqks>wJZDC5&9uhnQVy8T3> z=u+!95`6aQ6cn{a=WgV2GLCN)(K^K*P+a%$1x`+{%fJ?Q3EqQN%(Kv7mwYm}Sy;`{ zuW1#IaWf65HLK}(bEF1aF!^9IcVovb1{E<)P%7Vp_&V)9Xr z$aaCrY~IFVi7$iGDv1jatuhcTFx@oH>)7&B`i@1XI2whw1SvXtWFp&fGiyAmXteO3 zgISh<$M5?p?TYbLzT?74F_p3ji>!CEXIRgrH4MW`lGNS^JRd19Oh013K785Q55hS9 zB-*=~$lVd!&q>@lh!kN)&Lg>}-?k|{$oxLEPlZ3ZgA5fBKF7m#Axz+;=2y~PV)KB}Cyg%Z2bc{m}a{`Mc(LO}P5#+XlwUewrO4{-1P zAVG-eWW6X-338f(qA_YPi8131VCxrxEdiu>dpR3fnj-(Es7B`|kJ*w&=xHnzkY>bN zJSRhm?XRRqgojmNzIF^(`z6=}0?X-;M9;n?|BlBp3<<4(f0st z@@q})SkIiC5xd%`2=C+^omtbg(suCP|5Uu60p&SmfnBdk7@1-}^gQk7yF{KFy>AYF z1-@Vl$K0fqp}cWUS>*RB98ghwcy(GtBjD?!l;Sb0%6!yZe3lyrc07U^Lr<{Mt-A zJJYsVa+eeekc5@#;n}N4k#9L_V)v{lGGWkOaXa|tp3|U|%et12P{AjlXg~agbiG66 zG{)%XL{`;Ueqm$dyA=izr;5SxLV6vSp}B_Z30~?@3~fXDR6L zdCvbrG)BKmjd{UwCdER&$$KPPa&w88W}1&gMrmr{5zuhDU^4*5@Ua*W(_B}y?1 zf_@ZR^!#l;G0@zlqlm>dgA+ckXH^Ft&*WOU_oG5|!b94LX!LCfiB@dxQZny>AyW-J(XL6G`d zZ- z%X?aP9z|rlV~_pbI+anihF)S|&-ug8>S?XfIL@_L99{xDb_jvpYO})gLtyGVnLLV~ zp5jyb-@dfH|6Wqi{i&cd-tT$y2&FI`bVv9ts_zB-q>#t2{`ZfTY*|$Nre8i2{>dZt z2pw;82=Q3B^Lkry47yVD|(Q^drh?ZjcoO9?@^)deZabh0+0Bw0;V^hXkzN zwmj)w0*`YX9*zd&_?VRPg;lQ1rX^pI{TruOBH|+(uHxANt-)k82=?y%%$GsaxU|Rs zxex}7dnHKIbgq(e@Ct(4rqILjIHRLY?;_rp;~QCG5r;-qnZHc#ttuzL`~;e0L7OC1 z8IqWK;3MDS2JO6nxJ-Um3910`BbF?c;%zK3w^PQ|Z0Tk^u zSS^yglHV>31h);`zN*}Pg#63?G%#9TZ? z0#+NICbY}7@ck;PNB=4^7fmq#P3=n9c=QWJELOOwFO0xi`0g73ZjU2NE_W_eI6UUoz9_H;=GsA%fId=_4(Vv+lL!jt zyM3+XmFi4YJ@?oHue8-rJBP&g-GG!so+1w)owAxvd)EMEw@#zLqWb{Q;4~1WbXQ)^ zf7hT0lN?v%5O4HGRo&wyg2HO#cAAb9OXKxhjGKFuEp<5E%hvW#Iv@`zL}1Gj-ntMy zJfa{-{M#|K>KidL>_u#`rW{I*5&%=vV1ypP!0dd{GbJUGC60UvHW)6YH{qZWgR$PD zAL_oDi~`^2^I`V};v&*#`R|nQD(Z023JN3&qLhB;pPFJza@nJI;`kiGhir5EViCQ> zdA5Ok1KONq)=ZJM(=U6ctEM&Xt zSS1SU_Nd4q$KiQqkhXhPs>H!=`(Z)RVJ}aC!MMlbKe-= zqLC1d?uBf3qQok|8j?dG3%53M0X)3M2g81jAw z-9q;Pv)*)s$oR3uyz05Jx%q~(i?kySv%dM2Cj8nLm!nT1?f?;A^Y_Uw2g!?H%FR6Q zE?*OTF!Z(v*DZFWJ0IQ%F)o+X%-RT3fDc{U_1n)!3B(FQ7!pXu3Vc7-kN&5qd%=8z zRem|=_+@PYee0}rTLO41sN;BlY`d9a zfMq-7(3!Nt3z%K^wKd;V`ZDw6wY0T;^6o<6zf>C`YHeX#{uY|_*3aK5aL&5^Fd+8Y z=XVH0XctXkd>g!Y^@`UwQHDE2Ub(yNN$9^7$!}Hb%A#1w&(xOnVG_2TQV$d=F$6n= zbETRkvCPmN<9PK6^0{N_3jYwJmg@5!-==$3+t&P$;DYp3rh;MjX1A{_Jo(cBB4N*v z{QA{ISajGf2Br$tHuedoIRBh7aDThA6t0k_-5sR0>ykQZU5U|su!@N!O?O!=XH2_j za8YjTjqrNJehq0IWpw`t#floAcOB%d?&&VP=%w6ms z!5!hdg<>SjRRz$ScjP<*PVr#|SUSVGaV!xNtlNG=&jprJj!_EneXhtKuPtdZ)6XLG z0ivvSm=RguGm%ky-ohsAN2^(v5hbvH45N_ppYQYy%i`6?Fs!Zb~H3u;V4}!m?^fRW<4lYFx zS@^E}SWOu8w#;;Gj0`9+vc|jlB%_gPkS=p1LNx*&^{a22x&)}HIQ2>g{t$!s-@?sJ zvJ3iV>K!p2RHYw2CM1k{vR(SiP-5|FxwRkhyhxHh-Id{~=PAM%=k%dXx#Q^u{T;z(sc^FDi|!Dw0$4!xxt;N*O8>8ohY9qy57(t&NdILzU89H98Kl@ zH>ZT14s_`1dcD^pTML}xV;s78o}hl7$R27j^Sy2NE8?ObS3lUQ8zB{uO}_!E&@GL< z+P@czUL?i=3E0&R!iYvlzeuOQN;BN36;f#)&Mi-KH?O#YxQ8iLa2X6Q1HWCZ8LV=} zl>D@Tt{92C%v0O^>y#8MNtHpzNOPtKFn7F_vV4^~?bcBq_AMPkE!I(;pyuR?Cf^kn zd+46(21#jJ#!L%p^{PQY;xV~nvv;!_iLPZV8(+n1MWo1W@@e15Y8ixv11~%ij&QB`2b0|<*7Gp$_S!Z1!A_UTy1e_cr2%6C+nCC(3b_5&0sCf7?p zf{LN)SrBmJZxczN;nHm&^^^T`^DnLiX4eZ?i`b0Pu}SF_QZn*a@a1Dv(m(4&u0==X zgR`0>0TzXHngM3liH@U{ShA6|PUdpUdE(63TxQp!)+66XpE`f``^tISWP$#JHh-D+ zfypnF>wmL-J&tDo!D+O~3k)*7kaok>qPz_b6dbXybLL)>zroaqFIows`_p^;v-fQl zFzk77E)_er2FW{3fB>qS#CQ$fzGkYR9)`VKLkN6J55v zLmYdxdW1p*m)wHJtI9y$G;Nw75eS#KCTm* z6sTk_o8#33uboludjyyd`89)yws8GY?@-%@g7mJ#E>ZmzH}mum8<2B8gmx1v;{FtY z0YF^w?m0Jb{CRrvI|xX~jP?Ffbep~@Tw!_*KJ~f(yK?sBdkP(wt>baQ#gDu<5ktTe zfzSp6;mJ&8B*hfoXXvhyEOGRQm-ebs7C9q6MD6tn&EmrvEV%`L)mzXw8t5^@4d#i4 zTRP&Gc<076W(4w1Y9DS#NURdMKEc_I&wvuKNO#DN>93}%6XL$A&WbBHcEnp^kc;b)0gIyKZHjhA?e{mrZw=iy{gl)%c(eb!|&eTQf4Xj6h65|*)S9l zeWux9HL00J;i1dJ@k^jL`Hg67k+MZ$x%92j(~YpSahVCXhb=54h1Ua2j4nUZ%Ft9X zc!Ep_II6VsaWMECU>iKxEe0r&5jI~)9nnv9PD7%-zNTTAgDdVe`{{=>e{F5kSAiI- z`g3LO*QOmRB&+mBC`sY=5=(9MyyD@?&n-PDd_4y zZ8@2hYM<{h@4GO%SWMIKZDe!J^r+IQ%5FHY8pZMF)?J+`v3b~`T}k<;BRgNi}r!#9x?R%^~G|oD??MhoraCa1)eaM`x{M55Ohk_#-+OoIp_#E53eCJ3| zJ=bH<&f_{xDzbb?v|sI$vRYUh<&4W7?6vi=gYX|E+Gspn{CKnx755Gw?7OAf2lFo> z_t~C_1YG|?*6dsDUBg%}G*Dml`&OnV#Uv&6+V!%zh$2VdLAYbWv%NBA037g3mt^Nq zpfOPw#}Zr*T}>*6v4RKuVuDO z>7QpEeCIzP^xHr=V=?#8l4zJm9i-rxEhbwW4lj|*NnbH- zkUJ6puQC|9(p(YSYb0%oE#O+hWESoK9n9Fp2$Km#QI76F5qo$Cs-Q)_gE7ANy zou4R772&hYD(%TR1A7N>u)$SGKW5nX=-Dj@fl#`de1|*g5-aj?ubvKq7J%5HI8-*Y z#2V3f>-;&N2FABX!SC-3dVV?=+EW-2z0_OF8yWzQ+KRze!|l!IzD?)O@kp@tHng`bWy@!| zE{6Y%zKSNeY0&QRPvJmYacRY6X7V%bz~}$8DA3Sg#yJUD)tFuYo+l|GE92LPUpNVQ za`5uiu1tizh8zHDeyaGeQ^J&G9y)!Q?jDZcwLR`(>>pc^W%OmHW5n?-jo`n+o55ez zwqq_r+cpvmc=}f2$RXHkAsmk4IO1fei>&P}5N>2@m;OT)FpW8l8}5%@p{o`l!oi!t z@JekR(o}1#^8#R7+(m%43E2qs-)l!2t>W0DBUH^CDd4oyEy1fSkosd`;{zpanEgl4 zWKLcpIlnADz91*+5dSzbhz6Fv*HGL$+BB`M@O_CVAsgGjg#6^2int;CylqVBp*f95 zb%j?5TCO|N{Cw}7it&%{45V+i1%7${&+bCsRYif?tkZ$#gqZ zBRnodxdJ`zUi&^aeU@v-B%SGVN4f*2BI#cXSS`a;tw$DiZwp7#o z=Yrg4bYI%BbaQy__oz{wi)<#_hJLQe3=bAhN;>}C(Uy=f3rTtDo@>alzF2?YP1c_jp zJKAayY;-8Z95>99Fe{OA6>|TB8IxNkftGqY#g@66qyyB6_!&?nVa@GkwP8xOg2Q-= zX}?k~;o3D(d{%$dPC1Dm605nhUWAw;e+Oo}C>vo;c*Mnu=| zk|1-ZOUi2`3OB9CiNldzKDtDvP@0RYOMAK9oyHxJiw-+OSA2yR8i4znh-Z&B@twg! zg$%qiN8HzM#=qkj z&3Xu@9HUWo?E_~g?Wn(I)cFB&vkv5pE-Vvs#|cA1u?Cc)4|FD!h99dY(@PqK{$)qA zwpaS<90*%j(Ywai^HMmqL4Bwd{748g6$SQDKJn;_^z7+pi;Q}I{c$jKIlgbM1iI3V zs}wym9nYyL#yHjg!g2k8zbtB+o2FN|i1nEtjeOgUohPXVUCOFjWeUeU!? zh%~#nE`xsBK{TJyjdq4NuSXrTQf;2}tqlPF-;tVJYR2#OBlL$?R!0>1ehAiAicG#! zBs8DL;}d%58dVVsY2_+TaCEGe7;-n;u0Z-%gceS6P3}UQkYckUjE|i_b8LVrV-{_+ zgRZ8lJkibDDzlw!x7!BbqaMy0gqiK$Cc#Zh`5HtXuX&i~O{b%a zM^k%$>t})WQuByrYbk@H)pIS!4S$D?v+q4!G1Nql*VQ#`A3N~ zO%8shW4qdwtfk)PwA)D|QX*vL#PoSKdxYkW{{kDsXqYFMhEj&g;N5m=T^qx`W$=2f zTKRerJn>z#HA1c13Yf7e9PkaCFaup3^I+-r9!t`HBz}rdZ%KIH)Bji8o1YIMZB?9EE7bIDYeAXA$hlFSO1>mS;TYKEtS0i zJv1=CCnhw}^sl6v+FLJHWDDM3meRpxmYT->X1WrGNv&D>a!}@(1KyIeqsvMP8fRqg z#uP$}%|1d`z?aC4SpSX3$BzLc^Ng6w>qIY;njgJ%g!cGBJa_OIl?`r(;};uF!G;Wt zcW7dI{I=8FXMR{6)bx;U=|#r`vASUl7aSO3yGm(FYL}8xHh7i#h*(7O?)Lm$<1dPIB%#k$JehiR~gY=eSuoiecRwVQcbwPELL4DQ^;5 zvQv`6`8VR8a_=~mzdEE1hDNg0gq{gG@RzQS*vme5grwj9AXY^+EvZaN?7)mCH- zLo}(+`w}8C-r{SHk#dyckuHBV*na1iDQuAPxZ}j!l@VJdrGmhc(bO~fk7jL=4NaD@ zRRd)L$4SKjQVu^Imi@#dVgr)H&I}tI$G`K*ZgRwd^b2x-Ton16j5&XiRvLA8Bj8t@ zMGWzE%9DQgw`gF#bIUE6Zw)kCPj*S2sPd{-Wb>*2to2-@n=00a)jO6ZB7^>TBZ~ZdgWy$cU$4A6b z1R+e*#O>>Wl`yrH_NV^LD1ix_(qm8SG8j zwqF9Wb%A2~jOT*Hn+*>R4&I$umFG$#RY?&{Wftd}Hm^M&o3j7O*wfj6H&)9;ZrA-H z-^e%ZDcq7i596Q%9hUECnur?4;22k<4XQOA{c!L0Z|0N1Ve?9xQ;Qf?VwHBbr%>>} zyv)03q+GwO?d?e&PJ<(>6{yHO@35g;wbHDa$y=o#AO<#|Ir|_%OoA1-#(`k>Z+%46 zRC~7D%cm6!KLIGU(~@j(IVC8Sz4EuzI_jf{W_x(5t6ljscQ4e0mFB9&i zo$m0vawc&sq_>+`EF;*bdPi$Y2B*bn6j|D>*+}gZ-rP5fTHD?P1&SHXju24<4xE(h zi7_osE!0mg@r%7At;|&x$JXdj5VQO5g$~|j76WfCpB4vPm#;ojpnKu>z+I`GdFVWW zx_zS$^ZCM*a2r3Q?66=V8mC@UqKt;VBeuf+thEkWgfoHxZCPMlP6S=+*4y77~&p9Px)27 z7jsUhk0PAf=s#y1c(FdXcFV7PeeTvjLH9zP=G{ne$Fwc0m9-PbQSQwuy;i{(^gh5R z94baW%8(U$kSa0Z3fLD+j=DYFA~oxMUeSl)W*qe8V)rJ8O5-3IF~+34DcuXhq!zJ+ zZ23s^f#1h0AFlJ+y%BE+cO01`F!+`LI?uJ=*loq0a@9t)k(@&KGW+W9S+pziE&}cx zc*vKumF~S%fq_>K0VnhO5Bku95n{WxNh`0mr+@`M$xNHiXK_0G#0&!QU=|vv%qwR! zJ5Q}5tNc{Zprl01N7o*)MP*R5x$D?;Q~1=Zb`G+KBa9GY1pi`V<_CjrYoo2a?gjS( zS8fP$0#zfBC+W*%ZC&qewjkBh$9xOEL#vk zEIkiX0F<=P`%IxX`PG#)ZGykRRh*0LOxh*H<0v57S>H92e;FNZO3y0O^dHpLLvJ}H z4h(4ieHU}>^t5jj=e^lj-y}lWs9ETZ3On!*2|NBYBd8X$*uD7`GuZ%$sB}+@GjS+DGR71$ za}9eO&p;L~{=ur}>yq16PINB{ZqyZ3(;*Y&Q+*CTzk3Nv@aKZ`wY(vZoA< zWq%Pu`2tWd+Mc}fgiwMR@9>YH!;3bPEKGQ_y~z)G z55+onU_?@iFHmm5x5l1f8rG4+fVx=}U&rc?5jPy|;YoUot`NvVMl(2B!n?nohyAj=8pZP^B=l%pG^9{>vheKz`zM z5Br9^Q~knQWxYJNWj}y{5ba3~4G@XVQff6gv%1E-ZRcTQ75`Q0Ry#m=g)d$q{p#4g z)<2lsCr#2-CjvGp6*DfYnoJX_WEAfufJ(rd?~rc(w57p8P&jKQOI-kR9!zB`xeJwnDzUafrThIB+R*!d6ljEx{TT; zsEkYY|B`VTd5uhT6DYwOY^lqAMU*oQu~%-z4GP*)jQ;=-|2T!rM3{`Tw8Xklleq!q}jV9PMABH6wJ zSH#%YpaOOggocS6ywpxJbkV3Oad|&JB1V(FMmfLNKc!0T597gpXOFo+GA*SMo#MN7qO4_#GyOqUII_FG+OTYUVRdh2@xbQQ(Zx zap#7*Pf3oPg`5MO8(75CrL8cM0X|SrOVO-)XS@pZ*mkle^Cv62elEUd- z`s4LTw0RSoVnKgKj15ZGmP_R1?((tojK_Zc7!n>iGqIzJ#vDhhj?TqC&u6Qdt9)W^ z=ig6b+QkzMo=`fp)5rcv7bBa0^o0D-Jx)m}D(Cps;!@0`lJ5RhGSM(V%FNJDg2FGp znr)ZwDKe?XzfM-7WOBami|rzPu9aWhB2U*Ua|U=LB16#SuXIt2*5ssgh0W!+wh}Y3 z*CKnP*Fpm$k&UX{l@1}v*NC|3&!?khiF8)ts)69HMEbwBCw*=)&YF z1B6XaR#~D73bTEEuPD2~%=hHr&0Mj!O0$r()M%}Yn9ZObxxihKqE+p`o_+!a1V$P@ z6q&1q(lXvi7#dH^j(Ry8a)HlqMRDI_PKdaE96NSVB%hmaN?JaPLf9BaJ-_9^NK~E) z$z8sc7YD8w<+_@lEt<`_X}cP%g4@2A{hncho|jV>A4U@Eb?U=3ydv-tg!$EVDj*b> zKtZ0$G1X1e0Lx#B7rqio-ztGNAbS#g*mKJ1Rj}p~L6Z{$Mh_=^T-ABI)e;%PMDPA3 z`6lmFOIb6opyNgHEsAhV*|gvYA!4a2rCSCotRyCdqKFAm&IwkE^Ceao9tA86VMLWm z3f-gnh1sR(t77aEBqaSln}f})O2pDFjX3~Pio9q-Y=0!8p&ok#h1O&>CJpj7S|R6BS# zT`#d^H2Y;d-JTZ$lis5g1-6r{Qr%!FA4MVLxULZ{{Jfmf@I~OU%Iuxti2skRzYJ=t zf5Ud+0x9m4;$E~ZT0FS5NU&nX-QC@xxEF_F#ogV5TX78(*93Rix&P11`|g?je9Np) znKdhu^}DX~JdOffbc@ltu@kCjzVwL|of2CbZiC@*)ZzK8-bue8J;zdQ{y zJ6N5r`HyAhs4s3$lGS9NZuigqB5rfpUD6mj?_(KUj*DojZ)VH;yKe}X+d(UZIFGT5 zOQ{uSdSSb{K2|M25J6>Ju|j>5=Di;sm}Pf8&#O>QsBGq#tMjjY zh;*ESb5=IcvBP*54{E)Q#R}r|0WfOcQkb{!t8-(Dcw-S4EAtSw;B=|*E%e)ITQUSM-3QKv)+AFrad-g_@aj|n;v5>pa_B`o60&lC z`z#<1hit-0kCW9}`_uc<2KdK_M+l6|z1;kU!&2%dpFCK@q{t&3C?~733;h|BsOX}|M^v}z?tBC50m|ibMoWK&x>V<4hDSc@R+15Tu>j4{zKbN zKx1FXB?o=()9*EIr{kT`2m(d|FU6qqY0J7=CQ!<{v};rlYQj+bI({1kB7OYzw0@q% zzmT^)%3{@FCjk|qT(o3u4iu}YxxYd>Lga_+r{{$!=fgjvW#TagY@U35L(T}qGdT2O zH6b9!udF;+bl5BG1c<5#%V7_mPaO@Jh1;_6V`U0{LVqtdIk24Y#4toFa=x}R(HY-~ z>UQ3SCSRk!BTY`c9f*@GaVD`Jv5vXU5}U(k#=vO87{r#4V&$*1>gu2MX7o_aCh^FL z+1D5jpLAMxV)vYARtNO7!gR| z92Yr3x=I;&;F}9mopk_8pSiW?&kq8Nr`FErUKE6euM3Ex7ye?&T_3e*xJt~z3t~36 zY!#k)to9DA$3h3Z)hPc2qf}7~LoBA|rEPG%%kacfZde)BxSlF9i;w)4$$_@%_i^}wMH zQv#!&V%3`T%^1Y?CT+hVcXd!aiI@$JQNg-$W^l$QAs@8U+G$l_tX zKxz4$VZxkm12Rs+vy?l!~AZyD!etXoTF2$y^J`T%NXahn)nIATzrtxXL{|i z_+rIn9Cw8M@MD){{_j1JuFXAG=Eah?r}trZN$35^k26)px_u(0gl?eUgJTh3pbYIn@LX%fzOX~m?w$a2oPSml~*G|%=!w1YJ(fNWGo9hCIFX^H|pZ#G!a!1i6%YK6TFK_xcqR9upkL>^lM6 z)3c+~uD=rk3kYkpN(#Y7SPr=cn?@=N=Lw zOd?3TtySmyt&?Yqd+6xo!r6%tfubYMn2d~I$t(0+ELA}e5uBu{YM|&!A#_Z(_ttpI zE1rYXC?@nX{|A@8t0ZH#sm)a3q6$Nf8+haV1EMs{7*Qg9$cJd$5{DJB{*>RQDe8qZ z)AlH}v~9o0+hx>vVMu*(c`EyKs#c zw-#D=b2zHOn>$%)b-XE(TkPy_59v6U98%qmf^0Ev5cbE{FglR_*;hG2!#A~u ziKB}o(iBb-@Ved%|to1hb=3wm;VUcQ#CFrbB+;n z)V4`4GgoTQ-DGkt<8HsUEd8vt5w>$ZLHys$wO;kSM(nR|Nh#)BP6Qg$8l-L;J=8RG z-0O|6w8A z5=-Uy4<(x8tZ-=!tYORXSoEuZXoccwj&>edh`|~EHP$v zD2r?jgft`Uv3b;v%$~)y5btX9XoJH=2X{h*gSsS%#6-4vCA-19Dj-j3N>?hXJ;H&z zx1KY5Bs{t{la9+d+?3B}nM1RT72!Qfu%C_Tx&>4RNDdhT?e`r3=iaFro-O_x-~#&{ zZM_yd8h`fr^%D>Bf$)LGZF}wgXb0ohk+gm1u3ybVg-Hm#MubHrDuc2ArhPGg!lj)h)nRr zgB@hZUMyT9@x<46rI~Po{w?MNCz1{dMtZtMi@8Wvcg%GkF zG~v^`uEvDAYUh!;u5vFPH(A?H+w!hetVX8P>?beUHm_d(-tjtX@M2kkoO_GBUH2*< zO<~P*qK`U+v$P9_GP)~}C5TGL5D$Z6{=zE%2GD!Y=S{H--F+ua`K+c=WY-}^XxHH0 zR{C>v-J@WX@N>S%>$aZI`ilZLRkM0!F;pd{S^6-CF-P^Dvq){>sH@SZ^}uuMxw3i` z;*vC~>sfU6NnKiT9$IEr`f<(-&e`%1QMd(aOYDA3R$I=rpQ~Kd(${lOI%_FPWBDPp zTbV;9t1)dFI##mjTJ#;Zg5P$xV$}BU;a^*og=Gq>hFCMiFOy}(*)FczI_Rv%Tj?zs zl-AU4K4s8u9vZb#b}YM5_IKC*l5-8mH11b-{4QIH!i#ZapT{z=wNhSdUA>_tPH@|C zwULK)ah1(EvtxYAiD!}*g1^qDGS^i;&~iyOx?uo8fuP<;uHLF_bdg;oH$2#X`m>4- z$&b8ES;!@WRH3i49IP~jx_~B}Y4waDwI8dMOwMC2t$h&sv3k*9B8VHPJ9N`;a!$m& zU`+t3fW_bMT!!A=VWZE77!iijq63e5d!&1KM%g`t8BI*j3Evt1qLL!MN3#=k{3(HU z?}*!4HemngPIkfPXIq56NY}4m3j9YrY;h?bTP{lw7Z$dq_QkTnf3cKAirNx zdALrHTr=q6-TsG{7l|a1yGx}C!NHcUrb71AVCQ#D+FRoZ6ff=J`+nR*Dx|}(4G835uUMgAPE1I ze0GI&YQ)R8hk~rZ9(Ie^jEZSA86Y-}HX3w2j*$GcGlU9SO^Y+vh(h!)!RZj8?VgXr z&wd~w3KHNL-@ZyBqz?H@NG`K%=^WQ%urf|=%*wuxO8GC{?q`FrgD*_ztpHQvhCl|N0+~7j8g5>c$g%x+Tc~#hj9TcMb7ZuPXWhjpOZDE&o%XesHcX zq;^i_UT(9T$fDmR{~b98&A!pS%wcvSHk5Gm*Nkt9HKp=RL^5UBU21#B*+o%I?uHo@ zbT62HTWyf0dqYYVRSq}6xofCQXsE9DmMjnNdew;$seLj$t$W;!B39(l=~`c)A$)Zz zKC6K{q>oSA>MXQ$U2Gk*-PM$J9bA=lRZ7xcJe_p zqd~Q?jZ!w1YVuO{Oa=J#cJuX$YSU>`vLr~|Qjc!$XU)0BjuRH4N_olnESi_RU=evr z)HKvrG)?Q4s83G5W5>Ryib_DA>1<8S0+eVhY%&}vYyp^djrHa}()uzIHsq%Y+GOlfiTx z5J=vRJ0g|LhxTF>koXXV{~%XhB7C>6bZ7C4-1PYk)c7+3TgBhv$mY|2OZaY!78;HO zeN^3WH)rtRJMH-?-9vlrNKqjv-3OBkU^>Min+@O?)*u$xMk8?_0n^6@ZwgxzkY`D} ztUtOlI#GfQJ{02-pelN=;|{eLJjuZ1&PywP6tG{D#^^4DwHvAV>T`#u%PlFT{GfCx1D`*Spkv46vFITKk#gK0)0J6*oNmw$!5{a4~Z$*qKcZ;@3P zz+sMX8|R6f1G3Y3XXlw~xWyTV`Fi>nKK|7wVV3_jcfdl}xNOU&%I+krX3{QmBR z!C+9EnRTHvC9i$fXya$1#<%zBPYn?_n(fn%X3O~hu8fgYkWt*S=7jjRE0~lphg{FR zMWy@rbM#**Mqd~Qxct5v$lPTH;NoaT!TlP(d6hI!oIJ^NM0F~JD1gJ$*{#N5U>n7} zW#5lZTZ!{Mvpbb7v%gY5S!7>_29DSs!$B+j5P(nHvOV5$@9K0`?`l-#BS7yi zoNYHXmVIke&WLV;>M60T|K*%=OILhTChgJC&nf#i{T^LlvM^j1&8dFn39MoE5qZkw z&mw#>yq`3)!8ls`I5q3(zOp2oGURX~-`}Vz1f#Hia4iH72T6$F!FpY}xb z!RQL4oMFexBx@qOC@`0l`Pnbx#)sIkRei9~qdCOpP1z)I6tdq=8Fvh`u||4&r`KVy zj=0|os7N{d4o1RROUSwDE8f(AfgUs8h}#Ilz8*=U$dWjmj&bX^K`?#N2pVCgy^DRW zd1xCLXO1zANT>98Z+agB-1~|{ZXW8HjeSK$3@_<6xpJmM^XcBM!e)ATWQ72DX2o(J zM8Uj~MXzYXGE+8C>F#O8EBZ8P${=Z90$rQ$u#=vB5)nEuN+%~7G+_yV{mj1fkB{&H zOXp40X=>ZdGMKE#vCgj7{4J2%rq}GJ9ruR?R0CY-R~SYh)qzE?G>oM^VAjJ( z0wV|K50_nDOohgQdywO{*P3bOuU6mGE>qB57T`8bB047JZNFBqr$d+_cQ0c!hwE(h z8spvI*a~-$#rdvQgI~gNTKZ+zq;XDg@fAmRbi%dJwp{zya-QnH&QM_SEX%SFqTHeO zLmG#y0rwPws_)Cd;ShRZ^reBvSJal+%Y#ptAw(YxAvpA-CU334wO`a3eMUVK&kJys zh9Q(4?+ugo9~E<`#&U13W__FkG2r^V#9N?k3y)Oxo}7I}>P9X7Y7|$~!8uR$aakXI z@{mcBPJ}2Hj8(CU%SHWc*7Y!D@c@d|R%-d+)tFYJb<6FerSWuTwaY)Vm%YWDM&Z8) z|E;Rq=0&l!)ApU#%S&SdAA%%bH7R0?7ElZriP3-Mm>Q=NenqPab&p9fHbtb1CH+eB zaSXD*eA%PL{y0}p`$=f|;LD?6s-dM`e=?%_!WjM0i_Ymyh)EJlg_rb0p>8( zo;4F0BRf~^*$C~6!n2Rez9vacLqN>2>CI>SulHZ|cB?bwT5|*^U?V1-0j6LS)E$Yg zkfGYDp{_7{l;itPt?UNX=c(lxy1O1IR8kDRvC{EW9eCPwoOpi8OU#P0Kn%(#OiXpY zxVY{5b7~){^T51MWTic-TmGB5mm(hTYy;d^*odQq@U`iH1k1^8c<~WBsKR}5z2A90 zNxcVnBO&)T_mc5^W=x|Zr#C$tccG&3;6MnEHAWLgYpNT;K?rh;mW<8EvA_}ja#!rY zLNDgEZ0mqDX=3a3rfUWT*zfVVj{O->9qwWF3;5O_MK0I|+^z|!%MqQ#@Z%lMTKb{O zhWilXwB)N$RrGrPxlf%$(E#@%)!s&8V}N<7gXsBPa~y3_P;rIY9a+U7CFVjNk^$X= z2Q8HV(#GndOxWUZdP6p+FqJJFjV-ynBPzQ`_`*~1+2=Dj%LID{XMs)UQo&;{?uP$q zQ^bG$5(dhpRPscr^)w)UUnPKg5^7{_Di&05bI9EW^%++!7ZKyDpYSep zmK2zZ>H)k2X$~i*;lLFnnxC!sk4*QPgSiS0(yL^>-y}jMa^3 zTeyShJEJik-raG1^|;iY#hEb|Bs8Kg#V+|mx2U5GQ#g4$UUg%a1jsZZnmm)3HQ=af z-TzS4y8of74_}&~#~K>z$+jE)lKO+p?Lhvkq?tJWa7CVfCO_T*9YYoGVz<5p_BFy= zhsg3lv|T#SMITAfYzDr83FVjy*@2;)%gXtbzGZFRlv5oAbYYz8zuq1!}yOMMM6K8Ht%^$~GB_4kL) zZ>AN&i>;vFbfc^G-Omdt1AuK0%8T$9`JH_MbVtnOk%$}oFGr@HL=R)5M#<7MB*`<& z^cw|yj6y&Bf^g8PBKFNsN^+^?s;T7bcOFb3#}z)IvWttROr}9tx`P$p=+fE!{^8ZM%7c z2P5no1MtGW?eRcJJ>*^(akeX(nFT{nW`06b+V1@BWBGM6paS>WukL^!4HaN z#gA`J(T;*+s7vmU0^y_Yvh|pCzxxgp@d0$19s5L!6Z^THEN?mC6+<5);tiO>sJt0C zF&DRcFFs%f6Jv6ic=<*=@r`Wy1OZThhD-c4~(>%Aw+zHW3QaeduU zgU?(G(^5V;6 z7vJBVLa5^Z|3!P5bi3tSgobd{-;3R`>%-#QGPQDbtLfi?8DA{(larIl1t^CXIGGhl zS&Fm>8c$x@e&A%6H`U*iO@v%qU2iBAHQ1FWgRzqTHaOnw`isr|Em0_c*JziX|Dd3H zAkU`azt9zdtT|vRT(Dlw*u3hhRuGU|Mn`B9n(EI!MKqB;( zEHK!{#$~}yQ?U8fU}!6K6FG>WMmbN!8AZg!ijRGRV#MpM zfcU#wl9i?;<{`m-2{yPZw(}_n@s;E)AU{fS&)fN5X4eQ=v9n{M2a1Xm!7J`Nk6C zT4gB4rEs#?N#2F4oozYD;$k=naXP3|D~l7IK>;-NKlEu8xvFg54I{hQX*4)W{4$k4 z(73woipbY3)?Ye_Zw<=X*eBt1a8NO=aumljnesjB-%oDkbwbVIan7*wy;-oRZ_SK8 zVB2FdwsL)16_vgE4GiBLF<_LWvNBHU2Kl8x)%08+7L~&TDdx6fu&tJxiq172b7;Ek zlO9ztt)H9o&gWU+3DyHuSyU@v zu&4NNe_ne$pHMWaaR@)%=crT!~x^GU?T_#l$kK(rnfI zdij0nu}|q>OQY4xBzsZAF|nbts<#=2wH(HAxY>fpXYCTx>gIW`0bu_h-!KIdtLv^^ zdt;vhb*v)bb+ihlrwX&8y0Yx4m-6zvkE?S>b=}&X4+$<6=-XPZ#!6{l4Xb<%$R9 z?Hg@&LXDnHWf>Y}6{CtBzq^HJzY^xy{&~zTDOid7uKw{jlSi$*+>~y`%>)uaVer*> zdn=CYPr&D9GBEJ}m%bIV)oyO^Z~op1p17(myHh}iDVF8wdYWi-3r1V-lh&X_b&L^u39T^I9{i$3fX;jX<`8-!^?b~>E6HRHatGqb(5(DD-j2?ztVeMpKt-Lz4cHR+cE{I(;?I#2n3F)@KY|277TMZ1_~@l_$Js;+de^7- z^*0qUikYDZUCBUhc8~cTX0I1a6BLUqhc-}%N!A?noD;|M!6wpX1&my2K-@AU{cn@V zv`6BOgLur<a1QG#Q!!WsSiS>o+GkOS5; zxkIu(Xb7<%^(TCpSAoJ<`?9${6D~1Mupm&etf^=yx0BriTKG5~$}W6&2a9_7^#a2} zL3J^qB*CsX>;HubCOD9wJUOr#k37xVSNat|wfDx$JV#E@gYv!}(bvO&!OMoYmw1^= z)`cl=WUL^Dyvc5o%3>F*+{Y`P4VdR{h0Mes9qRZPMdhp^IJWDEl@u|Urqm~2jDibmJOEo z8iH7j19#npv_N`lj$8K&_C=JK^TV++Sv1sS*B_LHHXSz|MM5_3lM(9mYTUhcIJ}3< z=R4-ht%UEaT^ouvhcXLE9*bI63%6#wRBkt_OD&VYR%GRs7QRF1`M(WhLm`ydu9* zp-vU8BD;z`^SlRU+ibS2)L$W+YJ{k(Yh;#937ReVwA7uIf7d2t;hoiGD?DfoW43~~ zb;2v_5?WipLKoj{r^WrNH`W~QHi$CHrRlT@maaXtYVe|M%zR!)GGe}WseIObo!WOj z?VNYnex6s`n<4f3Z6!2sJ11XB;HhnPxuy@`@J}Onn&|KX?-5;|YypskbMBCPB>j3v z7>CZOK$qcq1fNSl|aucGe* zs*_NQ)0dZM<{hv{%TE?+dx;t&zf`>93TgscS-qK4-i5SHITWK3{20+YOG zsvKY8JoUH@q}JZix@GRuH{o0J!?JnU?1qxOM|_0+OmpA#PhzbHwx$(d;Ccd2D1ZTu zuu_UwsFmZ~d&=ZiBbPf*?NyJ4f;3ZM!grz^dKF_qF*qsyNIP>g;)+=ClwM@aFpP2Hsm&yE!O zztF9iu$|TAd1>2c7xVeOwnkYlq3`vrN8B9CKu4;Rsj4To6jENhlcrRjra$ED1_A2T zdfA((LuP#ozmohIm^m2!H`9bSR{a9ekaV5Iap^1)*Nq=1PWBmtYv?e=srx>n>mcId zuCPDUI)4<$4wD2Oi=t(@`idHBP(EDueir%}+JoM0C(ej2nLOyXoAaM3f`u zjC{|W!it)qB+-NFN&pO^mZ2c_#se=Yq`1*J6pZf(1ifGMK*20RJ!0xsrfydX*$mr! zy(L(K#cX~hLz@kYKw7=&6Y_0>?7*rH}VoabZ z$rqI#3CIulO%LDd{S)$_3b6=zM1#mX$e04`LQIHEI7}`uF=MAl2cu)mbNv3ieBVCMd@AUf#kb@Z8c`(3M8g38x4HnvKh<=za6$xoxJ} zRrN9R`yVxu6yK%_S+!3^iBfW9JD=g28d*P_l)4V3^M5R!+X^h6^NlR`aPIC!8(C$n z(M%_&>G!${<<`3J2>(=8+gk~th_^4G$D8v5n ztga(7Vsy6|Rn)z0LtZX6lUUSu%&OZxYV*T$t*5T`ovMdpSZ?>b4{LdnKNTgizI5$g^Ja(>ac^*6|QN?z4sI*t$=>9ozS>gjHSAy!y_;e)w5Qy|2UrW%tMFC7A=pA zz0!zvwdzPFhRkC6s+6sd4Qp~oBEQXTl=cSu?U>}YTHWwx7SGNJ`wLxNrhMyajgd?{ z^%0{R3RqTVMNavrDc8l)ab2nJO?J$LP$ivi+jGHet!w#Lu(CtS>35#vFXGW(Cy|0` zr|$?maQ3O)O366ge_ndIQExX$^WOD1!ao;OETljc{MnA^qK|*eO z{pR_Xt)_Yr6}zy~O4m89^rkIm+_ygg{xGb&ffNlH;5NgyAdJA_&4wyZSpB5^$q#bD zen_*XP^i&$Pj^Z9%!oJ z2zDTk2QC7-43;7iqOvu6-*|MA1xG{Slq+i(!t$H_*-z%w9%1vLd57ulxsK6;eBjA^ zn0j;w zK_)M?c928`8arnlSgeUoldFAwyFO_?uKEAg+I89NK{#D&Rn=~?nc17jOi52qml#5` zT~91sU*-HC&T8iWhO=6Fo>(#buZt^}f1ulJQ9P6An*OjereMYI7rdgd^4k!D$8sX9 z|F&6kk!+1|2I-aHW_Bvk>csSPkJ-@iP39JF@W@faH`icX*SZ~y^AO2x|R6;C^zB< z$4SXznI0OiuW%)<4%wAmT=u5zpz?cuqFivhlj zKlq6F0px#kH5~DdWZ@ym+(2J`<0lFQ4G#~L(L}-It2G9wdDz^hjTB!jc4^JQYxlk* z35D9=Ra{-+Kn=|XE4JZ4DdDZqL9mb55WJL2bwUct9!~raBznNLSYPn!O=~5H-L}NI z{GD4%ntldnN!!uLjDYH@3h?{y6d?W%E&HlgD9+%+1e$ z_Zg+p^>~4<+xD@FtJz*-kEgxMsgbjITzxMd&aC2APS^kZeGjZKx@LIWY#()j9JzQd z!K^2oE}mn1L!Q$-k|Q_;H{@AN?P!ha7@ihnH@I6@jNjt9&bnEg3fSgw$Yh4c&fh2} z6`Cf{ecA7et|^6ho;4rc+*8Kr)ahFD+Rm`{=Vym;Yggpha@b_pFI~;_30d)acYFCZ zDiSQ5%z!^@H+l~`ou+-!O%EPoay~IJ_3PA3&#WAuJYDIe`?p=MZoim$dE-G%-~E(% zoM&fme}JU@dZKw3<5sM=fSk#=9X6@5$nkOhlWpgQf^JDQvcjC3ck24Ax%Fz9CPb5B zu63*i2~*2flXHN*Xk9YtlF>#0wH%;5}Vx*-?O$ z*PmDMPWA&bSK?-Qy8X)e6|Btqix={m!&k}k`-ED(^!jd9~KsBjXH3*e2Vc4~p!w0!wy4wf?k#2L{CK7qd zbz}H)>l7@rHOO~TzxArR-9gD8s1uzG zEPd*CPT*Bs&Nz7TQo4p-(Sa%uWy4pr;C-x9XPb>yo%7IS0Ste;7Z%PB7LktD*qe5Q z!~R1#7b~L9`d53|E+ozcUI@^4w*g)7s#3dA3TLsO-JRvs>)f4k_tq%63D7dgaE@25 zKwucd?!%(*m(f1+{y%S{8oW;=hyGj{I$vFc#2gtA3$RN>=F-Fhaemou*Z`-G-f+2* zZUk1B^V-hC2)*a8%Y%=;*cKzl{V3cG23wn3Ww`kYYiKW+et4K0-oU9?G3ATEZ1H$j z_ieLi7D$U;dXDlXt+y#&7<3fept^}&tq^fj%r3PJOLs<=pUdojU$WeCeI)!*l_1r2 z#>{#(=Ty7G?jw=E`TuIT|A$(|TW0xy+$n5bFaeq965bRhp7cU!wT&~}fn%#~gep~2 zuXf7}i67)&rD97bR8kMNwaObEuBE3ia)NqCrk$2zrKfV?;D1(Sk zQF2luRuU|_*OqViPL!mEh>Yk)2$rsYs}ZQrxW!e6))Kak?=!iUa@ZS^g?Z>i-?8oi zbh7Ueejhbv0Wy3AUwA%pd;^yobT>d$*9Jm_H9jpV^ z4DNQ%-qe)vkFVjaGX&J}TjN{=8ynC#F>b$4mFrc1vP!u1J^S#0D?)O#B`mC#rFZ0O zb3KDy^DfI6^PWsAb8|W+{o^`v3{J*a@tpaoX{-u%_8aK2n?dIGI3W+rP#3|j-f((; zqB?djctUVNyF!j{W)6Z9jd2`7J*Mr?6|N`mKVw@S?nbUMH7Vq}Y;UXZ)8?UAQqKV& zy(OI@6bW6Nn!Z>dxTu)SF)hM3Nvi$*{IRNj+(~bEVW;}or z>si($joK0NvHuV3`r$1Dpv0tD0W*@%(+DOJFWw?fE#V+0DkZuNU_-RR1&)N{Id5hK z9*MMfqez4uqxx(KL>@rfW0Tk-za{ShPC|5D(bio0>BN7dWuwXfDjd8}!z=($C7fQo zxnNb+YuuASI@U$y3gb1fR?0EoavK7EFIDeo2m^pO>nC1@veGOL>M)In>?3ri`jrft z>*1FZ!?_akZ)XUNB#?wALH`{VLxABy;V0-wY$R$5u``-SUMDf@q4`6mpUg~jJ)3c$ z6M`uUvI8Rfvlf#JUYc_L1vx06tAsVJ{Wpt@i&(Sh1Y+Cmg!eUghc~&4T9?3FajvAc;ET~6Y7DX2 zmw%Ayk_72r{xIAak7M7MgR44emxC(2>ds0$BxLDZnK;l+)Xdj{xjEd|3V z$^LwEzSr>7vq$rsmfFTY;XhZh`P=F-^hHiq4Nh5SM$&dPV1vkY~z6TzX+bfB28};1mI) zJNI0{zOy3i`RSSMtI1@kqo;e;#>_75PLy%w*E6W4NqZIP{G(bCHt}yf;|l$um}|&f zo&@*VGtQSTZ$&Ko*L*#z*S0d((_%(1EY;atnUX2dazWP+a4czF!cFGW8V6m0cd+fa z{*y&oStsXr#Vg|cKlV7Pxd{E;s%}@eJN_b>PeboQTlvPp)l)L0Go8)SEJGas1 z?*lC=<*9Pv>c7GV1>a_+Eo;u_kDjd#5|L9Mcw-9yv7*E;ORcA`+zssFx|!G0|&Nmucm17s&Y& zY(J6rM(3S5OgiX9DJXxSaDrwy&LH-NZl(Pno)kye%x@n_<)cX56?O2>^&G{Q&6xKU*7V*m;Qo*@m+rd+FMR^(~YPL zVrpd-21dl)W%(XYwn#G=_<_ijCRWw}AbS>%st(xp!6e2Q<#_*e0ri)Z{ShQ}p!hEB zaxX&#qOzFjA27@KXj}U=ocxBt$eJhVSUu zWOaCLR@N?CQJ3o->?FiuY6G~@peLh-8z@Fyb;oO#(qWBK7=&ugscrlWUEG(S)lRf# zQvPb)lhEY^IxT{SgPDQ}@J`KA-5kiISlh8k8T4oONav}(Ll&iy_7qfBlE3tGJ%W3+ zU_9wl188f({;qpD4XiEjaxCk$A7zEhF|lGl~x~*F6O#`_Gy} z$=~eyUjtOaab^z;gRuz0OCc?mNBndWr^1kG*6oGE&Sq8aivBUu^~QGTn|tbi=`g9g ziu2VuB5$iJ@307o@DlwMm+Oos(5V?zHI-zQygs}rR(4M4s(p(4gu+%+@UO#(>L^3b zD!*^%hOd1?nA=g2^19wa365}dj$lvD*pGn+tMAP@vdzbqbYZ2?=>#jgy9ddsyfP~| zOgkvTfGREHNe341y-C-ya*}Nro0)uSW{RS`FdABS{zQd|d0$}e`V4Eok7Gu6PH7z` zgb#oYqqJ~m zKv{KO31mmTY^DjY$;tTyB^S7{+zz{5Y2%Q{vr8{M568S(&6x*XUb{fddC~GdW5BlnYdQJ# z8*~5gO4K$VgmE&eGQ3fkHa>Ae-GSJKyFu;4YZ`eN5=&+=HQn#rfm(bN8TUO2DxxcA z2DabU{UiwlmovV3L|1Rbc4&7>Pzn^R<8jo@t8O+?LB&SfgrjX;V&2~&7py_E~r%|m}n~b zI39WvFWlUQyMWI>I=^=fqZj&$MZAPgTd`9XeuAu zrD!2H6;5i!Lgt+&D({}&@bzvqzooQYYZ&P|#0u}WO?qCiZ?ewhv3gE4{UPF)v4BHd zdfgAUeBRf$d|q*N^LKU;nIw487;yH;F?@aCzj@lSRQ9%5G_rJ@*(O6wa9VHTBCd@5v&pua2C-G7PX)Jvcp@~&x}8f<>GiltG_)`M*^cnie6{@Y7m z7HbZJ-?jte>-IGslbf817wl@DAU*{XmPw5-_1`NMDXOpaS=l$z^AxmsEUovDQ|pVX zm-2bLR!-p|0+4>r;kD{5gEtt@*T9skJEPjRV7Bp(f0;B^S{bNRZqDS&s*~R(XYWdJ zc2BIJ!S=$%R;OugbXm~s2fsJAYY>IOFlT=vLKw4w97cayS8nxupBjnQZ7=Oqw_ zu>j5qRjX0A7u&Cu&&fYXT>l>c(m*Z0SR}oj+pk5*-D%*vZJ2ESCI&*?Yj$Ewv@ zKmBv9A3R3uhZxP8Yx94t)~_*|F-PkM*}UQ2bo-mU^!2u_QQ74ywch5|dgj*0L$&^+ z!CL?62(2GALF?zvkG`YKOs(It#pm#r<*T&+^RJaTa;yft)lPqJRx%2tg!jO=u!rzn z!x!xr+ax|B*p%?8K#vJ;h3C8O6gwmQ8NHkFAF!8=w{cWQhvs%I_!wAb{0hv(M}vSu z@R7h0bbausEPTc;18*~&f;VEHBk&Cy6aE=&p6b3R=xeQw@Kp4l`ws4N9vRZIP0M^^ zQMVJJ7X{O4E9H#eqH~3xhu}kWfgbRW9S++gCpH=%F4w7?zY~doPVn3}xucz6squIG zbHD?zFP;c|XOmoTl6460%Q^xqCD@c;Ky;+oED3I)Us=cC^TTsyET563|3t4E0#v{n z?*rOum`C6ZYZvO{!XYw6R3?v;0a^6e)zYk7oO@x;1-w$M(3L%?nHw5(Uw zZ(HwqSOa;T!EVZ!1wFWpA$n-mY+(ai@}p-*zwcum<`8Q#u$QvbV{?V|6Ie|G*Rg@~ zURim-Qp)gb`xXcqp>MIVLyQradhcuZ>f>2GhxRpFA_-5#hd-#8R3;~XFztYx`;|!ZdYTU3n>M?1v+RZtj_vdZXtVJudX6YhrTxD%oXZ+L3 zf`2^2vBvNYT4p#qbm=a2Nd!_3O#NDmKO3tpLq=)K^jX@la#hq{-e-q#I1{;P&1Usm zxHAz*xmP0>Z_~S5SAbj3j}T(`yZf~Ol3&-%rYugR>B`Fs8%tsj?I1AQ_q!a)A+ zH$3{ctyk7hvTuR4X7XB&znNQLRx9mpQ| z=(ukQ_rX$lq1Dgf7}&P(Z9%@N+NY|YN{Nj19eg&PMjiM!k{|yS?0_x{f&Iq&;CEm= zygi) zdH<{TyBy|yWxO8@VbgJBYwATNMvg^Cz*yj$%iqYI_$$#K??1yp{9?f}^bg4XtX*6t zvJg(ci&JBbJu^5Um1fn#>Fd4tj4s0lGxlWx3?g)S3Itt82pEZiE*DUA?PJ|MWPZbmrYa-C-&Y_ltl z*Ow4_op_ejkJ3KuoC~2u_8kn5k{?BHmyun(ZrVgyghJ1YP)FNQSU?D0L3v?ic~9Oq zw2gkCKj;^f+Vm4`AixskD(~5-e`0YE?q56`dk`6OZs}5wDU-Z=O2`)XPMPAc}`T%f~8i^;Zy2<>a_d7A!Zr4N(C7Q~%H%inr{-6?vJ<7<%;hs(`H1q)gAWsmP3I%<0+eOO zBQPQnfQRu92M&~>7~#H?9}0Xf@VZz%D9H%$U}rq)!5HO1jPS=;Jt!k-qbp@8YkUYr z9|3?kcVHM}_qbcy+~Ro&LI^>UIJ$TsB6Wga|A^{CamVxGi8c`2!pVxx2T}AT@?+Q| zfG#;<%*)E3sGl~YEY2=}NSyYJF%4%Z^5e8aUCD{}LQ(Dghhor(_F(wMIVd?{H1+qT zpXo#Ti@%}RIK=xjt8eLV%2*x(U)Tg79yFSqP#6=OLVdgkO4aYnPk;D{(zFFk!ca(i zXk+5J^fOLVB#fYJ4B&0!em42(=k!JCo*$(*fsk&<%r3u;5%U^_aB@4@1)iWJH>87u zq2K5eaL>wOgvJ1XLLVbM25(n5gWcqX2nb4?NLjH|MU5UXT8E6k&Dk(VmENlqDU+#> zwuLf*P`;w9MH!1T8oRWgXheP28(CXQcQ36EW_{p>>i<)Mm%vlt3GkjZ8zO%4pQi8B zW4sKW_Wx5_VUX5urPOZDLE~?knlW~v_Kmf+%{D%|!FVP75WdKoAl>-n^tsYHYqQOV zReNntuQ04M4C+1afTpkiR{L!1en?tnEc;V?E?C*iFmu}2McT`AXIY;U~eg&5Bx2?%> z{A73RkRN%#<*|gk0N-%_2>+mLG9~UiJsthR`?K+mofgwNd0nt|DcjQMtK_&`vo!1X<#wcsQ$YIEA1kuM6&N#?# zUVkh<<=M!AolK9GaCF76hztesH@nduEpadG5{?lTrz?zmM@zJgHfPC@3B2(BO^g%m zW@90YibqQ;Hmz{uE%GS_LL7**I|(``((71`; zuUETf_T_&;)WE25!}@B}puV23Q(yO0si(4!*Hwil>gu7Y^;E0w;rrQ@|H;VOQNOm4 zwWVp-rfTs)3)SjZOBG(Mp!_f7cUg)6Ih+P-e_Y!G3tYB(9Ac zYhoKiujV=?903!X#i0{I?}<$c8l+z zker{0af=a~$yxgeT*O(HgkBk4CUO-rt=D~b)J_5{UmE+8%V{C8c^eMD1S=wc5j2V2 zkj>*D`U$y@fJAIz*b&3uoWO;HE7u5iz;5=v`G-aMvDqQdBFD195%xpxQ*?2Rg~@g} z$0C<825dTnZ4XCP0#$rdAbW3Qd^YYO*pxo!)K50sLEfbuY?i~kaT(EMLmXStN7DwJ zUy~DkM*t=AFFJ2@qMS%deIdA!>63(jU82!*dN{IXDOPTjPpE<`yhK!Ys!Pzy=R>^K9RrRB)YW_)c zHS5(v4O%p|@4Aud*K2tA8#k=2-=Kl&*D${N_|vNY&xck2!N*kp*{b&a*HisQcE6$B zkMo8974aONSI?fy^Jxd|sq|FTKHBN+4f*Zw#`Zpq?Y*+4#69Y)U0V&FdR7ho^{DFI z_ke2t>wdlP`idJJn{&7Bzn_RSlZ8jIgFwjp#WsY--%8r&0!gVc4|K zuxXu|z6mxpRlR4QQN4#AQT_WKQvHW2ss3}-qOuL@sa{=cyS1fBulLnz^imI`Y&CMR zn!Nn3<+1x-ckS==L8GeG)cBD~s%v#VcK=hl{gE0fU8%1A@kn(&_rMcs_|Ri&T)B!G z)UB)fP3%1(`pD`5JHQaIL$f7#eVPd^*wWo|WVs`^cv*!-~mf4WL^|DFd_|K10qznQnyY;2j&4J|+4UVY=; zO>6&u?41Xk6-CzeF(WDpCNQU66(b5F85D`8UCa>y$w&?c5F|*>IS3LIm7IngW?;w& zDmiB`OHT59=YRV4&|Jse{odVoUy&((#l3U8s=BJW`aE@Fsp*H+^=`Y@^>VW!x~tAj zI>%^+)1S}|A#~!l@5s(@oP$0Nm@~k!3^^in6k3}i4}=C;8_+UnAb1PCbDaw`0!KzG zivu44VQUPl;m(g>Gnrtl1p4&TjzWBn>DwreYz`U*_7c<%I};oL=_7JN=zV;`nG?+O zK7fxns#!m94nP;hJqa)xCY&Q)=>0-4e&|^q%hnI>AL_+O=*GY>cVd7ZGWK%mRG>`( z#|a!x!-Tw*KGP4JI_rK>*D*1~Q@&G&y#j59_(*0BLp%*L<7D2jg8@7IL@{)~meB)( zhg_!|GEep>{04?IXJH%ck9bz->o}Q;xu-1Hi6e{SiM7eT3hV}Rae(2o)6`BLaF6le z2!d=Kx{q!eT`ECc2{wweXnbOC0d9j~;5<5L`W2mD^nBdc^>nPQ5DR&Rwih6PAALG} zG;lBt_2BF`cn1EwS--5IuzqZB#%W!lvk!^2>@s~EOejxTo+p1BzTrd)<}=@ek_Y*| zgE{CrtE<{4wpXKZjr7ucFX_&^?$p`o>^(Zi>iz#XQifPcdDcA+M+7Qlt+GF@be`^M zagSab^qSrq@t&Fv_(1QrvUi_%+OagCxlX&CmKZt9aR4PP+)Dqm3z>ll3xY0dxE zz8+cycJNs}eqZjGJ#Rt`5&i5W1 z_3g$@V?1IEw|z{(7~iQ`OYfC^Q}5V(RDQ0Eo_eXO3YDp+l9d{&TupmFt^9lMS~(x5 zW#%Hb?|CPNm3%K>vw=!gsP8!ZWX1ZbRNMCDvFnth4CAYB7|Fh%sqGKiB!8z)8x2c$ zN}ab!9lnuTj9H}jT6b}N7Cdzfq0gsVW{$(>Q^WQIyXYF83 zoboO(=U^$nfxWL0g+zVFg#XC%QKum-U$-wBQSYRMEeN32Xq@ zxK?XetpG6wS`E1}MO8-MF4cDhVCmckXmoPvr_FmwxuYJmd<$0!dAMB9{wuG$Z zqd6Zro)Q?N+stn2nAlOxr#4rmZk3d$XdYd1)+GUNN-%To|F1Ywat$oylXs8x36>VL zO#f|8uYc!yJ=Nza)gMt`O@}sBqxSaRLkEyGA#;k-m$dwEXU7=BMP#VRQjw`5TSdl- ztQEf2c~IkXQ>%X_=8!+G+n}Ldt=>RI%hy+75q|O&m=9SrL>fm($Z;?a0rM`DD8-<1G9(%5J4#lTo^EC z&W$NSuuQ}q1cyYhftiCjfvJMI;x`n3FgP&nFvu{8l*jo4MhnLqe&+;BPU9ew48Ow+ zB2a}9B?2ExS{xkw-p>S)f>83(u16X|Ku|U~$qJ<~!cdq~+NK~2r8(LBL<- zYj&THgWm@X)$9rOyjYj>k~KTo-WlqS9;ey;2Wxhx-kRO6yJolVq1j#gYWC32HG9g` zpq)`xrl+;vsh1WGAE7UoC2QK+nW{Usp>BHT7N>Uw+Z1p*g&_yRNDMxp!wAw+B8Ngp z5KI}JKv;z!4(Cf0RtWMakC4-8+Ux0DC`mc!6DLrjEIXy0m(#f;9ymkPQ|KiME%1hj z*{&ReEH z*vs=BAu#0;#xwtvXYC-=_3^gM6$qzoPFTG+4^ z5Z?_KdA{rwcs2-N!Emtu{3_?`p62(cSf^sjQ8R}w&TW0WF`aTgkyBj;chQ0k3p8}e zP(9J(iIa|(C@eyZ4Ko7R(1-{FwyP|Iv*|6z94YT_bH5rVH1_@3m+QaO!Y>yZO|W;| zXlSZf8)95YZ=f6eHh!YfjUi4GX7?Hp&>-juWx#~^cA^>DAL23Xd=zV^C+&>3zQo$$ zo|N}K#QG7%Su)q++yDQ}Nrr*o9iQWpm#O#C@6_tcW9l?(w}uUwu9=`^OMX+D&VZVPiBG^N+hQV6aE6+p(7t z4WE-nkM+INj7ifqvDfDs)wqwwwH}~3iHV9bf_Nh^`z2QN$ucro~#&lY~BiC+a+ z2%i)3MIK2s!WTi4!Afw%PjZ~u-TO;B1m*{P<5Xhy5AeLvgi|OHQTQ)%C<8k#fE%Fr6l8r%9JUi%$YMg-*@i0=PF~yjA{7{$QNh0Q0JeOP8VKq zp6+qKUmDAoSk7=sMy0UIlzDwc_X|R0dX+8ghzyzgKxvAm%ykzL*%6J#>}%n zM`U#oxi|98a4tC&6US0F^hDljye_hDg4`i{CRk9Ikfp-wBcF7AAod zWMj!}V+wPoxbK(Qb=vgtUF7XueBSvw-{$;+v(xG3dmhyD&HC$u{E~TvtF4RTo zGw8w$mpDdbxabmHlEK=ydpJfgMjvl%toBTk5!0syljx9xYd2S6_EyY;Mj@{h6H3+2%XrMYcx5wI8mZi*1}K zYtgPVZss{$YhEU{Uc={4IerW0=wd6M-m*P|OK-7vvgk#+w7fmnYPJ?D8=c}^hW+4;A5})Z$jMmUDa!2Sm^qe4PI0$f0=s3E%c$$ne2o8*nC-f0)G@8kY zP}p|hlpam!qTG>^vEyU_o*ErSRtr`|6LrBfTmSa6^K8>5Lp1;~%Fu_2&1 zdj{-H(D~uii2Rfw-6ZHXj`QFJ`vv+BZZQX7Jr1Cp&V^GVx=|lrOxFmu(+6b0I0zyS zMPExsu1TMnZ}5bG0DfAQ%`<&L2JX*{@fe=aH*g*Y(=gFD_Axj?`h6)24%zxC&^pjd zbK=_XB@X~=ILv*Dv@hZ(TE@$D*%zU+_I1cQ01LSf-))@ew$V37v6KWhqC@um4LHg( z3G32F`()pRe4WHt(2M$>5d4glNzrxNyx?q#;|&f!VPX%HxFJzhhF8(e@7%0&N}O|2 zUwEFPP!=!C5lx+uzU!>>9vZcs^_^;E%A~bZ2zvSp=V{ z0~T;V1rCAW6u5(q&2@{`F8l`0deH>0g3XQxFMvMAPQ|2;=-%Q_ALbwmbcycB2p>y8 zAmeYi2e=mHO%5J8DD;x(6QgqgMqoR{SfUBM;FN6mAatYfTFg6#UVz`&$birA%jix0 z85j=GSLTv(;2w3cW5R9~%)+_P9UmE=%^Uj)_9Nga^}q@EVCI~^35q}faqtlv7C(KP z=VD{HvgVZm4nfBaOK|?@`C#u9o-j>Xv2%sndx5oH7u>P_av%u5hbKjb30Vd~4E$cS z9h)njC$>28L1)?15a8VTQQBsoz*^uFJhi?O$UkY_$>0wp)&yAY4!+C>ylJ$q<%_Fb z?E8-pzu`IAqx}ZADQ|7SKcm}?Ut4RxU8~kJS}RAJ9J=u33zhD#wyx6|e`~bKZC<(m zzv4(4&8#EdIp&mkioJj5R6a)+*0T5dEqiyLH9Wo7-ec%IvL<9sQM!<4p+A`hW7j17WI96V4k!XV?w022xmkMNn_QM|$Q(gt-9_#)he33Vq6 zm^EWWP&(2d>SJ{4OiJm1;iSJf&2U;KWm4Mjc53@Lbr69M2AK#vZ6~*NAu>!aeRqX8 z0#KBY#)!eR!c?NbrhObKVfrYKQLgtdTE6^QuJmMn5d5PchOtI)jNln24#Qv!mEFN3 zQWr)mS{DH-&MVAs&zU{_{ut!KScgJSgwH6snJ=Q%;mAszvLBb#Mb$0}7*GWA#nS1b zXD(9qy4h8_YiT{(`&s2|nbV^fIgpYB%6*7pnEx(We z^=;3r*n_!LEQe*v=ePA=#NGqS=PRUQ_i;U^Nf~^a=qJ z0x0Mo0=cOxrn&(?^xp++2%->1BAhasi*OZ16Z8*c>-Kuj0iBn0E~t(B;k9CaM13grOH}g7SeTK={M^T9LL$^>26Q^dSsm75Z4jjg55ZrhNq8G zp0QD$_2^h;7)Bd7(=ryu{XnyrFxGI6Xz)Bo<{QB>4l6kG__}335m6F29>#DHr7+G( z%sGZxE~K{K?`d+68jo%4<7zjyo$@uvr?a0pTbI0WiSoXm*Y8!LO9|cb&MkqGgZ9Ag zD9)0jj+8u?ESAC9ODq#j(fXeG?aaEj&Aoc8(_?zP>EkL{7VNZl9Go?pnL=N}42?0m zeiGa4yU|pq8@cir))+qKFxpVSXauwfx{?ZQKP6M&%h~5|+A&O_oshnHdHV!YxqpbW z-XHq@Pww?E16LU3aO-!`46g#$Eur zYJGmp@b-}$59qN+3hB8@wN+L8P+y~uN^2w*YZSc8RF{>NBuVJs>_mRSS zu3|0Krmo%h{e+DwU$?DFK3pKUZ!V+B+!uPxzQpKral3zsM;}#jn}b3nN-2Bc5{`Y@ z@;>HR2quR37`-Psii_YX_!`tLnrhvY@|NSv=lUo2I_)!SFWlqtoplaAvStg$);#;g z{I+hx-zoE0Yz>38eDdrl!*wv$vDVg;J;&m_19q>+3O}a8_vKUm+j6SlLw2p)V=CG1 zapmn=P}jb9z0R(1j?x=%L9hY%D}vN;G6YIA3^$?Kg(!rQ=iVZ_3H**{I35OUpnp*XDG45zKme2{@+(-0Ax&8R z;L%f0y+;9xvMuFV7`+BR;IFth4v+B(!zydO_F`1Ma|9+;VG)Ky`l$O%cnUstdIAc<5$Y)eaPEK~^{q@&h z@0fPkWtZuiYpzMlXF$$!)s@P8Nd{ecc_!lpOQ~Gf(QfS1(0JGq72nYfH{Tju&vdD- zu{}>}ZJbW|+Sq57tk)>>)mfGC%B;H7@)ht~%yb#A4=`2*gHeLXrDc%m?foby}8`@jdl>%fm~|7pAPH}F5#*1Oj0dSESdFUXvb zPgz~sA($J%OOY`-AA;Tue&U%Rs11A?!BsHa$I*b`Xc+f9-(kFl8xO*J8NY|T3mGT| zknm>&GoyWUOz6sJ1KAx0wa8PE*}|uU{i01yIAk2iXOUZC$WM9rO?Xmx6r2$WyhERn z{Sq(;c^&k;|lUpWX@%cU#oqU*Fz4BzKuCR|3&~L_&s=6 zKRp!wj=mYM$n!)Vi*af+;dFxxmEb?fEPdS11%|qu1QKaS9v0|Ky{*krk@=)ZImf=Iu z0kb}kGr*g>^Dgsb5Sw!4BKcXs^FCiJd5OujQ-K>8#9W>8!i0 zE!F`tQ1p%e%#jkkB$?;T`^NJlGgj-IPYKZ3TOO3tXjZvSijZ87yCV zrG1a1q1Rfz?mAs_!}a#QTQ+{sfB$dO+VFndpMKCE`bGcZ%Ux+>%5=GnCsXSAG8^j^ zj5SNFyz#KibHSr0z?q{??6=Z=&O#;sPd@(pyuO}AKi!=@|ke&PJlXY1!xmtCsN=bodi881`* zQZMVRL37-Z@~uziEB`ZPmDT!|`Qi(8)fHAZIyOG9yH?j)TUo8mE3dsymtK91GG38Y znXb56S6^Xczx)ban~CQc8|&qYF+N*y+n6D zkXxnS?&NdPX}#2ayVQQQRB!YOm8kTdZn-_1&qX*l(RNPj%vi3zI#@56uL$Ood1Za^ zd;Gh>Jlp(Vb6Knme{+pB#&_BwQ>L4Z6l?YUa1E)cMsf@OSzm z!^0b6bQT)qG#~l{eMOFDScIJe_(32t^pogspgkF@XAA-mKo2mYhrU{QY&mF)Hlb~9 z+Y!NMu=UMBZ@LT=x!)%XK5=^#%3{~yG!B}AQ=HK!?t?z-n;qXcZW2Ts9UXxHuyH|- zibE!J7`(?$g+K;wBLc=7eWY!4X~-U-?>IGZaw7B|9TIveob8~e1fRu00ldV{2E4_g zBuoS*KvtRJ1OW{-?596CEFw>gCTOxdYETYr1S73aU{-w4126*2qQ9=Q1$zzW*tan5 zP=1MA75sO77<&fx9?UP9XBVT()MM|1j+BHv6Fsjx=)|6fV=wb$>jAu@U-1qWJbUH| z8LOoiMeG@fL_y2KBH~x3v<96 z`sbEDa4_bK=l{vJnr z|KmBN$x%FyX6RFh?a>UKg6>7}Gn%1u&^yXm`75u;q|AmFH~i(VDpBP#_oS$ouU?oWELd4JvcP(EFL`DMD? zuo-NO*3ELI;qV3L>xv65&^`C((Q7RSsrkIUdUxtpJz2AbZvN|?zs07M`2b6U`MApF zB~zAb9S<+NDyy!9Hd~o%eGX##2|lk1#u{QN-ziHS>SnUftHQdm>)a0>m43jp7AaFp zH9uRdwzeJaW?0(UmT;5A@AP8RzPcxOVO^Q|GAnzLvRrL=9=371=Bs14$3BF)Z4%Xv0G2YO?|_1m3~> z`d$J|v3a_>_SGKzhdn|xa4A^gK@aE)PMVzHYjv<$g-0ZTRq(F_hWC>(Da(AJSHuz2 z{szZF>|MKit@D`JoBI6oyud{I5@I857_G*J2iv2N2S)GeK@}*&Go}vD%`gOvWp0r_ zM0sZR9`K9ID>&mA!1J+s@V@AXqY2)WJrcIIjyv4P`UpOvuZ*6AO=3?&8}3jWE^8k+0M{w2cWc$A4y5QS&i>K8r-D&28@ub6Po;|Cu9Yc%88^M?6C^ z?~={I`Bl$Xrh1u_wO&?T_u6&3_Td;EV1JCvDN0k)^1qkk@CaEdGSwD~4!MjKS?lj% z^_iYS{u!BU$Uh^i4f*FkgpBq-nAtNCOak4@Ew|jF8Z~NY$&yo@^Z#rqrfy41e}oi! zCTS@xrKPl#mQLe@a2Q1-0zH^dl(Y!#zTNk&E8%b^aE1;B%9sn7Y6P|jj$vMj(uPnl ztP67*{thDxQ`&MuOOG}KvmO49G7cvTen9 z{`}?Lzqk=9_a#aV0#PCoAsm3A{eJ)V&XnUw2~&mgncry-rW&UpH&o`nWSAi`jFd4$ z2oN~=ihhz2M5Oc+;XP$x7-Qu_p=rE88vy~1Au0Dtr7rC<5A>P&#Yopr28EHPOkDY! z8rNn^jr%NfLe{yK@34$R6xV86 z#tDRbJ!JV(%Q%7jqfE4)v+VwOD|X9at+lmVWUT%d!gkZU6<{_RLjQa3o~X?!8huu!Nm1y zzVLw69jxo_%8_c>e`)N0gy)r(|L6H^%Ls^Y(x_<9k@q!JvLNs=Iz-emoFcy7@wK0T zM3gocnw7EZ&{>2*k@5(#P)4EPqdY=X7v@#x7MF$<20ULKnFY|sZ3oG22b>DaHw+~LDdIJEKzZgCj=y@VhSgCmrTF6@r< z$N%P@(D`V#-=cIp%%_xRuE1*hj+0I(+~w0FkW&VQD%t9AVlqnV_{8`ay9>e@J4$6M zkFgBniYj*F6Tt>B!l69#fin*C6HPeK&_4ZljIn3!-&rgCZT;jr&ww>XL}H$e_b0XT zhI1%XF%Tjl07uyBSjSqjXB^H~n7NiRcfXUl9?p66UZHZBc_2C-cv)KL0Oa+y7Ti!Pr<%t<1lfZ+;-Z zUhOzSEfybAozW|l=Y^^||H6w>EgPS2%AQxRwEx0|taZn%Qr?%|(ghhVPPJ@&zVZG% zdZpdxu1u{rZjJK2Qq$^Ql4{vg@*m;3oC*2AJu9* z2ICu?>EWB4X2%SNP{5&xB4R50dU!C8+6oCyWdNUvBt0(UKYNSKtNFg z6%vYN?&Z8?WFA6ZF22vv6!LZOV&4B4MmW|ocH`5S7seQW-)Q0qpm*yQ=5Jp87E1Lp|N=X>vB`^oKHcIeIr#uIp1tB3rRcHp-N zEax&%WJB;lwD}wkCl|S){@WwocAhH?aKp)sE_+3W32#U?{sZ2Kz+do5@Lcd_=+597 zak%4D3xd7DlkmN0$D-a3_!snbI1JEV`b0ef)lrX=m*7$088Ku<_rw^<=>Fiv2wH<- zXjDduu`6;$?&U_0@JKd(oJNpKqQ8ssvh>sCX*ho1Fz{I5Y|8w^C+gu`ohLAfu%43S%M z5(>^gJTr`koj101iM|zmG3x?7z%31L@!xTRLFUT3plzNheJj?vn4ieu4y(*{zH~aP zz*#!G)Y&@gm9qjF33AYXW~@pXGI{~#9a&3G%NR4;ddghqa+T;^N{uHrQID~m)xFaP z>QKF=+E=vPppn|QZlm^wv+X-}2;TJ$_Q~I!It4lW{r@U+fBMmxzF5ELU-&zJw=ukU z?|rqeUR&+otfuzmtEzp4>Otl^xoR!7fBQYdjn>wd)#+>);_X=*Z9jA@Y1earT95xy zA1*qmR`Y*Wn-NKB*QJNePfN>IrhU7U*wogrsoy7tO{)x>j;Pg~J!&&-inV9$Qog;- zY1n5n{e9p1Se1TNQ`=@e)oQHa+R~$HmAGAP2amC~t^HWrr;Oinr%oNzrAsHZwKi+D z?5JnoZm&|cTB}U;CTd)@zB*Q^p)S^L2gX{thCSDJ)V`(l*~Ztob4SCF4*JmOch&dX z>S@E4Ck0~UE7V&iSsqo3N0O7^+<@N22%N~1qtNv)E08xBvmx#@2G zjm%A4pHI8&?|^0A2i8-Ky7rE|rS|1wYp9y7FMel^x^_|fZkAa~%yYfB)xMIIt!C@& z{iZ=XlzYeCFV@~$)>fk?_H6C94tA}rt-E$M?|r+d=h)urG^49346CHSH2$l<)5s;E zanK(O`;mP*Z3XvY@=)jwfhnOYl*9P~1AL6*-8KS!A+#JkHQGRMO=O*vfi6SW{W^|; zl(F(S7E&KP!P%ZRp^pT+^FY^>qYu=xexh4MR|#H1L!o`pP-t$5i8xh)$LOhC4r+a8 zzX1IOJD`c!j?g#gB63=2E1w}oL%%5luF@9wB!fxVo`eYh;*e4MD4m@R##(E|=A?pc;Qsx7k=3eX%!DsB0*b}nfVIAPm!|&{M z*atFRg2U1t&->MnUiH8pu9Gcd86BbJvx^#*K4(}O;^aRIO2Bnatqj)=r&;E(OndhC zd6myo&UU#}V`MFLncPV|`?pr7`t^*a+q++@q1rb$dKltT3SF_kQ?NAh`+qA(@jS#M zGPEniQa<^c?@gPjeeL=u@e@qtdx)*j#JV=tW`tLAJ&Umq|-PGob8ETdMo%3t0 zC#`h;4L;6s4eX5e%dy62r{PVzZoLd^=IO%)dkudNsLl8#YS(*!m9x5S;qzkn!Z^q+ zZJuj^_m$PIesi@RoM?6TtJOlo?U6}p@7M&72sTCTX}P04k1mFZ9c>QYZQW6?zS~Zv zYPZqz4cn@2iwXht~PFPpveb8U8~o{u$VISnzhpl4cdC$SKcDWk4ir}by3?-64Yw;HhsAKgkx#TZwyZt?o*qwi`A~zz@RMH8$VB{OAgl= z-)T$WHTy1GbG#p{EqrQX_rsuS3X~tRS$xtLMy;0x8Vuj zZ?Nq7lZQ`$E$|%hN7&B5+d-e)@ejQrwApAY`Y!^9!zV!V2+-p?tq497Ac(*L;2QW$ zuo>_Q-oSB?_9#!A#{bYJd=&H%yHIeCOnvYIUWxvJE$~EsIw5q~>Oz;{F|i3HLpPzp zlm~;s39uDf3I7U~g7IJu^dH&{-G&DY6Z%E^{c8VLgS`USZ(~OX3auunZOD6}qa&!S zJ03zS^H{ch1$&ODGe3Gh+GH=_4)e?v^qL?B#;atV^;eW(zxAcNkq!|l>CORZX%Xof zy1Qd&L6nq+p;46XuAzJAPU#$SXn5y&&JXWd>--7#TK5&-eeM0(JuLZYxFkZK&Qy-2 zz%Z*yWFbBmD`X7CT@pvEJ5}PiWYNqW%v-xEa@>ZeX^`6KW%D~sM*#}Cld?O#2=nEr0Ix)mGOZpFena8`X02(MYtOEs8z3@CMMkUgVnD4R(Hx+Y1>* z=CFeGURHTK+XenGp}hLOr0QIlvlUQs6jFio^kFUnF_xJk#a>K z9thpWvKHviZW!+(Xjj}h?@%}p8_acMVkqb|J6>6Q1`RHV8An@HvFX{3h(JXkTlp2n zp27cjJbUh9qtawi<%y&SA>JhTjfO@=Wa(NKk|F&OJ1GL0C=zX_TAYc3@#_Rh9}}_? zgLT)pfV;6iGIo z@aF@ew9kFXfpl;TRzW2u&FWv|{tCyg^nb79>V#2OR+0i3_kT+ng`dlssqTs+es7T= zuqp5n1m=h7Xs9#GEn+z zNIqBYvRZ-*wZSA!=h?3QBU|x}gIr|CcU{W@7x6r_8J$e=Yb&{rqBOViC=-!ladQ9j zsaEE_(cdcUWY)aL=1caMp43Z&ahK+hCx!7W-8=fh{70YF(#OS9&F2UDx*ESQhp*Ud z!JB$qdOUy9xJ?u8p5xu?XuNv7vHO;=JQkv8L{nZ)ACh0Ke0Ge$dqQ2kOxa*Jban|zS6Wr{PS_Tk-p%0oWQa0+AV z1=(P6;N1EO!QM;{T-a+Qa6$_PUD!mx%VFY5@tt4P{oHrHa5;#(VD1%Y))aH49?4d@+qWoM%Vp;GDVvXSUla#=_`{yy z9F8YD)%7+W=`Wj6;XdK(AT@cN1D7_v|u=Yp&LS+Pu4AsYD$69Jn`OffG1q5fN*LGA6qJ-*vzk!dxxmk zz%>5`&4Ao>hwLiR)^ntR(_>FG<^v(v?8Aj4)W*2_>$%d^Hrqa1;uaYH?S$OmSDE2m zWEO&mRtjiDV(VX+=4?7+gd@eOMAo<)8aP^GgQD9Y!aG^|e9(w+h>Ydt7B#22hoLU} zn{7485TW-p`lZsg7+<6mW;N|)x)f?TFfxVZA~UV=yf7I>mknCGF~g7Ah8a$js)a5~ zF+kXZL^@o~QV-wj`)iG<4jD;i4dG=`cKsf37WmoY6c~tEtZ81bk7~gN4Rl4e2}D+0 zttM%+;V8rC?=u?Up~YodV#mUG!$ZzgXo|QR?1>LM2SkoC-6}7Sa>{t`qHMOZ59q^U z5G5IG$(<|fJkV@9S&r@1S2hr(;gF1En^jkhR=8@V&DCsNWt&TD2Lg)^C_Q?d+QU!) zNDNegma2tl9ke`3fDSt~c>7iFzOzT-SN|i#VWiov#tn;!2 ztc_;OxBJ)Tpz$oJ$}KLh+`#r4dtC75%N~yXDAveEy&JJt{2J+oy>n|Q;j4kcCabAE zRnu@)AgdmhwE~QC_l&9uyCbZ2;{+7h`ga!1rakbqtpyOkW$ZH_-m+PNk$lpDarG&V zW>|&ZiJM5Oe_AN&C|VSQzIO3BQjoj@jkOX=ip9JO9^1mFp z5A_-`w42!l(YC8{RA?^Q>Y-5^36o!N!RTY+ewi3gsEpa(1)h9V7-W+^x2(2Y{e@?m z6K13y>ae_U)?1EnE!L5L8u7hnq(*L3vFG>**E!a6;Z=+jfSBdWJxV{ZT3Yjm#YkcT zFy^_q>Pmb&wgf{U$9LJ}0U+HC{z#WBFRX$CogPBA9PC>;n954Epd>_vcOoxq5Pomx;`&r&tWo}akla&ESKYSr&`#BSXjA|3S;>j~v1sThcrqtf-DEvi z`RV0H;6LB0M&?@6=u`{JAxodkLZO1MfI9>j&A(h_FMHGyK~lDV3VvHGt1Y~gH)rf?p#EF2IQ&@gMR2o zgApge@-^P>lkZ?TW5=VugeTEFlC?1x%QYDhW&gaU@4ya1$B>eAyYS3Gl!SwEv&jSL z?%Q(l(Z^}eK2StOl`GtmTG6T_Z@C`-S*9g z;y~0D>-KuUkrwhA>6O}&K2=|R6m@We<4j&ZXSY!7^L4&k8erHB8zwIr z#Kjs8Ph_o`_I(ys6-k(TDd><=3BzZA^-iP-hN5uRpl@SI@ZZOI;7SNW(M>2Y-p;wE zyUv(p6XH(plr@m)NtMNu>bJ$xx4$|HyN(FVy8h>3KF<~v$^rczGyQj7e*Ak}x~e$* zM&AmGeaKt>DgzsT%M{wuP;QC8iM$km=zROiw(92aVynHbaR7-BVew(o* z>>LSK16%odpJhvca^N5fi>GIdQdz*r9NpCo>(y+Pw(g#pE^se%3xL6a~JfM}P8=U%u?+_)L7FkzQ_v zr6S1V)+qiN-^j;k1Wt1S?`hDWEZ*tCfFCX7Kdl8#H9Q^GIOa-zd^C|QcACf>`r$d) zAKI3Z6LgY#(`hjoyt6LqdIGw-5kGYR|N6e8}fye{)0bo$bTERiQCKR3M=rjR1z&5AcXp;yx9GZ_Q&r-0F&j;?9DA zC`U$ccZ4@olG~aeVK}v$(DBqn4Gn*8-I82DpPLZ6>Rmz|amzu`a#at>F*}BZ_CT2V zoTf3Vx+U4-$FS>iUTsf!f~BxzLbB1X#;qzRM0+r{{|wqCs>r0dQN4oEmX-l*d9O&K zLTwYow`2C-PS(muK`^$+Alo0K;J*6 zI3!REjMw0QAa%&+9$b?*bc2`F)jDFfIQu-3}C%GDGThCCUTk&9Vx#@9! zLTt_acV87boJA*p{-u%GO@Eez)-tI${vjjw7>2qCYP!qHf8v0y~42s4G!y%R!Bi{f-S<3su`Y<>Xc5(qkon4E)QI#jiyoY z6de?+cXqI#F+to2jd0U&m+;{5codedrF{Sdw+f|g@hQn{?6>S;)8n@#Zt)TqfZx!UpgCbTQzaB2{;>81vpei7!+-gs^KLKhE>+?imhA~&Qv%V=2b z`09Rzlqv7WGt2jgd4&&P6`);;OJV^P(oZN$HK$+91^W^WhZpYmObl&y?R(2B40Ht@ z>sQ@bnsiTNZ01%e?)0kIa_gaV(gJ=Z@@>2m*#ubz@(ySnf37}ZFk=ko{Bwh~MkFEF zhEhC9uRsz&byd1hEmn?Bor}FM*1&m=gd!q=!tsiP<_BVT=)c9RBJrAeNA!U%N_$?H zZy;G%@>??_Ci^9+8jxN{35X>jaak7xy-&{D8fEYe$HR-x!x-eMLb^Qf-$gY^^6EE| zBWaL5E2}$clJlb$-WpJdX-5g5xK$Z=W2h*>KgZeje*J*DO&~xT8SN`pN2-m&haZR& zo&|_^XEJ<>A@q-9=$SAm3zT+~eQocMYXe=23kdmJ#x2F0c_cwUS6uEl@Y#3Tcl5D6 z<^(^GtwCae_o zMB$W9M5Eyf-)Q9zN;Px*bVY1M$i%NM+CxroBjk1(LNt(`8UV>-Eb6VIh+NGGO6Rut z2{a+9vT%*Dcz3OQ!*L?x2$m9k&JAdFolaLn&6oQv9r|sHNtM$A@;BVwl0xxRrIjc7 zDvFn|9g9UVXn>VGa{OyIk32W3j7`LP3=V{~zk;XU%rCx;gf0FbG5YC{vxXQ-j5NoV z^rFg)*lyDFVAHNe+{{dax2x>a*7=CM_FLT>(eOh`VnML70xk0{`q-kqw4q zY0%J2`7tQ4xi|t#Q@u8p|rB?vNl$^ID09@{^}V(?EgKj6x?OFCF%OK70OoAaS()oQm# zf(Kr!e&V@5h*#fo)bg?;!WkV!kHA&3+#;vgJ z2rQG_78DZQzpDl9_VwFU{!A{j-|RdD{r=tNJ@!U-Hp8|TKm!4*DfSwxzZ7R2*1QoJ zON}HJjTzFBr1YqBAp(>r)_jm~FqzgvP|<-!zwPKY+o6biNeMi+s3v>hkK2(n)l^N^ z@4C~X1JJ$0#ka&cjjXi6N7Za_-a+cX5w$=~m+Vb>}}OwvwOf#c{uI8`2j_HSY2IHIES=>j@eu6V1iMOP-oCu=ue00S{VuGjYomeh_R?1Isi9bJst!ow1E2`RxYLwsD`Z%xvxNCOoF?QD9{H$!`qIqu`-{JGl_QTq50?=Bbfwh%6RzhSx(~n2(wA zZ5K^VCjV<9t|ysTG~WbTHAQ{iW6j0HokaOXlPmEK<79un!-PVCtL&w#PEiNcId0E`V;T+U?}m=K}>Z2_r7V`$aIT zfB0~m;$KoIn0+A4bw&4LU{8-ip;MMGJYvP<{f=beYVeM~@rsD%;^K-P@;7DRAS@4! zLaBVAy8G*3AOYirxj^_U|AlU|XYtAVQ(I5P56gH`uFo5O`FcBd;q@XlQ$jbx6#STo zh#=}mPB)GI=nokW`8>ZRE+K8bEN^#fZq5Y1F_2i88I1}q&ar^7Q<7L)g10^nu^h27 zPbj|m(VY&&FGHH6^FW}r_XbUnn2@%t9UsR6UXfAt*LKjnd=jZr@D(21;Mi#`K@a%l_&N|MT8CvJFvadvH1El06kjE3pfh4GX9#QMjbr>yghDntDr}k%51mp53<8c1}hpX|*|>3HfWd`>qd} z24x zNRU-)jAngMWOgnZ1-4Gu-}4(Zsb=(?u+mrzbysk?z3=j*tB2QaP@W{Em>!A3f(M1e6Thk(7%zA0Aibx4z#my<5gHo3!5Gj+AdcR2 zA8p10Lw=ZiZlHIvu@dtnfXf~e_(}by_MRHw=Y`~?9C4OQI-RRiI%`l}L^Cf++6Q)% zf_SZ4BJmN;tCH1wl8#N*flG={_W~2OYB+3KDJsZIM1_pUFbH*WIz@7Ut%^a`c5aZe z0&ZIL1$Gd`<5nq3fxXKp#uuXJON|?XIUJUc)hXM$Ir&%@XiI%Xv}Eu5gOF>RX`MCs%32CNJ}?}vBh`$a;ZIRJqY@{iwhj(Ln(7V5fl4{cF9Tz$JBj3i?MNm zzW&8AsRv=9vDD&E=$pW_Vtm^6nYxqT&+@(?Uc3-__CTxKQ$w`?y!|w9t0}> zA29$_w%ll+wzG58upkLaTFa`FRx5U#IFrw+^WPdeGAwtrcY2(^*yVhd40?IGS!xZg z)Pb3lGjC4(?LcrtJOg8~lKItM3+okNFpu>`^%EU{LOo3+<`X$fIBB7b@5dOt6MmHw zj#E9t;mIb9VjTYfim>in28()0i;(F2w-=DJEB0+0XWSp)*d($Is0kkO@88VR5ic@x z3hRlJ?qXOHY64%SwN}m0L>FsA6FHu?gTR?crUNuQI@|st-euf{gjs-6TT^9mbW3T{)6qExuU3NKH(G-I|+Js2o!WS9qI-#(oR++Wc zy2H^~mPPjTM_bw}&lMa|At*|-C=%8IOZ0~GK6Qr09BSwj1y0Rpsr(s$U?A?V0LeHoG9<%01$P1(#@s*ZnG4Xq#a|G`XSvGL=nj{8tE zs%6v1@CR6DSR!%<1gPF^iVIA>2y}Nbv^gj(y4iySt{dH08ylVeOLEt?EFYA%5F1(_ zI~N;rOqI>vj!n%~E~chh&5KN0o1MxYseg%rU5`w?UJ`mMZK$9+lPZc+Z`FhDv{-Fy z*kIUjBR=c%5|7AIKmAP21+V_M>KE@=o9Q!tE-n@csb2p{#iV5NqE(UxkwjX&88 z;5#igs4X{08zOr2b}EHVX7<>FHJ7>L|4i5PWLDiPman#{wVLbI&Yn{D{qBoYlQmzM z0o_Tf{Q#28x957tbBdps%&nh{bWWWrP1jx5R%i0N$K8*wY{n%&>Coz2^uy{_m!7>_ zXIIInP1DP>v*F8hJt46Q;Vgmm+VtaV1(93xe{Tl+49@PpoKOfU1VqM7z!@0pt(pMy zinQq1rdko$Rrc$jHm-_^tg{Ac42 z&vwiNO#+QzT=&}a9HPJJgyz_U=DgfIJcchtSNSeSGdJ|lB}Wa*I4zhRMpzoW(U-v& zL+e97>a5z0i&t`1A$ytiOMD&`4S~FCbBphs^qXd?rgEeloOImJ#J%>Gsp{JutKg1! zOCTTET6y~eTns=f5nN?~Ektr!%kN@{~iGj1G-4hqT1gYlMqp!<#+=iNm% zpBvf;ES#jVj1++|L=~yozVm-)>xM1KNc}ZOpY}>A{}QABX1^?>wdR8;+MTGfYDd*~Si^F9E0FO@rOf6}6n<4I;H{EkUr_UoMi-XMKWnW41?5BlyHlg6}h=vZIM>f|#Xklc%y^jKuP;y=3D1?S` z9esT^%xxyPH`rb}ew~0plAiKJ99K1%mdMYzuC|tNyoLVKU60_ncxH{_!R1aJkviT4 z*SBLMnXyp=kYb)g+DB;vUIjZ>gD@@R*QidB^kh-}&z{5FHmEK~`5Q-beh3N&oH)|H zTo(}ujVq#V069giC+QD=-Z`IyUZOI-;dSO&%#LCuF?aEm>bKPU=19lUzLhQR>Gx~I zYi`5_twm{9vUix(`**5aksJ)unbD3%Z}Ru{a=e3B`m zWhhBq%&A3EZ`Eht!&G?Jw#B;yGO%?0E_n&M6JFx8^5$W#B0->*+^Iw?lN$n^uN?h< zHU!oV543pP;Ge7^(1u=Fzbl^B?gV&6jcJf*VOSil*}z8>R;Bc=;SJ z<97XEoYE3^v>K>X~n!Mk?#A+MdRj%ws|Ae0l)^ z-gYC-BO6-?Jm_R(;S8D-WKu1%7zbDP4s;u_;j_GcOPAmXb!-JaUtMb4yRFBodzd`z z3l6;5=N2G0iGLD}vqVLD0!UtP!yfzm)b>RoCRGr((XV7Oxwrw7Qu*^J)Lo3oj1wJ#ai070L+=ZK7jGtzb3t7HAsJ0EUcr*j(uLB{e zSV4G?s9PJAlLvtL2T=k!0x7wym}t?EhFXlSwf;T!NIz6t7K-DgRfpBY*TsFD>n`Wb z3OO6zk`mlmTE4tNvfLl(C#8ZKVd9Ot$el(xujrkwa{c~gKwcm}h*iTn&K|1{WK40_ z+=p2nxQHLHe2w6rXLd3^rGfDEPM|S@W)B=yr0wql{ROJmT#>($dvlmSEqGXW=<@@m zqU)o_$|}E7?EWsJKh8b&<9`{IZCfQgLJ24#ZFkfYjvR`~PGXgP;yyYHwI%?6UuH=C z4BhnmpODk;}TGI5-oAXCAS#S5n)zku&8n)(siLB~!2r=cN%kFOqJ z4}Rq*;(L}ilG^a$B?hXL(qFWLzzq1J=3*1f&sMe6n_aW8!|fOQjpL*?m>1Fwp7=Q* z==$K;$K-R)D+QV=LVp)$@cdcK!qMNkOic-%?XukM%`Ax+^NW#ta_5iU3??SwuLSo; zR3MZE>HnoHh>a2B;vrj7C`2Ule8*HUdjiU&(%&XAZjsRuY=u&ozHZ&` zGI7I@QDdb}UwD629`_Qr7IljtTn$k0Bp*C56t#}XofhUgq8A=K zF)-S`<2SL;(M;bmQr7Pe=_XfL9^vCouD=uM<3(Yf=S%#i88RJwKW}A_7Gj2YSFr2| zpzchQ-TYP`-_+FdoSG`ZDqDR>4E}E%5T`B zTZUSLwHqtr|Bj_83R+LQors-PQmWF6agEistagg-68ZjV*bIbL9#Xc#{=^Q{gI-`a ziz6_})5I`WGTQ3rZw)8%SfLmHG@NOkOJm&z=nSJwRcf7_br)7n#Y3#xu1*N1{=J4j zyggtKAe4G0E+ti&S$%51+Ier+FJo6zzR5NOr#ZKttevUi7Ee1ff*B(cj<#~)Wt+f@WkRLeG>Ng5He{71wo4I z)~UZ%T;$0Gw{PFGoV@A19GvZ(#>eJ(+s;r{L>r_2DYHKlW!Z&Z>lNhKgtkO!OQMu?? z-o3j*;OXRMtBz*tn}5v=$uaM-wn*kzH-=BYx+m<1z5J25Jc*OlS^Js^eD}1O;cogc zE>TFx!m!Y1JBZiUM29Wbqbhzy$x#ohi@jvS!Z3&_YUA?l2e7`xy9X{?F2!$p{yqIA zU@?vB@>1$&`uEtw%4@B84-G$o0K;l!A7j(LZuK^Xk!gpp-+gMiJ{96XnzhKe48ZYX zE7C{}bXSh(2u{zVoQTs`kR_v>J9!k!LwOlPr8Tg+!fZhWAv@&js>C`=cjj5V`m02d+CUxd($2Lr zMIO(;CxVW2zZWG&jq*;S*KKE3jN@tn^WX{OEMlH>Qzh}^jzYZoW*351SYS_p>&wlQ zI=hs8;pW9u&mnx)Y;4u<3kE1mk0e;8jLDq+K@{$F4AToZzVRjZ29cMw_rSTzYx$1l z7n7Wqh>kT24?<49&AH%IM4hPCdUu^TH3W-MCEK8bhG?of8<)`SHx0_^?lKM={FHQc zM`$LfZ87RlTVBQT-ODE*O-RR0v$;Nd_q`Ed?l<+zUU;UnqS4gbq}}+tG4M^2?t(lS zgW&Vw*9E&9*?csq{`L*y&$tq{S*o3HcQy-ow&jK}a%KJY>i5MdRdMeCt->c-bS6Y5 z-!}x-gl)yu)8@DW>EaS62an#xn7bCK^76!`>;;`Up6?W5$$lzG=r;W60Ma=Uj%=Nb z;Kd82#V8-ml~0Etp?oP+z)RsY z)OpRW^X2+*cKRYCp;Sk67JKJQxjWPBU0ya-yipkeIlWG;zBu4)%&`8j_djO&f1D$N z4thbQEo)_;jWs$n)$S13moRQ^?F0AOFU|H|v)}(S%?5KCN|op~ID!yrWWyooi$+S6 zj?OduF6F#DMy$1av0}N~mG0T03ygGH_2JcPFv?zG%p4C{q&5W-2KbP6$_Oap ze)q={4wk=wpXrJ)GC0(WQS+jQbrW&k{^(oaPp0G}*zZm_Cwe4h1mbGBRfH%qSK*2& z-^#@(Zr<6F+Ys&|br7#oi3tuD6w3Nw<$g_KimbIn&Yu$Yb=u8*NSNH(B+&<)bnZDah3Yz(RUG|!^EHWpzQtA6bq{|Gnobyf zpC~E@2~ARW#3j+?p>#q5ua=NeK%K?4-b20_$D;t~OmNt1STo1}(aBIK=z{=c)N@b~kN(tO#9@E_(_DnB0@5{dLF>7C9($H2S^-@#vaw4i7e39`+ zO4DGL{v{yc?rD}!p{E4Z%YGKyeT=Hap!{cvc4dKqn$(v<=w8WA0g65EO+fo}FxY-3 zz)+h#RkvRGbyz^;!k50PzkV3)Qufi3M&=6w9#K@@^wtYs9=ksF2eo+Z(-;XtZ z+1Pnyk;7N^7nqLHkN_z-oGmHIjK5Kv^(~G*3{K8dh+aPmuME#?EI+PYjB)aBohL8R zY=r#v@DQ`vZB89)97_x4zWH4ub@Q1gO=HpEUsC5tGTs`wp|DA+(b(?Y>wx&28oo0A z;WNY6h7J-@Q&0@Abyo7n=hWG9^P4Ig{mb^ulKI*k`=HNS8rS~H^MY;T>kix5sNl#7 z#^qiHXW+$$Vi;Z5>pT6ATITKwV5Gc}2`Sf+1Ek0cZnR?6e=(ZgAM_jf87OFOS3ImW zq_h{p`=v?pHD-8Q^g76HCV!XtsGK|X>x zAM0d$nAL6G=23(C)g$u`fNYncH0kRJT4C8h)`dav{Bv8w7Pp7=2T@16F2!MC%IV&7 z!xO+=I%`2j#~*Ap@b& zz`egW<+~y%Ycq~#Icrb8KMh77lsL(crvqed{>-(iX;ol&^3N%{_kAz9ALTF6xxkv` zGL((ISJfH0dzj(7Lo7F78I^!-o>)rx>igHoMnAR*Rn}{u^X%HX=kq0OP#o>+{nFX1 z&+{=sBmFH9J5Slh1w7zW<$qSFseijRk&#haL|8}ElmJ@ET-ELBy*{HO<5`J-v zQnI+Psej~6SKvb;^k*pTGfve^LpA5#OM!yW+)}Kezy4XBzjjZWo~cGDjrrSvG;BCs z8)24IRbo5ltpQ|iu9h49DEf)YA$Cu>y~QicX2b-4G^T>TNBJeY<(H{Qb6TOZcB#cf zdgEcouNKRW>!sb2k{TXtUC7KWV!TEEhRCk0>;57#AE}AphLofx@z8=;M2~e;G=c@Xwia|r=U-PH6 z2V{7-OErLSEB-VzfwD;CbB(o(gw+eGi+vysG`X7b1vC6pLIPzIfDHPsSMD&ZG%{@g zgAs;F66#^>O;j;S7+?_~h5VR&s%5ZhmA3<457VHC6K^YXQ`6a{a z^UDbyIwvCcWJYL!<{s`ANz~V)T{c&1={GZuw)}#RRr&4H3c~D^LLc})7xLTP1%qiV z%zYy`UT3_2Ji;g>KguRM`O@gmG|RUVSZW}lliN(WMxk11PI2YfY|oW7=J0q)VpZ}t za3^Vg>xQy8Kw{l3{aH2uWUte z{0%(a{lGU1y_*q(qIL1+^S3 zkVUz(Z(1Kk(C@d@iCLdx?i8yPDGN9l(h=>n?TBtjy^4H}9pR-2wpf>>LE)H@lr_vt z3taj**-@k?8R^(hNDLC#u;dF&qrbA&afGhjz(w$cFxZ65$zWUA;qU^Nu=gI!N6v~) zSoG5j*-4cRpNn@l_TjdjFwT{L`oS+{Tx(Hd*#4qrNZBa!PvcQXIdx?dFYr)A>E|6* zO5)4MiSJXyzY}7BUr8FC%`>JO2p0^*)8>cD(j)>SgznkWTaSMEcQ&bf zEchv!*8hFAnlMwtQAMxwQ}XQ)Im-h-x9Fdq8y+abKtJiaF+sL{ziMG**lw0z-jJ@4wnDksLd5$&Ks_Rffm)nb0<&sO+kSbmQ{)SXg8 zvsn;D<+a}$SdO#GO*J>=&zglz>3Cj7$*2(PB}q+6hrUUQmg4a(Zfj z5&h@695vvEjURfNBmvzGY#>w1D%sgmu)k+nooSM#Ts6IE_z>FN8jI*i!VB$h|2b|) z-wkETN)stZCLna&9NZLmPulPn{5?gH^?M!;)zL6pAqaEMwIYw!6)m5R#m(&7wx&_D z%R=0wDsCKM$6MdUT&^S8U(9!+ z3BPp_7t#(hlKzsAF*$o3rJh_i%Gqt1T)VM8y_$m`(Kiu@po#DEKO$*B-q8^IMY4MJ zL=<9MFqqSBbt#eNa|XO3&A0D%HM6r$jed#GS4fd2yU80EOb?(OK)Qv*c+4Ij>4!c_Hwz0;xzwv)qCV zZwkf0hsSk5ib!O5p62kBMr zS({Dg%H_#J{H#WG{`OPVF}2K-1*#Lns}2(NzpWZQy90;J`m4>6J~P<@OnD;;dwUBe z7Sa#S%BM40jIFp|ew-G58FFnHXNk-v*|Tjq$tD}k+Ik3k*E6DZ?-(3jxWU%6baAO) z;wWOceAY0ZzL;r!J96#ko!v44a`leebn0%iqB`4&KdjTCvaLK1DVQY(V+Na<+5(LEY_7%E#r5uM%2S||Bc@XF1;W5{o)deIGgx1JS-V0crhu+IzS5^ zb?ijOg(+D~$xKkoXH<+gS6#lj*e*49r7>XJpPXR;SF3^3{`36ToN9A;mKuF;{f`ju z_^u5E)OsU_|{-a;SCRTQYn%9m+hs_nIJC=q(GG_YI0-xiy4MWJI=S1I82dZs~m;DWaDwZDqgv6saABoed)wZA^CZ+XVp) zy3em^;)5g)qU}%S1uUVd4D3T`RU-8`C+fi`i5vZwn?Ib&%Ql> z#?Qpp=#6G(Mdx+Qr%~38Q7ef4SaA&KB1pDoJ?H|!-^wk;a%&`Ok$#u-?!h|y?ssRu z{I|H&)d2Grq>&VWY%tDWYx6O})K?BJuo=Xd*+d^e>#J9gfBzW5sW8A@;a$!Cb#Xdm zYlD}_8FKu`{Ace$`dMl+8b(I&Zt}(7F%&kzoEK>F6b;+-fAF6+pRwzlY!v=0UDU>M zqXctx-2VHZ?jQ=fzlw9QyMty!rC>(EYkN5<>WzSO)5?aI|B}Vqt4p=dW;2I<^{qRD z#&aFjiZom-8qdK#(h1yEu*Xz`#TWbE1bYUOBNu(Cu!hH&>qZ0r?1xl9=|o=KQyb{5 zRIe>bF&_=}2F}VI3Iczkymga6&CvP|LD^+9JPRHCc^lD~r}hvLB{M$QkqZ3LAa0@!bU$u)<=c@G+0tibSKQ#9o91JZj`Z_IBOu}T zm3R{2o?bSZL1A9(op-Nu`KeiIKJ4P^o7PDbyLPc}hWtbFPq$$w=!|(x7L6Mg@CzDb z+6>^m+7Y!X%bCokGTK!GZHG(fZhQ7adE-Y#S%6(V-NA2LBN`Rb?gOG9-WtM_Y7;iQ z8>tD@T7(f{?v<4KG)*P(BcEx#3h|Ix2^#eOqc;Ng=#-%_3u?Vo$$gq-GTcKHS?cKqqJOcpCA#qfR@T zisI6YR2kR3_uMmR&?prA+i_E@f{rrSUu)vqv2!^t?C+JsC9$`Xl+g)oT$gPdOpqow z@l51u;%T=ST8tE&U%w8?dC2ROOuzZDmffP(S0XUEqklrX*S!?|m0-B&ut`x}3GPSF|Dl814DERieI@s8zl4e# z9+bNrl*b~eS!m~-YG+lA*3a3Xvm%e4)!nPa<(uIf`iD-odcHMG+6c?H5W*yX4 zX7}4l(nW=f1-JQA_W{A4qAOl8h`2@pxzeHsMF`Ob>L&@|slwGiDlsml$u(vkdnzBb zn&no3yw9P8LU{-KV^4{q@OffiwH$i|a(6xV_kR$Nd41>dXcQTpha|E==sBy=jC*4u zL^emzMB^pKq?rQuksK&o%jm;!)fAOMUCp=ag3!h~y{?8^X({FLa-JDmKF8$nhHb`QHD)OJ6o%zQiP7 zRi1lRUOzTYEH$V2YHu-sZYp(JP<*wNX` z0sLQIJB}Mc2bX{Dl{Z0Eq=T+;@DIAmRfAaTQ=KGHseKoi=d@s>)#Z_;E1SVh7)3lL ze8u$HHv_-AR6Vw_~Fjs`h&%Kb)<8Xc@Rj{l*P@jG+saFGR06Miii<=gJ`RLfW&%D`3P95fO~;Jlg?1d)-@2h)4gGkazf&4 z@>c8qTRW3C&7@q2uA|8#kib?%JxyVN5(f$Sp9Vj!*1}ENecSfEh_BKa&NE-;&pD>M zpk6=Sr2kJ94 zYVi6Nx1WiKv40gx4jXiC4&@2hu@ye!E~QS3wnw{O#Zc2xqmhUBrmWt3#FngYm~7*y z$+088I7c5q9`-(BLCULd=1mit1v)`bOPvLjRf2=5HvsH=5Y?#S=b8qqeps8>K$r5h zgysX5>OpA+pb6#qbyrZA7V)1b_@=Pz1DG{P!DIhpbl^9y5i`b#CKXN+j-GyZlk&^t z>il+x`pQqcdwfSfTe;lCem-*)F7EZOJ=8tY4;61rT4flgeph{6#x=c(vzo!*IXM~P zgJvo_s){EJ?H!c}Io~uv(m%=da@J#it}qx+W=k4QBSi-mkf;nJz zm_f4J^SXoKQkgoCZ-7_&g)J_52a1hKkI79Ks0X=RH%RD|%!xwBLF8YW5j^k?{X6mP`K=SWc@nSO&(Y*LpZ*_L zcNx@X<3|m;I24yca41DuN^y576fcG1?(Po3rNt>;9E!Uaw-OwJJHZL=0Rn;L`M*26 zv->rZueoP(?%%o21yOv3_tdWsh8a(w)*)-A`baOmS~#7;?;+gnji&|7GKq6?!BBkE6r)R)F<7_zpmon zl)QFXi&w5l)L|h58h3SDV$I)D760uW)%Bs>SO<6bJ|1)*#WEROum6cX?2x2@CGptg z@;F|(cs%<59?>Yve;Y4WAa#GWycm@wv|nOpiTIb3R>Pb5@(%bC)$W(a0EO=?Xv7Jo zA0__cjMMt?{FN1bN%fL9bv^a^&F9%YE?2mV3{Jm~o!$VB=JuX<-})BFBE11JN1oOM zMt`cYI~~Le%C8JVUZr> z4IwUkK@NI%rNrvyyb13M8Tm$je8wSc+SN7b6n!Cx5n@iu&@HP_p)^ooD*=&=WIo41%1gDaGhD zcD?q3_lIr3r#L@DUYT?^Z39M}HmCuwn{|MDp$H5!xu4MuRT#FIXmzkt^RnE}_d>ff zGom^33{Ij2lnra84Kq5l0`f$g?{8mD)pFnSNN4Q|12+W!C1Z+_wrlMPWq3d$Z_BJp z3VaKel0JOjlrKb+#g8Iq+YrS+z~&*>MH&whlaTId3kk2%-|^Lc63?~~?!e%A4VR@o zeR=C`Vcs`&k&wq+&fNC;|)JJ1dtGuvH`q%6)XstS5 z#S0a#aX4IptB5O1!}%Mqpi(y&b=OqznWnDoKT+KDtJfiE%5z@i93rzRd(wG75prFl zJp!z99MCQ2_HL#Gbc#DvjPRcoeS5*4`_BhycwcI6J=Gn}3!D@NeK3lm)4;Ec_B5}> z)Ag2UKR^Ziw0em~3>uT8C=l#6w=BaQ|8B@1-Km;zs>O`&eErr3`>-1#@K3=IrY=E zn8T-gpP>p&57i0{uyQiWy3lZ(OO^{yL?i}KBg*fX^ZKj%~>y+&yu>}REs-F{u< z<@>YH_)_C1)ADacVU|j)HnUY>aiyiQlYufdEfa;ub7xa?Z|V;p`EjwAr28=>Dx@ha z8c|WGoe2VPba9Qsk>gdNg{(2o3Gcp@%(=-aY!COzTz{=yz9)|H#!2Js>(Rz&Q{Yjp z#VQ1N<5)8;cRZAvqhB~jmTSU6fQqOATN6`IdX(TIDIS�CT_bU z6*4$*M;xhor_O=L$hF(+k^FZm>{lWpXa)$QiNF9f^o)j=&_-hIvN`zj7{L_4mW4CM zG-iL|FJ5aCjY#99E&7qz>v8l@jc#Cy(E4-u+b7~Rvo`=`Ab+|XG2i6&1);I{X1L{` z93c-*-CdSlJOnl;?|sSmZ=6FY>06$IF!%qA^56VUIWHUM#Vd~O%4r6k8TIDF1({A= zf^4}+_n@O1^?6qA1Grs1i`G=hjpc2fZ5=dWJ?F2Xs)j>l_o_=tynS|C+mc z`F~t_>f$~wUus27w|quTr+k{;ge}CW<6!6JdB(qU*X|?47V+Lc+7WoAxpp@Z+g9J3 zqF}@eq+19M4Bh7nz+6Ym!QJOIw-qRI`7K`mVLy5UkwD&iOOB5e=z06#CCnbV`DZj` z1J`Eq4%<9$Xif7(e|G{~0Mixzu8n>ng}&u8UO3KmMo-2}HfF4r#3?#$=rLZ`YcZsK zsTdVb*t;I8IP6ovut3bSG)Q)X?~eT1d?j%C^s-CT?^fU$)98miyI!HaQdBx~a_U;AFVCfdfNlR1=Mq%P{sv>gUJb{||ACm*fCkFuu z{0x3Fq_K$~fRYhW{j41vLf_p(0khL$=y?}Nu7HPnhf)1WOrs-Et%$0gI$*AkRxaDf zCjih=PXoa3VCbN$H-h(E{bW)h9ta|NTEH!FIEG3m+Vt(azGi%^5U!*%s(q-B*jQ-A z=lX8GD>m3Xzj46X)7iCt^PWrbx_QWlb7gfM$&b;r)PHUELRwv;-3Co_|n z7E}S}q|IJl{?$LMIJ8_kU562R?uaVBPm~b0O>c5$H)jt`yZsMquJH`F+~yL95m`^^4v$t%t8g(@XL`@SNq-V0X`y`2Fb>AM*Hx z3#yqTo)ZUC-Y5%=i-cs{cK_RhtTb{p%2SriHrc&+@x87SnI1=qnq5!hBXAW>1O4qS33Lp;5TLBv z)SH5ekO;|WL25$X*yE`>e4(H?RJc74F&uq9M6Lw2K$aa#jDjtgG*WUgZx|Yy&?@C+ zV1-&Gab^b06U`FGG>*E$YMb=0y7c*~4CTVZ0~~~wYyhF&XOf1v{p9$K$w4m0>?Q1y zqyM|%L{)yJ?o0|-3_`MIonQppnzvr@k9my=_+M*~Gh_L@y+vl7vU5S^k~Ff(q@wV&)1n7~$ikXA9-tf9J0Nzw(01rpO zzI796hG1?88DG3|K=@P?t7cS_(F98F7YVB#IA4xhkgwvL_yyoA4sV!yzh%TrPt)O3 z5<3#=pZ*0i+#*4qcU=Q{XePS3&jEEjMymqn9QdEUx2&4q5#`^PSfC5%X@S|CQy@K) zB>r`0V7|hi{Nz;~pZY(K_;yvT9XU=D?@}B(P`xLkoz4^mz1ONM$$qKOZyXiewhk}< zg&nfu7_l!H;O|Xe#kRGYZDP0uPP2}SPXk-A(HCmC#yY0pGM$U7>(+rrNxQENybLr& z@2urhj;_OXKQ7jwCpDKk&ykLJoAG74Z4R`}o+D&AK1JkicJ`kwEHzbBLNcm3m6|5) zV)-EdZdgwClDf;xcpgdn(Lli1O`AQ|hQsNK>bczo{Te$b%#kpM(4PyItqNL3Ir4#> z44FA)(-Kuo0Y&+GMXE77*IeH&B`+s^TX!WywM+k=k%#z1jo%-<RsccvXjG57EHmf2d-srCg{ z^);pU6DRal;msyiDEL^ASE+<_Z&rh8oqeTzpxjIAZq`)Zqr=JPZ=X&>n~ck*UfC;c z960~Rh_96lE|Fk{B8BAf=Q|{9;_;;~x(6|Wo$dMR@GnDIx_(1_>k)FWhxZ9!3wxW| z8Rr=O7OOSrH6*uY?Azr3RyD7WSi)%4qBC-6UU~5rp=5!U)HL zX97XP{=sv`<)Zk=&tZ$$-EmcTi0k{$Dn08FR%6V8lx$YVQ1vCFFsy(@7v_+;4SY8& zJP`Ap*IwEyQh{$F!dvrY=2N`>)XaKCbI+Jx=j$wj#o}G*`$(b z&ZR{-wg@c$LxTL>wA=?r`zX z5peS+kT1VU=WlkQQZnJVd1W7kgDgcZR>S~#%A7Ta0J>xG{Cq}g2DbNECCHBa;t;ma;u}`w2670 zTXN#G^#Hj|?-C2D)USMfvxq`W;b(=o4}9drCC-Gw80cJVto;^gM~RnbrJH}0ZTSLo zbL_;v>YNSb*e=~=ZBCDU&}a^(V6wk%V{fGTW7i;>{_?rM2}tR~ovbKtx^c{QAByj0 ze{b7qHC0(EqI--?-~FfSQ)gB=ZbpeGYms65pHsb}Vz&T|1KG}}1fUoj_iNIuQo_>D zXkwdwRMY7!*^(I+XFMu9m@tAMLKEW8@xtJ=|2TBiI+N^K*Gg*s7;^3P6wAiY!Z5ka zmxl2-nqWW#^*fbgR}``l=@mr`6&6g(XSE%tg6e#c8)#t+KGL5l3DmGGoS0f%X|gQ2 zuTMU-d5M$gAwpC~ghR5#4yH08uQFb=bG6bZ!vYa0b6TfAKzNWT$u=`QsBhxomF2&^ ze{VWtmiEFfF+UOtsMCDT#$db4zVw$%{J|A}IYu1f4)0-}h<>;1*Sz1*`?$eEp@Pttu&tZK?!qi4GLP8%ZS-l@;4WG+c(`6k46;}7u|hg zD3G+V_&=7t0Xt4`owm9mq7ng?|J7!WFVyq+Y-owg6(7+qfD(QcN zS#Ex!)c|AFeHf@h*i`}7`C~#~Ywxw5S0lLPMgkeHx*|ff*Ui@VwcTeM?fuFyE|2E$ z81&0@tgc`0`s9~Sbe|XeN7rYbPF83w2X3PO-|l(&(IXDCYUI7#J?P;Qn&MffE(5Ak z>(a6nsxH!mJ#$$hj)1<0C)K`zFt5Y5fm+X&S(7FWv&FZ&0oA`gb?nq@92M;b=A2EW zsvz1*W`c8PY$i9|ZTXh=+N%w?DUSW_3AFBGECaB8unOM;7KnmLq!nYd)rk5E1!=?I z&Mb${0 zKt!8m8#5bT|BV?4Lxmx4nr*i8m9us@`J$MT<59)VgOD1r=Cys2lg?cM12V)wiNqgM z#1X@QqJL>WnUcLUZ$RJiDSM9fgTu!rAAD>Ib0lh>P z*L>@-C)vqVfOzkM`??b0VFD z@{&Bo^LR18OueN1rS*6?Tb9)3aa3&B>07kRO@DiwzrH3^;T7+A$Y&n5tg3g^>!`~d zSTj*bG}oZoz2SRXUA7<|>*_R$fNP(wDW0^$G;UHwHQ=46zFlfwa}I0L25qRs z51$uY@ki&=4CmvKjBvmk&Vy4MI$B1a+xct3Pji_q8bri#>dK#)(Z*q9#4C*aiVSE9VzxvA!orZ%o?{lVS z`y}*KQhRt6B>?rFG1$|YLXw{>SMykZGa?nbW}W1hXhTdBbB1c>c2DCLwv7?-gOlc0 z^TXu9nGhFIiA7(M5G1DXv*YMMND?bl%fGLeaFBd|C1IFwV{AEcL6(#}HzAy8bubrW zw>9#@Pk^vbE1>OMJx!I!JXJyp5Z(v*PNhG!AKJ}nJP?!wDGj}{_O2(3C=nTSMHy|a z`vCC~1F#el%R~&{NoBAgN^pYFbXWzV=~`r&;-@%e$JN9FFZKo|Du_zi-N7=B!w?Z^ zczhxD5tg(mm1APsH*`The-;&qiKA3}bF4>@uPJbC?auL;x)oK@OQ#TkPyB`O{sVfS zK-$)hG_Ap)-R|@9PR)l08y#M%`LB~2KC%l&en2|$VImRyG^e*KI|h=UdP+pic3MvD z!z2-xRfegy-rpQ^|KGWvz;z_9(U$(y5o?N`RLoS?Xd8}sX${?JKthsb@stxHCujQ zw#f`(ll+rPw%i1t{o%vI;_Qs|XZ(jXIM{55#6xBMATD`s&|x;IN=$2Wgcf>Ve>3~n zl=`Mx$B35KwxEkzh+_PtstW-x4TP*8t~aEu+pI{lcS8&U{aV^TG(*eq+ix^;pMZ0L z#(_69#@oAwRmas`VOOEq)PC0Oj-u|XlH}iHB>V*4Gn~s^u6l* zmRDs4ecR0E@iT7vD9UfE$8|(+>hBM~SLFN71?Y9!@?pA4XR7Ws$>Fm)*_GNv=iwZc zem=?*ou|y;6J8SX>CP}{;qxY?ZN@r;HQR|goGdpS^Z&_3SEZ=zYIrE0_#rg1#ATJ& zuxS8#-(xMtM=d}TgufU~kp4QRoICSQ!J`(aqwuPfZZvH2OK~w|f-xxDG3!Gfe zoJdQlBo|LP+8 zR_7l9p(x3bjv+6nIdS=oihiAzx0j-?f>r81OG2=K`$8%x7g(+IzMxcMz4Ft259-nU z?rRkPA|tS=*9Qqp90N2}2bu(NBJE&=#Pzi)`~dbLD+Vid%lX1r$cdj<1S5KNxaLdc zW1*}Ss}YoIgCigEnI8j>(7WeAP=&?Z&vWrKPiyx+0&YNrbebOO{W3y{+!3ofWRoGD zMVc7-R@~ANoZnVGajKXgl=b7w;u}Ke5J9F=6oK%^EY3t7;;Ep&*O6BLo_hB&I}0|6 z02uSc5<&Zt5N!r14p4OEkp?jht=HJR@7rS2ZJKDu^@bg(RkKbd^k5{EwU)+9JCvcygXkm{S^c?KZ}}U(^8)lIxBaJC$tqcixhjj zEM1<|uW^)?6QbQuw^?)@5%q)dWF4C>v;ijYxH=3fHL-8Y<_b^_6Ka)2?E{GnOOD@r z;5zk8uXp;E4uUrih1{B!DL(OL-DexVJQ3n&tt$pAI%{;5bt~*{Y2ZWWxLJjcwA&^d zWq0;dWhEp0N1NJk+Zh_$*|HX2-Haz{;c_PiNK^%*c8{7R9rAWsNsP`&V zGGm&cg}KUIgsN0g!B zM7D$shU^6ug8h*HlALLaU<$ng1})TG8Z$=<2Ni*(y@pCe@5a*Oa1g|v^v1Y<(P)t% znCSUr*D#j&xG`%+A+9@8d%jJ!DM~5Ud%V5iLUZW*bVX8mqci|`47MNocv||w)$-82M?Ozk6R9)|2s--xQz&1rI>(|lr@$*%7?zT$ ze&fj)>R^At5wuw+RJqp;T^#Ih0UP>6*1)q>Wyy;PYSIGV=C#>QbG6-Z5 z-b0`GO4J1Tp+^8FF~&a`B}(+b$zca7Cx728y&C^YLPR*H;>x{Q6CBvL-H^JN)|+Xn z_c?*7I^0jnISE1BA$XMKGlVd4T9NkUxiv;o>D9tJUh61@^S}h_RX7 z#I)sIqK(YZ$J@qLmgxd*4-!jg)j-$|6C28PX%?dW>LF#vW~wG@v+>c%;_h25fZX<$ zQ~t2=T#i@I{fkpTo6-Q}q(Y9BUo8VZeq$2(DK5>JJUvxc&q_fSHWH9uVWNcK6TOz& zK83fRkd-$d=QkVpl{*~^J-XT6s4lEF*=a3wUZ1RJaa)doPuEIv3LdN!WizLzX&DD{ z7XR)6a!P;-fU)3_PJ1Ao7y~<9nZy_K);QTD>QN)1hPll& z9^zsysWW!)R$#V=RS}!DDO4EI={LD{JU8ZK)!)Rk|X^hy{QK zK$~t7R=Y|TK?=gczzy5rh~lW`Q04f{f?-1Ea@=!3PeqCR%1Xe*I+pV@fr98;mR{%- zO&srR5&NuM*{D@)6o{CMX@zfU{GUgR9n#Mk4lJOi% z)hXAD+>4-n@6UDRMGrTBk#xR4Q3*QW@TCf$YCf$r=yWOGH@rCQ_=|sC2SJ~ovHy*T zTkoE=Y2BZ8=(V2xmBxp7#nZgM_&d@FEd+6UmNS+pz%omxYm(;}d@AiH9#X_r9d}35 z#E0f<{O7w@1!=+k`0F)tQ7PXt@O=*v&l%Rq{<^-Ah`C$8!j_d?tgCgUy?k9R(2_aA=C@m+GD zzNDOFuK|9G^wiF++y5mVzpI~$z5Lj%uN_YLMr|Dr%|oxD`>^kj{L!Gh`n2i8YI7}X zZr;MDn9t5Xh=`DKr>LySK_6wtP?>vBlCxzRAh&ESt3Rg z|4*MDEksBDKV<6`1A}D$zYpvl-{?5 zriCT^5kHB!)lz+EziJZIO;~?;J@C~hk|XK9S7P8{6p(?B`uBQePdEJ;q*F)%N6Xgd~25 z={XTS92eISGp8zc|M<1lgGk;n!&hm4Q!yy_6-qOgQL^0q@YPRMftTTMWpB!I*pD@k>&9u5j zMcH1S4h<)wbC~kxu$@{1IyFdT;jDX1-qU8YW*0O?v=w;J-Nz9 z4tFovWEJpR>BK*JvR_JB0DT8r`y;_YA`6_6`z=17nxGU_2t3&JJ7GDast<;y)uV#{O*)^XsOhPX&5Uc~SGm}9DHh3ZG57Szw zi4D=mdcmkd7$6^18XVM}Xe+oa+k{PgWVdwck4D-J+4nk|B4tVF~ajdg_tSSpZhS!~ew;%}535z{i zDhXnZGC(gYyo&MJ?y;dKD1AmiM|^X5hK2Thyri1H&o|rppc&C3!EeR?d8QM8NUT>T zV*ZzPV4}NUL)ECo{Iw(Ts4vHE8z1*4<=94Hm4oqA)5W=Xc6V?FTg0x~&EsC_-ib&7 z5aR@1Ck>ygF425;ACdbw3cF>FqnVG(#kU!2Lvd7EHMtN|hWJZ}9}6eDiZ;QYoBUZ) zPyEso82h}8QK4M4cYI=rDZQ#o%$HyeI^5uI90C9G)-7a*s!c)V&^*{<{U9|12i}c3 z!v-Yb`@r%RaTm7Fhluj5pwD@4R5ITUWsR;wQ98a-}oFPC*^Jt zUrOWXXNX?|hm2bBCjusn-l|LE4eICbZ?yya7|b}xDV(=(kc=`+gQ70V#@9~H)=wWV z6Ssr=P1Bvf1Y5Z;QJ|L&$r|BMId6<^5x2?lM|{SMlzg`Y4|yUU95owMr6}*qd|R{o z@8ce6zkzwtV;m<7p5S@Y(9ix25s^{9->ch?BJi*9L0`#$F?|kpD3XrTyO>9m7cXRXff>O=cckZLDKC+`#rG4~> zVtyT2Q1py!Y0{swml+Ftpu&{=TLxT|mU`G(y%I-j1KYO7@b6;WL)}0TiP^t<%aMyiX#FxC^=>5dbOIm9T?w#zs-qH4JYS6n+#plWi23NZ(2f$W)W zYf|U5YeW(gd6;e~4TEkG$@jl`?kSsow|yV~)x7}q@N2r-57lIYl4+A1H{rqeIadP1 zfATvWwtU;?{f0mKQ#g>8aRQc+9+ZXx7*qaF|X#V z!N5b^)vCsyr#y0utttV+R%!+`e%N52uvMTLx^T~1L`_Yer^Yg zyw-W+`4;M(1-tqredPn`7Pgxb(fR~V~u>WSU`z|wh2fvhHn8Ai10uSvUs#za~J+3`A%YJX* zxa}b}12`YAyTT!{pSBkJsKVN&H=`plCRks}Fy9IX8iUQS(y5h)LhJ5b24CkO?K&9s zKOyTd`NdfyuPg@s?Z=q~(IrXZfGf|+W+ugs_MyS^~}3{Qck>5JGKbMnFHdX$l3)Dm1`JJhxE zBL_1#Tu}R}SaSj6#tW#x>p zhgZEysqOkK?J$HAx2E^obv(4nCthkFzcv}BO|15jF*Ie0_&v97F?E(i`UA&ey(l;Q z1|;Xi;^R=?+0&aIC<;4rvKc!_h^LU1O1tZFNW+^nA_aG$je2+wI`-2&P`6o5-qxCc zH>-q0?UMGP3s@2CSPhL%ha%&dO|qgd{{Q@FyxrZNQPaUbLtM&#o7j)Q-tX1G?z7rr z2f+fB(fD#ieWAjlzfAjWP6r;}=OaOgdHQX|Zgw}-{0^Jk+(Ctzuh$d(T*gfj#l&Kv zBX-yK%RQs(Q6#&5SPwn^n=Ovqif-XjdRCa9G0f128eHHM52bTBTPoa+?ur@@2A*s@chpoW{@ zF=bVnxQv+=vG~-{mC*Eh7oFp8n@%nQVooj=XO<9&WZ_tHF4pq%Z91w#eitfNPn0J} zHJCm9HX&f)kfc5{@4PoqvBo7RDva-V>4gn=BPZpA@qo1)v%&4*Vi<}SGP$U*_2pDs z`kp06j|u!5&aL8r%8dCbRP>#vI9Lm64mt$QNU~O`o|8hQ>X;>+BVgbEG(4M8d(K=b31Y0Co^@uBdyE$VcB~~4$^Vpo*nxM=9aU5}=Ha~!mM03p zX{RV@J&%QIS5W~50+@fl=u&TcX5MowMM z3C}|n2m)QLA3HfmDC1TvrTshlfOYqJkK6I?v$uzRVUG$j{`pu2?fDPX$*8!D{Yac3 zAkl8G@;v^d(aZG}8TTjr%bur?#o}o3n?DN|M*}ds$d)3{*6dF+$kG&p;ot1pC%E(#be2V`Gd28o^#Qwsou z$!CiXNpzD^pHFaV&;wuRwwd1&;%WnxXg`V-`2O_!?gjU<&G{==sQF~=cY_jFg>D{a zQ`Y2BY!1UcCJR^&{L;`&^|gfYVRqX4EYS|%`bZV@45}VHQ&YL6nrjcLf|@^#4O^@0 z!VCW_a?Agpc%bnb;|mAZ1*ixUOAXm9?57k#1hTY#x@FcIm(`wp6h^APFR0U-XDky0 zzaqK|d$xI43UKlXWU-=uz1jSTNBxM`9~sOXGDK4JhZD$>*owca?}O9tpoBKU53obc zZX6cnyem=;%RYs27S}Cc2bMfDq@m)`Z2}3uxf&I`BXj|s+1&B|;J-Gd^1@_+$xk++_Aq%T zm_E0{MyZjTa{4hFv5?NdI7YKTh|@FMw@TjcqmcPhp~*M`d?C)q7#!12tzyqOG@oxk z$<^wvIC!;_G)mz5^NK^~2rH-(pql!><7w1dNSGmS$&vhD9H& zN6y)K91n4D)7v#qNYB)d7$fJr)-_|Z#pJk|Vi&H%>V9W&T<`-kMO?Cql>ikkNhLPM zTOXSA8da-OX*6r@g?UW(M3iaxHPyvv{>W3e>p_IFj`cD>{a!7}EsnX9+AdLQ@%Sry za?L$_kl^hnZ<{{-UikkYh!HSCwRNM@X z@9Ip9)^M;YPiyGf0w^8akV_O1-`JMK%$fCdn)X*0)p?ff| zEFNEDxr;jqpc&QtvQuI&!M@&IS&E?6neM_2$=L0&q4;ehXMSOkWj4 zLA#*TWK2d%Eqr<`Tk=v5lrhbL-6j^;@6S%GjQQ3D`Cn@=izCZ1!Rxn+pXmX)>D-{x zMag70Ds}r9Frt*;dLuFPcavqR98}Te>E9-rWN8Ych2GkX)$ z4gpsJ2RuoEZ8Mr4+bng0t}P|#->;v(?rk?`7mlR1N;bItV#LOJO5q08qAYoPoCJWL+YTpEEv&y%l*(AgEG`xku14^cjJ`^g zxSKg0pe~b>J>|@oo3bkurGQ$O{M;Eskjh1Gx!>rYx!caR?QD13aohheYuqPHaXTk4 zo?&&?yCI20ZS8dZs_i8T2LVJqf1_0G`o(k!i~cB4d`aQro1WI-SPt71fa!n3Ooj9=6<+PaSRxoQyvLxyQ0+%OZ<$D2{Kb z#q5IDyh;`vx}ju15x?;5-w?09ze%?-P2z5R|4}@ zXkl}Uso6Z8>R!s!_7CwF^G<=qd{*=5cUW;DB^$Qe!AKJ!bJVzAgMcSjVEHZ-K>j>b zCVwdGRk-|7uoS;XXH+@$vE1`25Ioq>HUC$h&yZDkpjNPGvP91^uAi50r1pZrPi}c7 z0L>l&UVoFraE9~1#HCHqC0xl~n+j)e2qdc>hBcQI;+({{Gzl2jo?NxrO0}O-%vk*Z z#Zi^`U^5*J<}y(-z7;wkIT7WQ!)|6O9~~3>u-f%^qQ*VgjO8^u^mPZub#!OfHtrJi z%{4X!YAT@twMgjmCUF3&1%n3*v5>hCUIY*3GUhmUn>6{MM2p%7qGPlYQ7>+v_wJo)TK`v6*y5t?7f`^vNXa;NyjEC2&PJoU;nZf+(8{h6Xag zvAi}(rB$D+{NJ!T`CIz?z{4)1d$5m1H_E=2hS-Jv!g#-aLA0KBd9EyziAJ_zmVx12 z18yZi`=DS@&^(>9{i<;R$_eTMd?Yrf`~5Y2%lWsiPjc5aVdUUl*cM)l<%BJ6#V(>3 zGYW|F$dmy@38wOf0h2=1<+q7PROa!ol;3H`)NfEPb$^b{k}n%Zdct`>p2+nQwl}&n zF={nu)4%82;r>7^;{CPV^JMzv5Teod#-=x&Y({U;L3Znn5I zDVmdI?zzhGP2#4B(&Rd|4w)?MlWY zvyz8~fEnld`#Y+m4Zmsn+t;eTVd9Nq+wMq^5J|ROm+5ybnYl4v$W`iop!tO|OEQt= zf_pdr{#+#tNUq~h9g^Kv?VuyP8?Z<|ZwLJDYtV&2u_YrCI2jmrhz-)OvL`V*-t~rV zo%VhPy5;|sK#y5>D_uL~sGZSC|SI6lTP!9z|75!K>O-s%tL zh+ft$ItpdLUWD&7N$YzL?a|F!{^_#+S{1ULW5lkgX`yeq8P&yL*`6GZ;Sk1N_WsJeq1&=lG@a%zBkdj)r-6eG1ak;dq zLeuV~vQ_tC2~b5X^v#ZW9K5`1WOstzu0lQ%u{#W@1TT}zYX(%#KMM$D)h1vYC8<6TNPgBQ__)ZdpnkzrB}(gm~)Axbl^H zl;4e}X(mYq&(!t>cnkAOxBpUBc#Q8=Proc(Q>yt%rK0(KRuJs$sij(|nD7_4iRyo4qWb zLlNJ&a{Qb^c<%T@LdNLL+z9V-+f?mce30^U(iq?+TQDt}pHP`!-rR12ZL^1nn9C$M z;E4uueoEI`iTAxf_^JDFU~MF4XEiakoC2e~>b7WTvOAki8*TF3;<4+W-`UsXpE|6M zUuzt$))VwQ<8xXoa0+}>$?RJBlaC#7cu^hS-XGT8GBo4u#Gw5%3l~Gknme~T7sV-G zSys-uyoa3lw<5WD0GKul3Z@n^C=|KI8RHZ}UX$w%{TQ26eV1vBVVp2(c7S1#`#NhR z5kCTpS>DSZ#jaN!ZsLx)F9a1)c_V-(@$8cI-*O?+i8NW{#N@Kf#-)P{Ljde9c4I*|9y2PACkSyZ&#BIw&sL*u$82GWID*{t-kKGy`So-|#xN z5MYb(K~3sapmI&bAm&NW+dIZ8C{dLq2Fe+Yu4Apx2Tt%!R$@?b2+omMC34VTF=C=| znc;`URdY7Wie9a~)F|_;80j-D*!OpP!L|NBI-Bua0$$&`juF>;pQ$RHodAAp@1&&m zPLF=vCa^gYdGRFM8V<6>FqSB+uy?MG*`!}e%i8i^kprYj11okbJ{EeJi{_{O$*Ri0 zN#TaxS5Mk$OtUzwG%7b1OaJ1w+y``cAxv$Zyx&cF%%X3gy48cl=#=~Q)1yVdC%T{A$t z$1srtmEE=(9%ylYt0(}18=Yo-wTPuMV-;&qiWGfYk+fztWNC96;nWmR6+3u3z`Tp{ z5f`R)qy;Q&GGd!5K)bqe>C>Nk{&(*FrM`{nwa|nd zLiTBD`9j?^-R9254=~sz2^)sw#=M4EDyE^`Xsepf6Tb}2exn&f71l}8$57W=&ao^$ zS&FC|Z15}|n_aGP5NjpXYdHpb2HN>8=Xwf~_R#jS3Mtb`+x6Bju2XdpGke!!(M0uR zJB|XTDf{JNYm0JH{rOFcQX69tYsJFQ&`EG}`UyiL+G7w?Au9^EHN(rKNSyRK#xe$b z75lj)y;D#XmVb`XAc$d}sS%5s(I1CT+?WLK9*RTlSBD>kW@m90l&#dEP6w+#4>@<( zU~5j!Y99Lgmo!%ZK`7$<7%5lW9Ww)cdli9;<45?i7VANi3JkwVgVh!3)LZ<#a)#cr zpu~lkTq98ug}9jQhc?jjDNuH;YyfbaB2F}*5|cUTnN;2`9EA4o1YTXD2K49r^z$`3 z5xmmx5ja0w0YZdSdP?e;ddvq8y_{~PB}xZ7>RT{<0&+0ykVUyYb&kaW%pLSt2-{oo zHFbNh+7(lTb2b8RqVjxw%*ht3^Bqj?m~xT(u4CEv&+{0r&{r7gl+3wNZK97?PU6k5 zP~675HfQ_9{(Y>Pv91A^M6SvT0>3I6JHR78aolg3ov2RcjED2%UJ2x@ET?;#QCJLn zrBA-2qkqGwB9z;%@3v}#i980C^(7}tK^%3y{Jt{T7!)_xu(CO;Ci-bbDyz5KY@05q zjo+ukDScn!i>hO7`@dMUf))cRH@eMQ<7qTnGc`r{3t!f7M`dfoD;bYs*!AreDSPX= zIUCLv?fuZrYmI7jD<+e)ju2R^-vf^oZZev5ueuHG{L(js{C@pEAp8-oo?tA|f0Ul9 zg`O_l?EjNvC}Tm4y;Ax?*=1E`}0rdr@)UQ6sUuHVKg996iG% zxu*s_mV}D8ZV>L$GMD$rTnX>0&HHF%fxXGlX^T-L4P$~OP0&L_r#=xL8pjd9x2&i166r9szOKvRarxe^k3wTTs!PaHz?+W?x>5 zlT3RRn6&er#NODDp8nlG!54*6x&NhS9n=>?Tuj zpCY99ti|K0=6*V~yPM{}9JBamr}M}$7r#6DmsKQG=NPSWEPTFlndt?Ux#Y5encBp< zSYzNrdupb$&i*(;sMIsr<86?mTj~f zs-9{q-YAwSYeFWrP9yN+p_UFf;3jiY5dm)h;_&3dnb5V#UX$QO*(A!-qPv{;>u-T$ z7&szOu*S|@?2dLE^Bg0q`LE6A`VUz|EN|0nf)_V>#h!ZisivDw{%{HQ!<4r7yLfh% z8MFSq954z(bHZOL6aUd>AYw(bvQ3%k%s0$_(lfsb;mSzSC46_^&J*i7ryoBYMDcDN zAK~>~n0|?@KL$1})&WcbL?9|RMf6)zZv*rx(T=lQ1UhcFvKhYzjvKjG)hp}r$$TQ- zO6lRklcx%Ex;f~|Zq z%^R_>$! z`>&Ou)91D+(R<>d+w<|{5>1Ag$S>cf1Z!VT=9xe_5`P|q3j@A2csb(-vC!3fys~C} z9l-UV>*fDkbS(Adn<}nM-nk${o7OK3VT|v>DJhc?-FU*;R}smVfKhfjsiW8Sh%M|$ zx&T^Xsy@#8JAbrDQmm45V=0M>7hOlbt?#t2QP|h7SIk{{*?4h*8!tUSrF#CpY+xTa z*9&j&+y&22oJvn1`AGQa?tWY&A}7wxya@?Q>O#I4>a{6QIX{7QHi4wI&t6ZyOa%A+ zH<|F?^teRmdYLv``@?Rw-|NHP%@9-7Z->QN+Xuw~OC#;fbmIw;BoEY z-sqd|5wg-%+v*|ha*KKJJV{jt$nRyEKAlNh%en7zxGG(9a&LV?QYC#78tgAwXcQn> z)owaJgfc%|sP@*GHmnl_t1~a$`a_`EB5TOt3F6=ebg(!K2`MnR|KgGaWuJQ* zeqqobxa2y}C{-v~2l|BHXlU)>8BIXS=JKP<78gOqBN`OayF&E`sskWTe%<^V zGs)(r@~CLMG4EoO&rDP-9oCj#_ct%Yb%J-D<1kVsA{eTZ=EXtUM;UP`jD0%pzV&+j z(|Ray0)Z+UcI}9TuJL-W*==uVVPxPYGLFn#_uk|&?B};()FhFmrPiv!z|(Tr5;q{j zXRVwsy%+j+^<0j1X-KMT!*{swJskb6>tWXN{eU))Vc zxvJMD+<&l#cnPr9BCq}Yx*I}G6ID7OU)A`mBWUTv6rOwdl;ZdK)`gCV)Xrdj=uswj zxH-x26E)5B$0Jk}9L|@Grt{X+Q5_(}Ep51jaJb|5kR?-@nyx>u<#6Ha*juu@z>#|- zpJt<)G~~;U=IsNaX5-(VjQaH{LqJ14OXI-Npe9ZO2y^C{#dhK_@CuX~EMZB(P{iqr z{#!A$r}WxQx_#BXO>b+BdH>T1?GJz&A&; z>21c>b)eNmgImm}W%hfXBliRAT-8vuLeDev!W)x|J&i4*r`1#S?%jK(T>+=^VkNA3 zxlGP(V!uo0?$%G~^*8vHB~&!wXCq8kJ*1)}+1veKEZG0kl9}PuDN|MHv3fA};q)9j zZ+|10MZe^SVbik}QoC-|4@U3O(o2MOjS_Mi&m;dPWX>;ZXE+Mos?}3AA2@kIxcP(p z9{v+r`zX8^S|7`M_}>b(bK$ zFK=6TI-_W@3yC44`x^i*&Y1~u_AHlLM7}@@3DNzZlL5-&$k7v{Tle39u}`7S3w7*O z3pXJZ*LaI8%>#oe{AF8l*iNCk1}O6-=ta1wIEyS}g(F1Dp(gB)!t6;w>f~_<)C>yx zDZQ^qbcn%ox1=to5q;DM(lWzWTX=0|STI0VlJ)l$(kH4VORz*G|L=l%S*-WBwcaLJ zUtnN(mTA!?$Ql2N8g?e@wzM`GMLVYRcH&d_2Qi zA*)aN))la}cbjjCjZSE}UG8Xj?%Veym8wH((U-R%R5wsP@8EDjlQot)DZ?UO(jvsr ziHMnoA5L5clgYhtxTFY8=_QjB<(QI8wA;_+$JxStNa{6_uJBQcXS^iZ5o4PZcrQ&< zyD9yTp-QU1Ji(Bbi!h7v+}hjB{s@IgIEhKgX54fIT^yD~Q=TImaat(K1kXOruk)AKuKrW?bGT__rFm)+l>3ubELjb9zGye0LPNu!of-O;u6zUt7+M$ zZRBBtX6G4y|LVu9yVCA1hEkofP-AML(fHfDc+N5EG!9UO#va-1n@vI1_>1bvoLo+$ zJQ<%H%THJVM0&nootkF5(=*lGR_+dlER#m%Z@0PVy)_^8NH9|wX`lNJ(@WIrEu)T> zGJ#Q$?heHLT`v>XQJ!O`pvfa}um&KArRVL)?#*G4x z%Up1C1Spax(;PD$SA04T-VlLuw!<1^#_;gQBBS~njj2kmbrV|4uCK=K906A2GD5xN z+KW@St3Kw&bI%)HF$=;qVsOX4pm~JF?sqJ6;L)P8N|AyyJ8{6QCqvff~H08Zrj3u87 z^7DobIwuA!htrr?ho7>qc|}F!Se}gAql|&irw|`%I!w0TTTNhBXNm#O*CtG_>((eB zkn2o=$l}AF3;lbTAONTHw=)V@#&XBK=e}FO1#t}*lrP-I05}qqw#QZs*^5e-upZ=s zo_-`nBotCS*=W-TM1=YAt8;4I%5Qx3>^8o)yJdml^v-96RUXB_HDx_T=&}ZJdc z7SDEXuP+Uz;f|%Re+TzrL;3$_E(lJ6$JDu!hN4(*t+&{92U;L&x@hF?L z@EWH_L#BxTET;vaUqq+|^2Lfo4xt89v^Nh4VxjA{;LAx~RTI3;zSMW@W*kMB$hdH9 z?mcagU%dzTMd;#8+tjseL+%-d8PXVb>Pw%Ny%CAoTR}hx3wlydlO9w2mMW%n(>arW z@#5RgDcP_712d65s#G($mMF-{sFl2Lgj$_n7l8vsTRE|18hdK28=i11BcC%{>qCE{ zVv(atoz@1VSppPEMdS;ocWzkchru1CDjm;?3Uka8wFl}vRcB%}o(ILhy1`7ghaNOE zbWFCnI|A-0DJj?eqt|T5MlgK02H@_)g4@wtO@ ze|%)Y05XUM)c^3qoB=t#a61naXNg+=u%cy~po?w#4V^-;Yrn33WLqiuGN_OW;?}?V zX=UrAw#5*bVeV^myfh+kb#o9Fe^_nNDWq_%Un`4-p2p-mr#MO>pyvLxAnYx?$k%x` zVajm#;&Ea1=PW4EY0Y_)mF9Es!_!?u%{W*{7X9a*&ExUs8}jVmg5lOBmm#{3E>b^a zMnPr1F?9_t*8mzWI6QZvV14#@5{Xd+`De~)&(w};iBLY}6BD{!1sEO&h z$@EZitd2LZ;m!Af+#jVWxhW9vslvlCQHeMTOr5@&Wa&u>*$DPn?+Zxq{>O|H6U$E< zMq$FvO@`rKjJbb&B;MJ@JGAX1`A=XMN0KDs&ov4Sxeh|0tPT1YOt#R%4^EM_{Z^gE zA+t{#C(|9vE7Ki?d?w z>I29asqVesu}PIo^sMJmr)#QY2#6nR9bD>!R#J-66?z74gi%qgr&qp`m@PtKa|-4|nnpg{VN>Me6kaqE%wa8;sT<%n8k zj2|M#FgchH?5?YGOqqRIc0OymLOD z&AyqRF>jQY&b_L{7`1D+&)+S@%X#w^cx2SShxgiRkj9m2{qrX~-`@(5MKtxUSK^qd zfa>B&bln;(;*by?yspn?V0EDj;(97?zb$=vp8IL9Hsr5odJpdv;=iF>kGb*X8}%RX79s!WyLZjv8KtNXon` zM$1$dcGYR?w7PWHLVFwUKsNpjuTX?Im~U}(|E?QSZ?{x#^7e6coTc$)bz!iP)^Y6# z8~rwnNy}z-%2GThYBB~Piph4!gNC&!aD)+Zf&PQX-KyZjZq?jje2HF@eRy9vQQ>3Y zp}?rb0jgG5=LY;W(zd)!9Lb&-Qx_wCWR$N7q5N<5Zdrlcn&N0I$S23l-gwMzR2%;H zOc*ZTTI08i%<1b%B0ucJJLOLl2!Chx;ZMl~WOmu-qxK4rJ;q(o4AI(9S#FBt)l8Vh zS#p5T;+@w(Pen{~UF0rVBJd~girMR9=3*$B?%|a`u#h2OAMO&-qsOesKO}ZM4CI(Y zVy3eMq$Bol9zGgj7`-P)i~f5r#jTy<<`iMO4I?hHbZ6RZU1Q%fX(vc56S0v9Riosx zbqKeSJ(Dbu@fcXHFklB+cuaB1i^er|Nj%1H{rH4(9!n{sbzsno8KSz7-y9j8D7uOy zNqNh3oZh9en{y-XThIV~>MxG7KrA*J^7u8Pn90DEqYNYU6@U5e`A{#<3UH!A zv54b zu**qTX5OW?^;?|H1^2;adI_@)Ex7l{`F;BIZ--ZFAa3(OfQ2>-V0|c_xNpzLjl9D( z#|Eb8TizvKv|TXD9bIX~NL}|O-!Z>}5=+m=DrO;eo^`+I^v}eDeply?IJ%SCiGSlHXn3$f3H*vCm(-6!)hfvDCaZTlDN} zxh1!*xm$3HI(r(-b=UhdULP=*d25?#zgS_sSc?QUC33sYJwGEAD0lGM`L>DrP-}oR zb3PmDNBtnwUVm>s>+`!}taD*4XW>kk&EE^+c`H)3V?e`nbn2u&WBQ`v=hw%pY4cb; z_B!3tKNLM4Z+UugbbI`)d01*$MFf*6&eVNRt2gsbPX%>-hc#843GXI$LAS@C>*>dA zD6kG}>KN1i4dc;U=A(XkP3!CByn^OavX`IlV6oroqt7zai{}?7h)B&ktXjh>477SU zV)f9xw~OHxu&B-`yFA|9Yi+DuGqOJE59Qok-z1H=!s(>Vgo3Pza`k}QiaTvnZl2l8 z{_c$Z=DdyAz11mqgjtx5pZZ;}AL9*sS`u?f_52*sFmd7T5A+X$Uo z?BB-H#L$3VanX~S7JvB_K78ZOn%K<0vUmo~g`@CVZ3y|T`Z!pFL!or7`e16Naiec} zCHn-fxo~)>@Eg2hao~pV7Ef!mBLrn;7=Zmu#ag;amGH+3Gt#>ksy^Hz%#GL)B@Mgmd))HJ1Ct z8Q(i5c1ycAko9g~IoG#qyv+^oMcS~-;W}iwPUvK7QsQ1ekbELZbkMA2=GtT;Mv9#AZR4vg4Rp=Y-7sgf&{(SWp=Cy2UjO;Y@3#8=n zsmxwqK9VfybhQ6-n$oU+q}g#1{y@3Y;g7#qxdost*1`e6oHq#DVM>e?j zkhbjIov!yhwuq8NpE#ciP49A$EOr>1T*D-Hf-~`KIc;6H!mAB3TqUuo?&znqqZ`w!yZX$bMYWrRWaon^l=@tU)fTsiFFfDgHf) zq&X04+w2Mb{O4sHrN?rO-x9hLHNMhHVtevB3Dj=Lor*{Jxspx!SPYS3!N!ET-vwR` z=Xz6WK|!MNUFUvrCa3jz(OmFxC29wbvqEnxrB8%8={7z|*>=aYF;xB*>%8W?^K;*7 zIMUYy0n?mwGJ}Q(Qx%ymq+5v%wnl3pa*BB%?lGvP-z)mluEntx=G#?grg69$atrCZ zKxQ|zbdu_-?Vz5v2Z(cMw+T&u>z3hU)@_2>DXJ#UhYvsDg#4j zqw2G>{M`JANA0f#kVVl*N+zee)uX8VW(@Nr;?J-eRtye!sSH>Un?0zv2EGr=TsvZr zof6d^GH=S?qU;MYXgnChp4?(3d`HtHK(U5Y9uN(jFt?iQ?ldvDBH4>6lBR&yIMIg?BC5URQDnAt*S7iu@ z4h^zoTEXZV9v0s3^rQmD$m;&VYNa@q?uXM1w)I zN@wk?ef;c2gKX~Qcd^Vu`yJ5hxP_;*U!N} z*0#>7CxFjiE1o1AI7gRtTDE$#b$mk3@O#;*4CZaAMqEHoJGJ>yXCR>5GOWYZ(qdt z`_wQ&rlq!XzPqKi*~+mx`?A;e8&CH?*_w6MN(4T2A!EMWI7I~m-3y424>mk9{1X;7 zY(4zNl&FHZ5k!XFl!(&m!<#HQ4`)t?Kkip*hE2(mFh&4YK zRefZm8=Hh6VMwnCA)G<1DGNvok{6Ce9kBhtf0{ob#s4MPKpcszK29PDuPLvoz8{l2 zPMPXiDgi+v7B{J2K`JDQ%Q67oz9r#Df=vbgNC**s&PF*rkP5N) z0j_*gm)wyADXRft6L_Ad)W!g9jfBe483mM8$9gfapiY{OuoFt74Rz7#~%K_mL zs<$~S z#?e5bO;^Hk4{=Pw(ArzGfv}9h|K+$dK>{T$3VtrmZ6=ws6!7xXGA`jbGuZb6p5R1n zB%EU9xwd`Wd%@pmYF~`Qe~*diRt!a%0OaWhu(y}{lV`gD(9vJnr_>p8o)Fom@L*@g zj^`58Df7_PWYb^bC>Vr}A7r}51ZDM?p^Iu{!>SZ$R}U9dw`HKm&AcIW&suelN_LkU z(|&#CQ?;wrTOW{hWm=UGfB}5U z9q&+&Qp_=_pVi+(UdCQ*$cn1t(<*^5@+R`l)LDzpiQNd!YZp4DdOV<~KMW=T;Pab9 z8^!$vJmFgZ#t@%>R8H>_vWcG5XHQ!1Nja4x+WI}owvX40scc$LBseHJy(umn0(bw# z_YbxtKAZFP`#ni~L;eJ)u^5=+_45bDXIvRU6L_DvQ2P30`hEK8E;)AOXc=~d))C;l zrG!Zt3B9l@ev=P6KUH(;aYww0{h>-!6;#_iUQT?+mnV@m;8kMBhduhrj!@LIW_U9R zuY0~FoUE4eT7Mudj;?DVfpbSN#hnh*TT7}lY;1tjkNo=U`GhHs#1p1$`^0JfFOdJU$={ewO6BB%3!h4%^L&*8 zmA;>5ZQr@5F8MH|O0w}JCcItcqritq*u!XPef>StJ$K!)AHLEm*2i7~Q9wn5XeL_Z zdkORuN<9pr8=)gPO_6x2rMitAGHIyB1mF$zoOuXK$_{z9!o98!#|L5lXIAr=0Fa*x zFv2?;%ye%jzBij>dHP^C?z)W{9QBOffltQt3>v1;Wm5{^kc2=9!Id$&Sywz~r; zudLekxf19GE+A-h)Jt2)#pO&S!E-E}n)gQkb_XPN%bb$zkD|ot`EhDwlJPW-)JAWR z+YnOBD?Zs{c_y7d44~7G2fi}zB9do%4$#pJ8JH6ZSO*PzmXjsEeYsO=Rw-LEE+hk= zxCoRF!?;GI`Psk74@1D%M_Y!}(S8aRziT!;1+w>MBKZt|uSB|+nVg0(x&(&Ct>69R z*`wRj?r8H(JzFvu@_tAi@?Iq9_O2vk{S^@T9(kRsRdfWYd|ivxIrMob^@!0h{Yfvi z!n02A22}24e|t3B3;sQ*o}P+ z{S$W`*>ZN6Cc9i}l3W1iWf`J0zt=h!^he6~YIjme>2ww<`LP8Ld5l7Y#r^wyc=%qK z-Zbx8rF~V4#Jq8E&duz8aOF|T+xN1RyD27*QA_QkA7ySw63}nM@vO$_bO>?PPwl~J zRl|YtcQrnRrFOS{@7f#9z)LiNWogbBnwdWcJXeih3k(QfHGSRpu=-dyy-eFx9a`@# zphdyFbwxTV^SWi#*0Gy19BBHDHB6F?sTyCV{{N#(+C--v%TomPzNQ@m6+EL*w0*@{^&^F?R;H- z5!2=>`}HIJ{=(xp3kV z$ax`XHT9<7ZHIxpg*<8z1@oGmo?!F5rOIasX8>=*KEGOF+1%I4vdU@)%lszOY?i&2 zSHGRsOU^yG;WZsu?!8|^iF#a!^s8&S-F*B?O?ODEugOt{U8H#{^5rEm+Yz*ofk^vtIXxxUcdH*xoITc^fz!6zKg;GHA-|KB(V8qB-FwmGbU{Z7~w12(Mz~?X}*@ zu;=$pwA=H~6Ov7$5wBuQX1tLzy&V*O22qrXu5s`Xyql6$_ z4}22bCBzPPFIeSi)XxbwAC9H4cg)Aa0|+euvo2Wd687Az7^IvNzLD zed=8qORpWvKsgQoys>wq)b*iGZCt`VI=z^_brT~iEZ(`Yxe?Bx2g>wgvW6v&sOP3% z-nU9s_B3yx9{GP^N<7|PQ@v}=A-aERDhWovJ~&T`6L25&V|9F})nPSV-2LReyy9m+ zsSn)U??h{U+v_(+$&1h#eCo=L^1B}k=t{p-uIXz@$iZ$qXrJqg+S{-Fp3dO>+Q#UU zWBUIjf^LQL5|d=J(zmWFKfg$nyff(4|2Fd3L~>kf6OhSy6;fARP0Lh{!q5Kse?)^JMLJcg zQtTcbQnnr&W>m45jW9~KFq;&2_8|6b1K9zT?P1S|b_2RgCe`3(Eb6~Eun5y!mHGLg zC%%s}P20{+3@u?hmN^E5AWil+s(K^>o#I+Y=b3vHHXP3m^oX9I1q%U@3lZ{fC;kg` zpOA)n-t@flb<>^=JAA-1qvu?Zs1U@Z#Lk176F(T*A~_(xj6<~@5Sq{72u=QB&t8G5 z)zasjvMG3H;&C*_$gYM;k0S-Bi(n_phg(D%X8-z(Z0>1|!+;u<>cwFMK&1Z6Ur7$& zjL1pzMW*vm%^ipVY_x`}uec`;uTYFm@-76TvShIJVTcP!xF^yMaFwLj=2O#T?%~&r zpy>)Q<29gIrLX6X6qF}gXwL1X#iNb-?H0KkVxNQ@-oP@A)7q11_y^a*}Synf}57l9H&g{S=qi`Hgit$N>_mc z*Nio8a`&#ABJOT>mk{hO=KzMPc{8q-1>^DKx&!2^JoiB>XDcMT_v_40?f({DIv+)D z11@!gg|!Qv?JH#a%C*u@#DC=hrQXXw%QUrfZp^>LmmQ^yUGGT=KrT&zs=9qkRnl(> zJI85Nbq3>?FT5!HveYx7te^hXc#|U#oSO|7>#vx?QR5^6qLa{CiQZ?M?co01>cSmLockl zCOw@GjTQ!kG!Z=#;K>w-N#93rqrT_GFJMJ1#2BiI66fXvy=|qsq29aTNPmjUM=h4l z7Zy@22*UX365?I#g_xhFDz^12%msIw0)+4Cch38_Z!XpKdeMg4QD)$KNRlA#-&U$* z*N~6>h|`mo{Bl(PAi|S#nc;Y|qN$7c+qNzxkLhK5izd4lepAmh()M7kR1WlSe`E%$YIrjM&<;aip z=R@u~?nK|Ze*tNX#$JzOMDs1H^+W8Ad|GCIKeMRm*uZ~5@wQ2QauODYYh*O@mOI1%_#z$82 z-;YvEXYM^8z}_S;=_+Hx`Zig}jk=UoCq&*Gm|r(0@UbL^G|(vk7?oaAYnqTEpsL(& z;}+FPVGk|z{l1?@=y%jWU|i}A81#z1Uby#UoZp9g*<2B&&<1u?s`FkMO@J}n4Hj(@ z*s>>`4}xVcA7Iwx#+T~O>Eq-@g)6MOH{Pr;ada$asro46Fl|>$+V7x@57^XXaoRB> z?aRS{2yD(#FBa7%n^Oac2eVHG-RlsLD9Txq=*eVa^fJ{(e)_os4)& z0MUH>Lgr^(nVkoc+|efjA`~CSK2m8r?);g*`5Z4Ei8{W@XHnR36ex##tygKum(Qc_ z!DTPPv3S31pB@r(&#rp)Lg*}%HzPMwiS~SY9k?fH@7;ZjhRiicG}T_43jaGhI*58L z{qO8}{jdjqDoKIJCeCeihx0fru*VbgFmi#heE*@wlk@68WHF|b2Hj`P7g^gIHuD6F z10X@}+V+3XA57^&hg{1~-jm)< z5d~-8JjS&-$nN9FQ;=$RS5C$cT4)D+GV_U16*=jq4BP85&LjCeKr~;1y#@oTCkI|) zT)PYyBqJ5vec#KL{;8a&R9FdP8nVp6z9Uxe9gOo zAG2K;&$a!HlnY2neLbye!)Ix)ZeDkutnT*y2D@L z7xcolLcCE&rAjVapN{|!%(eDQasqw1En%Hj71R)GrJi&*3iqgvj1IN69P$%EPLwz> zL*iA`)!GQ+vDO>wRgvm5v%e?1Ku1?IN4Yg+ZBc8SCdUaGY;k zgXb{-A`Rs!wO5JvJHU+hd*z8gT#$xD5tGMJ6svACFL#*E$U%|ae4MYHgDA{zbOIr= zm`#CwsF*pN`XbtG#R=I#TE&{iCFAEv#IN$Q@j+X<@j=hJ@qW9O@qP_CGTtY4_gos` z#pBv{sT7A5@li@;omy`KgT$E$O2^t;)wX|K;%nAzpn0~jGl9J*us3V>qi<0zxtHTa zob*~H2c#Se@{&TaT-Mar6sQgb`)fK2-=&@U7J`Y}1tbzZDGJHMWo_doEnUn!?nkPF zd9@(2`fuflP&#EzFpd88Z4|;`^P~R_ah)1;$!mIl4<-s?k@47_CoN>?Gzxan9+KL7 z-jQ*tsd$s*)0(J9Z~84(+YStdDZMFLiA=7WHs-e8P5qusKYDHTr#S1Y*qg2;|M{kgGg!Mx-$QlJgl47XCu+SL7C|T9tY1 z51+A!o$3KCB-a?Ne+Q%XQ(Ow7m8q=V+p%{ZxU>GcPiBjI=Vxw^-A6&myy*77=Y{WM zPxiOKj4AB;&cj^szl$ z7K$f${}s$&=7tmo(1nBvc}BU7W*p-_4g*3c>m%FYqTW*aKMwTcXQAg3V@<2rurx)PZ*F=A5(f;M1csB3FpoOpTLRh5C{ao*SOJu&QYk_s_d1b0gK`nsOey z;q1}1=W=3`Y5F}il6ea$KU=7#wO+Ab+{jDO028!G|@ zC>E?AyQ13lcZ6}6A4B6HN&x22hyd8ljKBzUKW`#$r};=7U5Iz2cw90=!jF0QyD|2# zNPTp@7gnm%7SyL$Z&Ay!J*xMAxkhz|M%>oE!MhuF4gk68XE$F=M!H3Twy~SWmFaFJ zn_W>IbX&Uooz0cF7|05PGvQ7>L)Pt!=k@jN?nozR-_wZfgQ!W;a(&-2OCQ}d+t=nF z`K-c<60+^;r{;Ww;{|lNuF;rx{J}xQvyGBsS4P1KkVj0^pzxsH^9`Tp`Vr6mblfNc zD7V=P;!So_-vjr3139c$W1!xbSj6L-`R;G+B_t1m$Gd9<;u|yl_@E=lc=8DqD4n9_ zS#OlmQP9`^8TT3!@GsF}dy@ZMS)g7>AsH`)X8J$2 znP8*uGI2S1lP`}p9{xw^Cj@;9b^W4O1)FP3hmR5{BF}ElJbvaGdfwzEw=c4`5hC`_ z3zNhOZz9Ve3Ft`+1T(t7?Y32>`b0xa-f-eK(6zF(#a6#yxv`D^t!^dNJ z{7K13z$hopV>Z6Mbea5-xp?oKbb|RDZN-h4VCp?Y-2}1i(=F$Ob<<18$7;6d&YIf& z%?;{J>>BL|Rw#v-LJk`QI20}<6T5-lg}aY=`yJ6RR8*2;=k~jdzsryC1j+Hd)eaRt zKg8949!7`#zCT_>uW{O`x^*^U2d_~akg~hfgZw?7Ax?M_If#hgusevCtX$X@q@NbB zL(uEv6|;q_1s~R{lEq*b=~Xj=z@cHbBvg-1)vQk}kd{(OnG9AHFXp zuOS87SA7mq*e7E{brHf5%qkgEq0Wv=1n-XdmY{^~hFd*-voU9UT`L^!zd5>@T(jDZ z94DgtX8F=Sx-XO5&;_{!b_Iu*y&82HhZT;{xS`$39LsK~WFjp48=e0{DqVxLo1DoP&b93^mRn5Fr~j`KKuPTqLvAkwOMMmO(^M~ z%o=%C*-cJJKZkk1U#u(C=6|(ErOJ|Wb&oa86TvF@i}HV331X9&R9ygx=Dhv>;iPYR z8}qDm{DHdgaI6Fkx%^4I=q>*2^cH+62VKaQjBY)(EI$eUfQNUplirV#_Ta3*;i+#W zNTlYV4BK1}{l{zef`TV8cpnjh2bC{4?jHH%6G|7wg7yq71 zc2QGbD(L16JT%%Iao+Sj^hoN3+ArCdZ#SbghC3XWdERv;bW@g6{@1b#vq{KGGQ8Cy zfH;HsP%TA}Z6rB;5}u!YyY{EmsiNPmL~)7ara_D}qRpUona@f+M;m%vWOH zc|3AbGHJ{Q;?ZmR?^X5g4<6b`%RIE5!-{y5X=tfh7~UkMI%Th=G6Rc9-2A=i`TI7l zuGD?c)_K)UYxJW;r8fY(J3VgP!JqwuSc7-szohYN0jhsK!SyAz+OWaTe51CU>**uS zH67<%xEt_h2Vn!CPeYN6b2S;I^<@R6*qZ8vewv#3W(1n_+PVac8ubR7Gs6TGtEo&S z5(G#6m~&t|KE#&Vn-UFMP5Q4o1eXLFkp;aK;GHmk`E=Dts$PwJ6Wr86uu5Sp{tRZr zVd+jb!p+ju_)O3Vwx5Mb*daG8qNtGi^}sArv%_G~Smcl5pc$iK+c&4*s0LwVxHLzSf@KJmK7Dr)>`$gjJn{#os%=FLMD3cn#2)7jNVX70L>3 zYRvKrN_7fS7}NG%FH66cHxC(uWeY0@3!mqACsXGM=XM?x_8-kGHEJDvtD4*jzu$sP zM0_YJ$TXcx^LNL+rxei6N}v4I*2iH2paK~mX?;x=#BcOWvt_EV+#%n%0k+4!&UARW z>(mu3$0LEhr%^zfFIy618s|@|K9bhRZw`e0Ls~er@5EQ|^?@E}>&O$!rcVnKY8xwk ztOSM&9aor^@A*o~OUJb}ADV`l#z}lVUh(!&>d{&eD{Ah@ElJ>I3m~rY_&)Ev@?1Qq zKkXyPD?~)sT+*WnRG6W`*#{}_w6C~pT4iWf_6Q&9D{{-g{P*NI}U zG2J+hrZx+Z_hTDGibC~gkQ7y&C@7D8@5u45+ZX@XrJDmFxr(|ImrJelnUndTKU}m` z3|AT?WNJd%j3Yo>rkkRW^WAQTGB(3OgEcAZlKty8yL>5ebI7~&C(|YvqEA~~A}eR6 zJ8jg~?0xkpn_j=;{yoKT_KCs@XW42n#&Ye6#G6Ep+B$QJg-@#P?wStK`F^`yk!aKq zdxSga5I`!54WeGf`}0C6=PuE5!q-CUCPZy$c7};^n2n|mtRLW!G+V`h{ojyTDCY2} z)BXQmr|+H3<*<2arrPldjHR&H-ux9I6y9udvy?A+UB%wNz*TtB7)&P&$oqpKRHjjO z%5TsZCo;VBaf`Xd;GvdLmA_N|Bg?O)czwZ+52?4Q(*7RvOd^^)eZ+JDu+263rEaMK z(hd{uhC}5C0?l6%1gU(A-ps73Y6Mq=YQ0BSC<4xKB+(MQw9*x89~kutA}X0Q3}9+i zW!d{QjrW&QiE<3+z?rk4{KKEhrHud38dFQH!JA@cnN7pB{`9?T@9};Z^q07$?t^Y;w>uruGCBc_#S;N77aTBQhu4jFrj%WbL+I! zbHlUIWyn$UJ?&q?-IY1%lw7lqa)?n{P%vYPx&T)cbQ=24kiivE{VPfp@j)-q)+t&b zjxr-$oL4U~_lz}93c}f4xf(zbrndSdETE-PLTmNkO?l|4P~|ABtWhyms%7rt@oyOS z|6%1v$4k}4>^<1@nu6A4H>qaljk*s`mAn7EE}AsJuB4h&#R#5)uWKq3fX4z_mx(pi zi@$@!$!D|HQ5Nj_RgY@#8CYNM@?Ku%Miz87hB$B|3HJFc1EBH;mOt%!E#PaMYok^_ zhEvTuNVr(vCYuAlKaE(q4JQvn35Vyl(BoXbSff#WSHeJfcgM+pEwvaahPDyzxSLqs z>th!BeGQiq*+TVq<;UDQ_ZNy4yxWcR!Cn1f?QJU5yr_DzI(1L;d3;O}WOl+!%m#`V z#1~|UqGc-7l0gBQN4(!R*Wx_-5HpG1nS8u)!0*oUpzKU?jl!VrD@87T|IcOVo4Oba zne~IMMRW%qq9WcCOQ}*z7;T*}P$ARWEr+xe8Lu>Et7Auncl<*e8`ykTvJ10|gM~_Y zxPP5Eb)Pn%!O!|>s~J^*NO_zFi+H$wJ4BB;E3i*Ltd#BxZik2n4`ficKX&Jj)GH_K zTdu5k%t`Xa7q(DGl77uS6v~WX(@*bT9n|lmxbb{qw zRjy}xXXwRYM@n??gI=_ENQG1knV0Q~J_Yz82P^3IxptE&Q=P(W&u2{i;qgAKKjUgd z-D|~Nx>KWTX*8w%=6UvJwm{nMMS;RNxuVcvu4oDdE)e)5ic-WxMXoN}UlO(&Jku7` z9jClS((U%V?t*PM``F!r4~lgNUz_PtUGb7TUv-gaf15tAZ4#U))@W0uxS$%V+2DP_ zcVlu>Eadp4mn1Gwf!*C)SKF2VwrL1asjg&wf~`+QH=bM-9<@GyZ>tc@^BWVJCW@^H zDPLScuQiYj7Qg{gtegouJHn`DPu9hco%&01qLi7%1pl0eh`E`l#*7nxJKi12^*o4B z^Qw6+qeId7}+6426tXO&RZ{b>E&h1zVsQnfP9Ecv5B( zUAxZ@mC2h1cQ|q@HBh8e3$b&AHc8^=C#YoR$6eD5$aJmp9wD?_XVNL;`Ylzk;y1wl1NoDIwvZ;Kw%5~#i6W~ARu#F%K=*ICr_tg zOHorMU8EeaZoSP`>jjqz^g}z%IlEP@Xzk^&E_a{(zs(a&VrI`KpkPZ3c{ctsF_kNs zECF5s>UFZAjWZ)v6M~WbS8dFuouXJE*exa~qEaG!J8Ts`ob&8L(r|^F&ASnrE-K)j ziT;WR7py>tzZJRlpQ)kw90dBhYO~4pUI9u)I}{6iYlGD-wgASxoPeMgh+A5B?Rl+( zUb${(NaMMUZWoyAk>NTqxz@Wr2Mn|=ai&|Eoai z_{5|7`Scq1A*J^vbdyE)Vz<-;OE?5M7rC47rl0oVK=$!)Ybwel_wXR&D}{bqg_K7e zY(jN@TPsTRJj4|J043;gOr3Q-;H&5?R@t%js>`Wh5j*zsT-oYnqCnvGIh6mdW@2c&*3wR`M+Nf1e-bqa_?A0FKep@F~L)_dP#OZ@Sa}*tDzNGX}iVc(wS(Eqcz_S|&ew##%&^kTnLEgYO z^)`$zEY|X%u#rSaA^j(RaY(!Y5FWmA(0YUGAKPzYTPRJnCjZObRA;<4o{I)Kzxv9p zK!t;UK+~6$j3MWBdO12P0Z0#HUfwZ$d}{0^*KA(L-k_EW-H)UHhiiDti=Yy5%9pTw ziZk%mPm;$h7x8tJ*c36+88@p>FjG~CiYKui^#CmMi>Q4XAf6=eR70PF$FBU zb8Mgy-)q{poP$CZk?Tr4rH~jYx9u=iinR8MsFAw@iQ9zlpx@pe?J}KX>T5UYh=SvAfa{m5nsvw;e5vPL$#4h69m4xMGbtpZLWfh_jwW6qo%it zSv?bU$Ky>@PdV>1B;r!`W=$4+=eY zDNdDU)G?}%+b@i2&79zGONBQ@Cb_uqRvFa;7ipTkN)Te9^l6-Fk}S(He1>@@)J{s5 zfkXmH9i{B|xgnfOVQhXq>VmwP|7rm|NE@0 zC`UyVDqt(@0$xf}WP26-2&z#@8K+tzF{;FWUrvM%t6>8}zSK8O&UV~fU0X&oc*%TY ze!D+}ml~%h`dC}9RUyS`W2&xC-6M88j9J2{OwM4F{#ob!*4V_{75&RSsmGWmHhLk>(QRy;>&$1k**0L>(@T>yqIO6DQ!HKxq!mqHKwKi0 zbt+9%XB{*#)BkK!u9d6jZ}rbGE=O?cEVFR1aL7K`=V4h9Ekagz)5eZ1h)T@RW8);x zZK|t+Ki8=`mHk#TsTpwC8kWTC{^KUPjc9x>5PTApRd!!*V>|iujWoAG6R`k zsN~mSa-Ew=Q7%uCbEze^8(AyHe1-6)L(oumTj+2`70u=LYhRC9@5A;jT2jii!xz_} z9yL0pZ0GZ7Lpr#y8VL{3t2|RU5Y|OYDn<6_vUICqn>#+CT3ekORm#9K=&rq;1_jy8 zYE**TMn9-dDF>*00~5aA@QHM>EYv~$)Q_4*jA=%VupUX@?m_)l>;U5}J2Q4=zDNVw zH&RKR1M&u>UB>GexxBOKE{n1`RUI`A?Dw4HXTE3ny&g;n;dsEU*6G)d>VKG{kS+Cz zI#DwHgua-_U-849(s*>{#NlEE3)@-o9Jp4bDvSYL?^Ti{TjT>eRcwi8G%G1kIU$(l za>VJ>1SKj3Gq^l&O5cMCbJV`|V3ndGbqCk6ds1ZpAs^o}9n)g{Ky~krl#CBWL46i! zqu4#9WxxXy@1WuXgk(*D7BO>HH3(8PqtOa7%-HnX4Y>3`Z=A`30gru9%R_Iitsh2W zkCiJX0(<-&>T*n#f~YC~TW1}BfiO)NG_rf$Qo2?QZbbFHq_Q@e#qYxbL*dE2&zcv&xO?~;$N61 zxbYU20F#4y*~NG5y~bR-7JZJdmg}JxurnU=c{KyryLf@z^B2Pek=yU?dyPKSzn@hs zzw9y78{P!<#K0Zpc%_BttP%BDZ;aOJ8cWrNB>kOX9vLY@a~lw8R%K(t>13pU?pNlT z#RqeNJ%O?PWIKe;h_kTrX^Devp2FB^lxg6Ch~m#H-`D2__t; z-zDR*$LiXV>EcWBss#Ns&^HqMYk~bfX=}r4)2?V;I5S`$+=&J-p|G(yY_hi{A(6(LJdh+xFj6w?80o0;S%HiISbHu3;w@F^Lm_77o z4qBGMImQ8Y^~Uw>yQ*-B&t8l_RQ$zj-Y+(!&CwKV=H%1AhOmn5V0hV{AsUkS`&}kv zHE`4tMl_E9j3TbbaH6FvZUI8EvYyiJt5H`tAa56g3;P)FqES|Z^^?P`6GcR zwr`$-DZlna-jRhPqc~{GK8?d%VI^h9OZ!BZQ_YgZ+Vp3*Wc(!(=6Cw!!4m@xZLBe= zQYP%`VM7`G-4%Afd*YXGyDLebis;BoaWL@ju=dQy-!JwxbN`0sLTfC;@o_A_d-dwd zs*<4Lml~KqjT(jxZjlJcyZ*SlBpJa}DNa6=OaJfg6w3a^ysDB!aC~W(5`4Ffa=KD4 zYtZEA#ppnv|2%F#~HM<24_#u3Skg$%d`QSCVYLQjL|_DXeGx<#9Ma z!}f7F*9mC6hfMhUS-bX$fdZg(!%S}G($;(K%9d)Jt#~RZCx<#6?R{}{Xx`yKv}mkg z4=EAfKDh3^COhRNr3Pe6jCJEe;c9%U-oqyUyzTK*+>Fug>0MpZbPP2{WW@Nyz33gy zohU@cSNlGGH+w2y#7kA5e`Gh&&v+DasdR^2HAE&Dg(gY_uTHz$aju|96!dKA0yGlJ z-rEirP6iAhC8zhUH2ockum0O)yf;JH7?Ow@V5&s+{yBB{`_Zy`%2IVA2a-H}IF&Mn zFLI-$gE?qtzG~`1|h|Z!AW#aPqw{_qu zHKcuK2Fl@-(oqzx=bO6xqO`5s7cEO+)<3W|jbgdeFt^0uVb;L~sDe(BjCAOs4=V*t z&#=D8jB=SYdaK zGc2Kp*nvN6I5M7O#IQ__aQWHmZ;_#s2h&iYV7Lvnjf{#y*9O-yiXXGr%0ugAI`ho{ zl%aveDqYNXU+Og2rn$*+{cl610oP8YxOtVk}!UWJ!H!* zgTuGPn4(cZRcXcYTrVTF3Y}x8^VFb$HE1$k^mo_AnwQ-wFI*1rQWpNB!t}`N?46;V zkXCg{3$h!^@&nfeN6dOVV%Nn%2+JMz`TF(yb3PnvEci%-Dzg*w#TmBgIq(-BW+x$J zzNC-q7j!obDH${<*+EJ^J3)zyZ>V;!viYok%-G)Pwo}S#3bx! z8JL_lJ{CJI6IXOP@!8BzkVE-Bewh;k?s4%`_)L>E9^;`8o9Tk8xlWr^M!DV9`3``; zlKTp5(Gew(tSFTP{G3EpA=WJleo_Q&$=4YfTt=)l86uQQX%k31X1*iA2{#z%_(4eC z&rt_8K1w8BlW@J2td-Jp0=^;M8$a!b73lfQ$iukDGv(tbl9xFw-rrM=q*2IvAl{3U zbzeJ0Eno+T=_wpmM4JZ$+{K?@+4!9J?BLhQJnS@(u@s9g5(4iNp9_xPUiDbjVD7v5 z;DlC%7XxF~W7kr|!sHKHr+vcFh(z4r07x=%P+H#mEefpHX-|%ro|c9bZ&O97S6BBh zCS>NEr)C(a+M}YDjz6Z!!d(P(x8^T;b*Nep#lmK4)!o+_TFkD(BG4byYO?&KD3x9X z<1kkE1SVQ$uxm(d#&ODf?OeY%d3+>&w!{waAiQTpdy)~GB!`D&YfdXdzK2^NJ|c6Z z@>wVM_`uYFvBLCqY#7z9c`~m*3av%3>PtUu)a2;g=<30}k$P?emFlPaG=CsrU4 z>*cGN0sVNE-CjZu$m`T_Qat>H!lu3mXv!@jf5d|(aJ~~yH{d!R+9*S(?C!b6+;ZLK zM?Y4bTFy!I2}`P0dk!j81vvEDeNNnMpB2bR$- zKek8;8VZhPz&IB?^sscC)uv~1Iyx~G2Z_|D-ii5E%p%8Qbxm<$APJKYEiSj%DUjLk zmQ1SayN}jBe1xRt=v8kq3ADS~g)YFd=DTQ9?0q{YjvjnZk%KN*Q1tI6@p!4+nuYy) z=klUyzYF@azO9seoVz`TRrL$^)z?i$yM&cQpdEb5j*j%M@rl+ZS{`N+d}(J75h z_$FvDe&!Ur*ZzP6MhvjYdz^N`>%iel>l(uLL$gi4z*#O1HK5q@c2&xsfNGeM2I7k# z>ta}ggqM=0%fd9bD0jE@Q0h<#zh5M}oeY-~@)Be=WgmM@p#4ro%hANJSxRzz!6L*}6-WO3))OyRu+38%gQE(G zrYl}fO6?GGGAD`@1(j2UE%%*L==B7Z6O3Be5`?j;1qBAIVJ++-rXvXHff58mb^2dW z*8tse?S7QEJ#$<(Th!4-HEe0(M*x1zjj-YahF*6(iBD<#99L0n{0FD^F2Yq2WexAL z02{aqg8=-=6jNS*OKke7J20sgCU;fElrMuCo&_5FfiK9I@gJ{+z{rE_Ir))6uLJmC zmkEEA$UJ}&MB0k{nEIaLGvg9|tEV;hs_HD|Sr`g^MEMiNPTBKBRt<{9bIO^nK2f)) zn`wVU*JB7vM6szo$yf(1w4?)tZ>V@RReQ+b=f85-9CqR^>LrYS!rr#-0nulSybuDgFRV>E9^>r|>L!)@3aEziPS zLPVbI2c}{#cNP=^u21q^uTJntI%^@l|Lq*0VnB+Kz72Due_$L(ji)!87fF*u^56C< zn4=!1%b;8`D>8L$iAMy%sT{}QHfbUs7xF&+6WVQ6@e~?`L?T3tdL`%19CIjeco{}t zgd@=YQ1Q(k?nJHaKSt%^+!`#4KIZ5~Emr4=TH+iS^t0N$eKZUMn&KkAhnQA{)V{`E zA14p>UY1jJUAEzn3P7Ur1nGw(6FVa2iMW9?>PgAr)QJq6I+MxLATXH57q&o!0zoNObeHu_QEwhooCLX~5~ zh277?8@6&C^(clno{v+?+fIwl0O3}E+0GE;( z-pX9sZd7EPzFYtA&b&#uWK>HDRT4A$98ui2*C;%88e*>cyp16hA1iHQkSdk(DXdg21NKk!Ms zQD_Ntq!hHjWc3kE2D1-9pw2eGy$-k=5~ji@q#=JN>l)gBkb_^%v5fjH@^Du*+2V%U zR&r%fK4v~b%Bt-bfrQfHrq$%RoC_nIbI zI(@KA5A`Fi|BfymDR7Hmc=Isah@%G_iipTSnagOF94--$zFQ&Er=26SgWvy|Ki*vA z5W9h_%?TotS(f`Ei*FGw)scX78n>t_3Pg}xxRb6yKpLHo`I0I4g?i%d-tOm?x+7*N1yDHs{P4Ix=i4s=+I%2J zU|(c78O^6rPtXU8dLX$<-@ubEH4-T7rvGlXT5Z{G$&MeQ9MA`&h;~a(ux?L)n`Q!U z-OaKucGFjNsg7?dORcDo!gm@L?Ccj>QYHl;z#fD;#|n`!)bGDkvKdAhnVF(-2;c1$ zr}iF;>$6#}fEw+q|B^PF8Ae4pyrvwko)@zM&hzZ12dBE9#3KOqz<&kncGuV=gbh3&Es72Mi@rRB~o z@VR{MldJTc_o@f~OF^)=%a6o|+|~~?8;?{9<$Mb0NyYa7o79R#zGZ=vXTv&P=tY7o z?`ee8QOh>Njr^lt`7NdUiJ1Ds+9>v9O({<~)_T4WLFj<4-S^vM`_Ha*N;-L@akOvkHm!m>ouJ~-uf)DRkG+|_(LK!W z_5j~?KN$$?cI=kc8mFiR1BeT1$B3YsthQsu_+A`sDmovJ!3=;8VnZ71{XTf;2K*f% zYmNa)ab>iy>t?3!zvLs7R*tSlSFOOp2k}FLCz-j3YA+k8WZa3RX_Oigjww(1G${KW zz$BY5wmbZH9-yCM{fhO3TWH(GBsv6ZjDs-^{sq#RTT|P9ObPkUf2Lnt5h`#%fOS-U?O8-C5N8_*^LuK!H*%9%Xsv3tt%N0am~&!9}Qa@|V6XXn<8 zpzcC-3tk7X^u$RseJb}~i1@E_+X_H4uSSx!^8ABK<;_8;tk-7RRF{UbzrseWYrmjm-@mIsarS38i$tj!~*Fu_`ADerqFWnNR$+z`%iP_<^UO z@yZmwIwwY4F-w1~OLAca^%X&`&HW?me|9aJj9s92T?ohaEcA3l4^RNJK-{{$%#BD5q<$e zLzG1(|Kv8{+HaCc<@@R1FmcI8lwC@d>;Wd+;3l|f{v}Yw#4K=H2g{gu88Np{M^M!z z@DtXj`PhE?CAVcbDFGXtATEmxi6D8 zKn8}9;Oo$B5Ol&hJ{X$nJ#Rydk-fQ1AIl|uLj~yR#&*f8K(ffQ`;&JSYz;hum6apNBl0*vSUP84KYaM3^F{A+ z`Mj8g`+QhzM)2eDek*YuC};4gPKDkjtzr>kaGX^-iMHsgq>{m_s10Z4J3wD(WaHiy zKWdhXmkk@qczPsddg(ygy=>+P?cMjY?N3_$J`KG%Zz#oO@@YX{nZf-Ye!*9AtB$JE zE$XzqRR$(;HjoAV{iPZy{=x6Oq$A43Hng}SABuZOZJ8=j*yg3^fBXwk5h(%@2P@6^<*X~8>L(; zwte9V_Fxab^Cr^)O}STy#yNLSxRzImHYc71C)_U0n0gy?-4QglY;ekup z8!y%qnL;mX_SfC+&w$VR+&%QJM@79QAv|-|i_JHUZrquL2961Oh2AwKf0uosewwVj zRZBgvPnZ#0$#fnr+5Qr(pS9!9F`b?YjuXg(ZJg?iT`I~zDUQ#{Wq&~*oYi6hnAgzE z%A4VX=mpbh*0#$*W+RoDK=dGjWyv=jrIo|ec?f~}>C=aA!9TK*{8@qj@*mkeAnPc? z9LfBKUz}yLkr;Y7vrVl{seMmX?2{1{Pbq6qN~1aQn=g|H6Ad(wF}T~LSMy#4#Hm*! zOX^X2{C@GdVG6}pVF?-nRE~xl}lW0%i=HUU-ZsWNxTS06PU`=9Js(S{s9&qY}GK0S0Sc|B(?q1>301u6g=CuQ!mh{cJ; z!skJ1su*d`%hz#2MS<1((Gv?n*y1JaXSR7I&Ac2K4UO>ax9_X46ZlarE=8GG%=A8A zjVg2@$?59S^LIWAXzPZU@;bOBkS>-L17H=Pl=8AN(RRIWpY8Pzkr%2pq;|`B)wW47 zwc3I;7widuiXLOiaT~%_-yo(_PG3vo&lpXNl^v%pdMv7s7Y+@=HH&SE4>xo+n(mzn zgwI-J;xhEf`tSLGNd1|-XwbU+pz2^niaxxgI%p_GCj(6{0ht5k0QURcni^}FQ zH`dk7ujQ1c47m&TNW>!@^{VAg0`hvGy~WjtMr)7RmbPuSkm#Gi1PR~l@r@~%R9rb3 z9~q4Av%@1-|Jw-y%dDTWP7`0RyA&8YXF7Pto|)w`0=!bnDEs3$rIWW77s;m3ZL~qCHdzkfOy_B(!aeVhl^f) zw9oHNyxF1w)7@#bbRE>hZ#K4*u>qlG0{wQ@>1o0{zqgeT7S*3`xE_h#7IyKR((R)&#XjTfj_UT;hx?qw1cq4fP;?B=BsJlE z%DT^^7)MJ(-Uy3WF1-wNlwYY=%gIB5+OA0jEaQj0ZZUdbYg^w^0Rgy>MEAA%VNjL(`ICpNCS+-~i5KrtCL?&12~VEqu*+oe$c zO7nCd1aj%zNlIYj9al3a_lK~ld!3sO8+cZR55HrFx6bu!OO^V zO6ISt%{yNESvemvm-i)<|1;9hF4TQid+hS}I#cmdO4}y{J>wn|p_)G6lg+IZ2nOQY1EM2jlbd@ymECy4MDH!J?t!qau zoDkCR?eL#mP?~u62CsWifGdRr?GmoB1<#llan*qrhIaokhblu`{LcmWL?$tIy;Gy+yNJgBL+$|U#xudq`dxisg9*GED?b-#c+W*CP>i=*X{yAQS&Hx+_Xu0!FKOaqS$9#;oXcZz!}DGBjXvLid2@>g zwJ(K^hIcQLm1`{;>>^j;RS#4a1DI9o2)|x-mcwT8ES!m@(qtTnR6ZTXe|l08S303#{<^Jj!mC>@ zo1i%wey`u;UkR$*EOaKrJ~)j-;q_cMo-pau8<8(h{?(u%KT>P+)51x&)4o-Mg4&S6AbI0TA3n#0->Y@#lI-f6ZwN+a8XJW4VjMKW;eqUoZcs)#7)ilEZBlZ}GCW+FgMp@H!{H~MtZKut zqWRMPyQKi|%{C-Ct%kZqC5S0iFM!*cs@&t>oi0g^g9G14juWIacnnZ{s^Z~)13p>$ zdL36VB&aP=8O|o*a1t~WgC+ApeFUc|4Bzy9{3>PKoNb1zU{K{xbQ4aNaG0-X{@dXL z^ac!B+#`uU?{oi3OQUc ztXu;>DzINk`0bTWeCHE0IpOuiIyI|s{c}-^Sgt!%!Bi9P=p-dK;p>L|L!S#-emqvz z5ecO=;Wpok(CF^pH@47X5s1W|p79GVvy0V_M)ndcMIqZ4*!_`sUAO($%6xLYll5UU zujD3-MT>cSfV{Xm;831~gNTN`RL$6m#Egxhq-neW&*isT^f!t?;Kuy{h0{je%Hhc8 z!X;CuoxjBEf*;)F$fO=nMG+J7l1y1crnpJ@`VJ_rU8m*fCNs#AO&SF95~3vJqhy#g zOPTBj-6xFNwIcWe4@>>7m!X~Xm3}77zck5hdRHMAY%{ft12e57nY^~^V%^Q{>%yG7 zqLp$=uuUB@>&rDQfKBg83Yt6;rDJPOj!Saomf3pux?vg=pP zSB`*RX#pJashXZ@W#A^C2mpPYX8+4XI6h0B;z{%NyF1_vJ?s?D11L4aiO{HBfNHA* ztSu8}ST>+RKH5?$$oqZ(I`l~S1Y>X#fy2}zXMFE)IKH_v4yw7EsU1cY#nrcLyAanB z1ivk&x9T;)5bklpFzltiBX>>OpTo|&ZlR>Vlkz2bh)QJr!BIom4g9A2T}b3M z4gf&>$&5Od##zK9b{kzK$CMEAraKCOD^$B9Sk@TkZ61nIC4+AP z%s+P9bvogo3}*+jW2<7awblt}sfNAk*UCZP zfDkFkvusrRjnODcftH&x6esFxp|fnhFTR6Z66jlK=?>7$dzn^+c2@!!ZJb=LUrbr! zOmm4`aE)Z#Q>ggMs<;3Dh19LVa+EXqyvWT_hga%trp8Mpu=XKP zNiduPLAju}|HM>BU-x|UqRE<@NZanNzsDW@oXu+BZPhq#1K53KcW+`@5wV%FbDH?A zX|o=j`T`xMO1`?t8ubsM&ZV`*hiu9L}P+e&cl5+;I7sj1kD5C^{HQp2-C<%76asL|V4O{3FH zMXG-J&l=zK@m4wq1^e3*c5uuw)Mk4`j)d-Q`xa_U1rZ6yjhQd0vH%Trw+!Xsvjm^5 z2#W{@Ao@atuvDa1ysx_L1e0>{&gkv5B|!~x>z-y(&UI_xE*P~B;Q!8z{8V?vI z?-`5oK{Dz`N9N62Y*`NSJ6&Jy2Tav?%AZL9m1OUr+Od1dBaj+lbUJ=^mW=;v?CrYQ z;4b2J3z#8lLBQAg-!(4%TSPA8E_xDnP*`IeMg*_JQew38oomEzS?AuvvKK6<@Gczd z?G!i}2vu4ae+hPaw9gE_zbBd?=9fxQ(0P9V9!%x51xyhmCqY`*9FCFiQH2mOe7j%o z18(F`3LT8=8^blz%#?&$%G><2CVD-sfA# z#JYbDKXm4e)=U08KjdDI1D*aZ|C7k}h@Z(^KEF7{thT9#?UjEuVD+DLWdL^N%5{pT zf-4{OCfby=&)gRh9DZ+7!QhHZw|Mz8#f}t#_|6cM0bk)nt^Z^@RAV8qDBIZhvdmZ& zPNwOaHXt%i7k<92(B^w2@um{&%-JH5FiIqE_a5CpNW0*NWT~sLQ<0EWMIW>4?@6cM z7i3x3ar_o!8>y;y7Sar9dBqmGis4Rq&ZquD?oVr(eNZPtjc_i09f6@7R&}`!MFK`d z;W>UVlv3H7#4^RK)39|1VqIl_Sr=fDWX@k7<}?yRQAT7qrL-(J`{`ooHf-A4a?OQ_ z$big2&hREYD_>aZeAr9n%iC2qmM_hy-Z*)2m!`e|CJdHX$AnHK34)#{UqA9Nlfi?>x<%!8N2Hr)3y&($_E6!)Jwt|;@2yC_$PEf-?WLU2wIFAE>4{D*=&8X z=;L1gO`R)KB@cz#_E|I1R)8)jS6l&DiJxkS{MesOsUqUfr5S7zml` zJ~07j@HEPHX&L>u20e)j0;xlim*2w12UEQrms|Z4T2s_*579O>*p=~w0;<`~{ z#?~aIjO21XvqLPakG~s#%|OuoN>A76ZDOaRF+6~TPbPanF#asp!R&dljU_YD4oV=& z(+W4Jw6_`_V%hzzJE`+UH-6{vp2(yMoZvIfJO=ygw6oN9bDz_8cVw}g%<6!FVsS69 zHko2_lY4q}3#&Ud*_ax;QJY; z_4~W)-tTy29M05Aa76MU5;3~$3LSPQg_Ki!wVC(WiD(EGh6W?igF>*sbt)!k+6R3K#MFR7uTROt*}8!Ix-GZ#47K0AxMy z$$NW5Z8_t;RRZ#f4w#sxg0yq|SN1*pKV2ir3SRrY2nXg|5Q|_NqXp8N3BL^ztpYaU zQZRH-PrXC$u>{9@P~1j!(06b@;l}$noJ*rs^!9Gv(?V`sUt)!x6Kf<85_$qki#ZJ# zTtnX=T&RRV;fZw=CdAmjjD_9HuXzbbL`O=&cWlV$A}K?PRWv?fh?b$i2Qv z5G+NgdqYph(AwgQ8bUa_nu~9q9PvT4|B3N+--#k>D)dF?{J7RG;c#5mv4VN^d^;vN zqz;3g`(=yK*IvYVu?38^08j5}WwiQ5sOy*8Rgc7RK}tv8Ead7)lXc@DRA&&+>>rYu%?TE_wnJUUW0* znQ+gI{Q6&R_suL*jDm4uwC#^TbRb4ly+8*JQ*=@>&al~20yUu(-RRtA0ELZQRsRXi zr(GdQfhx$>&XP^X$s{VroPhkp4d#%^5D6Y2`xuqLj3;EuD|uksi&#Ca8!7~(?VE)P zJwJ;s9TKT95zo(>9C?=1Uh?QZHDZBCJjT}M@S|t)B*^fgW5pm{hW2g`}@E5uFnsNqr4c;_JZoTMzI$S{7 zAIib92WqsjY_{%U`kpTNBTPw+JDnd$yPR7nb1z#+VUB+uR2OvCqr9}r{aF{<%2Mr@ z{#Jq;ReKHpqotRZgKv(@y(4t@&NCKEN42!tzv?ZpsRJ6BwY5)4c_V_gJA12sYo6{D zYZY3`!vW^Tn529&&)KqnPA@wt?{7wMV;7(=aN@Z(rdD-ug#AMOzVG@?xXt3|FzB*I!{AjYhC^aCKuqG`n^oU&7x#<%OqPeO?D$1Bb&BvcG@yQ+~7!j*2h& zg+^6BOLKSsqz`7jdH&*0duSlK=F@i8vQN`~3(#tQa9C)B0dgIJstih-;J|ddASNFR zrw@O=D*An1)VLtCFW0XW`s?6SZWC0YDRcl)rc?N<|INMazDf9coJ^F*b3=P#&F`zG zSPF8`C;0qYF2Nv#O!PY0ezEE0($Ix_>TjXH*YREb=%$*{{h0QG)*$oYua6(R%(j2D zRoGg6VAN68a{ha0b!@OARO_ANz5<#by5B?Y_5bT^p_c#4{&!g(Sj%x@ntgAla$6ZfU`cx8%DvC~lh}&WIDMD>3H>QqDBWHhyBmRry^r(c&t=pTypYLeM zN7cXx^nML|NFjj2f?4iR_g22pgT3!>fT8 z#Df3m?~@K1Vh78d zW9w~@Ug57p2j-Pzm<|raY%+F}Wy$%PH*qQw$HRg9q@Gy*DC-eb>!v*Mmo(1}$BjRJ zYuwBhlP_h6JZ0sO4B1hNA1}xR%$Ed(EVLKqLmcDu0oeU*`RRZphlM}R0{ZH_<(ozH z*kYBXLlq+4F2e1%sjK8R4r{hN;2eXwQJr!_b>0&_R!V=3Y6T1 z`f1$B{@%TLYlG}_IRMjvn7!5CzVl(qH>$rkWIO6|^)A37cCODPof^%vC^>=C@f<4iwxyJd?S${XmMSoKW08ifw=?d34_2PYhxvfkD%LE0Ad z3O!}CSllB1f;&W?T#Z-_^f&)#1w9uaH(|HQu*%NsT=0KB-YKopnnam=e}@5k;{2xi z2PknLX(7~r35G*~J(0iR@=T18DTj7Pyo5UtS8QEl#UamSsfIca9=sdx%FaAeX;P+W3PtPH_Xuck*I+Qm_>XWmSS&N)lnD|lY&dc`Q z+m>jR00epH+nQ*h^_UG;{0M?9d?v*1q4SH3*n$E7Yi(J*hW<7*u90Riz>W z_b_Se@!>@F9M`(i|4-F>>$G~9Xl^7{Is0&PeDicQRsOY1qYVajNMSb-`@pQt!kvrU zYP&7@Ic;C)1KUp%{&lzCl$1Q1szrfzFV4LFFxHgM2FCB;kl?=iD{J4^k(^i}_NrfW z;f8Jvfk>;>EJN) z>$6c&!;fUfg4l9H@?q}8M9}powo)?}Y$_ic!u=putkBJyk0iRA_Dt#lmLkyKK! z$P30xHWhRUP-ND^abd8f7KnW-FN_uu`5fv3C*Oq}N}Rym=5^Y>h?Y)JC#0GSf8bP+ zC{neAY-9z-OG2pNuxz3%-pRXk!o$v>^VYK%@44VR(eR3$mfwk-p90BMJcnNeC~)st zM2IhWjogTTJJ573^p|3lSV zxJ4DW?b;&UDGV(j4bt7M5<_=NOUF<{Ns5xvFtmttcY^{BEj>s{$1vp3u;+Q+@A%%m zk7Lb$u-2^gyYK5dPwXyUz)#(LYyOkukyk6u6suf*6#ydt6OWNkDPqi(D-YZ5yD51| z-+9wmX&EO(ZpkzOsROi>&StOpPr814gGPrI2EIfmvabDv*i`z~kezf_VcNxtGkX3@ z8%LAo)*^is0J5tT&lE(S1OoPTvC$qpr{sG+)8)yk629H=N^$lfKG$!sc$>*0G!!pl zOU(;h#(_d}ywJ_K6AhhWObee7M#@K{voD*7t6VEjS%*!p2f za+5~T;@T=eGb}?UvN_2{P^$}|5F4}7O4y?*6~uO^f`{B44$ z_!r`Kx%>CmMOm+TRpslBvEf>~V`(m(x@5V^HyaUL$-_fUPC9cvhfFfkzHw4E1P>ZH zw+X`gO8eDsM?rTo#DSe>7XH<8v7zZ4CW@?kW$@TDO3v@xXB4wmgzOWYTm_F#H(lK4 z`)Ay>Mvs*>dT}nbdcV7F^#2~Lw_~2~p2`**xh{qNfU$-hy7kl|d=1)fh+gm{8)4RV zo2t~>tkxRTbMu-le_77TtgGjOL1%jC4%jsM>!Me^bX^SbY>ocLx9t>eFfAXun|`jN(a`u!c+lBTN>lqZK}x z@Qtw^W{QAb_Y1gMdhN)!(85?xc{2BxjqAQ=yIeqzr)&`#r^sJ(?CnV{M=QnIjgALM z(^hOWb6hU%KZ1vH(ki2Z9d^F6i?O6>=+u%558q3*W>RPJ_2;wnmr)H3X@Ri zO9bgGaW@bB)R>}m(t}}}VMGbtM ztI*ArwYm&sn>ZS&zi^{F=-hC z*76Dv7r<+~11}h!gZw4|AAl-?HynQV*fSZZ8K4$Hb`rdh*-%i}l^~K8>7FXU!q0V$ zZ#gI?QTsc~OP4vz(N-hS_mi~mgfwIsQ|6Ml{v~*&oTZ- zL|b`zWIK8A)yIu@FxS#HTQnkaanCr=cfCtUn!H;g#F3CM#IbzU^df`i!lf`GiEj-H8AFjK6OUL8vHL&A5Oaz%orB^=CA}6Xbo=j=C6DH0g1gd(ir`0Nb z_BlVN;Ws^}+EN3=p>|=BUhbguqn`fZ{LqltjzQ1g_IMAGj(i1+G9|yiY>~?`(}8#t z^V{wCZShFdJECI@d0%->D`)uz)6Gh4$usGzPDq*VM6Ot^_cpW?_1i~zbPP;krwR>E z%c!0siW!jde@#)IUiL$5tntuERmUSqqn-OvyE}meFTlE_hEo9j4i$T!b~$b&`uP|r ziwPo3Dm@VInP+=~($m$j+$+7o){9_zyyA2RTiJx*ul0ZU(9jX^KV6N6q-JLi<&4$NDhOJ(sN2TbP#Z1N1 z=PNq-52^?N!(u#XcJU83Ll25%YxE|q3a$^m7WU=dsbm4E99}|V%46ab!%4|qzdP12 zVbsTmqp63q&R84zC5+4-D>`FV+M(o_Z(#x=6%sAM%ty6gi^! z+4Y-F+*6fq6?Y^ffZ#P!x{rJ~S~?U(;>_=;w`ne%*Pjd3ps4-DL(asC=4k~fLE3Ma z1O8F4Lv4TlV^@7t4WxsUw5XDoy)JS+bJSrdDxPMb)76fNnN}d}3w$MgtCAhlkK4y_ zxYD};lI;%7T~tY4BWHoP&Ogo4QuaGEL_H388Ytgd~XRywH) zbQZ#cp~~!jf;=8!=R89ggH%u!yI)g3hnXU8Z?v|=C>m@6>DWUw`lM-n_=r`1L0O!J zZlcZr0!%;cHAqN&B!zK53T=1g;W+)r8R3~8szQGFMPx$&M!Hp32oLMDJ$Bf_n7t(%w>+Aqte%eP>*S?==GU`k;CaGvV@tT4`^>`hP zhjz_YSE>2gzu&$@4N&*Gy>8B)vx1hn(Pu?ZX)kZy9C&0c{HjBw2vi!}w#@q>>h+YR zpU59RJ5Z#wb}g#aR^PtshPR{3X4*cKY%b7Xt(D2$?;8ulW<+Cc>E(8)ExtVQKHeh6 zF!AlClS55F>j;x(#BSAxR{lzODqIYCe3V<1+BF=kNItS5(xW%znS_(cvH9t})+-l+ zsENQmx?Sh>@-|9Mh%1`M&bzVX*m}nS?7pP_85MGr3q5DnHOx*T#^tmOxD z-0em`M6H-FtrZwA$p6pgiMRlR@gKC}OAQU`DR3Lj{z}p%4Hb2dAl~|!;iUK$uXYdGHPU7?_1ubTjR87{%`nVu8hAT) zd5t`}`H;jdHZ%OnFkjCQhv;MDW*nJnVNwd}miVPPATh zU59hBoCkoJcksU}T-IeX| zFOsMWo+V)sqmGwtq*RdotVD00>=sxk(n*EVkxOl5j&$-v z*u$w&*w1tcH1ml6^KT&w_kc*1(>^Ah#q(Z*`((F% z#hU;;tL&|}zC?08gvT=f;8g8AK0-m|&~+fZRga(PxjPz_vi4?_Y#F?<0hug+9H6NP z=)Xx7KYF$c_xRI?lJ{j6g8l%F_jMl*BRc?<{7jicH`t*$^@^cT)0Y8UJ`oI*4Dj+e zAU*r}&`DZoogV=EBG_&y!X&rvwYc=?4cntCHozM%{6`|e3hZ!&U{r& z@wzu#D6U>prbt5M?zPBf2vKlNRTv~I8bWs?a#hqzhz_WqFkU`EGT2Q)txo^E=m70i ze-LU)_|Q^QuFlsjoLu1PjZGxl1sr*Vr#8n!a_jr+aYJ|xGn=PuEV0K|1fl~Q0`T+s++fY3-E(Z9?FZe0Z+P?fza>sKFTA21Udroq8JgO7 zta6@#uX2(fW$OvMPP_wI_6(ywRKj@NBspweKdkm3nt3=?QrUs?QC_wpoGplK0oxph z$(*7%y|VK2WUr3eO%joS`U3ac+-<7c!+EN^gMF$F=P7j$=OJ1T7r)xj98rg=gi(FX zTuGgos-#qhiMhIOD+g|`susY1k_ii31|sZpL_@2-U+!0ydkoF@<9l51&JtM&yR2J} zX9?>JvTM$Ki7eYYt|6c6SXSSPS1z6gH!wQKA`(mgRvj4hfL{b`T}nHE^QmV|`X zgtLrRS1t>udsB*te`?-Wf_bT@aadk0+MQBDpzUKS%eKtOvrSxhS=S|Iw#Q07C}a%4 z&i~bM-8i`>Q%O#v1VFTNOGKY}SQ2>{rUnWr*}JEOHR1|KHM}SBHI8mbzWdQPGsi zANP6k&0z*23wGnrjrcBfRjhp&LCz4XJ@TuLzl-y)&Kx2R>RavLA6uI?{|-+Y%&i*C zIr!3w1eX_`y%?p!@RXT>8T}R99G3beZ6YK7J_bPnI%Oyn!D-fF*iV78Ac9 z9HPU1iR`fE48jA)PINr+tjyNLNG2RrEa^FXcsz;AIrW<`gF(ssEh*35T|UQOZ0_luwD59;^BEj3qb4Q)k#JkpkchSj?-6|weE5**!Q)0DdHc+m^7^D<;bJ)3f1F$I@vg13RFQYW$rg0me z|0A|D!E?pFau@t#=A2&SX0DZ>73?%rN2M-MdfIEU5Y5$DlKLRf@(f zJClWmyyN!x0Q2EwRzhr^LgxS8xs{VA1HHF^4fY-WsDd-@U?qQ1hDnGWh8& zjo<|TswahX9x`lX_n}s3b0GLEq^+74>9}tDsjTW|wPj}*cv4>=7;IN}Y#f$mpELl= zbq3JTZW8!VwD#N7Eg++2VE%Jm3bw)?FuKbEe&bf(E(^!CFu!oZuEV0xl->j_8%Nv+ z2V&xwc3!;RNPIi+uoqX=RJ#c(*nK+dg7x0kpS-3=kwOsINfxe4plR4 z$ztJa5kOYY!~%vloku29;(H`W8f$iA3=b%KKMeP4WDT#z9$&x3^YRnv6AW2`VOhxC zCV89E`b#I)EF3vJ%~B6*`mVwmL)4~#EdJvLLl>8*CdMAxZsC^`^F`uztrUT9q~59% zx2VpP{xzFtrelBSv~1nfaDf2&`H^e@=;T?|cX#LvBjgkU{BF7T`r65tHYX{B3)hS` z0{-pXdxPQGeX|S^8f^M9Ca#c(;SG~3x;<)mv*>?|z7vfLdG(U#?ip-fS_(7_k>BX= zkH3zR;VUy~WVeo=?$za!d{_4(-d-m9JZ_wK8y9+fFAlJ`MQ3~)xJ9ee&D|yB@?4gB znPn*H=DhhmSR6Wd*nq&l@~**dz4X6at3kHgKK*)3c_2hiA2~XqA7V2=x^Y{iqSv^p zI#rix_E#0R57K4|9!VP4`f$I7yfTJf58DB%NRN8!K#EVJK6P$~y$!v!a5Q}EAIFs- zki&xzdba%7YFtaZTSf@qb!$Y>cZ5)mUDw?6&0h|{f;<7J_684=G_8j$&A zyJQ*tTvNzbg>0nhYRj$38_>*pKPrKk+>dyUL8#2j275VKbK-~ju)WlaK|nip zGndimpfi7DlFnN@_t6_o4Qgyh=Xk7@c9R$X{;MC2(BneqQw8>|Tw#9a^E=@|i26_Q%=wXn|zAB4&E6Q@goDI-jB9_W29_n=VZK z9#5TgK0UVUYH!x`T3v~e^dqUO>yY^csNZ-fpG8I6yBFM56(S!h?K{ze67*R0kcS)j zGy0u7a4w(AW-ic@Jy#~T|4BUaud2s})tEo5Uofu>YfC9>uVyOM&8wruI+|gG3DvLofp@Wd zYh2;`Hl4#z*`U&3A!M>iFUi?OMD&t9;ju6OF4U83CnRqe95!kR&U?4rVYq#CLoHkB zBw^v!ZvFeL!nml*y~12!j>o70(VJ7E#~SMrfk4F*f4|=>e&C${i|};}8_{J@p&3UVNfl|)Y>At0egh5#t>dYqwx|Xm zw6JP`Y3;@LDVH=yB*C7TFHH_=KUzjY0=6PyF1~Qd`sFMzfKo%8uXmRmgP2}jhBM+E z@UwlEJSL3~$FZ|k2ojcnEx#lg(N)_s{y2m4T#Bk#Cvhym`r_liT4zeCfeju-MUr^! zKf`Ef47Lh^QAK`y=a`n!i2&tPHTk2aTZ~Huv1AhRgvTZ zE(U3VHos3^Gv-9Z`56A;Yge-@emwM&kQ!UXcXgD1RX7i`T-=myep|-24>I90$y^mb zbFjEIj#6|q6T-1xQukt}CcW$GN??gFUd851I-_QlE7<1Qly`RZYLRo)n}?c2dfjxh z>&6(5^*pm_X=Xj7H%Y&IT^V~=#dI4R{udT7r)gAf9_?LW+ETGu-HRxDN94>>P@3TP zLa+m~depHZDv+_$5HdZbf}ewFC`5DZ_Djy2CuES*~l?(d654~?QksL=|}?~-?hAd&#Y#8 z<;s@0+4rbvE3mr>b0=keuX_4ywSUW>Q6&c_W7rkVRkrZf%(^mk*TGe1b9WW*m^TYp zTH7mIh}eJND#H^vsUi{-KSm!vOxcx`7wsg+lQ^mQ%Y7S;4;4?8ZAauFOlN@wR?l*ug z2l1x%{=nPjEG7YS1o*#GkU_Ow$mC)wPz^|JbhIUnWB60y&}H=;&8MNUy_ zk)jeSTir3C^1BJIBf0?+eYw`+&w-fLLw(q4YBiB+;#g<6JMUdLQ|5{kW^LQz&gsO_ zLg9CzR`I$}AfQM~j3Hverq8MA2}NVOyxpXSd42tv{FB8ilGJWfq0bT)7m@Ms3{PcE zOnz-cqKa-v`Xr#J-#rWY)U*bSiYfT#OBev4uvvdMdm^l1O#-zpD-|_VCdb?yoKYCS zm(3vo#h|TPh(|e*tmwF&BaPH?dmL){ueHf;tO<(+}(7otg!u2yW42V1$ zG>GLH8Xm9?e~^#Pp=5e z6a=2(qp5=@&%>pvB4ht+$YTe$JXOKJmE7)>v6v!H6)qP8FW|HnfE7q-qdz{CzK~{d!9!g*9=?vdzXKMnP5;?WtN5pUegK} zT2IcIqW6zvU(jVJy&Z4V_QZKI5W*-}THp!@BEAO@xl2LrRL}BvlPC+Mx4DawnN(g zEpeMq^xrxX1TZGzGpK`&$?bZU|89rw2Z79IO~6+O{5N~-YwzCXf_$ex&|A0$qVV+= z9Yc>=^V6)Hk{zMBiJ`aAi42l-C2h&I@u=OraO~v2c1Fb9becZBMUR$RYfo9p8e9%} zC%2UUhWGa0FiTG7Ze54#qe^$neX&6Sz346Y=<52c;L)?{UfqTGpj`y}@-_#ZCi|kj zg=givlKKKH0>%~cSenQXH$qvJpSMOg|0Ay#BQXs@Ki%midZKWb_)~V!@hp^nZig>) z`ovAH0)D`F;d+K9Q*f3lSsGm1&Mi0Vs2-27vPUdRb$)vop67Dzy|mw6EjehkIOx$d zJ!!uX5g;XgX&%>~XVT)vZXvh{g`O_v+d z5)GGT^#_zQ}Bs# z2zwCGO(!C<*~P{9(Er^UMpolNK%z0d_~1dr4M+ZjD@!XqDj(v zWxBfR;TtouCH|J=HcI->=_l_gIjGL6XQqpI28r>r9Q=o8#4BD&XNHX8`+hH{$V*~v zC8nLC!HRL0Nwu6&wA;IgN7cOJe=}!!qbtW4!#EyE37A(wV8#2- z|MDz0#h~J=COe(Wagai3$sdQ3usbXuCQg~7>M1(Q-Fr{TVPB{4nU}xwZsZRy)BZY; zZEb@CgB2M*ucmkn_LD;1PY!{QuJMFzzU#T2Tm0FxbSqv_9hRxONOqhSq))EfGhbt%x{z6&Ldl9E?)dut3Zh@>;Vyje zGs+5;^wqDdt_^WZIzFH%UdZa3mRMtJ+rE6a zVR!nKu$LT-h(qfY-c~_p#KB%`Ski^0;bfe*G1eF+m@qQk39&ld65Gs(48Dr9%)N`j z#yz=`M%JiZ_3l;liC-Jn;T@faOHI9nMv0@rn-F_Fi<^<9d%cB?iNw}?_J!C}n0G`O z>+uOFIZ4V4y9b;K|2f0*7b$vTuYqGX0bv|ptp|*xkCb}ES&W1q$P4PapMm>r_ZT~B z*YNQ09)@JGL!kv8)UaFP)5Nr-VU*T=lPszGiNX+N4iMYU7RQePL=h5PNYcyQe6xg_ zVafGTU3}ZS|Fcb8g?%D0ANJ&aKCnR-l8L+&K{JdraaaEZiS{jU)LwOxyJM-7gyMhg z`><0WV4K&^M;0F5cPp;*r`4MtS~^Nnw~V$N65r={Q8w@48k=G?g>)KtOS){z7=kV{&;7ZzG=(k8MW zcLvi%ka_as*e1FWT^gIry8>K4KI_>_UScG*j_`-CZ$!FhMo+-%a|E`jFIXUAhhk^g zs~?>Tkp%nl4v(=u@e$u`K~L2&3Ng7tveFgZ!?~s!s~`m<$EblI>#E|*sR@3;zi~As&xmSmtMF~M;eSg{uIdPUyar~?V0gH?C@S3#Ob>Rj|BphuT#+F0Og>67@VxE>ZdjZj96 zK#6orvoZzVC~!sGU|dbaXg)T9x~m^*;Yz)m$g`9N53>Dh^G+gxOzoXkS!Dkx$Ds7T zXNobTRUagPlz9dxJlk$*MssUbH3u@4;BO`vq)TRwMsUC8xv=0cUW+2~7 zDgWd0)ZOu!zc(3~qQwixqhX@5j`Jo3UrZb{sVKW!{~y2AG{&h3NTMg_-%R9T&Bu$C^CM zboB({Hj0EzXpCzZ|M>q{^K)K?_TGubrz7ZX`RWQ@f_p`fD=2G8X0y> zx*ZPEZ5oB$VRXFtaz^UB=VKB+?CSaR~lB&mJLpji` zX>+rki|uh1Zq736569m>QcSa@fiq&0Q!J^B3+a$h?k>aue7|s}FHp)gN zaU3s6cbaKdp@d!?b;is}z@FX2d6>WjBNO zx4i;>_7CEvsGw{^*tz;2e`c{(Tz=5jPL8Gj!sa$WX>=^poc! zMgC&PNa1iNRVK41Qp3U+RbC)^@f}bx=*2eNTq zG=y&Mz3Gwql0>f+`wF5Y{o$n>8#7(XhGJ1kh@h3S?B*;NS%fs54#ZOJ&=Dm?JaFVG z#*)F&&X!^>>kwkyruNBq^ks-o$QX9Qj08YlICEbsQ}`Ai>k@xKjC+pv4=?n4 z%i=>PrYck_o9rIHTFn%sO2jsOnT$(K7$PKavdCP`k@D7%pXoCZ6sP^uwfEpB(|=;~ z2%&z*&jV=_H$gG!4Te#^uI00C8i@}@4*o}_H?_?RT}Dy>xJUW$(m!fkdS^zq&4fphuh);dmRhLzO(ln6!lNfXEgOi2^f=hrBLn;v zNmY#s=zG-Kmd&ypq@6X#cpSbRvAckGLzSA5N(zt`Ume-nu z%rQNrXj&p_*I&2iZfspU)eRdVK$vy+zNNMNJX)pS$8 zt*|Z3m6bQQs8%mlozX8-7GBaDCT&o;X7YnU9s9?J1ykrvUv^>$z?!5Es|Sr{4=Zl! z2oO_r{rm2n_Wtox)J63HU*if;tYkYY5tc);tB)S z&n1sPTJ|YxjaO5jNS%0ah?m5r9t)89ZBt!8bD&m*-0ih%?QUfEp&Pv^diB{Fw~J^p zQEocZ(eo=a7?VK0s8$?nAju}}Gc#9?=X-y(cTO+6lBV^auaV59uZ!3edjRnmF!D&p)HKJIBp@RvCnp;i3CZX%S=JN# z;BvrLy?9>}<8ceUnaZaPn;IKubg@0ixL6bFc(R+k@L1j<%nENZ{09QJat?v{@paBY9rPE%xOzJuEJCg)Voh7U@?b#MTIN~`+%5GJieTn1 z3i)%=>v_pv>aB*~P*{+iF$drU@bBjFAAR|~%U`G*6APiR4c@XINnd9gn5q9`p8;xY zOJyZW{FWScuE)22jv`BO#<%tb5-m{# zLrnubFkZ7xnRU&e<5K4qgrXtdCevR7X<4?MPs~rs_O6mSnbj|?KaBiLKL6u>YrXmD zBTpHmFIPJsxHT@0E9s#w0cG)MJXITtb>*K0p3&KXYQVOM17`v<)Pioek>oGY47>p0 zwGnH|F}O)FgB7C}RWg8F!HoYXN3`}oow{PYR~nAdpkYY3v2_;@AjOGfaCQwhvpw|z4ry>XM0WP3jbThAA$j0DO5^S4`yr=5u2 zvq|A5`8&1zXv&lsG5{X98~74~%K!=YZu*q~H1VhcZf;O>2h9A31q><#f-D)SeKib;KggjJEWyM({cAS=U6(sQl; zPVdivd+r8;`JbagR`U)18P2Ekzk7?hi}*u7zV+|J%Tk(m6l@?DIs`%71eXhqgW_#9Td1(7hiQ9sK%=Hc242N4qRRu5x*ZQN(_x%rvt@ z0*L6>8_6?~z*hvd#a29WNa`-Gtm|Ft2c87wu{zU(Ke0WQC<+=_O5tZr`oJVxPe9PSJq8D z5Gj&juP*C**=rX|zImNDffkM3a%J_LD~-6_4k_V_?V3{XrOE}5KYbE!gIpKiqq>5q zp8VVS&zHH)wwqXR^Co3yTi5vPt49{NPy8R(G5^I&IP&g{&}`OGry@V6OJNeYQkbGH z>O4NA5C5CpTHWNjjpOL?}xR@-rcgF=Z$4)4L`ZigwZhqT+ ziq0uY2EX0pll{nAA7|MelZ=q*h>v&?UP*|nR5JSO)%r#Bwg@3{oG7#j&w!UFj=}$a zvOO=@_=Nos^Ifq3cQsq*nTT)Lo@k!m#|P`q=IHu}L?NsxOF>`8+v7zHcntDmzp7PH zp0%L2iLb{9VZYQx<#8JtTgr01`4K;w?#Y$BJZ@W`E+o zdGOytj98Zhsn0)4d=(#;M144NJ0Y7OgLlqQ;JLG|uTK2_S!ly!7P(;9^4}>#B>Ss* zfTYfXvk?23Q~`!(!ahA;#~E|FGpZ!pxnLNl#p;W+b-W9x!+sh58bRS^VGjw?V_m{jQm1wh25>a!JWoi5c;N zIRgGgx(hW@s*!*GIiV8uvA&-s4c@-Xb9KW|AEs^Lv)EX?n6#EM#$g-ye`X1h&8Jrp zmG>>8pxp274Pdb&qt0>NPI!zh$*DowB+2hf&62y zAjM+a=!Wu}peXgOYwWycWmN1{v)$-a2bYhH!Y(IvZuK{zjweoT_K&eZ?SuHJaO85x zvcIbcoz_f;W;soxYbuITuK?776tm)x|@229JrRUVcDkk$fBYWyC->QKK+*9f))S~!ZP`r zMXtY7|A9)7_2OX1)^GLaW6yRA+ou>;EjqCXqleac2nm0FOicAJa>T$mX;?=?bWQs^ z$x0J}!=C3VKH*dmKDJGem_8%2M!dhWK>%QOg)R{b7oze{g-mJ9z0<@Id{dqQG_V=4 zv&S$8ogJ`wP1vhg=M|CX?TOuQ`0p}9y#17?tQu8`e`luECsoXM z{{n&ICUP4~PcF@(Rh(8vc;bGX4DCS+(!5z4I7m*qC#+&aG1_rN>#SKmhStGBxjebt zw@QU9o@m%1Fg5yh#J9^-h*iW>@6pm|m$aYR1>t@4u*2)VR{_CCy4m|L|j)3uug~xTq@rk}tNpI+Wxeb@MUPG3+D#i%qjyf0;{*)rDH1 zxr~m#Z-$uIiIs-igY)^^Wy(!Y(Y`!NNsopyC_-y zL!@=1d~Q@d2TNCP3cP&hw%WG3@6{LSV7{>bb)$R9-<3a<*XE9j<}81fm#tF8;meU9 zY@*lYl5+X*C)A;7L1ON|bb2#a#QC%u<9^>qa_sIKk2f{0qZ;gLpU2<+kJsM*`;#{8 zbScqySel|*T=+czS1IBpH5)POD-CR|Omp@KhIdu~E`S}Bd>@;YE~eg`{g!j6(L7dR zT{1!s!rInzg#cUB$DX9`dOvhB_WBHA#Uvd{iv`hd2x(BiQ$-!l?6=d>P9(<|;*A36 zZJ0>?ZLZrMQ2-x^#2}MU7f~v~;4e@XO+Nli^d{?q*XKCPSd)t%5kuctG*mu?hi4w9 z?NS3~$xJ4*EwXBNK0bTK%HXyc3iV;U(j%TME8x(`TOxe1V~9<_aURh(JIZtXLU}*Z zW|JC+)w;^Mj>Py3m&Jp6(+Qvf8@kt#QzUi#+b-9|XUMQa6q7C*`gDGEkh-Y0(A@o+ zBzda=!jf@r64QP!!>)TqOO$DsZ!&wv$Wve=QH9Mun)MOwFsk{&ETvd0+xLWOU&;13 zRZN|GVHw|%?&ssv!_aLX6Xz*@`Q${&LDR9?_`6$uu#sz=inn?L#1&KY9Rhx1@F2$^ zS+UivHtRUbM3pmfzg{ORb#h0L7beM5{doWB)}63;d;EUyhwPv%{l}RyaO7Skca_z$ z-`g-b**~+u*d(rB$8f4It3n}HOd+>}9@1CZRTG!aw}JaX)h6xg4-4?CJ)fDcatrLE zegsA;t!3@;zT??8o&mv+>k$rjxYg00XcGVKe#?5!UV!Z}$ zW9_dHyY=5ksdQfaS`f`Qi$qO1->u!t7@AxC&dgWTh?!- zTJqfp?}M)ku~>->KDI=g*T>W)k+YIoGM}8`{NdmxeT@37h0&}E{)D3{ES_csSYe4* z!i`18xwM8T$N<&?gaw?;u`XZgD|qAt^`-gu0)})E7}j3h zv@a}PTU=PND7ZtHG>4JA_@?YZlU8R;Wwd1ELa-?_XcNjJY!7*h!{;S`D)757w1$O4 z>&+d=E)b&xXP{d;E4uFwlx0Lkfv!NCp<^&Eym840||R8BA5Vgm8IC#jUP&`}#}bh?b!t&s6E%#6Vxj=?Td z6po0XyqLW-zu|v?^_zx^IViy8QECX<_`TKlr1&jORG7Z)rVgv-(eTg^{-=Li)hKhZ z6MtUON6a-78R~q=SQ~H?@T8-;0$LRK#8K};m`cQ8wH38*J6P%58414gkgF0=?Fhpf z2<5L@wrK)DGzAFmug{-xv*1?Y17D(f^egh8M(u+KKNQ!}=7)CJH9Az?D|^)5y?t;E zOLt;s$X7`-F8=jsRLU5dw%V$a-1OGewXI|E1e9G}Y3~x*+rJEo zsjNctJa+_#ErP2XKm(QtbpErSzP}IGZWO{7DyyY^pr*z7E6Bfgomvl87~uBmJHH>7 zS+>D6U|%h}D82|IuR(RssF091{(W2}dVr)t9=j)CAO(a$fpG%gW{>$Ey^C7wd^*aTqGuxAvnkc}GBQk>HYmWHw$Ed) zDqYFut#!IAH zkkZW7`og66o7#h?GxXhU@l)m=X*7m`nbJXJ67+b_#LXAg(w{YJVikx6ey*J)Ff@gq zeo5c;$fw298R78a3zm}R`Rp3ty@O*($3VO3Oi>QuIx`EV3KwgRDiS$yly|5RLkeOuZBbAL>83QmkXvJJ99Y06=f(E7gzU>gyO0!71V2#5FqlSsOTg1x6I%mhnQg7w+qcz8JBaP2eqw z1T10id?+CrZiET9r{K>GK8=&)y}quAoQ#CnNU;Fdd)wE&+xj*9;#>`H0$ypoli!W? zp*fR@cTsBpAY3Fj;EYkCMyIkzPW0)752H5@h%tLkp1U5&UlZM0|L8?rwnp9-Q|JV+ zB8y5tm0#Q4BoS)bzECC5PdT+Y`l5vEEd{aV&$?VM8M)%{kml|Xix^lZ3ICgOn=<(y z-t6+>S-WRf0xDfO*hZm-Cx+1s_SCZO+CU@|$FP_5@d=6TiF+-ETPZ)jDVWN8kMS;- zpU&P@fFL9UdFcN0ZO9m!3z{WBh6ybqdEsB1eBVpEUGdNB5Fuf&ABS`sfho2@FBJmz zC{27f^r$)%xF4cC2B*nQq`KU)retaY{G)5dAiAC(6z0{J1;~EAp&T@oO<9P=#U|OR z9E-*-R1$SL$6}m>?R8ewj%IG6)1+iE`cr;PQqiK8Pe`3MMCx2#+&l0}b*D?GuyD((W+6%@SuD!r&G55ij zd*x(@u%qR)`BrIKE+E_}GnJVZH?ns%S7ofIUt=x(LG+kRGVH?LoA+RRzDZ)r6(F36a#Zq+ZK<o@Ct-sirrOV{Vnzj;4Io^!U%+Hua_M7?#{xcJB!cT0wbiLpLhelZ|k1qTKU z{T*Teb*6cj`e1nvYSxB_dnAAfKMY_7n8O{0%KPT-|@(KLYr z$1z|FK^gYJ66J?S!ejz^u`hjx|6x`DHWo4GWpUh;a$?V-K493>7mLJwB{DZ&oQS!M zAKv;)^sN@<>5u#*a6>jb$^-REdbAZ1v=Or*%CN`1G#K{SA@Srabk3r|7MFR110b-< zSktQ^YIC-XPqdcTSMB~+OV!DVRIj1xq*sgH<5l`uGMq%*DhPmsCJ*H1^LWk+P+}2- zBU-Ss7}H^|^MCLEY>GTYSrQKB*W*^L@6?7E1rmfAlTnIqVa4SsScCK8>R;fPcVo=A zbN%wNw;an36_KI9*BxSUic5eYC62Q61CAiWV};`qmXw&V{Md>xPX(pjZ;d7+?rNhE z#XHeS+6$&8jlL^iu9OZ6UzwnRIIlOt`-0FU`W6K&K@*rv_|qiX5RFwjFCF+}sAzZJ ztu)HQuatcSz{Z@UN5h}o! zxJk^}hMJloqeR`l)Sbz|rD+%LO@gV#m9Hfb4XKRH?>k=k4xlvC`|etI^0*XYeG0a` zHI-NcHJrHk#b>M;n)wKJ^+P=VdYm@-j6P!ng14`#9K?c)+fEXextH@<%uXyWJb#>& z;k&FDyQ^C{^|S@xDH|eh!CF10k(-n#0{@Q{LAj^n-} zJgQe0imoKqn*1XmJGctURM~5bHQzG+&P^X;svDh+X*2P2Z7w@FI3A{;Yy}cX@7_7% zIZt})Rm4;Z&C@QhQB!BGUvb@t>tlNO#UFtjbYM2Ct^xXpx>!R2-6_G}UTW0&SPXH$ zWF^37#qez5ckaHJ{^H=jn;`6hm)#pXJ!p1n7y2k6LeXMty+VEceVL&lP=W>3h=!3p zl8J9(h~+PJ;Z3o?8(y0_J*a5T+T0z?o_NKXDVWHz<;Q9u}F3JgBLt8d5vK=;fP`V3KklI*%LMCp65^g$+jOEn0LumYUK& za#BAOR7c|>dyhCSqIQZJd=U4}rrwfA&K;A!_65Uu=TnkG>Z_A{EV*oylMvT2BG7La zvW^$0y$&~WgH*RK#05iee94_({4mhoOX(-Y3@xPNp}^8a!^#i9Y~E+oA6b;Us{OAG z4};iRs2>1qFHoh%*3i0Aa^xtP5N{`B`RR|Y7wf}2N;jD-F8K!J#mPc*4YTnRTIGT|lV*Ls0*)k7~A3B)gt$0+N zj5jJ2RPV~M@%fu))4YCk?<{`}@m@mU`%s?-`^-c*T=ew&w=D=an%%axoxZYalt6rP zt$xzvjxjE|gZMM(A{GL&w0ZMAq_5rALD%-f2@L*E57(AS|GN2e9iPW%#s4)v2WyV( zB{9={<~fl25UoM1K>8-O_X80KFM#8c{_5v*x20*k}IRY{+iDnmRu<2()sx zK%}BA^&GDMwvR*BWgPi4)>I)UY71+DsDL;m^6;6e1;QR8wJv3^x9F>g=Lx+eC?

    z){rXLQ^Inpgb-xp@J0njvX@sB{2*N;KTcbROP;Fy*K3q1H?w^$%! zh~DyX%Bb(6{^kxf11RR5_7aNr)2B4YjxL_wL{6F}<`p!~?UppfT@ zASXanr@Z}oCS#&A>2D+C7ZP84`leLLQ03u|ppBwoVuRLb;?dgw1=OD;3I9KmeYvF< z3k&nRsq?aA_C|-_uPW6Prw~3q`-!eW(36Mhf0Kn>Vg=1HZZr)D{&AzkvN`q?$MK|6 zCHy-9|GZ!1TnJc|L1vy8+n=E2`JAQl%ga47f4hNKodVW{He5}T29SkHwdn(WDLn%z zJwsDx%Vy9V6{zk@zNB&MbHwjRyL%k#YW^9251i0t!p+W`>%_7j-*ZzkwR+z>=sS)S zFl=V_o!<~CzZ^>=^9SC%@=EK_;?J8zj=M?zsjnt8QZIMn99PqrhPw&wh9%Eh=KS)< z*V3w9^l_ImLML&;<1lb=kq*xPBXW?N8RXq|egW`Mn{fz2S--%HEU!~0jg z_qPELDc4|<7+^Q&Ls(UW8aZoRPVQ`20`3lRpJKRLci9k}G3J+|U!FZ&< zeF^&3OFItV?Fnr8A34EHE6KQdf4o>Ju(3<&EdZF*^K_)%a>`-PStfg-25L+4q##zQ zW>6Dnzg->cOWqCECtbhdrx*ff6z=;=&OM9r9z_fq1|>Qfg+UUk*cu9B=>%-@59*ZY zgWu1mKEWCwU$HhwipBF-3(S%%>3Yg|mfzuXuu=qY9Bf`OLcLI%=VXJ4<|B@!?Z>Wo zkneUhD^BhMi!EP-%Du=<8hSav`+J0hf#B$c5nDVe*$xrli<}$?n}`V7M(lN=Qcb_v zf<;mzI*kYEDP9cB9bn3!wsTOP`N`lH3*-!xTX9HWNYTtUC{HlmNDn~OphG*=+Vq*yrb?Td6qFMk1&RHv;E8; zJMK3I9mFeYsV<#!+|^TO3U#=3=F98eDVwtYfG5E|h8MGp-B0{UX61^C_Q|#GU*-sI zFka(B3pkJlIJWMMew_36G~zUCeLi%$PDM0QXGK7GAWp){nLP$Ip1Bri@9~#~fd#*c zCh_g48t|wn%oN_TuvA4`Y`$YEaGJ_dH7nmLl0B$(hcK%emnMTE9aU4;l=lCM<-X{6Y&n`-&XV8*9GVmJHXpObOudB5f2#+yP*E2Ia48h{2~^^OkJvp^HvM(GVkE? zR>{@iPo?XMB*H)4FD$%FuCtgcRtw3>7wpDE{gf!l@^8@HL;%K!iX2r^JB&|v5%2hw@z_vcgg7B168+6 zb4sn-G~00!dlzPSc$`=u_GdVg^JSO5dn!s zOI_oG?U5E79{9|m&6_^+Jska(^NY{Uj10S-Ub9`h5nLutGoo_tIz(UhQhsb!MS*0K zPo3@CNpPiAW-6Jou0wBvea01%aQsxqwoWrtl_f423icoCMWL;3?Crom3Lpj*Lv2RnDu`1sP)_>b76fP zsqeYiuec7jt^W9Sr3}N5DD9-Cx_D0pSd%4CBN#0xNp;}bbkd}T*qlwUbB?4qR=NC_ z;=P2do#G>n`hK{U8sFtfe9?lvjQv*B30qST&bOC<$ApbWO_{b$wF2=6`C5R0F;j27 zWm138fW7c>d{S{YfOm+6wC_j)ptt)jCX_&m^2=LH@fhldeH8N=C}j{bK~{ySb}SD(Z>Tg>Aj(bK`zvkxZw`}RgUg}=2$ zPlIC!qLZI{r2!FF4+`!n`jRut5SI2j!5T@qFq4ngLRPqMPjSH?621|AC+)&md$E*t zq5SO7do>&mP5cc;_;c?chX}rWjJo)G5enBCF3G^;8{|mT*l=y*JlGv9s*)<`h<$l(X*B^blh+1(fVF%T_NM2PzXYBQHVGg1n%(_j zi!qB~39FWyxbL4F!iouJ`Pg(=XFtN2DYzEH8`_;0yvNNeeNdZj$y}ST*M|TFSq~GK@)IUBd7a*|M4p?Ut*8{1g{g8MdXQcYS^;X3q~1RJbHd zmmhxW(+l`2H!oogWjn7TOcF36e>kgV&J9v<3~aLCagr9dxf~8cXk3_zlayh zuU2K&75pS)z5j8@YY3u6hlCCD(Prj|Z4_9LyeFuTev+f4A@2E9+?m9ifr-cTRcONX z1$0*|1SeF zbGH|(cLpkv@7TwK{@p|y6KB!7%U`y>005odQ=KjX{$*9Zw3-^DRx26y{F&aCoz#hM z`Newa$Lmo{NPqbKud@%`dyb*V$}Z1}rf$lBU6g=45~k&-N)l3^$bB0o<*WSV0J&kltpC1LUL93om>}?y<<)Y5PxY37Vad{UP|q<=TQG^nt+>ZSB>aOfykPlPs(P*PF~D z!R<&kH+A1vj?xkR5;HKD&W_TX3<|R2_56M8TqTJKIOl_XjKm7L$l{ z`zan>Yp5b;JQY-Lv>Zv0#+Shxm;qS6uAY7q?7w#>VJrxtKoUg9B^elPVH%wcirLTZ zYk%20%%1m>MdPT}c!Dr~uJ&p`{LT6YZ@0YgE=uYzJl~t@9{+hIPK9$4JT`_0zK zfs7BXL3yOl)TymAL~T*8=3qQxMGTFZ(aeGaV2SpnrIWZt)nHpR2FJS&I>LTo_W_2w z{B#urdYpmzgB}mt_V%BK*8F-5bil#%vv$9Nzo@8uAlqc6d_vKA>8&_Ij-y z8*Mru@fql5vG2@|Q}VoMkH-waxW1NLhk*?yOt}X-crmRbCxNHgV*Iwt&)Uz~di7bs zoeF$${)s=s%BJ_QA8ig3I?EU55{xR3oz^v%sVSL;Mck=|U1N2t<^Dql%U9J1@h8@x z>_7Y2cV+iaS?E=T{RdJD4fVkL0MRwK;;{%`3$>ufQM2+tiWK|j_VFdxGgFj>>&nyP zKg{%o*y*9C_%-~l#^kLs%ZM(8)-TUOj#e?l=$ogms!R7^52OUU*H(z;fJ=(jV9_7l|N>e)C+&nu5?^DSjnKF4CNdyWyvLDqVfS9{JV)Da)>?H*(YsA2S=q0RXWFZok|CN*}Pcc^~jE z(1LeNOiiBWaq!cdv2VBXzR~>H(Ju}dp}-yPF`Aw9iQ}4dWU)m|k+f!Cl|#7W2~59f}(n89}bwBBz#+Gjq?bGs9+0 z-LS!tvK0*j)lBws5SF#IQTowQ@DxnN%Wg*L*r-_}bxZB@wW+1oU39aj`ucVw*Q+`HS`ySj-pvwWp?=L&; zF^$+cIt!H)kBMI8y9rnrAJ0tWjO^>&f9D?8Hncj72(@wl!{jJ%@xU})K#jm zjM7%d|84GUoSR23HLmcs9qiIkiFV4(jIJ#V*dBFFy02e*xk2_tyUJr12A8^AXsw%E zi{k{lmrTUU6IZzz`IS|>dON#Pmyo9c-%g!ZmZ+L*9%kPfy6$$Vh3(aMJ*<|L))_YG z>dFt!pI4~LvY!UzKg=U;3RD(<1iB_Kmj8`(6gR6}SuqsS975uG{ryAhxeH=BO!`?| z=61@wd>Czp^uVu_5BZjlHo{MX=_dCTfiwaVamd)_+{%+^zJIeFCb-!WNh0(f!?@=R zel%s<4vq8934!XrNL$0d#Olp&BsozI7vAHKV}c4DkV@+9L7)<(Ddw5iOuFwk8;IWI zGGKdRVeh?GW5!mkR5@;U(1RM&(DR_7I_5l?vtn|`oc$5Xjv?}Pl*z@6l}CxrB@xEN ztNu>qvc)t_k4d+I?c63aze{4laeZQf99x&kZx@1 z)ulmTs9nJ&<+sduUKhyOjO4|5$Ci1NIsav(P{_AW2iTdnYJRvC;(5s7nLrodY_9kP zA(CVyEIA1a!m2*7fU1o33`3*|qeP&s{!nRvJF;8+8J^i|@*1 zeLt4xDi@{D8y$vs7veXhKbrMflMmCW=^Xpsesr|kVrM;i>o1W>z3Aks@0y79cZ<+(`otV1j;i-ZGCixsyChf zxdM;P{>}TO?c;#Z9r^k3%wlb%+jA@`WAG#Rpe@IjBK5L&*f?ShGl~=@<>f472WyRH zd-Hyiho=kTIcl*+q7ybWy0Qk1co$Sut7r>&K79OQ!V{s2EGEFlD!T4z#?iH=8?vl` zYjZC?$){U;a`-Zkg8#=!IwYAJOR$o;1oTw8@s=Bq&CHpy$YEU!D+1JBD^ zhxLY9(b-ChsavEV*Is*UV}2~o5&Bk-C=bDZ33nMd#nF}zoVy=L2j1x_hJZp&_JtHl zQo9Q54}}~XHl3>RrtvPa=L~!Zv|uD^S^;8Go_4O54c?;uMnu{2*CS;u6aa!p=F?>G z%BgBom)=n#egtI9W6Nd=%;F|^#697@!S2QHbgD^rUx+U}66EO=yK;%x>KvxzTq~!o ztc-JBDa)5IqD)_M&~8pPr1`7yEXkNTqwt)eL|4~K-8Aun(qgp}VJQib3c<0UT6r=g ztE6GNcJ=JNJqk26u|ZlX*kFc`abZhQ&QNbkkYZO>*!Lni0q%g-F|x$JSij$1Qpmo> zbq8_{@Dj(Mu4p9nWlC%Jq9kPl*n7>+6``m%LUv*eR|B~$NPQa1+~fI33GSttTAYvM zX$14c1t=JAYWF~nHl|W%!m^=W zURF=Vh99WpA(%bG8gw-Bw;0)$Z>fnR-T}tUNzw6J`G_A!>^y+x18~7~R&|I-|JzZ^ z7MyCpo2bZe_m(_yas51Tz=*GG_@z}}Pg)Nt;91i?*5*!*S}&~JGy3K43)czPF|oAN zXGXn6=S!=eYzq@s^{?Tx=lk0PVoGK11r<6{M?tO^RZ^P$Ggb4A7p59dsfC-T0J96Q=YEpH z=lmIi{loj}i?tLnz$vuzImm29@#L9~$46=PY-jix+eeb6J?_WgDYxgdu?{q3{hiQOi;k!%MseDZ z@r@bm3K>w0irYD(1;@+yNHf7Kwa&3}(ynD>!p6)53Z684QFIW}iFfeu0 zn%C4yWw7}RFe)(lQT%F9+y5d=Xcb5irs=6o7lQ@T;?S0tj1DBqqLR-81ik4GllrD! z3Kbe`_}qb33H`$@uQvdN-okOe353!u!>3-;O8zFTB2~ zXjr`8NEhgP*<4Yx)}SEYq_}ib$#F^4Z$d1szB&axISlk?CFoXzGaB)ut@cg+^5u`l z3d@%mRFFWBT~&yCDBgPB(_rQfvrm=RO?0e=gCb1Rda8w0_|#(3e&U(qj??tWwxe=6 znqPz)qh+Uxgi;W^470WZSMUdd628@&Z3?tfNWYTJk+Q-&a;@TTKGO4?a{249HQ3W& z8t?CL_rZwPb6I+M2WoP;)%~c?V(c5b%K^!s)>HC&%DeNrIgV7ge}5qz2Vt|KDbF@5 z4Ecuc^|xf}^Hv!ZQuSfo?t0ZbK3g07&S3OMxqWK+kx1uaw)XtSWQsOqzpTRH>6+cz zc+SX&w5#~=!c4c5gj>~&Yoh(hCYU=opqCjh$bIWLGp` z?~PA^c);S9hc4Y84Lj32-S5ZeMRbc75+f+QC#4Z3t8M4BjqP=*-go0c_J^N$Xy04v zo35W5AY!OePTX@h85-uY&vI7Efq^yZml})NUke!$*u0sbnbjD!0?)i`G@l&5;orcx zjpGzyF&Vwmu!>|pr~q(gz0J<+7SDjDw<_0TgX}%72|*oSsAC>G&P!V?t1<=@8a_Qw z?|txMFU_Um9!+l6p4`u$p|_XGLRu0n8@-B8iugjX9e^!fh=06t|C+_tG_C$~F%hveIRMM{m1irs6k1ID7F9&TgCNB{ z<;zLBQ58cwY;1GO=Gj()V7?3KX<-Ptu0Z88wW_f~;Pje{a6^R<#7%O47ij$}RFBGg zJVxTV0LO88dn-PNU77f;TZE0rGrC?})%g&C`}DG7J=LrFLq}-1v?RrIJXKHkslx8j zSN>lQ4cIS%iSa2Lx%b&b_(4XXr99+u@hl#>&wZT!Ph_Xkc#mPS+2b_X`=s-4wl>0m zbF_5wQVqQMtU5p84Z`a>9=f|{a&Gl(o#$Qk`aL#u1;rtvpM7H5F9R3roQ5kOU034c zmHMSh1eeDxTrFh%rr*oxfa%=b;LM~br(TP~S+o8+wjF-r25Xd|8!oM>YFE7rR_Al| z7BXxb{T)%5@?e0aoT~sYf+EwfLcGl+jvW>(rGM1yd|e3HZ8Igh-?_(?XcEkoSS-(J zMkA+K>$QiBhA=x<&Ef2lV!ggh?0N-w;W_}Gng+AA@2N_0*=$>b#+TC ziih75TsRZei}T@d(+Jq4&^+AIqcs?j$l%Ss`0aBH+b-><{XTaG;|<2?_lH*qt}1Rk z*vqXMO7=iLXR*_ppaHQwOLWF}dym~=a}|-}+#bUQC=U)j4hK9GFILX@agJpokLk?O znrel!fAtX0PYhwrPZ1#1XxrtXeqapQH=p9L@?ekfc3pfCvIw==R7ma59pkT@ggqx;rVyt^{L z(_M$40FQ^Rt7R&fHhb)IZ-ceH3qBMv7pR*H zrYQHB544L+ya#`%5u0E|H~s0N-=aDG7*v0JSc&==WY_8en=q{X@=?V(DDBUnL9%xe zX|~Z+m!WPCBT;^RGcIQpMw!PAX0k)*c#tI3eUTHMAeywUr zM_d=j{M#cZnmwL4Egb zw7n<`LZFU6)r@kxqA`SO97(~~`9AC>k()5l>%GnzpWiE!;E)DhM*Y3^mg;Ltc@m2P zg$4^rR!eKmlnZjc6Xha;OAKos8BqA-pYVjt#}>Y15ym!BkMBI;txYPV3;;J|L?1On zH+!|L-~1cR?4Wv6IWns?3mby2{f#}JgMmW?w+FD`Ek6O4f#C2{fAv#NfJ=+Q)8Y*Q z$oR1~qKdk#|0F8Q7QcDSsNo%c;hat^Ystz8*^YP4JtyM$34v@he0q>Kfjs>>d3XDX z<*$T%uyBFRa$V_daraHKVU|Z{733*zLE>!94Y_6EHJ!rrv<(X=-8@_M+TRxNhqKvZ zPZ^n|xEP(qwHdFgcT3%rG+aH-+;2gSOEHJQt(eE9iYgLn&!tIs>Cl;=F5|IgzbO<>yn#c<)Z0f8oX|uT4H?5oR zBi*oHGNdR3;NZLJ#__SLXy=z~4TsEp0#eD?vB@!xtr8 z{~##qA7W8xLzqT*mlf>BZ)Q@2I{pRJiN}>!VdL#ouKzi|q_-reA!h5oDg8aQR&&mZ z8a7S%8(3HpZgn?tpX;Owx*)qE{N9d2z4Ok)V#mN^UQ*}#gy9UqTHUUr^j$=-T&L`Z zjDwOyl_Ly-gdRZYkSQ;R6%F=oI?U>elsk941NDjZj;61B_3Zg&Z0((XnylEJZn#S4Kkf)cCNYaYtdGp`u?pc?j8RQsL z!clTYr#@R_i-ddnMC!4-!CLzQ;wUgxN}x6)VGY?o5K%Av^C#6V9`eZHY;aCbP+gwy zO8HOzqd9FF7Q#flOaCff(ze~}De)ewf4WKt7pQ`@((7CE_)D>TZlmSm(ozh)pj4%6H!BgL!HG2QjjQ3m>#cA0A#nLIb&@W<>4EI_?ZnH=J?Rc}4@5=3qHJg7*yrg;& zKLB6zKJ;OS)l1^&0y@Rbj32YJG;DQe~wmQTcMkk)&>~Iq~EOq8JUsTto zb%DE&;%J_WBP90El~=ejJbVocD1O3%YP=_fDf}lql(>*7kXf8uoMmL#nJGrtjWv87 zN6BohDoq8@-a}F}fqqYT&v5^lFZcU5mWoSV5@aA~7B=gbBaR;}Nf31oH-|@-K5SO9 z8T&kPYSPi+=2y>(Y`We^#Q(*YAK2NX-e);>X4j;61h+2D_)qz3rKZZWnLi#@I&1sq zblng2ZL7RxeLv5kG1}89cWb)`0VSKIdaaB*C}LFYj5`;D-D(EKjCGSLX0YI_pS=Td zN$sX><=*>U%5T?Ynrv--q^|o3&g{kMZ2f3NH$WR_*k7YI>Ev(sPQVoX8Z#q|&0&%K z`h;s(tF-dD!=}8#a&ZbK6W0K7;`f8JILDIkw{Y}fJ>Kx};C?6_C20rVxu8{RFPwM@ zFyRGwvIMIe;Nor8^L$WMg$Dw}rv+Vq4HyvIQV!Ps4O2(!X$_1y>mMBmWJb#4Qo6PE zm=nRotn3*8;8ElT{U*ON-6n$@t9cx2{Cyf?s^`t*hMM+b^zrq`E8x3-;ewjDO%Pd~qLF}~K zK0>n9H)=}53cNLgt=}c&zKSe=3m#&??zaNVK-pg_yDXI#BDwa4;m{{-611$N!Hq)Cm67U>ux0I z68whXV-T^J)))Pg3;J%0f_KH+kVh@rsz4|$TlHwLftUI~2%~DN@YCMHU8!u}PvT;E zp8^_i^#hgnCnJ{ab=sxRwgd+Ho1bpmm)HOPJfDme^Utal(K3Df>V2CmacY0?e3Tbn zsCSxtuitj~tzEw1JB+q1Rt1-X0YW!L9O{@Y`0sslISR#8V~DFuK<@?qYIp>-8hlXh zQu77y8L7&|6RBB!vCVO?4nt8!D@u%X+1_^vkjttl|gt1@1)x|6 zJaJORZ@YbMB6J}-w1(wD|GEA!!#?%MqyZKcP_}eD9vHkKcbiK6NGxF{Zgj++sf-}; zHUK}ljCE>i3#v^0RoFdfqH8YQ-K=$fWowF7ttX=8BGeDTfZ^T<-ZXnY&u$qCkm^xe z$*Lelg%J_;@{^YoE2o0%_6NR?x7EpB&Qhxeq#|1LqK|o(JJZ`{G#aujzvGf9Vz{Tw zGJMpG{^xjZYnopO_VVep($kTu_8*XfSIGRx{bpV&aR(*7VRll<5u;1nUebAN3Tm#+ z#eYvu!|Na7^8G72RNPY=j=k8IV;eTn+a46hf1QYbzpsS!|;$CdT_wX!R;Xb$KgZC!8*mQ-uy4@}j>htJF_5N`0 zJ=FAonv8QR;CR?1kU5Xu9I}djr@t$?LF^wK7t@k!Nfc$uteTtzcnRf3b9f7KfToTNz9Lq?$ z@(iE(7&QGeaLoxKMg!u(1gktDX?MIi*vxpE4aCcSzZm9qstF>{Ss5RhZ*)2|c&Hox zIStXKVGDKJ`XnZ(CMI+8tabSB z8-+a4>R|q#qxtim=P_)+h*yiGPdG+MbFlAij-6aC21$qoXQ+WGM158(+>?j3ql>PW zR>l@!lO|b3QoECBS`1ptMy-Fvh(5EWBx#9eG5B3BS~f%>K15S!mr|Z|Hx)_u2Xm*G zmmAy8!U4FvVag+sl>fvUZP8>A(W=(YqsY1s4E!1blQb??X^E?Kd?rY|J7#44cDp4y zW`8a!U&-3s8ux|-D{l61c83aWoM%DK&nuD`@Y%A@kNU00?+!*%U~kBSJS9N1%EDKL zy5V=HU8ab1Z;|)&(*E%ot`g!x6P*9(c>;M80p_bV=g|xY-R{y1`neCP_!3&N1fm=xSVJQBpgkf7%l+yu@Kio_wk72%GKkjQ_cCkUut{T!d_13T{~(xCNb6x)K&RRUuh9uo z%702zv69kt;+ouHcN1ux{pGGq6Es(@ryQGMklCJrU|wz6j#F}_4y3PP-*2qDpsf-hQ@ zeXvX=(D*1&FJebrttoM6o5dKfQQmYs_kh5f>+U&m9Gl-JD9rT^UOVyXg|?1}K8Oq0 z*=~;?)4L^6EtFCpGtFm74DkcQC0GIl8*D?)ubiCY%gBW~pglMtJsj+NUMDmSfiB$zl!yeW>CtnFKex7I4Z1b z`Ca)Eoe7Qj^=pjC9%?XK?jJ=3aqxUp3nr#T!#3zctDMytKOT-e#9;I0!wZk363Jq0 zoj)7&5M2T)ym9Od_GYKqVI1AgEq4$ zI>d=qiEfa{X;;1--o4GBCM-Mdj#!e-;w^(Z@k7cH>Ia1z09fy(8cI{{%>XJ1Ivtp| zS7;Xp7edmGYP{>~7i@@KO50O{|jzI@(FI zt+{B}Q!QZ7+A2ToEL)KBUB#P?;GoJwG&e7}3@xUm^Y3O}h}$`vaWcieK)1r)w@Ip1HGlSCjLFI1civJ4U z0f2VbRJUtFQvnb$KQ~qUVA34>XQp30ct1_(fU|UAYg(Xw{xH1!ROdohw|V|&nc4dM zH@A>RL9U?*dHJo%b-aW5DNO;#{hoe2TY}O;DOytng;`z|r`bg}@{ZU+{dKpduH;ky zgQYg>G2_?(mmfu-*RcU+bW?~yaIx3Ai}TKXipT`$=2Y|(qPIo=7eb8D(@@ia zTO4yxtM*}2EGHl2sF?$B1s|O0%=x1E+lR7KkM>`^^Ua>)u0rgUZqP(a!o+YDa)zMm zvw~8{BSzS_jdh-q7u>vWLSc+I>hHRL^d*_|OR7f*0r2wg1O8pH{3F&3^+E48iTa*L zy6glazL7*mo&otn_|2=SF{cEDEqC_y+RkH=&lUdq56qv-4@J4ZGW*`E##bA`Y9K^^KVSiB=!?SxnHItg@u3Zlva=6bI@>n}!w=r2sWpAwf%fbA~& z@H%8CD;{qbC1V!5t&>KgHrI@LkVT+&hW}H&$_LRHou6Uf%=H2>Tk+hp68hKSfyJLM zdYA!3#H?6D?^@q{$i-k-EW|vZ9pP?BtzqwC%KJ=BYXe>v?JwXBWX@ zFlrxu%O;zk^Av8Tl2!kd6Ua(3qW$E!W50mZpYndA_bwhB*V=f-@B@E>2ENNxTu9Tc z9d|DHbUz-L-@XF(A0X+A?AH@DQ@VX^8^1vFNL`G7!?8$at63|B_Cd_~MHNyV#RTho4wDF?&&EG5XQ_QFa9 z$qF3`FZ#~D{{n`mo;zC4YrtjM#ya&m3`^az1rp5-;S<$_`6?m&=kSgwpL1tfN7(MV zp^F72)qqE|8sjZElBVkSCF)(YwmkSBl9AY*d)qvDk7FlyRF_VKEzWINW@?{73IhhF z`+Ye$I^;>A;C%Ek0rIaQPMoAzWsltxaoY^JzAI85Cg??>xzAZ>kcGB7Gp*@vlQK(n*0l9#=v@UzAV(D8@KmwR=Bvj-f?lLS7hy zX{IgqFz_!OPguZm3#hWcWBKMMS^o8)G{r4n+e$P_)mhM+yNsD3D;o~oINO7U+T#76 z&GeeLDej!$R*mCKs)!3PGYy-BFT1p{-(ZH}Zu2b6pJnWSm+AILigusw=3M9gjX8F3 zxo$@i$i(|NuX#9JT~l9Otlu*@OPsqBh#L>B@yhs+1@ECQ8)bu5h46Fy%^!3{9ea#d zn-MrBqJEVQKBB9>G%G{)Bf2}Z;!m@DapTZI^I{4oB-%KBx+9ceFG^x)@m=4A8+l}E z%a?PqlNWo=AyG+@6NB#wGG88Xi5y;#OM_?rauNGm9*b~>OKPki{PV>=l?zqN9StM5 z!1+V{qB$8V`-LS`75fsmSYoZJz4Ap-&z-LZ20Wx|#h2aA<3K#&*gq$JWrud_-qq5z z?YwkRdDS`T!SEJfCd?haQ3nNuN^a~OSlX-^aKn=X>Q!nCXsFz@c2s16D9w#g!Pj+G z%gwE_!BKRC6%p~c+>~x~uU0792|C`nZJ@-1Q;GhHwUlyg%%jYabUu*+@Dz6O8ang{gJNq_&!3I0?DmEnWU$ zUT6>f6E}BHCxvra{AD~*q*qy}qdT|AIjv|MaoTz{x&N!4YfAn4#{PNKQR;=(GTzni zcusojJnCKqht7#Pu5H zwMGBMnNNpwfMys_kHyQBpg|TzC%UHWR55wX;iiz{^bN8rPp0l)nKJwlq;sg=%at`|D z)_fC@7mhtE;%6EB)FV!U7hzZU*u)7)wS2R~p!Qx4(N9Rm#AOXEkVA>pE|3zM z>{>eP|McwvA)t7B>g^jNQ8^O6vPk- z47OaN!zkkY!)gQsQz9%Fzi@k~hSSB^KVxH6W2#r-8I4h+{qVV#@`yOG*h*?W<+vQ#n!%T$Z{@-tgl0hlE*K`FP?fz`16WJr}uwK@6>-F2akjNyVz=m_1 zF|D9tsRnJ@r2ypDp zu`m<{wb@K&6-y?<_VXA-M9bG{R^Rg}SlTYq0J$?WZ%aMyKqD6Fu;ssTnK>Pj=*d38 z>vm@2byr*0irPun(2YIh2GK~SB>%Dz2{U`OYanQYXGb-iypr;m38C~`avLdwC;bV3taR;jrbi%*PlS_jM&%FFq-8E=&&U3y!~>1DZ9;g%t$z? z=y#M!Jii$4a61p-MOjM;2VdYxPcLVKN3gaJ$`AwnCR+m$l@XC5`LpCt@JOtIr{m*G z3(BbvQQ`LrlrqsH~tHak&d^OHemXY$g}$m_-1+MVST zO8!aqP#ed?Ve0tlMn)GNq=*8$?T+IgXe8qpnqKcpfIs``3Bc!6aO~S)41{#*jU7e< zi(+}#58TyPmyUH)^^dYy@;efC4X-jjQrZEmZ?X$qSB5Wen|ow{&Lep;cpUz!*VT72 zozKb6MY4Eymf+m2qrm*=`NvhLlA3tKRn;&XWp^OZ(h0TQ#Ci4DWb#AB2m%H zIJkFi%IMW+@_*PmtDv^puw9qp#R?R6r??akP@EPk?pEC0-AnP}?pEC0i)(OAa0~7P z+xh-|u>XU7wq`QxY)$5U-sirqyU=wC9t#o#oa13;SaNa~>y#dSVq<4noU7?!28oUc z;qLp>V|u!4A*R#1RRYb`QFc ze4-iF+C@i`X}LRB5n3yhZ{nOoB$)c?h}~N#_Kj!~$`^5W9Q$F_{T6qItKb-eLmDK> zP4B-nboYU=1GxQ4V(j^YGiDsrM2&%=PUS)>T$)*Qwzh<#m(4K+1i!aclpU!Yvw0)K zA=fsZU%{WTN_O-JaR59)D4XMYaKpq}UY496%!UTNC}~LnoMUi3`~#s^Ggf1UB9B)e zcCzCgx%RSlhVo}D_t(b?WkW4=FKzb@!#5d~&*zdO4v;ie4-zE<5#E33&D@bi9ST`v zl!woq5G<%Qcj(hC>7EP?y~=tgUrFOK^$K^*;r*Rw7X-|O%0w3C(McI1??$#^Jl;6kj=x$k3lzg*B;5E;6@+OuW;Gm3X{ zhb4W5D0ZFIrmik5G|00Fy?LX*Z+UG_$D3T5BOElvAN1L14-v)(GLD9l#`U_N{X?M$ zz9du3z9SPj(Qoq*IPcdc7lCj4u>&z+gY4xW0MAtt6i4lR4<#nsR~pl|1}zI0x0DYQSHd1_d8Cl{ z?BvnnCjPNT-iJpzH@4WLP+LDvMJc{f-(C~0(j+O7Z<|D`LwQLpF16tZ%Y9CGIT#|# z@ON55ok#CqlU@fT2IQ_&K7$a#NdNSfUCfP0Y{5INJ9UGHVxF^XMy5GGNjJlujNC>v zBskQe;d=Pl*0P7n+E}1}dp`KECExl-*@gWOpZo~ZtZ&0uq)c9!$U$Cy3TZWIn&xqZ z%tKauJasa92Xb%PSX?#(FDJM!mppSdK%d;MgS{x;Pvskvrv+WpnFEY*S;QorCpx3BE}`7@Fpg zRxfRlgV3Su#EK?Tc2lb`h&x%nh;J5+j#0mScv-;b64u(-ue~9HCu?2Y*v0at_ zxS}<1V*lUb>9U5Mt8GaPmhI2%*C?CYs=XPdfvv(LpNeK+x6Ldy)OJN$#$x%lnwQ== zyvh%4EpZp~F5A1@1S7!X45y03C(XV7WPNPi6?MsON~d`#l4!%#cFAOZJ2YkW{N|-; z9E|bgIh6k>&73bYf|MX}Dg7i`{Ba(fd zq!%+QbYz$)Kf*&md1fKhZFHUpFClEYhP_Ph=YtGkj?0K_RI$VL{Oq>8^BFZEzPtlT zgOMz3Q>+bQt*?|S>~UwP?H?9bd;=Y*E_6ea! zesQwQeI@J;UKlAyo!Q4ES){+?nn1RoO%M~m4YVXLT_Ao6LB|#MdLE~+2V0-T)34T0(iT8B#(Yi z1bBj5t0+c=s9eT;SDHgGLCp}GlbjyR-vLayln-QID2+6Agzz@0;wDQ_2iDOMzF_y zq18)0l3@j&V*r$C{- z^6gW$-0h*CLO{;l4ne*N)0%P8*gD^=NkhIT+sq9G zw^g@=t*VZ{22b|KGv&I2=f2+AfoQyoCKzbF?ArR91xEy)FC zK;KdQFY%=~nzv&vX2@$t4_R-RmuELSf5jktSwF%~L-6B*#tdYv8SlgPu_QWCiGuvn zYs(&Av9<_m!@ia71g8v~2MJvGBq8^pI+EcC3f_cmBA9KICw2#yZt716{p21$KWuL0 zOFI)Y?={$ePmGx}QuypZ`+8wxEQ?^3t#4 zo*;OntAbFziPnw6rTQt&C@s!FN4II z`0nP$)iWMk6i2Sv%j4d)1%VsJ`VaOtk)#&#z_ixJFV3&BWRBIB-513pg3A<@q83<- z(ehwzp-hP!x{J50h-u)1%ut1^NqNbxJdjgA)=78edu)=?=jZZ;r%9q70^m{xy-QGC<>(xW#50be z5BGb8%WyVC9&=(T1dZI)9FG1I9F_c9_tFXEgJ^ZnD+uCGM*pFhP9CFQFPet4b5~Ga ztyR&B&^ol})jZ)*7{K&#iatqf3xbX!AH}K9KiNx2V;Ndk%;p#JIFyddfCNLE7TwHQ zQFN7J3g*1NkW|Pe86-LT7$fGh7`II`f6ozCEoVH|ogsKnKUzxG#4lz7{WntqZ;=Ldgtv-qVY5Y?Oh zc+hPM?GKCNo=9UggXAI6;f(kBa-Uk%bR8LCxY6&EuZHm|-mpFitA z{2I#zmtDn)-g?Zb?Jnm(2#s%b8~T=Cr5dPu0SlQ7>!kOTy2`GwL|{C0Lu=WlJ4VF%ijX9?n)2lLz7 z_6EcC%B2=nzQ5Dwx;LW-nd%SFgX6cu(sqZ*(u~Jv0`F5A^mB=)&$pfSNgOeTFV$am z%bjn1PnajY)xA$$I$B{@ywFUQBNN^hv)RPyZxnt-(C;ZR$I5n9N*QZ{fPLeW?_z~rd!h%g{&%9L|IY+(|Y)&nSfk}vhf{oHq zr&^CSWB)03QAGMHl@9sdMttZiBAtdj!DFWT#~GZ-xKOd^R0~$iIOn7|#0nH^?3r+s zPLv#MYwz^=t3{C)nM2Zocl9DD8h+J(ULwa`vcaEa3dnC;G;<#Nym)!G(tgFKi5SKI zBW|E^G7$5ebOSqBNXH69!;YSwp^1$S*MaeweksRB1DSN%Xap(9#_oFLh2lPt9kIq;c~{k{7+$$iU}> zi{|N-OhrRf^2(Ys_jokcGXjH^jV@7`neFpV|C*?lbbec^Sun*6rsqWa+OqDAb$O(X z-HEPk^^6qYO(Rr0>Nj;KqD3kp=!&nkpZ#dqxafEJc5SA2v5dIHWJLbOO0^hLd(BXpTp#W(yH1Ptgi_nV@Jm11e z>}QNlx_f#0f`6Jx5#hsoL^Qr8C^{c!(cV1!ykz-M6q6!Ke@>}B#WhfM7RWFtB*}=O zfzztrF5+SD@7Ya7dXC-hk|cgZ5DuJn1^s!99X}7)cqI>v*hE_U{v19)3qj0{+`@R~ z2T%b3oqY9h@8M}faEj=m|Jqe^nzkkgKq_5@B}_77u$0i>*{LLIyS1r=ZK`v%qYx|+ z$3Z`3s6j=QXDt`ZN z5_}cbe<2g*?*8*0(z91g2l?XmBKqY};HWRP2>ImK+L}njDz@8xVU3NHQ~Gzb6rUAW zJ3mb?{Ubr)?tLd#-8T7_=ng3!`^qh6f&;me%2+CjE79OoBbKqpHLp@5^lt(?U7I|P zjgVJIj~EuhBWJ+-{7^ovHYx_V7D36WpNB5u{TI1;tb`Wcl&ZV_Bk~DWL`ukDxA{|< zHX!w$3I?eeo}Xkdg1-G2i6D12cg~J5n62ukJ_MVdYXYS_NZ*- zO~@v0!Uj$&mH=-juS?7v<#o^{yV&Mtu~REmAZ1X{jOeyrA8`XFVa9e+zc-~vC~~QA zmN^HT)ctW#jO~Lv+ZBa?k9ivFm4hbj;sETh>?nH_pdiT({!Uk~{b{ zA>)e>V7&~P$(-;t*qV(^!`SNEjUVVeUTkJ%KOBwP!wd)BUCT6_G#V5m?v?z@_b}Yj z3dgOV6&8Mlpq6!+p_3hhCY1{O>7{xvm!t-x$nYv}gZr9CwXP+JKVZ`$x)BD^Tl?pZZCVzM6?id8$2? z=jy0t9avPlCB;4tpOZ>2^4g7G1=_sd>E1-Y*U{H2J;kZ4{BqI}7Lt)-#)#4`#nC;G zBoQ(#iKAOw!fR%0a8c*q-m*NuCC54KF*Z@>AFr-y1dnp>mpP84F)C#i_wR)d&NFaZ zoX~VP3=^<7bqTSq4h#&Jb1*1{AtEyAwabSk+6vq0YsO_%Vq9zftt?ZMa1O7Kh?7rM zgqPq;wZqb3Xf-SG-^&p4b#4+^L}D-B$e% z(65egEQp$U=QqQLtcrwZS(Ze;eHsyv5eKKkEdWk1B4r_Bh10Is!Eomj(eR;2>x8e# zaOe&KCIErlkp<1aen(y?qliRH$;q3=90FReo2Vrl{uF%1Sv}7u zmN*0FJDKpO9ZvH!NBgCr5!$qzaFy)gw&Ip*bsyp$2Xb7P<$`>Isaj1zY23OdS>Fkn zr>CQDxm|5us|3w^Isdx-WPLeqaaXFnSxOrP4t7J!>iP7gY;##)}NxZ3S3Sj;ZcKwdGTp|&4y%6@y zW+>vV{(aqd-BM8^P$~QXpvgr&gxBJ3b zVfV^oGcCb?%`-Q*4mR4Os~>VAy|Lq3XV1H8ca>xOcPWl9m(6c=E}Ms9weueDZx?)S%1(6oBZDCOBNXMa9T9f&^2Fj>|ydXd|9=Sn5UujZ6z zsXgDhMsuAjN^Up0`LMxhR$Ri$@}q7Gt|t|N1>+z`omWP{rOAALKnE016n>vuP!Yj8 zBekPZK71m+#}>7UYK)$Vo0&0&Xl&8`a-Sk@#8e!%iaBO~;S=VFCzQyt_m%q>`^Iv;yz{n=gvlGh6 zfLBo4K5NpqXp{56@e_g6&w<~vRz`UJNu30yjdK&x?_dMG;j$8&=H`j%6Il+iNLqLC zafC$wxB5RcbUPR}RxYQpNyT+E#T(B5Mz96*>Tc}}pSohra+ohj)=2N*oIjp9yqKNq z1Gat!)XBdlJ{~vxjhiC$3D3|6$p-+Q<5rt8CNJYEZM#~@J3mA0+oo9ET<=3Wn*JIk zk7xAG*8s!+K2z+`YS73@mHf8F`)|hgkC;XrvoJnok^6NQ=*2*dkl9=OALg~cv#|-=Jmvovul#$1 zp<)`_aT?mkHbEOrB##x19Zk?Ln}&*ziNYL7{F@`5k%ynnnM^t!!Xd#C$YIPO=I>_? zg>VS#Mf6GbBICkK?Ee-f6gLrc7Y*>R82N}mGcPIo>m$B-tSo@TodbAde55L;&xir9 zfUwjX+FwSsj_GE+uh(mFAGi`>EWtRTwR&d{A%fS;qF{_urHfzL6?8kntr}fVTG?s*>mP zB;YcSahG!JSCWZ)e~Lz0Sg26fybbv)Gr>GX*5?#*`z;l_AJ$@9qChI~`qS?La<(e! z6R^;cpL4unsPv@Q6PLOH+3s@v*^|g)wWTJk(O=>Vf`l2L8n z9V=FsJ%vf9bm1*YwOP5HUYxsaw~3+Z*80|Iuw+#{Bcgq=A4Iyz)U-GNb5A&7N6Al{jv3{jmxzf*Of6I266F1Vu{t{AG+7@ zDr{H~-h7)$pWnRrEVKK&OA4ha>ukm!>g1v|EO096oq|}<@BQ6!%YUA0nuTg#tlEF4 zu*U7Pu^UR!oO-`uGi4Y(#aINv0!_AEBKGyauVQ$e{dAvd=g-ue1)(Ay-M^(*TrBRr zO;uva4GsS84V{2^FBKO$i#2FR#5{)?rUh~dIlr=hBo?zd@j}M!rJ#SexF+l6?5TR&XfH8S>rjtIcCeCQqqvT9PaIDCj$9||&V6G9ZXUNt>vTBVh zBBf_E=cm0F)<~m)ZwWhN8(to;`wS!}k$m)Jak8Ob7sR$h=_QvhG5T8Hy=v(@F>ny+ zrUd7A=I~P7Vb=@D=E~FHMYAPk{KeKTnDMBSYNgtQ|I-C}KI?na#iSOkd{gOOv=mO> zJ0zEHqRd%%ql`8o&hf%OO%Pl7eeyyTKS(^rUZrzmg{w}mFOPOQVt(EH{Z5D${TF`# zWzpcuU|2Sa{B-&Lj+hfkmbPPeQ2^mvYcQeM=NEC#ui(lWLP_kc9F&geIBrbHR7N{T zYMmqgruO?nC(re{dgD`(r?W!oQa%-Tur1H|lHSust6}IQz+$US&fJlZiaBi@RBi0l zV+~aZ0hjfKVRU9ocM%^EXukG8c|XL+9HyXpSW5MM_vzMzBz$gTA~s4xoDwnhMBCWp z-aI>u#MWozXve|`;w_&2$kj-Pr_21>Jnh5Ms3;D-*$Jo< zs|b!=4nCJ<>86RK>2K@&aaAp+_QtNxrxlL@NP$^Yqpw&F{p19Ywea9@;5lwbN;FSC7xtHgwp3V}H4Zgw5qFQ$$D* zxn6U0^eO(u0OkoL6fr9SSGYcS+KuQRAAm;PUxnM=te^{YoEkwDfSnn_96y+khH-{| zobiP%(=~mL&67QgX)Bw9*x8>(qj`%1Wg`UKe?+z}v9%u?DuykSIV0SGJSO(ZbH?am z5sYNz`U!+eIwYI;l;0?s@Bthaj82+YEo3j=;Dz?v3>xWVE_=ap0}r6RV!TP>o%`JA z$^1c@%?SSnmyV%T`AR%;&JITA-=Mwj*Xm+MS<>y)J;M7aTbBqv2I+SO&EdsNFawg4 zkvle3Bj4r98_@tNTPViX);Vhkiwj&8=#%^}H0{$qL2*-ud+|u+=^p@|>olHo&IJHp zLBP*nQ^YtnvHfi-Ub+yN4M>5wBm4$vLGjqejPQ9=FZfT=?QV1*0Qbjx#N&883Evo} zXL&!|&*9=(UA|RzN;s(a#kST^O|GbOE_DGFjsMhm?j^fp&Dper64fx+w^XhsK(AVx z-M$>t<@U+1kw-ui%HZm2=#UFU~2he7~~fHek_ z+dPN8y|ZOhrQ@N#XG~EAFOkQ!ZU*=TPMMLPEj)Z9@>`Kd%m93s6Q5sqIM+dyB*P0z zJrDCSG4~zjq8@rh=M!i?h%z6Si0JpjHvvb%h;+D}pNIO+W`gx{+ZRRmQ9=9{8&rKA z188T0YV5U<&$Xa4_ENIvJ<)xeuK9A7KG|-g;5wao3c?b(E572h2kPe9Kb24f zSWOZy0vbVMy1Adg)u1_uK;$Pv-xZp)k0_Ye{jgA}pi7RUZT7bL z8z_iUHrJP4_(i3$+Q#m@hrq5fI91N|_;4A|-t^wjz!qvKZ*Uqj9xsAaOJ42~}*% zS;UMfb=&`f&zi+^EU%x>fAZ`Mf{@UmH{(kB^ru{^Pz+45gVD9nl70tLD#3UMGA&O@*sz5x3jdp<_4sAG6fm7nV` zfw=vDG*LL12}bN%JqnfGn+$9YSPpt}smsV&D>KMY(ixe746wnYuAwHWRU)c3bDH{A z-gmD|w40F$3?CcaNWU1xjITHbe3J%N3mwT_fX`Ja#)A-YbRx8)z^G=q-Wv8nt0ro`l^u2Itq$eS^7y_wAnV(4izA~VC zU!O2b%PtaOG~DX^bVVoCwq70s`-)s8-Q0<4Kex^XF!cSeN>#r^1YW$Z+hio&jkV~v zAgt}g-h<7Hh-AMe=HdWCt86shDsTbZ;CY4RiVGComWdns)DFC7T^lM%6=7LQI^Yw+ zjPtc_SnRP8IwWyTr;Z~Tit`uzD|FO0>Ae+lsDSB&JQ?Y7EvrGePg n zWg@fKVv7zQznQ)-#+a7!u@Bl}NbkKcqV!3;sm{J%6d_91c5vP5s&b$M*}o4k^rGoE znF^h@i>^(*MaYdpKmWkmWA0bmcp0+frVG+vrO-`Bi0Pw8$i_+=Y9kQbbugBfiHcz} zLVhpe+#M0S^?d3M==1NlgrC~pWbvkieL4US89?nXE?Dd$D=3`J^QYMEgMA~sNF-!l zsz>G{Q7y}LzWh>+9dp2)S6OZE#}rwS!k}d7VH%Pb&kI{)Z7fcI3!|@q5W$7YMReoZXMVXV`wUP=#PP zFYufZE<|xA`*f7l(bsk5z1xo0$_eU5a?h`ThG9xRjkrrz>T9eRtFx{(biJB}}o z&NUn$&y(d3w+2Ana|eIJOwf9fLCgK@>l2}UNC>j_K02`hW3Zp%m;llW7FS*>Z&y*p zzFu*z;p;Uc|Ds4rh3+eCZ4^=_^W**EN_U|Ih}=*=xx4G;f8b(~2D`S!ecRA%+%pbP zCifU?MUPq!tV#N&$ze)PvzQE4oKo>!NcQ(q(SOL(e|rNy*;jMi(8)Df##W=*k0S-0 z62{?wl75LWf;e7>H?d*5o|lqzKB=V$+pgGaMCm_xOFQm=mW(fcXi(dR8V|tX?2!b^ zSd^HE@!c1qPkfnR9qMe1Ec}4fgaSAp=^7xSBE>W^F#2O0=qTy9-DKn;&`iA=}pHcjXy-G~Gm#BN9ll|EhEz!!h9%MUa%_=q&1Cus7a5P4_FgSz`n zM*#-&!lu&-nGewp+p^#GJ-E8>OyG=QVF#+<%`) zuZ4KdXT|lo1S@o-(A}(O)K=VxCEM%=7$bThd>Zai8e>xajEPbfwq*jyF57kjJZc*Suc20`s}uPECJZu#I@R}wzQ)(izqyN9J}XmoU@R7I0lSz?QctEYVgy26K0-URjOKJ ztM*xsJbvx9+~{Vh7BY`i1e|l#tmV5!Rk`idxt`2wpRToNWTq%2EmUQ``k(*UXujdu z(7j8qChkyU$O&j$uq(y1D`;P@=(=stufP|Cw&J?#*H97bw<`;r%g%|$hj&qJ^qk4* zi#Ut9f-yB(s&3m7C#hT(2kR%%PF*KX@+$A_=9}G^7i?z5k(V6u;UP_Gll!Oh^fYqW zmGY_Xr}O1jXl8uYe-&AE%Uz_y>jlj#te$KaEP=`qyyGexi)0JDg@uAEt%BU=k!_El zy;=v`lNGzQ&mG@fD16*2c!B2=#!r~;RoacxC z0JM3t^VP2QFE$nSr-CaPX61{QO}7=?cd#S#R@*+&!Af`u702%*&PG&YnkfR5io766 z$iHvo8SquXps&};22{`Dm-|x@gm#SUG5GWva5eC!*>PS<2c1At^VT-v@{1H zHI5(u^bK~xzL$dA>sN&?O>rrUVW|G%$oRxq00`5VBOl{I7du>2b?_C=;QcLnES{>2 zz{O_SD)fcgR!A5}p2oL$TC!P+5xrTg!fCY-5G>yDxQKlcFwh}e9ehL#W4Cxu%F`;^uT@YKoGQYgfiFXVP;G>fTiw_M zL3!%+b)EpGQ5g#X z1GoXAz6>*8D}UK)Rpfi$LW=2>Sb!G`1Ie6}G+eXdX>!{8wCMxfqaL-HvXMj!YUlOd z-bVZII#;_m7|g^a?(nNtOV=I?aj|a+n5XV(qDvdA<`r_d1FV+6Ol+bX;A|d4QyMvm z5xN4y5mv2lT7ttJH#q)T$>LZfwvx;}*8p=(G3~C>Z4>4F#=ibHueKTEnzh)IEL?UE zo(6~2YqWF$GeZtGGfx{Zr5R=G#8g8Mv+UoMvX1jco=?*o`XkBwR(YaGOg9Wd9Ox4uF zxC%ACxwD04br&<%n`iPv)Cz_jSc?V;NF#~NUukb=^||M=iM5 zWIqD3$!Vd?WyX)}oZZ>wbBR89e>~qB*4{LsmO3$Iy;7Bo$^ZEE)ai~~*D2+I1QF9U z`+%e!PBDkH3zZ-P?DkcYZ!^du7&&lC?5URuT{h3;!hRDm2%h6ObYCoPV}%Tgca1vZ zKDKirGlO@?Oepge-g4E7M$v^F0U03T#8$-e@ZSBW?UwQ!>OY(wPDFT`DLAV9cLljG zsdpX*cn6{nbe68x^X}+6KhT{u4%_ldNo<3$W^5j6B-o)$iWt3O+{*)Qnq0-OBn^8dI<*=zLyY(OPLb|;FE){2zXm7=_JiBFxV2w% zkjObxx#hVoQw~5;-ZH;?S^=)vF7Lv%j9`Fr?8P{FF}|;SQUrm_QQF@m--ALA-@FX{MX}x4LQ$~j}b0-v1NpcbaR@9etTSqqL5{ST~;=#<*%}D-wiJHOaDJh zeXF?=h1FW)kQ5f}g2(Fvo4#N~okmM#br9;+A1SmZ+bv&K{l>2isj1eoqY(0$QjZnn zjN0RGtXiFo+xLT3$LEJ$quYZzZMKmbS~=-0U+-hntGK-tR1P|#d)iE3=&wa5+o6z@ zAH%NY*>jlkO0ity@^P`vc~5qmLtp*-`4}+< z=Z?$4o$RGRL!pO~5E>9_p|C4`%9hM#x%fMhEQ0v#rt=LDJn*AzOd#tB2C#VRFkErO zOm1lILW5y9`ofy6A~;ntHoS7|0TBdiWaY;JV4n6GZv6<#L0O^loq*MuL(Kl5zRv7v z^YW640Q37wsVdwKq&pPfP)`P4P|NT^?I;dG4Jbp=7akBp-E%GlS5E|xg#SU1e{|1R z{}IAX8;XsGvL3Vd>BP=^4Z~Sv0?$-yVQ&J(89j6q1AaGu_z_iLD6Sx%Y06pj0O=2r zl(_;23F(Me^v5D(>R@pAQnQyNQj{Ln27@mLfb*FqminUKo>X3YRnlV!njgUJk1pWJ zF(H+Letzr|3?9wz^m8_R`1`RFOS|?O=D8=C^MoHJTF44OH;d<_wkXkeZ8}unirK2t zuR(U8=s}Hok+~2dwfGa+G|Y)hp3Wm9GO1Umc!E4Ml2vbhaYiUbqtu(94L7f>*1iG zI0`-e1znA}hk$5xtMQy{znW#ufb%yGg~{x{fAHvD=_lx-Qj7e~dKNle%kitU>~q~~ z(i@sA4=q`}^Lp?%mNcWhZ{`Ye?y{9$&v<@BNyp9=tO)3sKJ-|oFX;aff65Cp^)I!X z_Emb!1kcS(K0jVJQU-MQ@LWAEffng?Wm=yBG{{{PsoqJQr9kGK`DeOulgd$#O4Q}X zf3*x2gVr$y*D2m2SH;!Z&{#zh^&*q=+)6Z`xTW66h9;ve>ME|)F5jb{rTO#uY^ULmDl0y^Mfz@We(GvV-U`-%up=g)u<{B zskxta#h2@@0aNG@C@=T%QRj4>j|hfd4-sKrF%MK?WU`2E90alhw3|O{*HL>R z{E^(q({DRoOFq=PrlhY(<68J1SR-<_TZ7NsCdX}V`i0o}4Y+EKWfKF_hwksH3FaKa@}_RXc)=Hx6Zb)oeoQ3ZnEbD~+3~uo>!f_NVy?J>05PKAar z6ocNry_m>$0>2za)cJ_lpwiddpYYr<@=v^Op@-tq3jUlDJ?l)5Kd2uDx={yUTFo(J z0)7D6%{Y<+5gHFH5KZeBWfn<&D9(=hXilJ1Eo23m`x`|9kORx!WjJeaeV2QM`O{C$ ziUHk5ttWaD~JfKW)D+DF;@wZ{U`d-@u#+x>Cql|9%Fa ziup!rv6w0{QJ4PS!H$_+G*Z!QmV=N`7pD$dSGvuOc{GsL688r38bQtCM>(W&xRRHk z^TkbCay-kvxbGjrMonn$=($zxr*%}XrVpdI54A?FP9gFPV% zNu;@gc5Jq3ZJnY%DRu~mC)fI-P`A-~pw!!EK*-x7JG&HZX*DA-^D%rl0o}Mf2A)OV z+J)2o&R3s%d1~lhX+{c50Z2Kp7^Z>rtY_YuI_3iFJJ+*XdKCG&D6Y@#9W+Jsr-o#h zZKhQKjoGuKIK>Z?BGp>!*(W|cnhYOq4T6WC?>Vs4htAk$3)$wMWzZMrxay?zPxrbg6 z9`#DLOpgD;9kvB1VC1}q`23s}B6<}>ghs9KjBDic)m9^!e4;7UA!Ad?qy_7MeBuOasy z&4BPk;Ys>?ZIrF=pmGbv-VUOJXNc2POwyDbvTbm+jy?!UL3b-A>CALYu+}^)s1lGC zj`@dMqBghKZ;ErGu4VKQxwGNXNVt!cBf~K+gVYm4=n z0Bgi45ZzxNXq|}(j=2DxO^iM6CRuk+ov`2O{z0by`p#yG$oUK^8yozRgsjHJvM(Pb zAKcYX6#cHgr~O$|(BzpY<7R|bL62YgAj>b-|2iPN;i4p4A z+>M9cD1XcKWdp?l`unHAVjgrkZVWkXhl?bzUAGNLO|>Pqd*SGd)`yakSum{HCs(zO zSeuDU-LzsvE1@YIyyY_2RM%j3M^UfIARx-$~3B(j}jR1z~-Ih;u5@TG$};9yB2)7Qg{DOkrO z*r-?El9-#mnq}XA=#bJVY_uTPA>g5znZ`KD7~QGzYwf687KIU@4U=1Om-H;mISGP7 znz&?q=7}MiaOa3arGhb3HVQ$>8*ocAt-d5#Y8!G$vN$1RnnPZd7Es7sPxP5Xu6A*N zeymo_>BhzW)#A`*L`*Mej%j>iu^A`_i?XI)d!VgJbb`{Fsm}l*n!RkvS$i7|E zZ$GCh1_d@8j;?xiUy&0ANV#&U9L}M9qoZz@793L@Ippp%IcFMM6^r%K50UDt6e1g5gJi?N!dSwh&CiYhHv9;>2ZaXxe>k?A%tGA@OayB zQAJC6LMe~Ok^ect^p$*^7n$_>8n=%gPkxP$q>Ze_Avr6Z0lH{6( z-(EUZ(to$}#_qb+tl9iscu`y06)7|?HK?X|vz`8K_UAD!Vqp=;hc$az@mdZSUT0)i z!%!T>kW}`{8Bn9g2L!tcY??RCKcbuYZZOqsVBy=eG>I~wcKmxT>d49JINh7~d3+xB zV$TF4HM`IBn9|DSoYF2LW$g)>#jq7{NNj~W(IF*hDs`@z!Ts}5XTrhi)ThUCS*f0AU*X`4s6`g=1%d^51Tz>_{sV~==M0porhl}y&f1IhUWP^ zj64xU!)M!69wAtcIjl{hgyelskDYX510j7BB~#qWkS!`9OnVCq{)6mr^$LjB?Jj$zCbGKKW5!rA+yY>Yt?$SEWbSq zq@NmNa3bbqul-Q3*wYrL(_-V$v!pT?eml4LCbGN8s{1#2Pg)@p5-%8Y(=l*-q=~$= zP=i%nC`ziKiA<_)$OF3zo-?29()&ML-BnPVZP+&I;!dCx_tN6-?$#EkxHmv>cXx_I zaR}Z5#oe72Xn^2u!QI{eyx&@D{%_V+X0nlu%si9a*L|MHRi3uLbYJCiXjwT^Y)#H* zyPy$U$+Qw>j=QF1R4@cOzYiZ2p_ z@haJ-Ere{|D@h232j7}{|NI|^9_MGB*E-PBx+-@4zTaqP)JqM_FadmDZTZ@YUsL&P ztaJP;qLZAtNGIYTS53$FwBGZ>=0;q~kP5Jq9-al2ycv7uD*o$D1Y$I=3v7E)EekNd zRy3xR0M4{)>s%8YlN|;JAgz@6zih?k?FCh#m8u`g+e}r&NzCo%-a3jk+x#N3Uy^OL z=4mW7(qY3D(O|=^#P_FixaWL?u0>K4KCiY!=}X?mzS!4~^3T4GJJ{?9Kz@!xcSf^* zo2@L`=yq4NQF#7vpcMV2nfoN^3SUySmii#dw!(QTl;bljW0O7eueS74sE^G=$Gx_l z&9d4Gv_nlN&Y<{lHlT{dB~SAb>vTe#FKe$kQ0QwUrIx{HrQe~pfwgD-09;0OVCxQW zyDfL$?`K&_a+w~Ur0|V(p?X&HkK8?o-5k@dmq}22?tWHQK3MRtbofH9z+zkOeMwX{ zRTK51T_1cS){z^4<3O=0*)3L=^rGJ!bs&Ji(L-ELkdWT}Pr1@zRDQJ5(3MiRq}7Jk zu8MwiV{NleT&FT~&gw;f;Wo{qvNpARqBI=0rY*2?L6$F}w8*l_p)$VgS6UpIL5>$? zDf!}}I?rR2-QjqlS&>yWrQR1do9S5b`}U|~v4KBcWW2EfB7HX&Mk22BFTbtm8i;Rb z12rS+rVFY@ePfE1i#+V}nnmm47kO)h99OjYL}sSM8J>;X!9X`6RykA~())J^oX(s* zwSYlBH>-JG!IiK9hLHMi1R=)gtYK;Rg4kqOl|AP;58`#EKZid~Ahh~Ta}u;+82xR< zkK_lxpLouvMlQ+cfjLk9#$6(+q1<()U@Gt(A9j}8>?&^Fh|>|QZAp%t;NA*H{_=*d zz}gTAE6KZXvu~W55&%uwL-FPzx*~ag8;@d2+Pi{2{YHf@9i_Yni8F$xWbe?ai$;@b z#Az7_?a?eM2kZ2R?;uvtCsIK_#P%aK*Wtq0w<{CCVuDMOyZZpw<7Wi{6ewvtz911p zAe2-vsRk7}6h;LTdRWCYqITC6c`zplEy4m}?oj2_4rdj-!svF%#49O$5o7y`2XY8a zm|a0?02{Q`ph)vW9^k_4eMG)z{3si1Xy+^gR7mi&ZYY*%* zWv@H?$ioui*XiA{xkw>I)dU_^i%M_#T7sI6!-e*P+rek!#-%y!@}0^jzvVh_C4!gi zg?;5%=O?2vFW?@|{QezA8hy=7&Cou@75k@VjU{YeKLb+zjAC{_k|*86repVwncIV` z%)^=CwCnXi#n#hLJh`vZx3R-B&LufT1KLf&W;BABxct`e$LnxTJrb%=?pqz{?z!IH z)(8`GZwH0EELX$?T&MTD^%N+SsVBpK;gVWGmzK(OhD|qh@e;~`r#yPi)jm3*xyi-k zX;6Qg82MeX;$g=QlVf4?vfxzv>7Kp1mHLkv%T0~?ix~&x_Toc#9CpFDyt(BbNQdc- zfH9PlU-5)WI9|qcH8(Jy(QD%VNtr_d&!g=&pk)t|c&5OKL3gk|X;)BoBeuk=c75%- zH5TPWODb7o^utt{k?7o#VEYlL&=C^U3P$ORee~fnM+{>poZJ0~qTd~|sIP59#|JlR zj*|VqWP>rRk&Y~=A9K-?ZOfE%=xwGtD#6 z*IOe2J=*=&Sbj{rvsNenLG94RaiSVj!~V3AwT%F1c`)S(r}Yz!AK~4mioLu}z8>;K zvA^9%r~Jsl@W^dp586*gluvkf(aNZTs(MyJ2n(9--{$RlT!qDWg$Kl#uuL|6xQkRx z@w~(f+(LlDx1Os@JBTafz261tf?~!ktpqawj(r_L5->(TYAN&lb!1rZ+)pDY-MDE< z5xnAO${*O>`Xm6om6R!1gE6@dFZAq2xTHiX)ONv2F>p}JN$WR_dJL_q8UX`%XXLS) zPoZLLbtre-b}Bi|2zhz}k6UEVp$VZn-=MW97x#s%R}`##R8g0Jy6`P;6l0S5_!LC9MP=Fp<2>w?=B%ZM*hlW8tOaqRG7`wl7 zyF1U*Z6>yjot2fi_U@$t7r=5P#|NF^5iDKE{U7lK23i=(F3t_XWBBEWV->G)&ThJ;f-WDegb&mK?J!ZF^tz;UJxRN*yqpxPNWXyfurnV~ccU;t&;owHa zwTx$Ul`UKPo6#n7M23AM;Qn*VlRH+(|43K4TWC|D&1?Rz{>9x}!Y;K^au>((7lG4L z+lR~8yu%?&zSv;vV#_!+MLx};6TU*{6W&wf zd&ssBhYRWtD&kvCe8OdEWHXL=hNEZh$juCw@77Q5jdxsk{# zv%%xF4dt&k1cFo$I@!Za1 zX%@eS0mDwb|1iU2BKMKNjpN<3BFpe%MCbgU++?@odeFV`(#;$9=Y{WiQFQTNr-}}K z>*df7T=7q@)!|O&GU)K5hZNJKAY+B}#<7gxQAj1=x8&-N62^7l6mLP zN@MxXi7btW%1>kWk-1%AqL^}#2C|1SV<;I>$nyc&mvOy^E-X$3$cbC0ni7LPm2caS zFu7m%Dc#$o=WPmbJaCV(7d1coQxV{RhE=Badm>3Vv2OSqE+(}ibULvIipwAZmJrBhA$p)GhQF+O z%o#ozG`kuPQJa|>i@#SN!a#s%7xp850sSe%c2C4D&zS^g_et`P=-XW!1T~_; zcW;yKOHI}d$$-u23_dwI>LeV%kLz|ZHS@#c9;}KU>+kz?+BqP01EGl-LJDuj=J6XM zT+t(B(Zz(&3ScVo&dT%@=DMCKaSj!7)b;^f$lp|pyyWl5-{Nv0Y%X|$xzuut=67PZ z4gs?ZPXz9`AVTCNMx=QI_>UbAx@{)~h*z9T(#An3fX-s7O_|Sp53kbSx{|&+uL3F~ ztdJCjKg4s3U?PJ%_F;`F^v&{4^|^Z-A7fzRY?s|}MRtXXjEHsf$!z@kE49I^Iu5zO z*Lu{^nFi;}%7*twsB=vUGIwEVGI>b;n1b1VjI5N7+tgQ%*X{0b+CXkYOUV7bWf9t_ z%K%WQ{q-^V7V7l@SuUkRo_k6h{sGA<_I;}ZG*G={dHl<$e$&m`;S9e|mVR@9VRO}t z*tMULuul}0o}=;E50%#1<{A4_b((B1vj zI#u`KjX2@!v@V-j<|>1wovBl;G3O@u81cYmGPBKjUMbG+2uaz`h|HQ)?x?YzdJbc5 zwZyV=sqADuVmt8BfOl8i(F2uR)CwD_k4oM9>Fn*YXnxwdLs79!P~GfKC&o4o64_E_ ze$@8BtjdZ^{5UOqnvrM2J8r`0*s|2~fC~MQ>{{QyyG4a0phwJ^aKDl456S%QKgicr zA7EC^CD9Zw-h2eQ0b=<^+~x3Vo)U7yCCC0wtF=W3!=kjTh0GG`XZo_4vb2|Rdz0tA z5o$Ske@3;~7pdg$=9ptB)sjJEo~sesF2w>5F)rAlQdaLEyeZzMn7jm`xLyL_15T?j z`OqlFFV;)e)EQ=107GevOt%*X`v>!6_$WutlpDfX#A7P%Mnr|CBqbEa1%NO@k(GUU z-NC(_1SK9;-!9m~!8kqNupMBZ)HI01Os@DM6(->>+>t!xnQRJ!3+vOz28jg|FZLSB zP^yw@)A$LM6QrtdBUwu0ZmY(@npSn9x4ouO&3PXPTw^z8ikfBmW$ul&SMPYv7&Bql zuILM4yIkoofxaz6ad81DTSL6Lx|zm3AKi> z-hgLj83j|h1{~QH(Tr}e7g6}11-TZ(Y5QRWD&r3A3$55lxn&)iJI`?fBHO|Ls8S+> z#N$-k6x(07O5h&4iR<-26nN*BlDSCWKHFl8i=?-JC%9zOFWwn^?jT6er)j_3FoE-! zsN=HgK}vPOVQz93pcPALI&Dhx`#m@p~t# zQYQ1}LS?IV=#(>T+b$1VQC>PAVk#m5!<*>2xO?dE$ni?^%&o)zM;I;^)rdDPgl?=* z)P?1d+&D$xOOFKJ88%Qk)~8d<%jiH|Jo9+rZutK+PkXPm+ST>swzo9 zt7opK+D!MbgL5-KP@9U1x#1XCH0D9MLeatHzJ8qO`>;3wyto+2d5$~Z3E$+n>X9?C zyYGw3Sh)|qNhDrcT))E@8#U1Xfw4+Orx_^n!I$-jmfO{C4*f1vRFE$SVEaeE03_G_ znbhmWTzVFB?K?tkLNtjS_b)U@tMj$s!+!Ek+2YSVU!9Oi*-2mnFXNhfVhtp1 zm|aVv!94S^iQ^(~zxM3XjIRZ`(=IuV=%9$d2NsJ8H6GnSN|<)@xKL?<@~6?4;_%~d z1X>m_XUI9{v%Mo$`SGb5z4;p5NM@ywu!;HF2xJZ!#A@n96*3AP)fT>8WvBM8E0a5?r~H9n zorOnj+;(=i98pbCmVnhw@uPw>C3_Y$16l}QHy6w@NpH(hjO_c-igjT>>PdP0&foa}! zB4bY6rnVtB`M%P`gQQvYylqr~k+)M$fn7+{Al;B$fWg;2nhvaBbkTfOX8!Z$6$Vp2 z3}b?>VE$S?MN028TR0}6Hy@d1{^umSX|trU!^yZ0^c*-_u6mh40$|fZ{&b>CLb`mBOLH4 zKQ`p@_0-mVt0MKZS%SIMjmj=PogCL&^0V6k6NOC2l9fy``TMAX(u5?@Y}|E;L)Jfp zJ|)5^C#>7zNOlR%rXNsM)`PO$?36Y*qw)pkr6(Nin$bU`X7vbPHFjAxP(uaIANuP< zp3m0*y+cHAW#>I2T+DY6MLQxqit;{3-<5Hz5wv(X9pUh@28G&0>h1iZlW4lHvcB|> z9{ot7NNSt?tjPI(0CIo2xIZUSovAx^Z&|v^S_O?)=*TC-v9JY;C<@` zXHXwT0oO<=^@z~)(mDm|8+ig?V1{Z~ctR7i>` z0x|87M0qvUH=X6y)B~TMF2BEJ4yBC|6j$(JgV};}KsI0T4`r;a^N%g~=GGC?c!CDK z{fCO|XJgsKzv={Z)8`*I>4NF8tGE=e65tH9+b&iHJ#d7R{$@{6vuYaN@LJB#;KxT) z!!4k}=Dc?5>v2vJ+7gB)t_wrsQmd*nPvA9W-64~9hwbMF@KR^omiwX^)R{!O;5(3c zb4TC-wtc+X@M*!`s06o9CnfetUI;X4x9Tk3=ag0ptci7IbB(U8#ZuEFr}%2h9mhrY zfae+>L~YTYZXzH9QW4aY=7WL3-7Q$=m}mqiat2TKA&u54q&ncLnTtB7&>FF$=! z{YxL`0;+mZs;w472qD9n_T6I*bwbt$7Y3z|e`edltE~<~QnfwfcSduHTCbdMD7NFh zbz1?r3gz7CH zgi|XFszGahCaQrnY+hf;?i!Ybe!iBa^IE6}UoZ-M`?(`-dg(D13ZdjwYSk>Zt{T-0 zcoAjGkkF~$**fSw7ix2Y+2t%aHaU>xl-JfDdDlL&lib!^_!vl>o)$gz_BWb7@Qy;@ z3~TbvL0_+{+m1}V`{s8cGM<$k8V((-ol&jTWr*uzC*+9wMk9Xox}PBRaU5pVy)q%w z+HA1%IGzN_5n^E$F{^X25Abt%1YEaXKVTh^Zro1a^c$BvI}@ZVff8L#>vlt$>^Vjn zpF6GYyx8(mtM!`ls>nPlal6;QE9!pF|HmBx5M&9F5hRlAH);t(Ky*XJ7B0$CXpupW z&;Sg|>U<;ZL@nXOLx}VmW|!w5=i4)u6FFwxtT9_F@Rzm!NUJ1A6JAu9E_W7A1Nc>P zjbldhnU#VNFIOs(izp;bI`kimY>w+h@=atuHiEUH;2o{v00Oe;<_pXS4{zf;nz)(W zmim|)krYr}#l6Pwv$s#AcOHj(=t*N zU<}g8O`O9#*0GMk?N$Y+4q0!*b;WUPD>z6c?mw3 zV%(RFs!4tBZw>W@VMp;WxQ?|(`~PhX--{JjF)+a1_|C7GVpcfFBXFdk6W|hWMnyut zmaQ94$#lCEDA6cU-Kjzy&?by?`m=lWVNS6H2U-uxNH#}}#Gegm9bv)z(Y?4(y-huR zlI0=n|7RaUl2Nc`^eV#f+Fbrp%z|occIAhh@i()b(q4sjp77o#@Qv;_Egp zZ`KJf#EGz@bIA&yH@y2kY(UaBUkHJrd4@hOxtOteE%AGC@VaU0914id_qA!F*)j1F zdvJ$5cY7N;krEzGrhAojVsabqQypLeOskv&cX+>uWMt_RV=wDB=NF!Y61wl&22`!L zm?b4xluc~*(xv#CP>*&Vi;s41vv02~$Y`P}jrS}Mx(6H77bvYw)^dVnVT!5e`#TQ2RV9Vl4>)`dIQ)IVECmXH8) zAe#OM(d;>th{nrG2_O!GBX@`Bu+wEouaJ)uM0B*_k-g?|3qZXsm<`gchs8hgy`JQf zc{&EJECVZ`2Rxd@*#ESuqg?r>&d9){%{My%c{ORz8@?kqP+pN+=a)^2q0B^opS)wU z8!q1ryIs0L(*tKqGRtkIss0_0t$8cekou#4)14$If5o?BNl*UrAj~fEa95Avv1N09 ziE$;i8k#l}ZriP0Jp5MlIF1SjPh<*hfqpVDf;&<#x{~to1CmS5gFm$Z(GbAZ_e{n+ z?DQT&D}iX%LXQn(^y9y3MQRc%C*&?4~$!VVM`&9$uHkmH5s+-rWWuHadjTQ0l} zeZ{k3IgWSUJqQ3+s_JQ{e|4s`;fxpBZqiOME6;lS)VrmP~ zuNvzvDliZnV7)&g_#Ni2zeQaxI=mxKkE9}adQ+4!{e6$|$%o^B5=B!ng#iy))L`;W z>*qQu|AK zo4GcN#fP;LaB5}&J0*{sn@6$m;%!8w$X+5nrCaItL#1%3QDiB$kkRugv**#cdd{M! z#^cD1@WmcoN@uX$th=$=}iF8@UCy&n>aRf_Xl` zL-K7C-&4LhJ=*#WL)9MPhfKdiQBizu6>9RQPl=QRc|+gV1)RxfXf@q4=|mao%4kHe z9V3NHvMw`7PJbk#i3FlhlP}^P(-DaBa64I0?W4)3u_OKR_acKh0U(=#X3w&yaTEZ5 zvNeAySoJqj(?q`anwAb;<)jwg?Gn9_uqpKK+P_Vfhacj*2m{_dfAmYg{kU_Us8y(H z(b=C(rzJay-$m|xUO<%v|EUKDiboOXi8gsT{?-r0}plpm}lv>k-ei9 zydUG})zY>)sl^Z{{Hc+;v~q=GdBr`af|wh97K^g^@Q+^L?7yADhlO(kMr(iqXqJi+ zvFp)sOYAJ%_A6qcIfbYH>{?E*r<$x=WzZ}dI3HBA8xNR@ELZmG`PVkP5Bb&Pr*=H5 zZwwA6OtDBbn=Q~9t*KufT$BGjaq1{% zF%_vGSu8$T@Yz?Qra%+{Ou1poTX;KisV5CF)SiXllq2KFh=AUzQJmC4-lxCEAVZ-CHUpLBoIdDqP555 zmBIdo!f~jNJ~Rk8B#;yyay`TtiX`QveJ*e%ov_E?_3jM*IH+(AVK~3^7!T$w7W__G zC-8}2om+g`px( z71I!##}{mO*bndXHDq-qlE&nGsR2k!L`-dbOlEi1PrxR~`Ea{g0ejAmHzV>9GOrzK z>-D6dWHxKG*s3&C5QtGC*H7rgBH8h=EV_*irs)(sG))MzBll-&IoV}7B)@Qm8o_ux zIKioV#24ykKinI6h6n&YG2KXud7+fEo`a2+c-CD<& zUC6U804yVP8S*R5Qw*eY>^T`=v)tl+7*ssvc_4{1YYL&YOpU5mt#@SHpvmVA5F`FR;RxR?1&ank#Dtl zBv6(+8s6tZsW}Z4@+ORqJMA>xtsH@z4@*@Ru8n-GCoWG+ZTVv#R`kwPS2Ul3t4Kt@ zFysWJ2{+nTjm(awSd*k2iCj~r8#Iqkl;oAiJgQC^p`4cO)@vlB{}jRQuZH-ll&s9E zQnpi2rrHC2haPeliYtW|b$RTSkaer|s(;~fvx@a`Hhx+v^{?Tl980yHyNf$j#)-7$ zJv4O}*()U?wQ+D0o2v4(Tlk#$uReZEuei>RQrH8k{di=@$NeN)AyU@Etyw9poApBKFsmUHctF@GSa_Kz6-b(?%1^1Ag9mpU;5{dgsof61_x{A!?$5X$MSf__`Cm>%2fazj-iR;q00!QEhzL7DW8ey`=e(jZ zMI~(mw#03Ibi-E&gdWUi1<{_d?;Y2m^{q1On-afu?=gHJwR>Nn+8cl-M(|W|*aXu; z9B>Y_1ID6$qn1^hO&k~SfoavST&1gCfjw~ZMW3-Tj2A=ucyiUmO&c2lFFgq^mXZRW zmtHoo9*}S>jTu$>eK4zs7T&P_Y5L<{Ux9T)i{N|T&^_^G1BMPYtM1c7HYW9_1R*{g zJQvz;s(aUB^qPklu?dTA;Su_maL5n8dTg#e#UfUJI{N?_=QsoGN;%myiX9irl`+S#Qt~>+W)rm@0GQS5E3z^4#mOojl3l2)n8vi%)J;;bBh_0 zCE;)s|I5U~N4t3@c@bNZYT-7`Zvtki`Ma)^PR5F$hk9chJGPs3U*|unUppqWbpEeP zRrs(DK!Mh~7F2T9=d2de8PJtZ=3!k*;FFhM)!%*)v{cw=J__4jk5nLzz3CYWF#h(E z^fBlch$M}Q9LVIGdc9i#f3>&~w|AU5(w_1Hp0Yjvk`HCS z7XYs2GD#myW0@gZDLGdJa#V<)z#4cOo8N<_nC9>e=^8%N;mH>mf(-v1ayl=gp^<_8 zZo2#&6UZ_;;$?83H-zI;4CyBE`;@BGBiq*DNSuh_ZtilnxKobrRHRc5+|;V#A*uof z#nSYx*`Z!i^Ncc%>1iHt1&{=QAbNBp638^1mYi2jj-?5cX|;Z5_h@8loRVm(0j57? z1$!T)xbG;jCSjEEYIJ2Xug~9nyT z$8P6hm7)LK_Bh9~4!2MUaeAqrdMzZqO8cIBIObR+kXXF%VppK-X;YQuzl&;)&f<$g z1+6ZZI7pt4`Zl${MypcYNq1%uQQ_6Uo&Ue^K9QaGfsW6<{iH;@`TrB%A?mr1?&XS$ zxm9hrs6P4Qt+iROKhgfw_Tkrt134Vldr7fb$B84IUvn!}v<=ArxuzS)=*n9wKk97mu!w=HA`07wxec8L zWfxC~sT_>4H>>p=3Xf?Qj;~X5wSKLm#}6Q_uKmzT`^4sj7aiunLnGVQ-=B|%7w51X zKQ-CqIo?Ze&M9eJ=CZw4ibC-rIV|dU|CXhXDdiItJ}XJ>pU*_xNq?9NQzK&Q{_YWe zra{&c?3}YM$y7@-EiEhAzx-URl?d@NWC^%XG+^(|Tgew7|IUUY;2`$kL9 zTPUS^(*!7BQ{0?m&^;j1GI_JEfI?dO!ee-fqv$!M|2$0K-4DEoHsA+~Jq|BC2oKdE z;F4${W)uB+&Dc9^k>o1T>rywoAJENXJR7e1($rN*gM-Vkj8;&e4!9(M)aTiWlFZiJ z9GNW+GL8@yqlfj<+Y->SA%@o5FG>653~80-+19qiVmf{;(z`)ORd+**LeA{{91;D~Mbwdvx3>CDdp&=335h zxRZhf{pY^F&KGiECooTX95^(z@l>#9@clWc3K02K_3Hv4u6;kn@!Ky|%r_>Qbt%_G zyG%z%w2Cy`v1~AFjQ1|nCAEuu-Bj*j(Z9KPPMm#igt+<6A5E-P?qfu_>HGQ85^OrX zm~Z_CP|1kve^*&KD3+a8{`|`nR{rcwj{)*4suD@LfqKlGSy~fgZ#%obg<2AK6i`{@ z*}$f@nSB_9fp*HNkF7GGQ+sgl*sgIDH26pp8r9{<7U_>&71DdOG$x(^sWv2^S!W%} zoatHYad+fHW~;PE=s1fYM~)=Zb_j3m>({F$5?mRMPwkTSS6vR~nEZqJD17?A;{dtE zrX0HeV(ycVr`^?{TyJ?fIXV33quf7i7M2bdznW$0>1VSue#`2 ztu<0x+w5QJ>*-RwzC5oGpg6PFbu@i|V5Jk6j#Z?#c^zRIi93X&j_UpT$b$J*+pu&d zZL0CoXuI*3ZKK&J>i@&ScmBu1j)0IhehkBe$Z7;$3Ds+u9r_Bs$IasAToJh50STn)0J|U zcMK@#{#?jXf@h2*?IKMl^x?JqB~bWzek!${M&}p#7x&wZcK2~Mbv3!!h}I70F7HQ42xWVrJdy)8DwX8~v-747{<9e;T0Q7OYE|L$8o)o`) zb>=@}XJv}&8q2BfOWM?$cWl8_P*q!hLSbfwJMjw#rAoD_%9aNa9+(@d@b7xe+ti~9 zGcrLFK0aqY5|a%F;KVGR0@wb9DwI1NuoAKx5zW;f9n0Qne7mb{v5Ff=?W&-%6`!cI z9d?hYZTGBv{0yjk?y0r(HnWz~J0K*bN3qM&dCG`L?L3~p8eatPxn8?6*F9D+85T_T zp=TrJ?25RTei3Q?n_#dK*h05$KTx*z?Y_!Ao6mey1j6Rux5#wCAC0Bsy)s!SJs3<_ zj>%^eP*9a)n2#mTKIM-DVrgC@sU+oo37jlz?IKB0uwF%>qNdfbGG=GUo2@Xj&ij=qwLdiz}Sccli$6U zF^xYGt3wOM@9iw313t zJM^?mpR%f=nmVW5KsNB(J>8gKJn}dd=k?J9NF;6jT|5<&3a}AKPLL)Pve5z!16tsU}R^gqJKM2o#_cu#F;kB z7I54ItToP-lz1#XWz>b)iB#X>*~4)NkbkBPBDpGZX{5_S^9>YR*(t_wTCqLQwC6CO>(&M6($ap zL5=Rm5!R!Sabi68H=pl$?g3pz3O3}27I!zG@E5%POorNyAaio^dEP>yNNL{$k7Lv7+1PUs~Br34IT?M>juwjJqU% zeD7gZm20gFS!#JP&y=h>DuLx&SOiW!GaNigg5tnD8n&Njt^5~!Qi+y$sP_7sbC5dq z%&#o{Fh}`vnF>|Yk_A7n5~b0fd*`m7&;P@xME+6`=m(fzTdO{PK$-3cw$&wHetQoGZMDrfIzs!Mkl zRcBmRKt3%;T5KG~MSOBBT9#h=<`&7iWL~ld9L)OV&gBAS=`)>l1CvYCOghwqXS)rB zHGgUw{&=xI7Ov8Ecop@p=o7RWkl=MIB}4 z>2SKVvO&}SvlpP&!?;@NHPz3;)le;~c>_U@4qdKImt*&(n0q70RJTcS= zNxsafaGmxs#XUE@x=-C>XH(c>^Iq|!z$*4e02E0Y(R^fkBhUh&2x{L_%ROyci_xx{ z1lAMpPvC3vT?Rk8-CR>Pj-kl=dc{xBl8wr#BIg7J2CMY5NGa5|!zcjkLB9o;yv3Z} ziSqe`7)kZe+wn{cYPtN}B3QyWvEW4#qk$)#a4l-LR?KYrn(z%7 zY5<}v9wcYNf`=%=SO8>7j}`NtmT}@D|FbAlNkzdU_~-m&b??#Wm$0JQjY)*f!F-v8 z=rnRqBbXXcPQM$W`_s;sU+!@MwlV{f>`(StaKmG<6vWOT`=A;DjAMhfS{Ad8alaaD zY)h&hcDHQWM4Ln*$$AW`Hvv7vg%Tc=w7~F^x^s0@kj!ju{ks-2M5Y>TFbZrFy`jh7 z3HAq?esPvn5qz2DAz^3c?DJDp%6~y-UfQ{<5O4-h(f8t@e;?~~y4X^ZMO76d#`}OE z9{((#mpN#Uq7WJR;d|v6gCBeoTO4)l?o)bO0<2`gWcqgvQeD@kUkp0|#otzfd$ece zi^e0KH5M@ChLDE>WKEZ!^?BIwr7*j?T@NLJ$ZGu(%l+i%-O)GKsQ8pd`oz$~vrL|| zcfK_JN}h!kwrjl_A*kzka9vMyJG1`O-QjmpJHv8is{B+EU+`~2-V)|O7NMw_v*w|% z>n8sN+Hpi}!p8QO;H0nhhy;g`UVcGqx!ZO>3m6c zn+4GIdSvQ-H?_X>96ZRL_`ibeve8OTEG5-l51+{e?5CqaI$l>I?8rGvCN#Y%^il${>Jc6MlEUkP(SVe~%#Gzdr;=Uhr*=!f-Bx2o}?N5!cRo=5m_+D+pis)Bh)S)z4fRa#Z#%|3aD6_d2T zZ&;mm&k#f2=LDNSsOkmu_oTv$QzzZ7l$%TN^MCl^2-5AAFhpesqW}fdIcyUhZfj-L zk^>|1sZkS~{d(>CY5FtXeuAV%qw|>FvDFJ?u*}-547mGv#iizXRb{PCd<{IfVR*j7 z1HRlg*U46gp6{?FujA;Bc(zecFgc%b($_!W&uIA*1MzTf%8x;$!CWCQ!PkdLlf$c* z5?h;>uVPI*Tw+}}&Xw$X$7oZ5BGv4TcJ4Y=8s|r?%v9$!(bQyB8(sZhmjh)}sOwIj z#LQ!(OGdTNxkZrE2d+PFczphRM7B^>%@pGj%!N?zVsxh?I)9*&`FmrV<*T9PHRQ{(S4KeQ#lm|-Vlxb(>xzK7pJ!M0Zh~Rqm^0h^=I)HDf(ls&O9eL%nm86&4knq zYU%6SxnpTqtJ=DfCY?J~PryHsrQ z@(nm*FKU(*P0VraFW(GT~w)e3DH2xYfH?-)VWNypjWDh-UjJx9--80aRSiq?xC33QDa8M@T8X|%&SD()hB@_b?y}JhGsU(uDXG4(;^$%v1BvU^PV&TggYfy~1}gXBev=2@7DqfH5mra?B91 z8o(mfiIZxW>}bqmRUJp<47)%#5TXt;K<5y%5!ppb@i4_*6Y{Ky#4g$gE(}|U zkD(`$mfRAWF(R6?jJ50$Of2=t&gMNw;DLu8#6h#-eZU=5kUA9E)Q_867}2BfHZ-P! z=T=%V29|lV5DE_LBj@`h`)naW)$H=ma@|<>POQ?v-R`$x zbh9OcO#g4-=UR)DtP!!xc+-^!qsO$xHrKwK7ek5K6AUr^8!L;&OJ$xbWU{{9!jH_k zg7CY(iI00|URZ1g#G4KhMQr04t@rq&1ypW~3q%bVK8+sAR~X_!1flW@4LHK^@bddB zuIcC35g3DR)R|+zJ}QpMWx_oAwT!aCa|mYim*?A=zY)ny?qA-zU$V?RTiLGsKon>@ zcjij_$K%KP%OBO&=oCSJDX^3%E~hBze(a8%=~471v$)DvuKe>b1xSZnMUyy`F2znR zA~Zp?$(W<_C2jT2s-C}tL)lx;2R6axQ*(smD{c~dz^-39!zj_aU>1=HH&6n8kKQlx zMU+Hrwhl*611-a{Wqqm51l#(~+>S3S^m#_1yrGqO{*gBJYqZRV5{9ivgXM$chDN+L ztyQ@i73gzkNAQbY@M}hi6F;)SLOU3LVUW)LbbF!e*9o(T zrbe!q&>tu_k4vE|{qe_J^S=S8wwupnCx0`icA8oe%qmwLulN4>_`Q4<)9%pLBvPJY zLQ-<=>oh^sE#R-pzK%uVPE6AlFeaagD$5mlDE+u z*g^ZmQW!9Wp1(h9(J*98IN>I^A5&m|C_N6V%)?zw0wvO(#CGquyKiS7NZsFc1SwoJ zOUj^;c28(|N!?9!tIR)trsH zXyRw2(3_hUl3GyA$8hT3%@#Auc$1)dZ^|h5ZS|3Df7g-ox^d?znbM5$A|!ov;UUf9 z?PVA@St#Sfu%Oet#ftZ#ry`@o0x^sXA^z@95V2@PIvO3uk1bHsWA?)nmxtF^?4cir z_!`2bgMlLdmk0QNDfv|<&0lY*w7g4(z=efhPkNm#-%>E(?r92RRwmEOA~tlOIYH-0Y{OkqFrZU+z%^ve5g53?H=+Ux;l68lGTL zoBMBwpn^$!-v`P#U=?7UF|44qD&rOxauG8 zK8vCe(kCJN`lgZYIFtqI2g$d7JkS#32)Iz7J#e_NHiDxfLE@&3DNEut1T3Tp8YqR`?Qbn`YA}1F z-A|0xH;A~>$trlTfs0n4=T5-d?z42s(9-__+(0A0SbPo=a&6{`-?o+5f3$}&uJ2*? z#|2jRY+E0UOn+2OKl|y=bjA5s=!GX<&=>Y^(qofU_ujhEJjZ*hlDsrExN8l|IFVRe z)eg7Jnxfle{;dt1RoGie88Ru|GyflF+6`?J6m#v9Q9v((&%Lv0>+k>0{X_Xgz*G+m z76RL)29$l_Rh57GS*3f0|4ExZFW2(dx2XSyFLmqcFLiy%7rMRdOAUK63LZz`*R5%5 z3w#pblwflqP~F;pe5EhH+WLEH-;;Hp|KKZ4v${A^-dGyt`|UgJy=cEB%SLshMEh(0 zDGhz5+~un?R=lQHmpm%1ER&vo-X5Zlyyn)sZLRx}VF_b>$gri?qhBh2<7WNSBh771 zK34=YB{*K8@%}HrYB&8t|M*hMd#zkOtzN$ko3-j4$>ZOC^0D3bg~|c$Hh+780l)Pe z`bAC`l$iY!_7J)F(I~JS!RC^6lbN8y1Ro|4EWwChe%kY=){GhYML4v))nS?T~yX2mXi~EDmv5Xbx4(2bJm`ld$=Q`?8Hq>R@HWnQD zal%~x+WNTG*Artx59xAg=7@e;{cQ!+cKW+EDhMo^~aw}8_YWThAz5XkG=bq#yq`Qcdd!A^k&1<-j98$1sk{M?N7Is-P%Hw zKK!Cw%M6?P8a6#>7_-pIgUwr0<-RA|dB-qp(W_D2TVf2q)9yd&sm)sV&R3O#xRT!g zPlsBxMaO1uY5!It@&Idp0objF>#BxPpW*+g#dnLax3W(0Q*`MrF%5&CR^F(z0v1if;L=WHD$LweJHTyfX zlPsUeMx>=TY(3Y$pjYP1)@{Ad*8Z376VV>9)MyX1h(G}F4&c${lP`BngHFS5a4sh} zD7r`wi~-t~1!@pie_*39Uh2 z2(P)V#J$ifqK|@BVfz902L8eEi2J0$Bb@i)l{nvT`ef5q-Vyp}*=ZmBq^|uP<_0>; z+-#S3w0oi>oxXOuHgDPN!Aarmo&Lu3G!?7S*ZMie{;hs-^;X`I^4q{_&MsgzHcFg# zD(2MM_qvSL$|q$Gm9Uzzf+JREpDXuqdsqUaV)p{BVi)7%kNe=6HMhT+bIQP{!&4H# z$7K<;Nu9vA(I2~pECX2wdfQ~ev6Em-&R2tL)CE(h%f0M7_Q>1Y2aJhx5jtHTH~ZP{ zi{6tx5qR>DIDfE~9$X{Lf!&Mq7<)dQ^KMA&f9A<;xtL$;U-b(O8&9zPLQo#Vz=QsL zkcRXcqK`Ixq_;kMOLykqseP`pXN|Sye%8lISc;P*SPEw1oQ%CKSh}a>#+I2&e&c@j z9a#+HWp9O4iSAV8|2W4~(`Bg0Qjw|p3qD@l?6TJIJD=(5?`+xri{~Q>vR+j2B9||e z`|@VJy`7i9{E+g_kc>7}r5z>3Yf_b}QdO!-RVk6ufij1G7!izKiB^NLD-nDuBFDu{ zDU1V#k2pSflo$@~#-MQU$HA`Kpl(s58JHGh9$+YG6DJAU=k@m-(lLm|AQI)_g9{#X zg(8eHj4Mu=2w9ymgpoF80>%$xTMSWAKw)@!+~DK9pEK9abjJ;Z&j_?}px`>?xeimB z?mA9`T&Hc?gkdB)D`jB5x!!wWZx?oAmVfZi@h0#Z$ z$hbluXp=WpA;_dG^MIoxV>mN`!-CzH@ErnyGGFnp&Qyg|xY?>)exjS6sJy zC$H0H>UHLd`yv?TH?BFOYwLlt5=#IeW;ijiXN-}9DQ2!Q`|>w+Z`mihcI;xE(fv{#oz+&I+O@Rt zWvHXqu^j5Qi|ZbDqPjmYTDLEIQ}?X?L|2Ykq7%=%OquQ5S^KS&)zQXl^V8A#-?3p+ zpNmdM)KHfr4%dmT+v}2B`svO^ujrmNpXti+%XQ*KS1Z%%WMs5eR%g3cmoDnip`F^< zy^cQicwKY<01aRHtfp_2CcY&NctN_e=zU#0bgqu)zU|toL&FBjs%vvzuaUBwworD5 z4mMxe>SXiW**>#u98KGGRE-u{I<#4q>bA*N+pJEu4?3!I>v$clZl`7~)Yp|{fYZlh^|8rfwlT6=2|JY|?Pq8=IqpVZdyUbzB z)=^o?bjXPIBfqmZ+uPjoTe|(6oEPrb#`bWdxNaTGJQLPdmlo~Rt$k-@b;?q$<7?|z zXY3t4+dQ|1GYBOy?7c`90?7fACb8* znxGw&ZD1G1`52HOU`4o!fe(?(h)(8hAY8J#7`s5MnL@@TfjK|qmRMP5>xaV!Sb;O@_~P;22b8Qhm4YKEM?JEvl|>OrgjLF8c!gmTjvZe9 z*{D3uqLiULZ6U8;y%N|KOv5-*cvY!yNx}ci%W=&&7 zSZn3?K7DW1KDM^e&n(B;E**^)1RC-0=0IPdH%6D8E;+Uu9Z6y>pU|f^?IN0!q)U8< z7D1B&*7BKU<)CqmjPB7kG%l2ZK2kSHGylz;mC->RTDP#z_B#2ZYu%yn-Zh`=)Y!+s8#-)(NQn;VYDbL-E9txu<>E!EA=E?sO+TXt)u15Z9s zdz`&TbcRNuWHbOp7&I2c4lt1DsnBca6UHG38+ZhB!FABvFZ5HDc_$3CrR;;cdGR6yYFhvnl;MJ z&DHej(=}_>Eal|nROOu@=jO~&-s~BgJ7<=rdN zg2wQh%ZH5T!0`*-2fmB|Y%Uu@=GM`&@c;x=L#`SUd>pzf4*-LKUzcjG7ocKaBjZ-<5Ay;4flknk9<2>z zxAYwzll~yv!%!GL7XF&KMb_(Y$g=Xx8S+-v1KyW6ow@vyIVC_Eyc_a0uGt!&H0-2` zH~JHhjlaDN#*y%2@Ofk_kKrwHNY)bhHnK^VyRsHIBE<4f=7u*&rpmA3(><6GL4UvZ;3xzjB=Lmm|6N$?x(HUCb;3s(#M{2@phro64gRCQRR`wY( z0ptzL8P}K}WdFz!*e|RJ!FycS%v{-6_>OEC-Z5QpB>K%;OW{RX8(&MCzh7Mb3$^Uq zQpXNBR&~19(H?d7(C&xruFe;9*24=P)`F)N==wR=>n}G(>&%;C*st^tV_Ej1KZD3Y z(Mwui{0&;jNy&#;Mi&|a#GYk)<+4BMjNWHx+_Z68I)AAa&tL2qo9>zak8|E!<>b$g zJ||34PVa%rxvN+7IewyYxbM6?<;?vz?oV5JR%h01<%}MuoL>EvbH@Y9xxI(A-80H} z*mwTEzmIZ;jZ)6^8C&bwJtKUXsk}KeHGSSA<89;`9j4ygIkPo6f0bd=tL{ia`7ui$)tv2M6Lt8F?-LgmYe3O! z?nuc!$+SazlNJ_LU|_D*q5M3%Z+>2mX3fb_pNYA;Xh@E&;|$$!?`Vy_v#;{*?WO#I zLp68GRGUv5>->2>-dyYR{P}sxw>)*uT-`S=$FT*Bxx=t#qG8Vbyj(9sS)Z%HBb0M* zZ{^d4=d-v0m`}C`p@3E_d(^{WBE?oQ|v3o zG<>vjW*9B@J!IM2vGFj+B16{B3)E{?wUTHguxq_vo)4H(jKb zm)45jNQoT+bkd$_@CVxvXec!5vPqXYZ9?yceg}+&?txX{EWtJ%8x2pF+TTJ9R^QvmX+L;M-61Q6_*^ny9=y%kK|hPm6RgI05xpCD4HhE{MDNR41w9<) zIj>-&l*DSz4al3Zw;?01rL1Ek`Z4Agtc*Wt3*90%KT}pmSnbXhU{E2@{uVa&Zm{tIS2=(DpJlN0cb1utpmVe}_;L3itHWI# z)aT(oT9CKE&&TuT8a+tTn{O*8=?ye`P)u*2Pb0=ec$lO&-z0;(&_db18Y7}kF{2Q<@1isU@gCg@*yXA#A$Yp8Mg9Sugm=+4F5`f zO5fGkC68#ft%+kc7;b%@J86pY2MpF+!>kc^KBQ~!8>2J&%+w{ra@229j^kFZ51*r9)8}fg^(%ke!^-Q`FPgK5Y)+Xo zyYIaGdCJev(~R7?>NRPuE-(x~v-fn}eE(>TyQiP#-SvR-Z@*7-Z@+)**=45TPFQ#P z5s&|Wn*Dsixz6^$*lA#&!SAVWdM3du1c!WRGPHBj>PbEh z=bH^<2pR((fv@mb^b1S}FNd!hF5Zp|9VRF(G#uL-XfeDawvo^~Fc6HVFYr2GLprQ> zp3LqE|H&Bvo``b@yennV!-6;5oB8u#Mphm^4;>}v6>MywwcMBaLr<8B)$lir9V{aN zgP#$=QScOAhB;)pF5tX-3rlzOfcq$6;08a)F8|+`~e8ya|ZtN!lOr<7* zG7uyKUKl6Fo@=;xP2@(^SS z>~~}z*s=MUhI0_SCzy$B;SZL<(w|svXqjgetfidgBd$DRAjQki^lh<*`MCr?Q-Xw{m zEsAJ_Bd+5xio%!c2vyw61xkcC+Gc%5fb$dEwnyzp=tN;2P9#D=;yMav1ZjRlfe@~v zs6^OBIn8)-`YnXu#@Ny}-eg67+JF*(yp-WP*Ek85vf(;)QaAN*oqKZc;Ot6WwBf`% zqw5Id1pROwgDCf9Zn#c<#tY@K8;lW1>AMNgyhRHklydYR0o_ksV(wTI2xtgr2+Jt1 zA2`j0dD_KXGN=AVGk&KGYlgM+uP7*4A?{Pmh&N;%X@t=dhi-nm(}*At1pcx{zdKBM z4$HHj^86cQTa52TIn@#9@EopvTbX}V-$96NQJ~)*|3_VT-9vg{_G@aB{-rK`a)?eY zP~w0*hk2QAmObY8C+LD}9?%1`UiGp~+YQ&r1xp=}hc+hv5A@sL9j^xtlctuZU zY*W*xM(C8nWpd05{pSCEukwv=&~2kL)Mca8=RK+C8`9%1eXM#9J)^&+v^jgcp+w)K zJ|u|$xt>}kQ2hJ;GI9NsIT-z`-~W?jefZY)@mnf=+waNW4o0bE4j_IvI>N@5x8$Lq zOP-v?a~><}z@!_8-{`@6D4|fs`AOo&FHkrV5Q*bFN<2R`jKGhe>`T;x!moM1<_82< zkO*qT@x@O_B|rSb2qn~&gRgWY9`&QNM49RJqx6FhT7HykI1U{w;Vgo(6UP$j#IO}k z0VmK6rKj}91{@Zr*@Nv`f0O$M~RF= zGnp{TVxUF2n4RD1MET1&6YP*oj0r&{C~>pf&^Q`5?ukJ!nQ&yuu0M>k<;TcK{TyPD zOyo!Lh|<#YgAul;AipaqxhLZW&hQCgECr+NHw?2VH%W{KicinO7%+cUjNC~*>_KUNQUSTmf^#;30VYY$4@Fh|@61tw#K(i-D+FoctW^HE6DgHxIZu5d5L z+WLl307ZT3>Fdda!j-{dyv`i1 ze+LS90i9mz99{nOL_av-?kVe4vDvLU`j}%6$aAo=r~Z_^HMB-%`uOl&muwms2Uu`;F_rbPDbMHu_ijlfNH~ zaw?Zi{BGmQsm>wzIqaW9Kqc1<*9fA=iI$VP2@c0u5!^(sK#sx?nM?#5BFni0L_#+3 zH!jR<{|$%6P48{;dfed2J>g%*k1#;u07-y3G7SNf?r@3|Y3$g7{D3@``WA;|;!pq% zAqa9EhEn>GOaxuxM2nG@AV3^y2>3f#qRrHK+-=9Xyo3=Dxj3148!>XD8#)u?fH4a> zFtrVw9FKhE@?;`EhE-&+)b`*YgZ$>>mdK9`hK!fm9!`fvK6Ha-$ZzWSnS z5|IhBUWvVWPW74@TL+s{!%A~Rr{n5^qqSiEKxt}1ep^fGlp{3PBJB(!e}4s z1X+}E=Ku!u2DE|pa8LGyI5(%h_47ZKasw%IQ*O#lhf=aV+aZ(UE+0}UD=SM6J@k+& zRH&f*`SYu2(V{9_wrnmP0#v$GNfj@2wn`N*s!J}tO1BP}qjo04J~HPuHM_r~DpWq# ze=k|ANRH+I+o*iGvMOhvB}WmLM%{@-&d`*TtG(&bgLR7I68 zS6XLWe1?u~erzPe#c~|FL*yRxqv$1Z{6t^G;Vjr^&^e)N!wy4$28X2Zb|XKu^wKEc zjRRo_>I;Epd~zTRIxY@6!{O8QZ^&ghKAMb%eGiAiWFkladCdbLOwQx5Hv%bA6NlQN zk90lWm5~gDGa-Q**d5VB;p~a*!Tqs0d4T0LkxrMlCgC)SEs6f)gorK<9UOf}-thoS z8z1CC%A#lUc3Iu@8E0M&DkZRjzyO!atbRUe3xOVPm$Ylx)6hqAFc3i-=!mJ8y0DR< zgGM*VJaA90Ajh)xx`HZ>s^BX=?&&wvqHZYsf7aP5TiEsu+&h%BI?9wTtrCR`s6>%M zx}ZiqUDUP~RWWEPdc6&`u?Xq_0 zwt;h1>xx^fjio$qsS+MdtG&ZvBmqAFLW zv`UsKr?X1fJ;5d`U%=W>nl_axrE-Phc|EIu$`&aW%?)!O-`_u}-}3(=s6xCh86#_d z*+SM|)+AJ*Q1nUv&kTLCHDqfhxrY2R^pEfKJ07#_F-YbQW$d$D$ug=~prp#>FQNiv zPSXi>ey2QF=ZS0{#^)jYM)2{#<^zv|r-7RUbfCk+mW4hL+avr1Y;vClo_FI1=rzG6 z*Q>?;5ZM0+WXpOZ%l%HA&%*DquNYqj2RQTqzl)GKR1-ePVX)Yj;I+Nx_wu)UB@=ox z^qI69-UxPso#2b(d5lN+%J3#=FdJJVI17J+mr-}gHYFoGvi#sMI1X>+;4-ifd;w43 zr8!|WdyRnA9$;zxralgH1CI(nURb}n;aA_lYIK$Xt3%tJ|2~w@?+O1Um=rr#DpsQ# z1s9-f{W5w_beYTtdQI@c=7F}L>te&Pejlp^UJ<%<(n)06MHK9Xl$X36LU#>X&>|N{jq-2U)DH!YHXva z34L(4x!rtz*n>Id*_hG)N2fmOhw=`bKTuU$Rn-xVj?mG~k5D_Hr@!a!Oys%+%@d%U48AgJY<*ZM|3_J50hJvN17b~m6r6PgxFDtiWd7n|2&hW*@|IcM$@WBwl{`fq*kcJV*^F#7438Lt)gUHnEq z=8oUFes&lS`_1<#^eyfmdj{4q>zV$CHCNIwn!YFdAO0_0MimN|QU&%w#q#NIRZrBf zE;D(9=QNg0!3VI8eg3sWu62J<p z>|y*1K8EZB9UA$$8&6o0l(mf1hfaNMn+9yH8LOL zh@2btCENplP3lNuHT)Fp0yilGCNQ4(AqD;mrX;aC$ko`~*fYSd{Qw?#G8hM*A~)f8 z3BKC+GA=H&cfP<6-VKKtIfjBK;GWGP^T%F@HiK`zzraVBx`Gc3hX+`G#+P|bCS*nA zQrhHL4GwTHfnhuIlB$0o;n(bbKdcD?DLI(H`f$pA&@j@n&qn`qceeNZ>O2i@&fBVUJ>CfPMg3 zbIPhIN?Vbp>v~_OKU{A0+B}?g`)RtU(?vS3(|M8Y-PQ_YhE18^Pu3UDl8-UZ56>Xa zBG1GrMqugx8HG1eBA4PTYx$0AdYtlB&1?ME_QxeFI;Lhn@457&(0;5w*LA7rRMD-X zV@21B&XwQM!RA!{P^2Jxql+zAB9ec>{Ig7cE`j_U|DIFX|7I#uq=?&I&N=5CwQAK$ zYu6t5f`$LJLXaOkhEohCqdo>Pj}-_#)6ImOKcn2*3zr2rnoF2}+P{XMsE~~oXmt!<@J~l#2cy*q^XC~2+2!5^o8++ z5T2=@x~P-7xd-WYkU?drt)RV?5`uWJ>P}wzNL#7QK_PZbnZnL>#Ekh)oT8`YMuU-ns%L{ zyj7a$xKr}$FQ?^Gu@WU!(e6{JVg*&8uU@ujRJKYrUH(KbJ@&%e{zl4M`pz`r?@E;^ zSzM)x6m)|h<5SW4UdhIxOr=UXy--p8{K=d6l#^Q=xo0RV-0L6__XHt8h`3FP)ed#wv{WFNs3?tY77AZp#<2^~Ks^ z-Lbw36;b(;rQ$VhV@RJ^gY=QL$C?f6nmoxm`R;YbV?te}SOfMO<9hBnQJp3fmM>b| z>Mf#5g-fb(2^;5%<&?L2J{{NmxahgYctSuH&pFC36n8BTbAH50Ul=PfG@*<{xrNb? z06h2)PAwQa-SGBszKH*zM4}FiSC>XgEfj|sCJ7RM@1O5^kR80y4VvUdK^Nl>L3AkZ zxG(jCVPHe)Hl>{x;wXY5jW=du*u%l)tDWCemZ50Hc!CpQ4FFWCP)K?Abq6W1eBtHCh!FOO)4cZhM}lLsZ4&9k**wN9PD?LwX_+>DB487 z*aM)XMA65aud?%pU{NcF!j-pMlAkdnm<5F*2QK(Yrto=`bQpsh9p=hZ@QM7%L;$H{ zKl$PN%!~1G6u=mjX>&3$HyC<7KNvxqYz$Eh({E0P<1{_+lJ&qfe>C`Ly5=Y410(JtC&;;D>$Yl z-$Is5j5GTm6z(46f|9v$@1OP5kf!@{zqORDB&H|UNb^CCx|*<028fljJ?-Ua@9 z=@Q0U4_3C6m2GjoZXfhQwgYR6hq_qV3ms>{V~mLv46iC#|0~!y6tO+RN!G{X&Md66 zYhS6BeV)^knP2Pi*{|xdf3#EOqNNRI|Ekg_pWvF&95Og|>os#ceIw z-pAJ!dj>wiz_QjSFww@Od@<`I>yh6}7zQ&&U}j<;lU*l!K=7FLN*S-q?!!Fr96)>r z%MFJs*u5(kEvrgpDysPT#r44^eZ(j}xd5*nFwjnfj4q|_RJjYv$Ln7!OT=BOfgDK2S?Z{A! za}$U4kdT9scX2}ULjY`V#wWN+o7od2=Q|{V``N!?$i;AtoX?oDj{#Ft6ZvtjLKgv! z^Cke+688twxz5}&-t-+A6|77>p`VnK?&GIOxq+0qDL3V&Ln%epwnHPuT|T7Ji!Z*Y zrcImbsH1Gph}>tC<3S#|^gl;oz9D3}79GdXlLMMlugN`lLagjYewlbFq<9oVp3Wq`h zAg+yq2t&{TG6V9c>z?=>I~n;Y7wZOjV+e0dBH>hsbEE4t$sg-NUC(DSB2KFuR>}cB z9C(Io=rU7WpN~Zt4=aPyDC4nx=XUQ4Iyc@%<+dm&IeykQ>~`cKh?Dx! zZ+ls52Z6N6SerlC>}z7g(h-p^l)fO>+g#Ac`^Mk*4Y?G3B|(hH@Hh&i_x*I+r>-OB zuv(l-DT|Ih^*|xy_;AP@Lf4(S=q-09jL7Z^yVBCOuz_@MtIde)!=BQcn554<+k5BJn+y zQpzRq<%Cnt(8aessfV6>Q$1dl`WPGOx>>qw;u=+Me!G7AyA$?fO`;scVRM&p*c=Dd zOWe$etpdT{NHll{FN`!72PI#Amy{~$caG8mYv5bU-^p;$eUWv0d%nb`rL-G zPg0`n!6>KtzKsr+KY8C|{$B$9tF|4iPf1Fx<6q?u6rMZiBwH5*t?{h$obnvQLwWwu zo7uCCjtl)Kfo1SvY)IrskBF}f*TFKd$$2n5BgsFE&tj7Vd*QPL!x5P2*hC(%)c6EC zSZqk>(vk`6#aDv^zTsQwIa7Hthnb;Y^}vmoXE|S@9WfrEPlXrKUUaDFR6X!AiAV4= zc$Sq#e~DiViTj~*^EW2(`$4c88x-lMz-oM2z7?yvm$d^-30NBN4yjax4bHqUo#~a!6?Li>eFxl&Zr36#be#?{R#FS?`r)U1hpdZR_z6){CYp5#~OAq)z zrJPZ~sb85~R=Vz$x@GthKa79_QKQ4hKT_QXdgw3tie%?arQiMOZ@T36wt95_dw!s5 zo%_4#kEfh@K%SiL3H|wu0;+XS7d<-fJ@tG&I`o{w&u<%@sdA03*RPK?9+Rvi+1Egc zx^pDT2FmI8B&F6J>i6}Ls3)wIkcV*&lwBr~Kh*zApk%vJ`{9(#llq&H*OAO)6za|? z@4?9Hi0N3Pk~YsgV5B`$yCVWi@9(Vr__-4$L@be&WGBx4X0~W&j!SK{P?C-&sZ2uP8o`Odl z#EN|kY$os$8<)!ifyV{j3JzNt4phKbgnn}%4gMqU2NKukdBeS|pV%A!9jwM)_-$B? z+?~W~_st1d9mdjx zs(i_uj9xa{eSv%qVmUc`jBUKxuV7D4-KQn*i%tNY3Wr5_xmc%y-X*LRbT9Z`yWWL< zq^=dqk6o1@WDa3Rw?hD~2iP+2U@Dl2kJjIf@Rh}fr;-uvO&*3`)5howdxo8N#FW!B zpG!ZO0uG`>MVE?B72PU2R&=f5_iU`pr9+m&IymN-V|3+}S8D0f=;aXqtI7JBn|>)N zo|D{^n{rcbI^+|Zekhqxl=WTES9PALV4(U>pHiq zE6@pW@qWd^6M}G)zD+#fkyBt@2sOf}NWQzr-R(gyI30&N=?eiMj3+@J{DuO701E_v zKaq&>G2%PU%=|_$C(3u)M^GioRfxbG#)P`4GX$4}`Z?VR1vG6;y^dlvT*ugXKz^%- zF`<4Oh55|`TM*(JM2@y7GiV!*uPBdcA4-0oV+2F`VS*n5X^}QXbm_oL)v{MhRlL5U zeqZ|c@;B0zF~SL({0MfjkPW$@o}>^+iNS+4SI8*5UFl3C)(C=@q1G4)3GklN#pKf``NB;2Jq{&JfI8S?9*8KAUr6XN?>9ih(eNi(KS(u^q+ zHF?Ht4PUrHJyv|BR?D{QhVdKJpyNa}dc2?RdwQ^jjG3UB*7i9wr)zqAuk5nZXKB#< z_3E7Yh1zHB(6fu)(Ae1vG=1u1|9#rjss4KXSypz=>=~Lcb(-1@7^TbG4N%>-BXrrY zWqM%I=jxpGwFXXKqwzh5Y5EgSX~rY1HSO`Iyzf(b_SWPPBQ$f)9A$2NMQ?m1@eZ9u zJEbA-OWj_U`YwA<;7k?Y2uVLbsj!dH$5|1S9YJQ zhx$*^ka1HrgWqRP)AV@mW?GwP&zR=M@HP+tNSieEh+9dCYQA+F5GwNdx z>*JVlQTbW3qTiEs+I*)C9v-b{@^|m8v{7TCv6wSEBEAnB8Qu4(u6pj-A(}s7h9=sY zy1U=KD)d0%NV$e$h)L2`|Nw zg8&Hfy!^f`&Xfe-bcL+(Hx!2z+EsAgN`T^Vw;ktv4n-J>;~`6iIG^V`N0`I1c%w`hXiR-zvXAFz%B5Y;8Fqx!5;Pi;h+PQvnaK}HQr1HKCxy9Muf=ESToM- zfynC$SCr-Sf%X}W6L^Y}IV7CLn17U?>0=LlMy(3tWh}44lG7dYgG9D z!n&vTJ(@ISlIG8zujghy_f1~(eF@$HuL<}W^Xdcs=l8&S`3x8dZ|>DE!c}k@B_nZ<9b{feFHlJS{_%v-G~w*TOF zF!LK_)1tD|z3f8uTKraA_HzxMw?1@MU z)*pG2b&eaqe?4bowylGiGb5axWuFu6|2|fy{XV19GnzMayym9OR`0QWRlj3H{oeM& z{_L}d=NX3wuQ$H0go3x0}oBcI5sHw?SM`7Jz=cBQ`Q z7sDHGn{>Ve9vM#pW5GQFA4B?V+h<-cG89fO9JGUDDm-}Sp8Wk>D>VK@X2DFfcHFJPQ8G?FmZQ7=wf?wrjj=r)(go?vQt3kgAq zIMHx$1jc7yKZfNPOKAi9DaJKvlne=HDSo3p;5=i_I>T{@wx%YWTiIjbT+4ogwSnx( z-fnEhSl`FssC&uNm-rj7*_$QbmO{!&@9|Tm+(63Ql$&zXp_JU%c8H|7%ZF52w{D%f zbm^kTjT@_4wQ8zWtCkuzY?w=j05zyrS9NP#ton6o>GIp}*L@=w`hh-AyzrK8YTr+d zn>O>`>(#Dl`5Szr{C^uYZWK}NdJR;mdIJ@yQeVX`s;~2EHc;LA`+v`=?9W9_8Z=R} z`ps0YVLg>;Rz`ok>W|SL9Q_M27%~Jpq4*FNY%|!EkZX`zknhlMp(nzTmBU>44Lcfp zYj@N`=ZXw~{A4mAb{w4Y*>B@ah;EYqsl(sIXfg%*DBddBYhEv9yqb|Mm*D`&{+|6l z@)ZGh=qw312{HmYKJ{aJ@tMYH*{*WAKhm7 zZsUjiiB6h?10{NDA2;OWxXvIyp_gWiu%{twGkkP`Td`)y$Jh|%CpCqhc{AUljLobhZ~`DWjzF&<@JZ@ z*sG3J;TDBevr$boZO~MgHn=p$=lus0e5!Gy=zC4W?DMLqVa0RR@PdofuufeyywvX3 z)W~q%^ECLL{G0rt4EJf$WPhD}hVTBr{g%8>T&_X=`i>uUYgSi_Yj4qAeW$8@=2!kE ztL|@09XCpk&D^4!9_pq`tJYH8VkK0scu6%VUs(+*pR2m(pQrk@Yw3!AJgoc2F4a?6 zJM`F`S9R^<-BhED8)w~R48yPjRv8~^rp)Rb|KqafzS7F16@--T&M#Cm*Wc_X2 z)IOV7f31HFFR7u1=U%9W<XoPt>r4! zto(UVnd-I|VXcv$@gr|y&1ILh&&IXut67!WYJBcRI=@;;{k_RaI{cc$_XmA|7d*@G ze)~N8(X-vwhwlaa&I2HfFQEVAI{c6~3!%@0r#Xh8TZM=4rllrP;2=23!R25AJc>6X z!8@Igz>DqP1mDBo!P`&2`ZVTFa(x&)3*H59MmNSG+vqb%97@JPW8OA+PAY!IctjZz z`dAL(CQvUMj{^2W#@A?X2*4$vtAM=I{nmHdcpmfc^zmyIzs82S2gj zG6(T@a17mWNE~j9EifCa$!BB8L9Y1Z&{p)Q=nvU{Feh$1qki^D)NgI34)ogSMA6fN zbzqtAhsYboB%VX`wE?TCKkSEG$7=1R9Q|VczzNz6Rx|c)W3+qnrYmfZ_(?IZ>^snT zqd&fNWYk^)ThM!A(_@W+bF3Tc1$V(&%7Cf(V}YUA3b99G7fxa#iTwwMMzG&-9WQu_ zO*P)w0i-l;4jG2JB4on;PGN-+UJe`MC$!0k3nO7xTSrY_;$F z9`msM_i5mA7hQVyEuym8Q!YU8Q_a z8(8^zwQCp-U!ta0UaLELO;DS~pX$k_pX;_>6V<526{=C?Jk_dpq3YMG7vC=;@R4%d zyT$dl>CWC$)Mn8q>cSf-?Ve9>m0HhxTeo!RuO`h~s;*&ay;>0lHL^Z5xwNriW)oe~ zps~tTZ|GQB$}sgJ!`23du}vBq=H{@EX>@)SHHq6^wQeJoy10RtEqif&T~a3+lO|0X zsZnz5m>2+Zl^y z);Gq3^+W$y%jBWH+O}t)Z$5T0`I@sjZB7nY+hH6`W^Q_MT{S)L5>>yTf(q6j+DEp7E(*9}Ryt!p8)hfS++FjUP&2G6;Oh_vFTJ;0YX(2@l8S=X?{40!!h?hLZ#u zVo#j8W~TE`Y>mjd@YaCg@EGh)sfhqW4u(NyLcX9LcxnjhC*jNJzOA%B;8(y>YYXonMCpPsK0RaVRyv@nmGeABxo+Ho)OHj@9IcXcxH0JQ)9F zpAxW|1KP2pabG_~oca^8BNzwPfsvF$hH`r$>?X7Kj0&>E|`OlEp_rH$8f+chcQ6NpU9ic zF>3-_BmKj6jNFF3ki%KQQ`Qc9G3Ewh-4V1(Kk%hO?oB<6m&7{7Z^+jq@**~E>mPn* ztQ-1|t|KIZ9C=|2GATI9C%8>}XdinY#uZ$p?25Pc+x_}X>7&yxKV65NYxh6~W*m8@ zz*HN{GaowB_Yl=m;Ns$H@4-bUq*`OBsb-z+?1Pg zQw|9O6~ZjaOkQ=*iLxj(IUxlFA)9cNYsu?u__@w&`Vk;e)Nvi*ij#m4x)5}@PQU>I zh#LYC;7mZnNz_lCu?@Q`$sqgg241cq7#Q(JF$}iULjVE-0s$KcOepaPRN%x@9Aq(W zaY7B(2|nO@IJt-RAY5~u_9Us#oAGpFiTt|rgbla!?b`I{v z7=(V|%z8k-Pz2H!6D(2cBGA!>aH=4I6ga6OyrZ1vekjE~_y@%;WvL@k4*{p-AukGZ z6r%Kl@g;zVdLX`^c;|_Jk`v1K55N2{`%PNhlY7$!1Z}S4D2qVKbqwmXk$#5j1ko@K zT&EAT!G(VWID~#{AOE9(r9PZlF{smCH|*1X#^gGi|62~%k+&YHBU>D)BT5|MC$jpf ztr!~-?h*DdMxuaWEOVj|NC`3i#f&)p6~kqIBLw=Ogthy#HdsG71vvdj(#~DdTklI5 zYo!;`rMXL``5DrSnfri7v<3uz9(Qw#FJ-H00A{2mbAf#^kXnl3egTLGV zU`LAqEj+-F{5Ytf#PfEc*tBxl`Hi1?{{B&ZjAH}^5@hG?hTn$zX+M10u47!oP)Yrq ztc&9A@aqqccywI92e^cBU_PLDJbc_NPjcK2nm@)c^3l$4>RtNQbic3XLHSC)FwT~r zIx)P4pcnc_z^Ug4Yfv0pegbq*7^VhEpfE?7?BfhSPDmIvQFb3JF-IuueV+i<(I1;n0!mQ&@>Z~9LII1?7sIX3 zX?QNecn9KtyB_u+jNy?t+dSQFb9AHOZ1p2__{r8koO(_$!l=nQ0%yTn>O2_nd+hw< zfvgxZQNsS-hW6I$lR^gD|Tye=0CM^$*bD=qVf3`jNdwsaNWLZFfjEEaCV>J z?OrYWaG&w&_{V>dXv1W~SK8dm@N?<=dwdUYu=K8NYo@#P)wLePCrQZTe`7?wNGI{v1y81Xp6-kxe|$TsK~WlOo0@ z_Wa0T$UoVRCB`4&na;~Xxg`G&a*O4|sEL6Kti&k@dCX4~4Nev0hrl7rkKBL^793|o z;*ETI~-V$i=2PMW367~Nn|!;!(`g{?nZa?JkDfDPM5`ag*-$6#QG36iwg6&h^%0ZivyW9abksp}~nJu+FTR+;W z$EG~yGJ7&Vr??^$zWM2a?IDO2Ig{UgPQcJOe^O?{Xh|ErPK>DbiHu9zQcs5Ef9iDS zFXoUoSlz4-WJ^vHK3JkG@))uxx&-EQ^ZT}EJV?UO%bX&MGWR(6GKS~|I?d_iZDe1F zqteFr2m$}kq})Kt+?1Pg)1j0iYullb;w~Rj>B}#_)T^()>iCn9k)h?wm;3iz`roIO zE3&jED?_VREZ4jh8#QRfM}DAB_Z44h@`^WNzu0{}_{@`^IHx;B%Q;oRO9NdrswlF50+eqqeT!s-+oA)n-f^6@RpNBy+K6 zhuDilu}+UYHg9Y~KZ)(khsA{xZA?o_#uRWx}3^u8jk82+j*{m_%0KzUT_G^#ng`s#vwi&CX4=-Kp)l=fg$MKk*(3aq7%h-i~}(3 zMm}Sn(L2*`t|K!dXY#fW^r74f-7E*tC4+`SLf4N|sLQSdI1>C}b4UOa@-AyPnOG;t zrj^@Q_Wm$8IJ>gevBR=97j0SO4z0B7vz^g8A13_ZME&ijfnvqVKTibKU~2SY^MjT@!s~S)MH8-%x5j2cy*d z-0#~cwOoA9)s7z-TC!?`CT;v&yaqAEO`4pp^nqiw<{9HtJ^E=y z?;%<_-2R_EN2@Y3^un4g8nos!*RMXE@tMXhT&o51Ur@$^xynjkq%7{2Xajf#Zh={I zvNo%K)<+&l*?O(?)Mlx>-GAiEJFVW=yp3y?FVo7bXy3DDSt|)`V6&eMnlGE#q>E^v20n?A1KtbIv$(FOSNLsG_B}ALMsN1it=Y#-K_1f z{==H%yUm}MwXs>dXqjF~o3FKl#%XY;hgI{wiu%>{hC%Q`cul}kzS}d4jtTz^g7M%z z9yE7lbYLg{!(-v$;3&Kp-I^aV2hMOXfz<;ahlisR1v}Am;>ZsXFyaSn#z6+?(g>o1 zZwJ1JjflfY!Cr#xu(!aE;h!XIfjH*7o;K(|!5MIX?}jx=Jfc3@>~Ag#n8+s>$#?5F zwlnaX1QwwG{8w0wj*|3!v3kMg1-hW~1>XOFpN^;T{)Vw5P&FL9#zE-Co+uX05m;(v z=nH`sj466T^w;Q6u?chCgD;p@+F+mPUD5l31@w>n=uENMGPc=$jj_7mqXk`$8?c(T zgVpS#vfnOhZDzk!rE?X>Sg;hVMi1_J3@@;32j^#+N_{4%q_}SH8JY z&u@I*=au~za}LIXL6k#JpZB4>j>+_I@S?$PH$`WkYL7$*58nRv=HEs^9|V8Yi~^XL zH{NE(ek~;Ic&tCxD*GPBie~_uD1Jr+tT1laDxp_D*?$o1mi1e7-L2Q@SEt%@g58h+ z5au%23+$7<7yZU=PWyds_#Gb~?2hE)z}DXyfu$!IVTZ)#2w8pXPwRKBuaR>`8XsJ* zSJuCxHLL9T54_^XpuleyEzydJX%W8}JX$MeJZJpI_^I<7`<=W=e)C-@HGja&Z=+;6 zFAJ7tI<6s?rDeUUURj^1?aIAsvr+2sn$*iMb^QF-v~buot?D;aYsOE~%0-JD2iB}M zY+I43B`elw?8fnCbU^DQlfZ zX1$}%S=-gdwq$KLNnMN$4BR5kSpU8URiY10Qj$`qB|C~f$0!LNwUH}{(YFG>QjwRz+;&Ft~C zZhW+!{&LG7qvt(fDLkA{!&h(+UV@y(9@^z0Y=-viV;e-S0N)6314H1&?$1MA?8ylt zGu}WscppJ^$Q|Ip!p#fa#^{IJ*!?&pJ*PlQ_#E~;un>6xpAzap77PbrgCXqm9gkwn z%Em8iLn{$$_ICzFO^A_zOJL1nnTl^p%<~YW6_ComUAVXv4150TK zGB`fMu7hA5&|Yf~Hd1VX$d(ZP#UVHo|5Y#nOhlH$*M?wA4xtG82joZg5VVuJz(w+v zZClpA^HLXNVq`rI*FjbUuQ=R^AXEBAK5!H~B?uOLV@-nn$gjwY$ic|&sfj>a4-jQ< z0G4t%f%S`nb~(5`)i#XZ6$cQMXjQ`ZXvo5}m7r4sIa#|9zC!HtLfZYsZav-oY5l## z-*woDc8?N9%oA9N>}k&ewo&9<)+>7q>ULcYd5}GkPYI+9K0LoMDsRMoh2QzKddfUr zMjgj@RHpHIbWI!9Y}n6#a_L8)1F;%iDmqnktLRwKwIZXUd(D;wb17RsUYePuA#<1O z{&5-h3}>kOj7&Yh#P%VEQIY(dbNT;9LU)mAdlqaj_!7MS`s@1Ys{{R_|JRc7rrh*P zN%5TIrrea9a?>H1f*~`R_U_&5n|n6&2qY-a5F#+1ae64qFa$SF$wOg>V8z=Y!*!fj zxsK8pB`_z&aH=gr6-r->Rh;fh{Rki^|2PeXw@ta?ITq*;qB#i&!4+e#3k4=%aZ(XN zG)|Ey9T6%}fZ|ArK!xCg5|jHPP9>jKIQmoJDC1Z=dq(Ru4)= zoI?G2my2B3MxjaHFrIR95=uZ6dEPG*+7T4VOBq)VAQYRRgTRV1mA82yRU@Gm>e1xijtq%P`YY$;11CG*2v(0=Y4 zuG1c_qgbWQT&KU}XI#Q{6x)msZA5^@kWK(DhIKdaB1D@IM*FD~K^5WHouyHnAi&eF zpddw<$54N|kwNuuj{J=ZoLfLuAFZm3dtI!;?F#GI+m4OOAlxA+QZ^8EAQVC<+RroM zO_Zk@al#JwM9E4y6Y@{E?F6;#(Nbe)kJakstF^Ca z=%s~PI%T?+4j8Vb-TP~4w|-jMbAXmUGjKoge|NjiZ+(Vn>A1;SI`74(9@|uVz2A1P z94X!Uy;zw`7wM&?85+Or74=yDx!SJUrzbZ^9bb`p8I4{4x#nfA)v_gvl)3mNr7v0R z^=I(^l7&__Q)4n-Q`fAo)WL+mftl~?x%Ab_SZa0K@99gI#Q7I``Gx73n!5TO4cIJo z-72-)BDGm>_sjT7Luahf+(DDHtb1Q&c6mnWPxsN%-h;ir>GU^!sono2EuJ?=GiOXw z_YqTcbFay|x@Vf&jCxK}U$8c1F4KzT8Cpi)ljC8ueA!ZEWh~W8i*f{(K=&|jh~{W3to!W(X2UII%s5+XZTnx zeeU__dUEaYoj&t@`YbJFOvpdX^3RF$XDyHNEVea~Hq+L8lxNkLG_8Lr)7H`=Ju&u4 z6@RQ`^t@xlRiJ^cZ$c`Wf@C!am zkRhk4;Z)>`MU;8)E%>VOCzO*Y)Hn$YzUBr^cq?h3z{V>FU+D%t-aw3E z6&{VkF(j}PMXmRd`^Jh#S18(djA{gQguoD-PqLMo+#dxP`K?Xh0g6Si^{X9`P>sP2 z?DhH?CzPP%xA8+^hars9&%)cbaH3&6ynasjB)^RzN=cO4^n<`lh%rG~=mC9U+@NpC zkI~Kfyyd}3h#(xW2In8<1p_0e^SM%(xrS#m7WRp97K{W-P@vyC{$9r|4>X}pTMyh5 zB`3;Xf@2^Qr6^6=&tzi^3Spb$5S&AP42&39$xrYjdkqw*KIe>|)qnI&M|&TbGn|%i zz`JJISHqJ|&d@ zR&Y1OV>Gb+#j&>@>+`_=i!o+BvRC@sy?=`WM_I36En|tYoacuB6DPX<`LBOg`OC_y z=F>HGPWN+k@QZ-)9#ov#HL5DjOS8{Ve2nf`*a z;OVsaOVnY~BFD{pM=sQW8H=@GQG~PLtB;S39sg$1n3$-mI{ zg5-JD)@R?LS~@W;+8p0X&-&v0@F3{33lcM~6$Uim8&u@$oW!N{3p6Gcp`i;=K z>GQRE*$Rz)VWL{}x?F#_{e*~DKs@UZ&oR$1d;`og3;{Qir>DZd+-VR)X8eX{_yz_W zFd6v|;}f_-V7Z@qZTJFiU;x9oh71v8LYyicyWu(D3UwMCciVAlH?y7d&Sb(UN3b6} z69XFtDU4|t$3hS~ypt2-aX9feG}9-r%09tUPSnPDnoQs#avvCgoJJnrt%yV()E;4V~;oaJT_Ok_nlMH;aIk*=@G`+=u)edX!9H zBTh5yXMFyUKkbt~lAqIML&A9mnVh$4`+kT0gkd9wH=JPzq$It#=|vw?Fvewd+iNk0 zU=1=KdyHgakAnj=#yiIk+HCtb=BLk#ecS;k)SXPb_w4rl8|wt@Y~HWAk14V~4o|7h zKm3p5GJRuRVF1N24o2d@%XRic$j0pdkWr~8r?*_@q!anEQf?q+Zpux$=}<~;Y&%3! z+~q?q5nzPfAeRm;lJB1)dh5$Qe)wE;pwBL4e6Yv%-1~pesr-KvCHwl(_C1>M`Yv@^ zxkKGo@6>{KcI(TX`+wh;L;0VJLfW=to9mwliU@KWdK_de^qa2JL9R05J3)@w*O2wl z5t(e}hj~tGr4PRNz#U6@6QRo#)QK(@*@C@2dP0-Y&|4v2u!qN~65F5$5u#^8?-=X* zkkeA%4v(WGG9u1>1mPjOZQr%s55FU@5*?`5WA}4iBke>UwKfy1hkSr6gRGRTOXYWK zqvxlcR-W(3F>BshgOOEh6d)ZpSVU_V_UUN$H4>=P# z+wG~0sg-9uko(Xnuogo?50E_u%r9%wWM1ZvfS%MbNTyFd|3rPC?W;0{%S4W*e>6fT zft@iNCKsL`#+PS=@62~jhr1qQgtKZ+Efefq?B?h9{xt**WLM5 z)X)B3w&XQ^#Q|{7FP7#k)IJWEUB1>9bG%^R(=}wE2MAS zH}3g9yZ$vu>xq z33I|L){ZaGTkw07_U_!Jy>Gpzy%}qxHe1{E!eV_fe}(41^qRUY{iiyw*{xac?9<0z zhq{gIo(Hyf|1S3dox_O5wZd*^3pZ~AI$ z&->cDd(Zyg6ZiR9DBPE^dDF&b*&6M&vDy3Fl4yNGbL~5{c%}AkeN%h4ZQoyx^$dmo zzucz18(-Dl11YBe;T!gx zgCp?H?8D-W0+u?SUK`^nJ_vDO2Zw+XWPt7oUlD9H>--w_d{BDwOR7*{a0r<>5&c_%Ww>Glp z!)~3lU6NSKjDZKMpgRUntsH(&ZcjeQfvG%c&&_#GjcYg7QF-i{I?Bosv_Ze)H%a;$ z!Y1PMjG-T6mwO+nU_owgF1L@nbiQjp10-jPpZvMHWtZyIzC49;r6|n`_>+HGfaDA z*$&;e=qo+C*!GyKzf$IVJB%NYFT(r%W$l0a-1_+*jo!RV?F>r?tlz0sAMLh%9luBE zfPD;yuCFuz{Nxkc^X}E!&!vIZSIUljd6%|)W*`~rwsv`2{hM9cZF|M{K9p8%)b2%V zw0!X!>bv}Fe+%_A>+5^|NGI<5^$x=z!xXRujM?;>_I{OuNAXzvER?+O$DbLtZ;r5i z_M(XRzHW>5e*R^Y|D#XiF|+F{H%4U`|4@&Ohwo9?8<1zM)%Th08It!&Z9|~MbDQ4iepfc|>IUnAKxU(`;=NMugrPq35z z&=0Vb@60z?Nk05|g5NDz`Uj)RM&#u;#@W8(yUadpm&sNk<@o&P(hsJXySfe)T`D@& z@PZF?t>JfYET{5^8X?z)G1+GOh{f;jR?js%)nUaBrMj9F6O_rIFl*p{1q z87ZEV+?1PgQ*JsW6QG+4yf~vG?A3XujvJx~EX44HQIpL)!UKX60^X#oN!i!yKN|%} zCa?1rN0fpn{s?Ly;E|K@IC+$M!f7xl7*RYD01$*D$`I^=fJ<Z8dK)*Ujm;eE*Ab4mP7omZxsI@P(CfVu*Oz}YKgPe*dI+B2 zWLAVMPLc8UBlwvh!tb0?LmBFJA+up5V4_gIYusIKIOOD3^6?$v7^hMfkWp6J_+a$o zcgC7_xIk&bBtkdAD*Wyz86ixW0EmPfUE0(0q{>XiFWiN+4$E(nB4LO6sF0=Wl9-4JXn>XH-A>^CH)*EppX{wi zW^GZ&^-}jYjoy&jXYJ5k17@k|b+@U;#Z`4lmGf1rMs?M$RZBIhRZ;beYz&(;*G-*< zsMU+_scq&q-97XLHM`+X%U{iZ5BaNCt>Wdm*Nsp0Q>%Gz>*-gd9`8ga)wNmlsc!E& zR!tjRrkce{s(OJ!s#Uyn)Zg>s{#w6k)vBSI)|RSOE>zjd=PQ5N^Od*kg(`Xe#k$Dm zv5xhvUW0n76UL?1{xPXrC!(5mt#Y+mDsp};6*#x1%2cVPs?}>*8T;MFrA|CYb?etv zT^mR8pX2#!dj8TE)mGJ-b}#E!-Ky18r}BBKUGdy#9=Tr_>m1tfi=eP>to^mCU98&Y zUSR9*oM^1e8&$HtTvRp6!&qEmbI3K;A$`60lKu6BI&Ivdep`NiuW0p!{MF+8!j*sA|6Ic#_|9{Tf)E~ul8R5`gE(Vw>KH~! z_zcQEcm+HbhkA@EUpfF1 zYA1mY)r<@qP%?rS1QLW(TuCTR;oDw6ypS@MpFlhmy^KTBS%vn{A6Fd0HwmP)F+_Ro z2NG2bW4NT=kRN5`xaH$Kpwcmqy@HkDBsUbroVo{bumO7v56&bHW6N0B_@W%f080KF zhTY(K`OerdPwY<^{}BAoIETXqSVtJMan7NB6z!D7Xh~2IWeNP`&6|uV;}?v$I9lO+ zgyR#zmfR1e{m5k_{Zu^aPM$o6F&V`#`y+qrGxKll$5;-oqP)g9O+Y7e+V#1vuK0B2 zJ2*>zaFb_;ab@4Yn!(Yexg=7wvkpQTFqQuqL+Xq1 zH_zpHl&3+S$hnBI<$fq{!CA=ioN)OGD%rTCYSymlI9sol@rJ-JehLbF7QR$3;^9tw zH{R}i>D=?3=hQCsEm+HMd^#Vj7V#_aG|BG{xL1tpF}5ag7NY;)Y|ZMIsKu@K>)ug| z)Nc6>JvR4s$KARO8yi-hZe2~tM){g&YK?BNe@q2qig@s*7&Yrc)>!dQMhO{ z7GPNDueGBV_cq)<@B9l?w8Hs1v&{J_Q1K#FtX4xcY)tD}-@wZQ#>1$N5je|d)f%-N zU%^fA6Wpy~dBIiim9YYE9e1rv)f%-`rfO{!IM495(#5J=_B_=nQ&Dv*R(7oQv2NHP zA|GoTcjhLg4Zj#-eLyvA|HA%&HC5hl53B|Mz+Uz?^n-jCRI%S|eeyfs*&l{FLVaPa z@jrQ3&&m9Z2jfKE>W1Ci!}8QAe~ublSVQ&eG|&Z2s_D$j&eGA>{5rzefVDi|@C=@J zFpp>3_R$2N!=FOxHn&@J=ncFd%&@!|jjkSiwaWq-uV%OblS2bAh!HIBPDEBWP96j+ z!ly9|au5t}u0@{6egg_`nlRjg|AXJD#xLHaOaLOX6UH|jJdjJ_+jG{>iF3&fpcu-i zqfFZ}**p{sz$gkvCl5pbN5NB^KH=dQ#=viXvu=FwKuEL+gC=q=GA{w4oIV=l*^tP` zK@Z4E;EwZCYXf{5M!Fl#HHi!I=Jdg7$30(nijK-;mxpOQqT*jGvK;0FhOs|l4;9*zOyr-wX1e1oYlytan(Q;iW{maq zhn@msItFwcgi{^4bJB+;k`{IodovBe{$7laOX7uQs>-aCB zu|^ZejnRa$W7L26Xg%=ED7EY`LRWSisV91m($JBkvwzR2{Le*`$4%Ds3DY&q#{K%v z*Xz_fPIVdXjEB!~yA%O%1Y`I?D7GIbpaQ!Pwi^QG-0>1Q4;jw(>NvA<$Q=7_^rPsW z*i&Qc!)ehS1aV@Fbv^_spuY+UXF&owa9DI*lgSt8-;iTc55nQi6dbUE%!K|8*$5pN zHb@RyfNuwxS3!CM%Re~_{Gjvg0TlLPA9 zIn(mAi!Vpkd?J%Fd7HXS$mK{kwo@-}ZV}W0+<49Wr~7dS!g*ft0sT ze_ai`OjV8hy6c+9yQ_2WLEgqm*2Zx$-yd(E;K0aHqt&k8C|%ciq|M_9-PL2HdJVC9 z`F(=jgRvbsQe%4cv9@;bHczq^(u2LnXnunu;dd+V2ePOLej-PDfFw|&Rv<{fl zi4&svA2L*9IzFv2kJ#L|ws!RH7yUkIVw8t=vS#8rIbbdQ6cpAcWAkj^XgxmiWVALP zZL2Yl8PV3z-X70t%&_568TvzeA-;2Mi1oQkcPndkJl;-Yy7$r;_Ne>@<=6(hZeuWE z@CZ%sHb4`e=%~l;zDi|o$gjh%$)n@%`J*oGaf$P6Y;a%<&o9Ab*cahJ@Nn0s#d^A6 z4i?>X>{11~)w5555(M}KM8d!g?HH_=UU&4cHRN8z~7A#Y$ldQ@yv=nv^1I00{^4UP+z z|ICNZ^mbzB!cPX>UMg0jgG^#InEq{8%^oFSHQ&J|-lWKw`+ec7>^m4Qcqcs8#)2~F z{^%<@KHB>G+kPM67rrx=5A3GT;4OApbkckVzbD@Vkr!R4;WfdT>_^b|qBA8wc0cgX z-;N2-{tj4;9W*DbX8iD<0jtrAhc{CCUV(bSDb@p+YB<8)WaGOB2F{YeP`7`Q*KpU{ zV`Br>F>hcl7?@MyU_E@Nz+ilYn12qi14|hzf?vAK>EeD%=+ePczCSkQvFM<@czhW< z+7GU$2FrxB`SZ;hn>AMd===|rEL~DZ9CpM3fs~G=HyJ*Hmq}Y=z*6R${ZWjUdFoi+ z()OLhTX{Pr>jJw4WaWQ-!>@JW;};q~Zl_7(CTZG)X~w5x?E5|xcwgXu@JV>Z0sO}J zY3G>VJn>Y-pGFujOXZ_KH(8sJ!;r_2%LYBS+z&}_let4TOx&nC?Z>M2-CcC+ovqdD zUc;it+iKF&Jv5g7SU<;(8L4rjhHHdjNzYkXYPaMQb=x4#`qXgL=Jc%{w%6adRgFbm>D+>#?W%n#?lFv2;Q_ zPUDQgQa*bQ9<4jNja0LzM(BnvBh|70D2=i{u|F7Zc|@5a>!gVT257Wh?=*O< zZhU%_m%Xj~D0LrddkdS7i4(_ZoRtOh!T#YRhZ{bR)1uig>eXdi^w#>%wZu9%^mW71 z%~G$WZ)@1p=WHB@+jtm;aNkj*G^VG`G1$VKcj=)qLk)9S3jvQ}JPGpOyWM= zlkWotX-ubX5oSKvI@%ZUoi+x{9B6skb&l}$Df{d{(CVy46$TDI z@IK#0+{0+BJp*aI1}m*?5A}TDZq>fIqK>=fH#+LpqgA?nS>Ka`6Mje!&%8a?e8cszs7lZ`+BYPUKh4BrtV>VV(FLMT#S)Iu3!S5HpBrp{j(6O4Af`EHqugx+0 z9QFhF0b^^%rtAAH+6P7l+(j<6Pl6Ef(+!FJmG3+7`((dFey}$_K%IVo)2WF=5?DuG z4*3i@4_l{=Eq+VLuK3#IBroFA!@=nE5q~m*Cg~ft>?VDixIG-1l|GY?y#(0bb6(Hr z@OsucZMF5w-iY}{hRrE`xbs8J*)m6MN43?(^)J@%e{JK3Yxu3Cc`miQl`St=TFMCjD9Ygrz$ee;y@hmV_dAXMj?(mr(;ZWDeD-tc zhfxYvqf14n`c&3V*Ri5&4Zov<&9RO{kkGxdUl=xWv|9HWrK>uPR11^6@9Q~IeTR+C zmd%mbb1MJeNTY47U~|FtGJgDcrKhLs6er$n z!Nwgy3?T>s5JyYiQi<~=r+aZ72Te|$;k3NubrgYIN0>k%hY-k#q+G}N#dVxM!*zte zFTalRQxEs$)%|`_>DT}CQ+cR|>jf{n^1#rjQ~v>DBtb*ZjllJ!7(=caY_&4&wa_m*!rozv7!;< zH$u59A`yNNlwyT5bs^wU?&GgMb_FhiCT&AV=F~mfhL9buqZCD8<3uXGcOHby5fAzYitvMIB|0erJRb`g2kq8;1iZhde(PedAs!l*oI`@BW}l z&2H5l<5sBaCaKSRQqR|=N9Vt<+V?%JlkyfmAb*mM`P~V+;F<^Yz^qr*CjCn_Z8uCO z7c7-yUg$T+{a)pp+^E~fELWF}@x9)V9$)a0>ORmze?6;cj^+L(eM|qo7yUc+{5xfT zX>>qea`-)!zHk0-kd-^qD4!7u9h5oYQzKo&@1t`I52TKGhV!T6nN1gS0)pH{o zi4)xj!1I0tg(lzOarQs_9D`{Hsv{5t=MT>VE>ic01MWo6?C7f>qWAVW|LC(NU0 z_B>!)a7JO=Z63*wauP)>`56OqO?26B|&%%kBu0h}nCQ%`Q^)MM7A_lq%PT``6{Lp)31Ac4R!_SHDAhMu4D zy!P$cr&S-W(pAH+^07OhY#6^l{Ll4+QMeb+FGgP84t<2tH=g&Okl;TD@FSy~^8Hwp zdaqPUUKN|&qF?>yw+G}o7@bz4l3I2dueOz=6_RjK*y zI{KJnbIc2!T&T1fJlaQ3Ec#T>yeaiItnIu(x^=`-m92lxx0d}@`j-BEFZv<%q?QSk zQ{Mj;`o8`6zWKjFR_^yk<&2Ou!rmDEz%v}4d3Z0p)%YWZP7HYP9ApDzCuBPR9Yc`S zgS>%k77hRiiGW9pm)WO(gBiwOs1t`4WKLuY7i?5%2aS6h`RUB-StRRzw)qJo8s z=(Mwo=r8#S>#s&<6tJS5h>i-m zjUYT^8+3Q*;Ly3@1d2`(TO&F)Ug z0)VP_ukQM7f`VLTW9)-$3RziXF~$SOUG%u*r~Tf4`b9f9+|SmK;*S>B2^XE9qkn&Nq%%3$2)jh;8z~tt z^vdW;(BE?}=7<07w>;P6QJ%~4MC$<}54sh~Q`Zgl%(gsQCtZA!3Y09M;suL4<@CIN zFDhD8MGU8llq{hlC5(y}i>{wtKt)bJQ$9uDs;-J%Kx{&I5wSE zx2djvdc0aM{ipi8DUJO^8uzx;W!7pnzw==gFI!f5^PQoy3!d#`Q?PJBopsh3Hb%u& z^SZlq?}RK5q`YDHG8J!llYaZxy!yXCo}|;x$m?w^ZtV+vpHFb0uzk;KxbU~L3hN}p zh`;AAqO%LdeJVzN8`r{xRpczY=V^8?8~dWx?qa7{+fO}RXPkDH{(5FX{pGA8I^F64 zb0|}kIkR>Zw$FU|^Xja#^Xc3gb#!Of!5XycQ%$rbJn~bi$E(tVvtCuBdpoFfh02c8 zXXmy1a8K^d{Id?MJw@`Kr6Q-sb6=pK*I%Sm$%x3qnz1$~=jJCP+7`x!bx7MzxBUZc zv+;J~J9MV)HS^o}6^i>rJ43(d1J?=`it^c*7WunTzWn>g06JJ3?6YWr!YY1xK@~kc zpH3-qqVixTv1hnKmx>ygKFD!uMEVHl@jT-M#DPt`>6ie0_@VPz@R8^I%81XRXM=Cz zGlBhQ{X6Sj7YBYh?*>b)3^pDxFsZjhCyMRN4^f4m7_TMBFI7i}ZZsRu4NKtHw37n~ zz%BR_`aN{81jAD&_C-Ie+jtZQEWk6tBg(>`2p~WoyKu`wx0Ct-0UXd~JP(|pzvS^i zM5`kktG6!kAdDndgG1kj)$sg))$B3ArgH7d9f(Ja6`$}|c&Lppb)#1Vdm-ODFis(# zeP>+Z`?QyN02>MPJV50J~D6dSb;O(9Qa634*2J6y;WRPfB3FVNl1%QLy9y= zNp~xa2+|=Sl0$ccAdPgVfJk@GFo1M70}LSDFf=p3JHP+IyZ8R=eYDQj*^2M;-1l|q zk97sH=?yf?^~arW@Z#qSIEVYnOApzHKO}~2PXfzW`o}RHCX?ML^DG1dww*DFnl(+B z7(+&|NJ-HQZtHpi7qNldy|B3IS86PYVZE+(+5$DP&+aaybEJF34#h9xO>)Bsh_A0J zZ$SQtY%v(BdcM~Z^2iL9{DNdcz|oW74eT|%L0uC*Co{l{D!-;-$>#tK{H)I5OymM<#>+3 zO(lAurMmZn(iWm^M|vgFGuR7VKr@Cf<$78Q+7I0_bUjt}0d{Oi=Rb4Q>;@i@4vx@; zNUW7eyMT&>g8p8Re>?~8wluj!2kM-^4>HvZynQLjzp1^;H)xFY9FBB7BY?j|cq1Kg z72HhG=))(V7sNG@;4u6_(lfT(S8#yDf)CasHWp;b%i9HBpm~(G&U5`?i_nS}LoB_l zlRZ&^qzi}kW7&BQLrsVvQd5gDl9W{Dz4UtONsDdgdFyh#PMzN0&dz1(IG_db7TPnS zPcoiZyC!ez*18$2UZ35YeQDa3rqpWyzyE7@hUtLeCyG0??d8qUNmdya^xCf& zF^?^wvlPiBOSTLRqak_N?Ib2_k_v;4@8@X>cp-(b&lJbZJ@O;)yB@3CQ9hlK2$3GFKXdn z)1dpFxf@3i+sBXBQZrUx-5boM+MyV4rIo?EpRU1~@SU;4=Je?j)x(WQ`uT&o3d@r- zaOP`!Bd2@CU)~xhp5cF4y~GcQBOXB)F=C<&++dNqqTlk}GL+j26xC!oA@WSXdpWJw zGNd642;ay(u~7UTjt~qiwj_Glp&FydqeGX#gI#V4EO{+f2o9xyHkS^u93D{#R<3g{ z+1)qdt99Ne7ZPT+=cb_q-ej-i%QwV&tl+5BjdLyo&cO{bcYH+835<#+g5#4}@7go~ zgA@CFLx+@Sa*`sss8i-oG(`-a+qgi|L|H9dNV0LUI_?MDKHRall?>}ktQbN8tZQ@f z)$v#i2tr0mYo3eVebp@sHPJ3yYq4lc3VzaHH8cgr7>k$a3!2;ViGs4xWFAz+;fnqF z=LM^j@pzpw`ehHM%`?wnJSf-g-#wlOCX{qeJb8hN{=i0q^flh7qW-1MOWSPHVGMY^ z5XrryK2sr$$3{jle%L{BU{KOY=e9;y#$!=hXPW}?gui~7S4+r?ZbT>J+_~ zO=UM3KIGA@tW|+N?HcRrrb|A?()n#THjOQo8mZlOv<|&;dBli9DoO-x?`@uytw6G?4xP2pBuO44ioW{_+6t8$z}TZ7qwFr~#)kImG0 z`-0-~oE_-)34)bYuh*=#4;PaZly+p-RoRYtsoRDjG;g!C!r$yq-fS=0xIrOXs4hgb ztpY_(>OoIGiM*aKuOUNleK|~XwII~{fv~isn(OuDw6HF6xwvml%gRT57ve1g<#GP` z5>@}lU5_tS@{lXn;QY;l8Ple{L<5_9Ed3XMxhOnPunrrC37&_dRV8Y&L?FcmLyA3T zyroxC-=xCofe33mSmeB!#I&X;OR)hPkOofO@&+-HKj%v+r%c!Cbos|d8D{YUgiqex zf=7dst+fZ=shAD_NLIpxq$;NHM$;(B32T`VhQ`Q|fCzyKmEXYtJDWaFY?P;8>x}X{>#%W7r^I3+k_{%xJrBPy@*ZKs!JO1Q zz?pHL{SYL$s`+(W!88RD?{c|zhP};3WcCI_ulqgRJoXnIhMM2l;r6kNtZL%>!Tc=V z(uQhhI@fKx|7MVNN5%y&=)@p@9As=F|`6DQGG(k^RL3Fe+ZORf)kiGQ@E`9rnQl5TOlcN}Y|A#FMnpGt)jVZ$sHli?gDJIN|Q>b>&w_k87`N7~$BkRF!f0V=_8o zaF}LZ8@&-N@ZqUJmu1Z$Lfz(%+R%$XK1*@!0fYWQ6w2pM0n8+nt7h~v9RHJ zMdejRXq=@dr>%xCXQ<1(b8+Y#=40AB<1tXWg{cv;D6`(>QlKEyY8rw{@>`FYVapqj5T1msM6ByqK$|Us(-j)M?#Ckz6Mf-wV6j{h3F&M<# z$aK2JHlrx9Tq0Bmp-hvsqB!yl58El1C|;jb{eVn-(XlzU6IG`IwX-bRR?ng9(w3(6Q zL#AJnemAXQB=!lCbP=8h%FPNkkkg@;aDkw~xlZ;hQ6;^8^T7X5g4AC+f2z9_l+d{| zl(Vy%41tsXm(I`Ca+xvde{ckUDa<}Kd>x~Sq{P@8WJ*9`iG$&N7lgTbouP)F)Q7-_ zc45b44q>o5SoFH}Xe~kH-w&F@Ti`FI0;c%c4ZibSd(rKOrTy9;@waoNVn^=)2#(18 zjOF+#ZZfB-Qexq@83}}DJ9!ft+SBC+1=&xQ*V7`lufc`Fa(c2t=Pj#DI(@!!3uJb2kN&k>mJ z&e0yUoW5K~dj9-xV+^8<`)+PJSq=*Ty>BCF2pO4*HxM4aMXr1rlo%=5H07{y^%b`A&*QApLL9C=Qr4`N46l_f`jQc&rCc z`OWMCPpogYs&a;qjbmYaKQ}+ZXXxE4tKAY7i0cLKw|I#e8OvT5>#*J%_P?Oz#JO_* z{r3LZa8WYr8UGtAK`V1B9cY}C@E!SVVr|_Q&G9thOkwDV1ayVo@378}ui*Pg(oi3x zhAf=|%W+3*jfy85)fhX`p8?A4I#8aITcrHk_OV$Rl|j&qRDUSnS0WQl>OjpG+mkKp zm%hn!t8WsBcmiAFMdok6BGu?^{aFTV9y?x0+@AG%SmjA5UHf>4veJ8`#3NHl^Ox>6 zC!QEYYXrq4Tu64=ZC>dmv)-3qB~4sSuC#o*Djo1x$$zY;w~yy{P}zF&`{`74@J z&7Kx$PRTQ5G7&ZhMSpJT8mW2Vm)<99rA+y%s}|%$-Pw)F4y3zJQu$>6+l*d^t8(4Gcv^2Im!$H2tP6QW{ zNQB-@#Rpr@6S=$W32b=mp%0zj>%$|E=5LPcP8WSkYcfx+$WkK5Mi9yY=4_p*k3ZM$ z)BEg>f|m4Y*VZoRu__=>n6+6*{}#UR1b*0h#OA^nDe$V!G8XggGYS49YpyAquNP~H zI7RvX(55$f;@8wlTI0)O4?_UB5q%vMKlaic7)Q@<`51w&uTBLTSR{qg%@Zxg(Z3X0 z-D0d*tevv?l7A&XyeZh17t!*8WsPVkySwFdh3<)UA&P7e6DEgs^y{FZH^h@}vDcJm z@n#R;W_i2`JK|o#|K4Xv_|!1I>y%Xy5$0fqqX)kpB#VvZ*%pOBV`-BDLrcs#EOA}N zq%zH}$zyTyrPelX-h6k(3e=)FTwjq8`!^vmA~B;BIWTccT#_HUh78AABc=>Cj_~(P z7_uF-Pxqj!5K#Rz*q_o%j3duP1FxTN(Yn3~ddz;?xr3g5o(Fqjp-zG5w7Dlo35%KT zd-FAi#_PD(Z4@bgxED);!BF`3hH*9Fg`qnW7e^+KL{^BN!Gn{5_gv|SiYcv zz*tAKr%06w31ihWBNh%yf9@aDG9H*EEQroN$j|u|bUdbk^*v3u%+{3oPRnl|ny=2? zNN+}>h`yyiXu>d|PJLIr8vZu4WwD1hhBI4)`o5KM^uSJm%(tgxK64KxC-)8rn{l@@ zWeAWohDxja-e*l-u4X+IH|t)Aqb_7y^E0y%?XuE5u#P|+>)8la1*;z zRahTbY?L_TXBQ8ouPo(mWPgjV7uiBd_p*XGRCzrY(Zkz6iwABww};l)Udx^gstuaO z7td1lhk44!CZ`hX=N2DP!u#(ab|-{85yyNv{0PN@_0&|8&O$dsnFTmN-S zh`m=rhd4aoS#hPq^@DBA+z`!`(c~%GGLt=SHdnLK&fGwZpw*4FrW~*B^hrhvg(&WG zKbB*2&9EBHKmH#Kwsdc5ikyz>8+k?xuf8*ULfR+eyr|Xao=Fq}fwgbSR%@hJfrr7p z!jDc0B-=G{(SW)%S1}S%Ya}Jve8?%_-&#WyKglCnU2Jw)=}@T+H87YJOJZz46LoY| zKv^Qa@%4&P);3=>>}{=qLN!n%t~YQCE^wQibQ%47f;7{ZU-&=E z;>jXcuT)BF?`kg8XuV_@qKM%naC-I;Lq>x$7;@b zZc8pA9iifBe2!V|vGsnE;>^R+yy{`{s5r^H zuH}Y2>EoztQUkzr-f>MMUt@^W5wMphw5L_Au8m6Qqitip;L!bggUP~!{dZJ8(B7jo zHPgB?6JCBrKv&wR(F~)EqzfnlmF=MZ5WX%0h2BoYXU>y!tv*bjEr8O(k#bq?@QCUt^5o zo%Fun9R84EgN*E4f(rr?5jcDTRO3=j26Tyz^UGuj@CX z67J7L#-3L(6(g~lTa%KIBE`=2Eo0o?6GrXtYXUB_=&9HJ#VAih|NZ1oqgQ)_CiLcI z+$DZVGng-?6Dy(b$}O7fR-u*+LYITB7PvG1YDr|pIg8WUA->yMLy-Uvho7ci3r;37 zaw>vJX#8%nj)3WnWuN!Py8M~t`_87UrXI-iSiwWQSS!u$JRC~_;Dm-cB_-};)qIno z6^%K!(L*K04*ts8{nWgO*GsKo=o{V$9|ePh3(~VxzSvhofn7=3H1BAwkSQPg@!GO(Py;%C^RY|s;y_+>f4kenw?G|KUxT4P zNrknbqeH_A_B_9cmKZVwx#P~EV>Zv(y8$eT;l*+)=WVKNHSCs@hwW%Sl;pIXj!z0*|vOohq&_ZSs?p!~q+4oQAsK)ZLKlo20HxOmSm zjm-D#esU)0X^nPxMht7S0b}CfZBhffevOGpt@0jWpHjqg9~sCM9b-*0&D?EZoEh57 zFXEr=j93K+3~J`mtaqE@I>=XJM*ch$5lp+>j_2vB3Y-?pz-wMwF%P#!-sLJ7x%mDI zM!CT5n#XYZg`j=L&cWLJAu*kbeVA8E=^?ohbL*J#qUCo~$_Kk7%;_GR$XM|RV87sg zIN6KEeqf5VbT>L#ER$?8B~*tDhc_{w!T6eWOOf1$=lf{XQM43BF`IpQmodl5&M($G zFP;Cp9M0jmSSvdraS>~2(B*qI`|AT)lfx2!IuW|vRA*+E;GY_D_3XWSKl+6*%k*0d znW~*GRN#+bYWuUvCRiBU=z=oWXYbXI7#>7tWW58H;{zJC%CopXmFnT^+AqqD86G`J zmcgYdOqSp(CNtChUDg7rcBU#|r*nH+pUt0Qr~4PP*40Ci71PEx(QyfqVy?v3tPTn^ z&%@N?B!waqTf?L^lFntVL>TJRcWU|FP5l4;{&BPmoZ+PkmY^w}4*cr3P_be4{)<^c zH7PAa`vLT__+e#UlzevANXB<&K# z65|_~Mt`=71hL1oXL7NKdt{hVqGTO@5tG8gsK9k40*(4yq5r2E$JrR691$m285K;x&?__y-ze>NUr`r-{)O(gt9I_f*YR83}k- zO!712^DH=&g`6@w;kAmd55H7w3IFhjq00nKmRc3Of4}!#^k{5%!=LFWc%FyG*jCyy zIEQivKt<5%d_%@Xg}bROJ)-y0D0V<%T;c#Wo1B63%hA(4NA`}&Enj)|k*xsp?H zZFEJTU$ikJW>OPlmQ=PT)*!##>^SOyv#^Y($lTH+;>hCG*ICqbcirlyMJ_hj< z$d;s`2yPy*#Jz*VqW=f(rp|&aL%oW^G(u`cpXyFI?wWS~*C4Y;%##Z;RE#C-Fj^I` zcd!%ZK4NmSzp=q{n_XqdbFcrjC#n4z=AWG<2rSy+&hn)nYBzg+knuks!rQA z-E(v@Ev&D$b8mAx<^4zuJ_A7=5~1MN9{Q|JzK$0xp!bzd$DM=s zepAeLAHa+t`NkMQHQ0_)V^?$&?H?0O?rg!&Bb=4hM@^1>Piym!&OwOIf73hdrFvv0 z+Er<9JAeJssJsb^m$hkph5o68O zl25ILc^&D9&?KO};LYr!MzCGG_}43|sbkT*Xy$`sV&C$9p>g2C^KExnw}wSnp|M=6 z@uoBlnG!!$8}+XgH7xhx-0ShGV13*X<>{X=I(`D6N`+q@sVIzsV%hzk^%raBD~UZ6 z&J^#4U-ikx?lnU!uXS$G{zl5u`5gZo_R^J_Ywc9Je!PXJUB~WTqFg4=U}%DlrD;tE zN}cu5=S5;T8^Y3pU?g2QO@u^*v1iASjb=v9b;^)^LcCGYGM)EI|Mo|dsmj8)0{$1* z*=nbb!jI5S7x`lBc6fx*WddFCobTiEL9J9z)_jB@@tBWMi=^oL&-3<98J~vAx9=#* zw|#VHEBzG(YrNyD9X)eTP9^0XXTY<`F;cSu6Y+C#!m!^JSwfTB>Hx)z42JYJ0@b@p z;G$2w1)IKMp$7h(-HUB>RmdlvUJx^E#MmkSGe3oA*L4ZEZlS4a>hCGz)C$2*T^xcQ zOV4yYzBe7iFDm0GsCPbBlN8yCbP(ifl9XRYk^W(j29IMC z;(Psf9gDRy2WNLSCvD)37P8Ix(Rm!olxSs(hj5{%#guNIfh%aU($XRGdGdAe;d;=R zn6^>oseN_)eC1F*_n~ks{OfLA^NcWDJ4oYZJSu~%c!VIoW@l`jVnL@XW@0Y0>8VJ9 zB>}mSM>gx=>_%w7-*;?vtJ^Jq3JA&%!kJljL3y?KC&0^8b%zj6A6Ohkn9*(8xGLUU=$CQ(lRG@o3rYP~;aD!G0>Hx$X1XOkF$^d6N=O zK%^n2cOmX!XzEb2ME8A=mxB$1wR`Mr zVYEqtinNvD*Y>x}b($L_cL`wR;s94>V%S3>{`~|x#$bq0dZo6%QOSq6Y;31m-GvI8mZn<I&#f zkrc)@Yv)}v{Frv)vA=T3y`C|Jsw4Jsj)@kAG=q9kS@Lspy+OcZ|M4y zXM98y=MTgxgGrxLhH{Z|Inrd@|sx?itqy5tbpEZ zH$Q-%C>?RHj#f$nfS|GfD*L9>JNM)4j!%dk?itV>)ipR^_eqiSR~7L6a*OS??6wdO2fxjMiQ38Ry2@Jtu0UMVTBIiBw@ zqm_8NUPUw_dnKt`U0MtWZhZSr=H^ZWmrt$&iEdOr*5{SbAM4b_`OPs}cChexs{*CL zAAQ+%pqJ5?6Fg*1l+43{j@C14YsEGTCzk~&A8JnLjA&GvZCWl-K%DwH=uOdF10+0! z2Rykj|LZcL#Af028cCLXCw;3mY*-V(vnVoQ_$$DT+A$Vj3u-UT@z}4i<_8G` zX*e!gh9S;{FiX8IuU5WO!%q2fFJ$W8XJVW2_WV#}ScbMNv@>Bn=T3=Z3()%UJo#xShFf4H!bQ1@IBEkX?ip$J2Aj1fCHUm#-2tZ_lRcG-xK`N1+WIxv)rE-Ia%# zFU^M6=b`=rvNU(H&bMB=W7w8Qwj^3GXsS~Z;3`=Y@U9RUiH&0V5~Vr6mxr9jtFrZ2 z{uS`hk_OVMvg|bafOi73%!Ep(Yqlgpf95O>(-gdu92)HV*ZX9C;Q<<3@KD|#TuzwX zKmq%|UlFGn`Vd)kqsQ|ZUyXB^NFE%#$McJScw{Z^jpI#p{f2%cho=faje4ZN+^*Ky zE3ZIlZo3l{XK8U^n8cwJkmPmsv)^YZH2Qzdi>tPC#akx-7lC+dTOho^Kd%m%a(H1^ z5gYv|%u{)ng|%64@+k53H8#D{i%8Z%!1 zQDg3|hwAHNXqU8?%2G?!Sh87@5Wwl$u*FD~P`TwoB=D-F@%$A)xPmnxbpbOEWT|Qm z2Sn_0O%C{ zK!M<$$3yTxt=-p;UfUlnFM^p7NruFJ%JTrf57#M2+{t&u)7Xw{>|J-6e9P%gF8?T3 zXX-K^{SWD1(~U?iN#S)4Xobt7R1`$gCyf}=wQIQpYtB~gMb=3ZGJF~k>29UiPZEeh zyg_Xzg76j{3Tws_$2%W+rg|#ZZ~UZa^h9Qbw1Zzi;1Iol+zz@9GRD8E8sDLQc}Cez-|Q))WNE%VD^l+s7WY}TZH`cy~I|s^rKG8;& z!HB(AQxxrQImeT8*QDw`GiHak2)f+bwl#0R_IbI4x9T!koORUW{cj!c0!RQU-2o4b z|5>E1OXb%Xw2Xar&3pO%GZARoF>c8llQ6QUMXUnr`aV#73 zgOFGhm-b!cZ$^1O;ZBcPgmNOfMukwINZO0w5H3a2ews4`b~<-gXU# z%Eo8nT=wBIBw=#A(z+VBTuiiff>+Ov8vlCcu! zGo7P8AI}Gi1~2~$kb*6)5^f%~l~>3Q2SzAe_Go|-TLqu{Q)PyY$U;);9?`mR<6E)l ztNgrjq2sm{0`xIqVXD**V|!Li7%GWtQ^`dFb%NJ7eoxgMk+7=oBNPY6pV#KIjn>>_ zQQNL3J*btn5V(>s#U!hcF!}4Z-o!d6?^|_%so{(5rFsK^X|u!@^BQs6gr-_{Y%_Jd z!)l|(h;V1ol)aRma&J~OCwP9ViT>MM{}{C1wo3@`R6?J9G*f50>C0` z%y;)w_v0aolZPm|d1o{Hq6#2pT0=ltJr<^Px7aE1t?>!$a;D$Kd(M27`_zo{@!F_G z{X)3Y+Phh{#!y_yXtn%!<;%@86w-XH054`?siAXzjbQ1attl)2Ra3&6umPd5K$oC6!W08=63dOu*ZpCj}aA=O9|qhs@xM~+Zz?jORKG^Jzx zHWk2E$r{4metUWFPw1pqxDWvySrrw0(scfX$D4a(@$`tE@J(&kR>U2Cv4;u(n`#PH zsN^5%KqEBj$3EQA|Lr6O%KJr5p<&my_s^WRNw#Ca%uX%HtsTe^n1Hw)hR^xlw4nrT zTb~F|?=$|a8EGh++W2?ZUfyKVskpc}G~ z7VDxTAMd7gtWh{Natv=#ZW6~$=E)oLrAWjBaV5NVqmaqAClqBUszLvU!cC$y~ zZo_p}Gti!FQPvEihyv^3V~8z|@DZ)QKncx?_jWUb+y^QdiLkAljFl%0QCb?Wx-MCo z*TIfSb>_L+I5~E2Aq&V8*&km#UoMwj<7V-1^hP z_#R!?%q|bbgJ?J2pl1g0@lP!se?JGX)2agN>ny8++hU`t$c}Pjxi|Uj?$}HwA40#4 zasbY+ucQI5_`Y;*77+J8WMs!{Z=S>n20nUswcqJ>T^xu*;qbJa{3l>>H=uj?RX?Xq zf2V7of}^(+NT|}3>>~R|koRNIUtpk;91$kj&yntDTkp1-ELd8&O`iT(VzoBoNoB65 z4hk4m(lok0d{sBO$V+$Z`ch*#wy9*29$5WB;4`PaRhH!otU#xCu(6vlOl6LMORl(# zi+V9D2c4EC@zmxG`Ks01`n6=wl3{h80e*^nH-UwU!y{bP{2Y*;PFnSXZ|~ygW3K`K z;qde3W?-OC@z|A8Rm-6*6~N)NN!CkUziOO`!gjpY7HV}26*CVvJpqc?xV8G+J9H)4 z`u9Ku+%^#hO}^QN22XV3^^exay1S|3?>tvoRn{)Egu;3!zy<0|jXK9X$qjf#mj>}Le5jx z(yf)YzacoUjVoIM#$sX`Ek;w=CT0z$@s zG;)+kEIb1+GI~v$5wn%7o+*!vh?ujgrPAV^UG~D3go8b>Mgb?mYY-`%o%5cT`H?jJ zm}alsv1C0Ju5p;~i2APgaj1EN221sXbUoil3w_xM$0V<>i#@Mxvsw#(Vuw_l6F2LI za@rF6>;qc&5M&+w5R65wbO)1v2pkNF2lcdh9{*s-*LjVN0enA$%jfHihl!Z}w_`UP zLQc2){4Kj4ViVF!%Y0rz9XG(Y%O8c|!pB9#qRdv#L=4V%q+HK*)KBD1O9INbGyE`o zeunJR-zNC`uB;9y21>-L1{N2`qB=Ed*dOba{&^_UciZGOrJMSEScq@e8-N8myrb`Q z#tJGBi0l4#sl3kKPK+?E))n>0hN>UV*UWU(bX6=gccxuj;UN$Yx2#?8iWPFIiEIPJWMZw5+F z(w_x!E6z8sT*kcr|GEB8?$O3J#A;ai>z{tiNQRP?O&0xTaz?XAIz&0p%(0%=Mh!SC z7GN)E&lVy_Kp@ff_^Ft~xxDHffCs!-YbV?w#d|h^pYQOB>+=iO=`7%_`l75>@9&7$ zWQ^1niN4=7?<@9ETD7k)?K*SIdyj~mxHiS)xbFCRUh@{7DGtTTW*|52k?I!>HOch7 zMY}DC*L^;LWQncu1xkU{WHRpqLtT>=Y&CyJ3c1m4nzm{@&K|Piw8q14zQ^(0>N8bZ z7%Q1y=-83|P894F9NrXF6?DyJt z4lGl$Xc4OP^X|b>fHoy7RQ=&US;}`8sHR^V{qgSOY|IqOKbw2X2FMWK$@Qi5>QBDU zWO{kUwm@3zQiOVU6JnwtcavyU*$B>^m>5w=`4o;jxi$wHbz^PlR91CvJTD~si-kcO zZvG=N^5eB38Kt&yu>@gA3X4K}Qb>#Tms2G|=pF{|#5M;(q3T1oPFjCml^I#hZV9iyEAu`PyyI8taNK zE+6@&H`WH?dS6QqOPKemMOaO4;*I_o;eda|cg?)(+U4awmDJ}P(9r7dyqj8l+frv^ zeB?I|C#V`7p6t1_rheyFegf#yZy_-HMWmB%2kjxSerr&aI%C6T+3lQNEIUjsDa*M# zkpP!&QM-vV_;)9+p}sJxsF&X4c6GEgOe3bUFX@=majos!0#Z4ZRM_8SSw_D7u@j`I z_l~D=cOYXoDE%A0ebQ+|p3Z%3oyw^on<1c*6f{HsdqS%up{eOUG>+9#vwF@-a>hpa zFs{CLfc>G3qR9VJx=aeOvIta7x4X)D1{JZ3AeWlatTHqy{^zw7lZUcMjuj<$;AL!a z4~pa#i2U`&%PaWZ+lCX#py9F^bW4hkM`!Hm^C^-HiEbJbI^>MoOA^77Qf>`RbJ?Yy zNSG$JPCvIwF=1Tf$y`4_b56-i+3iNVH5IW=4X+av?jgkohv{1#N?IN78?ilTp(9>8CN*lzu1h2-rvS)4@ z_zNN$B#j2Rb>A#B+4Z_t43v@&Ovyu6)_(rQN`5Hj-_KsapyuR{mcPG^J6r>QqXpQ+ zE@6JZ3L|Y&+2uH4cvTzD$H0I!S{#@I98BoR_kn2P=2;L}^Mt-g>1CzF(XdtAP)}qz zd^R9BT%@qAZkJ-+m4vgRhJ9$>SHg2t-tF$3++5&a@^sYEJ^4$3M8*Fa$NYqfIER$I z*%2k=3*KMBw?uQSSgmM2xT+}2lC=M`B0aocv-_kQneW?5G-7Yf`>m*vNCclRVYINS zclekSVH(ay)8d+QK=`|?*h!4z`7dM_sfb^twj$HZV{`6&z2?4R=2>4Wdv4=;Ex>1| zS(KFnJcJX-6`J22v;JXXLgyZLQ}(PVgegVJv2;4FMI6j%_CNEIJ8FNIQH9OBi2ZdA zZ+znKUT|>0R|2Y%%KhfIXXcnut=kI=mdW2Wz2*D|h8B=E{ z|Cg0*H;o-%!omB&)XG)1>^nPS&!3hojw8IITTcXtC(+&w+04^FtMD4aiEVv+kaY4@ z8;f;k5}Q5Zdy3T8McA{PvBn8o{OLJHZj+W+{UXHu#9V<*zpcfDtfA<)*7`*ou4Z_w zvIK}KqGkQawRd@6uX%HexqZ(0!nAieB%+vy+oUv=XZ-Vg1|En41Nd1ZyKq}bYKAZp zvS1X+!{2FADeIPQdtOga<+HC?l_R1!;;@zkt1=63ioIg!h3z-cDEmL!%Bz??TK3)J zI{MlcPP3M>cY%+&^#K;Sw|QKTQj7OVU+q&-B>Rkj5%eocZttP9#cT4)7Er`ka^?8< z7^2a9DE1l!^zkd?OI1FyX#O()PaUoJpT7@+myv)V3dswJ)9V$>Mn?Ug50d_|$(1g_ z-*4Jo-U{(TE?k-+XHjIh@yT20e(S7f4jNhmtr169K?CR%k#D5YU(pd(_lAhIQa@682R(@J;B z=qX)w!mhi~wqD===zSx5eAf2{7TG7L5$HkFA_Zr$<7K)!Be$|9hz=Cv_JODYD7=MO z^Fw7BH-3bvZObMc;VkCQN{xnz|6JlNNa|K1Qo*oUCGEFjvr@|maEAY@8XZ!2Jd7~e z9Ty&Np~6|lWZZ?^=v*-2zlhCvm_JNho5yfv^~ekr7Jld*7gZ8##4fJL!%Bk!OXhqkhiefYUjDu)hQ^X3}XOa1rvtA`W`=}w7a+>}B z=s84%iF%&Gs!TdOZFk3WQ2>UiJd@@HSceY6Vd9~Gx8vP;stL-tcE#F86BSwEX5oJyxEa2F#>_j;* z;gJL)GAcr!@7?G*&Qsd$C!EdN5uJO5RG6W3cq(tYpZUGP8Ejn{rPQaoblx)(wGzst z#5~y^&yQulJC@qJMMXvGjouG(4X&IZqljK*4nf04!-*t(GN@gNU5PB!@;fCxi9-b@ zGu>Jl{Kel~Mht$awkLE&!Im_Bi=@23%2E?)_sm}A&I0y_B8ALEyo==3hkw7pFrA`r z72tB^fOiG_BZxspbSkc^h*XjXV1}d&o{5}%O}AF>yLWJ(ZxqcOr0?IT$ThnYlbtw# z{247Iy@-38eZFDdn@9Kr>kkIIMCwA-~SngR`Gd~-;Y+TPoD z%-YVqz0z+`#fQPHCxkn_r03t%?W^~e+NOa8A_uHb=EDpSms`=R)M@ACdf>}?P@t5F zuT$amn8`1b37#ofTg*ccs3sq5Do+u#6_O%vwp4bNU%wD_0JHb-L;S1re2l3cb~ay* z&s7PsiOe(UQg;++wmlkIzB;fGuaulR^*a(+0$VL<5~`Z=szCywQ@mgA0Uei**OF5Z zml{yvYD|5j-?g0Wd<<-yc(-a2KW6O{@d#ouy35!3&Qu!NympTp`E=Jb*OAZ!`rMJR zn;<NR$|>1GB2 zdK4ak3!V|X-@~}2o6ZC80&F4AyF@zE4IBTI7S{-K$tmMa2+*N}yOqLVqySQ>x2QJQ zTM6t{=6iM+*B%i0UASN&Of#7MOum=r(&p^Li~Dy+@74|0uNK9pHc0#O)XpT1bk0O> z#evFL%XN+$6C03|GpS9JGq;6>Y3z=O8EM~77zkk$T9C_Bv>OLIpP$-|`>`g5+6`8xT5Xt`gmL{(i1vt*0Qo8^uWQ zl?`T`#ScA>=VFeY^tme z4@9L6c7N6zYl5JWh!gFahj^e=v#zDcx^} zLk0NGy=;EaX|oq$kH~n;-8@&-`&5tS4&NXSN~fN`8c{Fh<9#9P{+hwpDE$@V^C9h3 z&d+};CHb85rxkjuaY<>pUzXynH2deX48@0xAOdT)nzSljp= zOii{I3OLL6RUhqA|BXBK>--i*JaAKVFV03QO5z-tXt2`UGZNyujSfjF*#+i?&f zI6Wb2g6BylY=(XyyVVE3hVK%r&*9f#z2DQygU8vjqwzv`41POn-df{$fUPdn17UAF z_Ey7x%3GhoCi;PV<5)eXlk?%gD^sx=n`Dky%^rd=47`&6tqeBRfYt07I3UKc8th`c z?H-H)hgzrN5o3pMi}#QEV%ZUS5`QMf1I)tbHH-(m-DS;qJZL+Jv*$l)GXN*?B!ab#Sm-@bD{4s{}t zA34?cV_+#|0v<9p)_#0Z!=Vw3_bnrDiG0DR7b1W7&K!ZqRzCDQ=^uvekhL4^*!cPI zMoIi=e7{6ln-BU(yU-0J6MjJ8v%h7Ud)d92k0Wo4u#`Ya?2=o8BeWzY}>uYsixlK=HZqt-4+x7N8_y3MAH>W!O+X)@Q z9$pTR(Cz&%CTwlF=@*jXImu1ADL3V&|LNp!AxQyOgjI}K7}jts#rYl~5=9>;o1yf? z2#K>k21uMlxlVu{*W1o$>w;)b*U2C1;X1}F6qMA@ZwNqljJd;&qA18*xHI7g!z50) zC@m2*P^JuIe#Xv43^`58CbKX?6H7k(L+ zARt%oUfugdFd}{DG$sUEgv(G5-$Q#C6Hd^B7&q?8bp&L7Ki$5A#BP z3=S*aTA^jHFVoocvAU+mHTrAIzecCx#HRqdFo%H5_wc_7hzR8_m?JPI11ZVFDRoC0 zAsps2!g#^&w;Gv%c=XjrD{u3>y0HF*YEZj@8rE*8x^?QRPMtbGKGij9P+xT#HB_B? z^;D;7HPxwbj_Q=Fq&nwas5&)jSRT7~y&viRp{`oBROh0qs#Eb?)hSa!bxIqRtr$`G z-`Y^KLIu^h;5;>G+Cn!x)lZMjenlPDNgZF2p4=ck^wKA~sdt*1+<1p-)vl?UHL9t0 zJ-d(fsb;O}s$u^(XnDDA>N-rV7QE+1#Jh*SpyoIJL$#`3tQu7>RPCD9$J(`3^Wv(i zY5&)6(o9#k?xlODZC0yQQu{4-znATPOFq|~ebdzJ`r8jIo6^U6Hm3EBYSpfzb1tc) zq8HXt!EY=w(dt!!o0wSLt)RR>~zFR0@E_PKA|DEhSf)~RpnfOe(y>t`j}VB_KAQlnxA#+ zE2~bW^Hk@;i=wqk*<{{vsRq~SjI-!~21kW|k_f?TH2*neD3}68K2fQFaWALKEu8<;d9G-*H4}~bW;D#WS zP8i#Q!i`Tb=*q}23NBI){L=#($j@8*E;O?51S4_~Kx*QR#uy9!Ttr(S%a0Qdie8M# zIA^&*nYjYTP!y6MUK|@C2|fW!z!flp|H)5VIJg1KKq2mJv+Mj$KJrs8gUh~)(vC8ch&}hF<=(oJ-F2JqtNE?0q~2!NDQRp z=MBd6mjD~al6%(aS;rNw)WbO7=)+v1a7Ova@5~WvgE69PyP560PJ&t(Z{F}lLfH#O zVhqKoP8pQ*w2yVpT!hmBNjSf;cfk0Xed?~Q0hHUcjXeZ&iIJYREqrC6GT+Gb_1bxA zXPy7R`8u-Jku^B1r-R@f7`LvRXxsoT4*^0m&V!*4h|dOm^@7H1<)ie=q` zv$3Pm30It;QcX%3|E#4(wHm2@ZQ~QEeBj3-@D-j0fq%xkofpB^E;8JO7axoleP2rQ zwd%$f!E*Q|xC*{H&Kmju6;-QzMb)TmpVco>%R3&_y-?q15Qe)Mq zRz)>yUXqPffxugEwpQI*YH_EP9lcoXmRs59-_#B5`dZm0j+HepF}$x++c6WItySX^ zH8mW*rSD8Vyy%~5yD`G`4r`@Hrf<}Bt$JA5OQW)e&6G{{v91v~Ti2*s%{nSm&2aYo z+A3Q45}i}-eATi(*0-^($3CN$l?4kNYa6JpwHut}vs#_{DqF2?RMznGoa%<%hNs{v z_{zA|wLOvJuFVlR3?75C#SEXT)U2yU^$lz57)Dg7qPm7jwM)l1%UFX?7u&c6EUNz# zuCeb+tdB6Ce6MzKgpJ^8*%Yj0UwE#K2YDDz@`ttR^;jMBkN?5rfX{qqy?@)?cqH-w zhu&}+Cyqp54gq++H#feIu?wDt(+7MKo&?{5f4kF1ZM$cDLMO5byfrnj4F^cxY=Yc@ zT!YMkyozHgd@&>(L^1r~%$R+mXpFI7z=IPX^b%}l zc5H>`Gd_O0D-NCTZo^~7m_G133F9dH4rEMsPNEIA=fSWFZnIb7o*|(t;2yNWPt^qn zY#f+F4kF;qjtAtoKjxhJ!R+k(*XD6Y8)QqIi;{`;!P`iDpMVUd#RrrijyfP{mBnW zxq+0qDL3V&Ln*nj?GQN9$(y3X68r?Pgc#Z*DBv#`63+*4ukBmZLf_3 zA9^Po8j-tjf@IIm-kabH^h@ZAu;ZX3Lw52!=s~f=n5=>0BRZ^*2;M-~>duw6k0%fE z1vjS{^aZ&Pyp zF#0caw)8D_W~2=F>iS$)C*%h|1Qi`8GM;^+pTx$6Jrf62~6FF}z`v18b1Ukfpg7Z*wFt z5!JvOWf+HP$^erL{j%Lz6mc{zVn15vJZ|MWNcw|}hs>r`S2X;T4uF>s=#DPS} zfQ)M()*jBei~;gFb+DeWkrE`!I3fRySUSSj2V+b=<_luoVZSchv8?`h{~x2VFKZOP zkuuNed6cJ4p8Y`^u|q7uOW0UhTfOJ^_OTwcc#y6bafSZ!&|jkY=0I2Uq!4ca;aNaO zN?kUuf4=q4YSg-sy7uX+(L+XS)R0leg9hdJ%zuO6L4yZt;1HvsLn1PK9@xF720qqC z1MhxF10QasfnB;84<8WajR3LtQ&8`=@%$$W;HKBNA9+ zeL4V}rex=DyH0v?qttHIUUi=RihA`NtpWEwszJB@Lxb+PHyWEe@ALl$-u0lKeR`NW z&)n>Rl%1Enr)MWU?_);Y1Fddv8)Fxb_mE*jG;H_~^|SvU>oHK5KiOZ69`C1{I}A|g zzJoM)=wJ;q8k7T0fE{26SaN@t{%U5}0>=EK>p=DBKS)FS_0`ahoi*rz$29Pc`!(q1 zJ2mLGyVdXU&gwpVo;oc4RBhKscG`BUrA|vfQqSp2)qgl^r>_PL?jN;AGGyI7t_qkQzEkIfHlghHNwMd8`8 zehux_S7X}s(9j23>A^cLSH+u)=&0)=taMwM;R1NV!I|(Ao_9ag%y=j`#dVz3dH&&n z@M-v<>+9eZ=sLku<16^Lgf}Pib|`F^@E?LmoL^X-=&e$n>`CxvKdjDpFo%KX@km+{Tl7Xd`?dUd$U3J#Y~`!p0cm5qZGp zfJgYuFjnA^k89ZHG>`BF+bMIy!4Ovryu#ZGPNC1{e~9l~BT&Mz+S&__f?fE-fW_!| znNRjA>@{dR2T))G8?t1G<07^+$LeXVd@tpALA$|IYY*eX9Dvp6y~z*$u#d?en_yG5 zF=5{YR)JHDcgZ#-qwxa!=_7Tsejvk)+eY7(9n?vH1h`JHtJ|;{Z`K<&Vai(DXg>$i zqYEWKF(iU@Xcst6ztQWm#?VzWFJL751;zv4Aogzb2|p|Rz8G%~e`W3&f7T&(aQ1u` zK7FD7{@CAj#EnNp`s&0RDf66fSc+~M4CEQJ@nDaE&YE@0-V~iJK3ES-eL%(97mM~3 zrHlw3p&s6(#R0<9K>&%>ck~TM>)eOV)nnZs)9}H=eSbV;knx$Ep8uR^u<1x01D|N{H zLOo^~ZVws0AGg6E?iY{uU>j?&l+O;m2kFN4{ngO0^vWmusa5y>8ZaQj%ON>nDcAUI zfPHWM%s^e$exMpY)>qd*@U+_B+ggL}eo(^<=LdsvhA)Gjuxs7AYQT_TdS==}bz1zs z?Gg6rN$Xo%>u3ASuhebsI`thhS%Z38d)pd*f-_)Ko2NAJ+1}B*7%@D;$o@8ltO>`X zcztk<-yqgej5Dci|F?+xXb0bWJfnfFt!>;N{0wWA@8BnUomTBM@EMzP+C$sHUgn|m z(;E1o?G4DoUV*wo-QTCp@$)dKZ-0&I)JvltX|GOq-KM&?oTEQp|GVhtyOYb5mIv7_$eO$rkpo^F*B**wNAMh+bnG>Liq8yun)Y)b5V9P&2EM>E@p;8R zhhRGn5=ZW&pYU1ycLG-9lf(Vswa9$nG}wfEi_DEYlr3k2ckCtLn-F~7FajSCcqZ}{ zZK6&eCwPAXkMK`{cY|N_o&5^_O6(z!n~;aWQEYk0j$i=zO#6K7tqtsX;PLd4!&9*r zW4C1fz-s1f&iXm-cZ6P{=e(Y7a|5#(Yx>7{1lf^;$H8_E1EBpbS91V9doE;6!#{#N z!EUe_xevb=4|I%eyuKd5QTl2)!nlXPNDh7iw>(f3SvttO93&5RSpC?nlR==!?erZP z)aN^3FYU2=(q}NAF{8i1X3ZyS5q$#q1(ss7#m9&>$(T`w@7S05oxKY9%lgEJiM<@Z z(O<@yb-><`L(mI7SxCp+8NX>X@kYu##Z5MCZg|S!Jj}bbk-Z)3fOWyTV=ma=bbh|G zF7AD?esi0RaTUuOe0Y9iRNja^N9@1D8aw;$vvpI)o7CTM0xShnbA0x5=?Bvf#_B_u zg8ba4|3E#|wZB@l?x)7KU%0(ve?8rMK=z&hU2ab0|68d~pFVDT8Djg>1q&9qf5888 zGTxM%ekm!QliZY>a#Lp(Oq7&A)X)2xTgcmv4UZrYp!0BDs!W6Rx9JMPNXY zh~W^&MNU8q*Lm|3f&kaw{`74ZG!a&K<$aeqUHnuQlynG!ZnQ&jh!Ds|J>KjikRq@l z%yC}=3V8b^3N_k;fsA@kI)_tvxQ@b)lk7r%98Xacq9Eov`MHiz$aUI4Fe$+=)WdaN z@t?dNPDtfC3QbPGq5a`Hf--_)xQ=i{J5hdf9i`?6Uwq(-N=}~TIs$6AjxvD!oVH4! zX1I=!$#~Kx1U^oCgAj=6FGg&Pew^-;dL82-*Z7U^T;n(LaGka?N3NvCK#V|W^MG=I zQ)WN?>Qg_Bm-f&u+DH3o7wx0{^n?C{>(q&19z{E4=+_7TjIJ{dj1%KUzZfUaZ{x|h zqCDUvr_?vI;CPJkHajSUwShwb$^#Sze24hXwIqc=N(e)pdJU{Ryhn{5IV=ZiTwo z)bxH&>i(Ma@Vxg`>%ML}>8v6Ln&n|oXA9caC59)zguc}S@mulK>xK1uq`oR1N zI_9|JRldnhx^2vIb=n|3YxnB;y7c%r~DQV}$OatMVH3NIA17>Q75p_p?8BnB=NkbF`PMjPW3)}ni=Ho5wqa0g+`F;A{r6xALnz8#3|}Y-QGNwO70Nt}j?TMi1NR31z(V84 zI4lvUN8lm}XA}>7PtBj;eSSKbmE&G~_v?u|QAA_lBnX6{2kOKq%XQ~{U~d>t%TFEQ zx3nkq)WOuU7#dK{dLP*nu*Mi$=8rxHO0G8>Gx8sK z^N~9Iw)liul+ei&W^tIJ-0enSEqUxY=6Uw#Iy~b!J^w!zW%IQ7|7?Dg$n#TB_I+}u zB%Ym7>Res^^hC8?{`z~+Rlc#w~kntGb}J0-3s z+I;{e%O>ey^%!MiZH%)=@EwP?1Ioli-t7AwSkHf)4mJ)s_2JtnwVv-&Hqc>K_OK(2 zj#QrC9clYuTVG&8*gvzEj`^O;X03|3;R@$i_UJgzAgdq~AQy2hnK%f5Jjei!1sHq` z2QX>|84sC&y*~1Q^Dc~2#)mNUA&>Fwhgx6z$d{&d%$A`FdX zp0gi0+l^YO^>2EAlgo0)lRb)!8)cC9klB-oQ+}z_9f9EUw87?@ zlh~0bQ}Yw3Pd&)j$^6UQ}gOX zqmxh1t26Cd_&ukxKNp>K##zdLT7KotpI0YUK1si6@|#HS0>yem_P^}0&wS|2DEJ`O z^WezJTQN9P1m}2UHv%_;y~`aFV`tT18$|yV60#qM;`re!wx7rOk)VRq15r2(1xHlZ z2bw&HV<|R295Y?#X4i2_MdyV~fdi)NVUbHsKETF=P7Jxh^Iv(B@QMJ~YEJ{%Z> zt_pdDfJ)>;`iY(wT^V{W+Ja-Kzdgjp2WLax{P6$modvvARrbdL0}}%a1+l=w0BLh< zMFBxcusF3*QEI`f~=;cY&fd*3;0 z@3ZT!-(Iy3?Q!`J-6*mQ&$hBSl!oy_|AlQ6M_C*~v9r0ZIOZ7apY}I4IOJvm8gb~v z!Ia<>cjQE_M&^Yc8P4iOMx_7f1EI$;7`c!6aaoY|P|n(cof8=nCr+Gh36jazJfAz^ zqO0X?Bm^V+oWzX>K`_)$CSU{Gt?T@#o3_v<>ofLYxnjpzLt*K z!&vY<=HQNmJG@QE=RStWcmz`xiN*z6WV}9-w_V~$i)|WbXP${OEiyU%@q>EonaG&T zGYQ970+kpiWc*B3Gr2s+0d!nP_l`~gyCds^de9j#W;jPP9_Z@P{o|NBcimiH7s%k~ znwyMnqMKXB4iDt*S7%xdft1B;Jz$Su-WdlQV|1ykS>D=4Pzkzc0%bDS&8$;iKP4Ko z@b(aFk~doB8nz8|r4?*#J%5TWxc35GdBc^u_R4EL=P(|V+HXEN2OgU*k@#B5N z^~!1a8vCB@nw+{Qdk)8mELY~xmDfh|krP_RocWBy#eg4R377)5Q1&t_3+Cj!=4$24 zo?SVzT&AlpxJZ|ud8V?TeYW!4T2Rl{@2Ex-H><<9*1t_s+i#?L!Nu%GQCkopoS!<+$RCs2yb1MAplr>lbH0p3i16TsTh6nTMHWcHF*m=MY_$>H|O$jW6ANV1i{NBOR zqLKXxhx%`~+vLR(HbiWUj+5|Zt^NB8Kw)!IY=V=~XRziAixH0-1B zLV_o}oyN1lyIhTOIj{9MU>W^&Z(Zm9J-mqt+Zn-l=*H+5`Zll(toFkd46o=TSdG3A z+ZXmNw|UtZu}`4C@L0cwo{tQ_q+jG1u7aPm11xr(V=8z=8^Pz4cytU_$LeQpsE7OT zckm|kfgnF@W@Nr|jeCq4{i9EOlCkv>)X&(#*RkpOcv!nB2Ude~1f2w|b~_w+7qH#h zMIXT}a2i_L&zr;0zxsiBv>RHo;XHUxU!eg%{O#4OJFuVi1LiX4B_djM&?$AY;6J)f zUmxg)S#!4M0wY;l1kZqr@reKq4t-^h2%fXw0xR*~;ot?}G8&+^|sZ&3Mn>BzbD3QYs*EJu~%d^EDeE_%sYLv@nCPl{)~Pw z_5|>7Eld3@I=zOCF?*20mN~f9>T&xc0WM%NSZbJ>;gt+Jr^q?VmOESW{y4|gssHAa zb80*(p66X4EYQ>4S5Z@4fzc@4$K5Q|OB{c1&R0k!>$K7vqgSiliU@1kuae#zvsR^QBI*fSy;}_@Zqk!=+vvty^ZGNdh~c)6Ul{Kgmh%0IoY(3S!@R*~}c zRcpWkwJ}!9UZLY^>FsIXt8~kcmH)mXz6ZHH^Le`ZqKl*X2QOI?gB^*@yW0j)U=C*Jiz1*IsgkuDm*nGT)s^r@UZ14=lyTXuLaM06u^45&U}m_ia1@eg$74m<<0O z_VFAv!(Q9@KK?=c?v3ZdR|$lVPuL0ZU3B}K@s=Cjx*^p!Qi5Ob{=l<2kO%xi&Z8Y* z3cfDzL1YAMamk0XTRVcR3D2bMv>RCre-3;c@ke!fqXk1~2l5hpmTR;RJ0XXc!!NNV zy4}+5!NZX?!AN9y#{uvTxg_vS!z8{_H?j?FcRV5xF$Eq$AMgl_p>Dq8ONA`GV8a5( zJ$y)@MRr6#ko)bU;{k5rr$XQ#@(wxyFq3vLRy-SDEbtebFESxI0dRppMf%E^F;=vh zIYvGPAHh`GIa|5=6zn9m$+@I%k;Ao(Y*-hCeaOeVwgHnT!Z88n?e8vtj zK;M9VAU1V!Eg2#j0uMYfwSmXg1;``drj@- z@*?{;ego_)84K0{hxW2Acm_7-VT*^UY~QlFq`t}GU^|ENAWy~zQlj@kXJGwA|AD=g z=dc%KkB2|p6>nUjvumBL3>7m(zoD#_!BQ|4Y~?ptHJ0tSdis;6D@!5c*CtDVrC@5R zzxlNM$MU~`)hBX3p8Sli_A1*0g!`$L{i|m7O!xs{d&!k6m+IE7`-glA{z?g3TUz>) zq}ZIKrL>fm($fE2B48qAA`pVWM*fa6$}@rr|n{i)`w- zjzEYolziQUEw1BeI(6k#4??LoD5A$Oi@?cSyfBiX5OyP~33Vtp+00Yk6}crN10_m9 zlyuaOV%CK~6IgI`M7c}Afd^k8Oxi}D6MzUxKsZBSMqoo2qW!$_gFfIC%5|Ox4fF`( zIN#pg=5D`E;2#QXt|R27q~~o;;X0=gA((`EP*$hB4t>h&1W|Au=Tc7aK?#WiYB;5i zHlw^{oH)e>Wv|l@HF^`+0zLImhJGbqPmJo}9yDCz9%ZQ`e(q67x*-|?(#Dn(f;gFo z01%$b_|ZPvPg&|jY08^YsF%4$LBKsu1acwPgiz)Sg#_M&rL4uc{wZRkkwOqEqw`Sgr2UDxIcl-?~=!x4+*{cSH#hl-fb* z@~c3~n=NCsOm8`-Wt_RWhhT`&^5s)>X|YRn%U!pqaK6I2J@4%*n7^P36e#fT%LT1I za=!d3aPuuHkn{Q|-+XIy{f^sJ;P%4N_teV&J942y(KC2fYPoPB-In`i<+=Gr-Ffc= zdb(a4)gAVY+J7T`_Pvz2OX~CWUN!3Sg&u#XnDS)Hu3Ik2qI@Q_6u9PE-Ig_*@?^PG zcjhjj(skOZ(YOsJ{OwcaUZeHUQ!goR?pu`mrt6h2?`_JTKfiL{oJ+Udc)jkv_kLAw z+*a?+Sfj-4QvaP&_jS_S(|78%_I>q0$>*c8xuUWu`&F=D0Tr^GFMk2um?yukxGBFb zx12LiLFFz~SOu+bh3>FV>t6wTZh>2JtH5p=+>53a}i^^Ib za_5WHZRHBa#;uTj7AjQGo|Rv@ZoSRuZ`H*)Zq|8M-K0!c-lX%dzFFCG-KJY?{S>_O zb``$+P8GcC4jWr5f19=ahFt#K0$1f!0eiNufm}D+y}UN})}Q~+`A?a{nq*9F4P$a$ zl&`gR(bn8~V(Z4%2Xr^t+P&Vc^GTbjhx;}r$zyx%4Jwes>Y!g-3+oG-lx>L5p*{A! z&@K6N`*nGg|Hd11&0SfQ=_&h-^Sj1C!fzd3gE0xkmtg?Bm6NU)}3bTw~qo3 z<0TG37>x*;hp*v8JeYvGMH?1*-HeO(oAKk5@dQhsixj4`2?rL&0fQL_ z3t-H`@rC+*+`tXPa4-an$BByiIYo_ea>XwEmwKrmg`Tw&dQNi1QH8W>`>LY`W9q~> zh=Ma_B;aqH8G74|>!iWhLQkDQ)#+TFF zIn@yS$AHORh~VCk2tFdv7Mv!tN5WW-LDm)JmU$j~IO=A6Ln3g5IbFPIvA+H8TP@wZ zRJ|tl(t~e5sFR;OIZ~w3S6f#X*1S;pTjck?QWr{h)`lzYnM>xDb--`3oXzXQ>6Jc< zjTuVVb1a89Qi8J_SYW^3OwVT0H4j~*`~~wn&KAmNydxza_*Zf~56u(fxA3Ctjkm$C z0{(#oDa-!5GS8=ecxK>zDRRC7`3(PVP`=x8tH=xG^!i7W{H>C2j#;B;8?@E!cip4h z*X7i0*BG|a{;Lf$zzzGJ@5-x{J4+Vj&3>gGee7Ai-eZ(n%srrnkQj()f3OZqIW(Sp?<3lX{h0BZ^PTR%cR-s} z!C5}R#+?;F_Cs&Xu`zj^XXa*WPM4olkiUTMHY; z7BZ|YaHnA{?FFB}R&b0x7C1zk!rJ&x=kV;jN5>@0r{gZ|&6h7)pRAochPyY|9FW20 z(0;Hs)N`ZVqda&EPE(Hc#a@HoL2B*r%os3EwkIl(OScy|SA`3u(=GR=)1}6vPGKL7 z0S5m1vSs*pj1OWA;;j(`kMm{?44F8{fMqzCfU!7PgoHy7Jje};@NRo96xClc@Bdf#y{jz{zgWEk788B;FL1qAW0Biz!&%wPCYmQ#V2?w7=x3M)6y2} zC%DC%F;fQNA-{kz7`@`@k#W8LZAa_p^lt(+o!1#pr0rk;PEW|(q`imsx&fFr;Hc!| zN}cuzHbWnOm<$I$ATzr|5xDW7Wy32BaRgoxNJ&EW;*@ygAa{U<8xrdRr!e39#L*-Fv1dw2k8I0+ z>KJ;%mq5?F$FHZ57+0KL(OqEJ%vpKD-f?wTe89yv=py z4QE=$fkPP3m87hPl$vhkpGj$flxZm~rKJ-oMb@?xBgMLWLM2W>e*XFAb;cQIL~@_y zQ%^lLEuR4SvYf~q6d63 z(jlTJ#VH@BL*yNFpH73G(c~-~K9PBX&MYK?DeDfXtIKL%<_@Fi#n9JHfCf=CG{OdcmPvN4~?P?R%z?n95`Cw5I7U->&j zIV(rIX>(}1^_#KBfi@h13O#x|57rF*4D{9)+Kv228NNGR(1k-oUym+%O7#HYcV}qkJZFYL=bEc}9qTm|FB-GER{mq(V zET}Ua!iL<&0j%-*NfLThy-kJHM-W7g@NzVg;W$@E<}NIkYl zZN8E!emqrqi@m7RGi7qx^fsrA3kS7%J*Q>Vtq(t?N}o*gKuSJueXx{|XQVDkHu-HDWkRV6vG+X zK)&Rf8&sr5E4?{klRB@JdTx_CtdwdFTB5t3ucmX)Grk$4^|ta1t0#FKSU;230r$y# z4>a88TC88mnKc$@DIeA`|v1HX0bD8^fhcP;LG1?)rL z2`_egPz;YKi>(KpBSGOI5hRHY4}B;+7X2#a;}0(&Kn))Y@Y$aYPYb*{#_I`GfF}{8 zPDZcj`Zn+&@aRBq-+3OkR1V9-=EhrmJkD zmB34Ape0k*?bBdd7!#`(T+3K7qhl>JVXX!IJNnxA^?}YDJu3Sf-@nji>nG3PpnEVi zKCz#}W=UII=TCr!)laZ3I(qDf@d>QPR!V=912t{TSYPP0gZ?|D1)CP=l`me=X_c*S z9JG3YWq1<@uij;u@!?I9)-UFpx_6@{ew^hLWjx7#*Wl?Xzrh>gc!2-yyc>QL<9UI`c}*<;QGW9u%*bJk5po&w z8FCu(+6!&_>8jkfC*KD%OuLRA=}Kb+B!lAFyuQdk#&1uu>CU znEmQxS}^Jq!{YFFwp_RA>E<7+>FnKVFlMdpFZZU-x!~gD`^jx(jBTs~mO7pqj|W2? zOKl%?N^CE5JelhlEVX>H^}(@}ziqBE8b*Z7{Dn50b|6LyfYtc3B4@yplWE~kF>F9CB504mPhJ2*u$^OXpy87;e2-yu z`*H0Zr?G9~W9j{i$0i$(AUg(G(0L)elg}7mbh$8w8;s{~;t_QtJ6in&M&egO!k>q? z2|Ha3KaF5kO+bf4$gc)6_0-h#SpyjUNsGXfk# z`uXoayRQx7;rk)>2-apWIUGD6Z!hE}BdkM$L4DltA2NEz9-kh9A>$M46+di$^C{~c zEHzA}ue{MaMhD}4 zX59IWftds(XSa-eiw_Thl-%P`0`SymGCY<+C+D!=>1q6)?YC{1n(A*qE&t*Chp{@9 zx=xfFzZdu|{cLLcg}-tRdzW+0IY+O(_L`ECl42aPrv)L2j0+5gbacP zf6sLS6vK5++Tl7U&4lYX8WVh&d_A#|E7G}6pd)RJr>7o-XB>YqBr~>LqYMgM=*fKN z8ack6`0J_BBLt#&Ct#8>XUtsKESb)g2doPetO$UNA$3we?d2_Ej0629$cS-9z(er% z69Ey%Z9WiqsRxB@sE0N%4%9-i=r2K>C?uYG|0%up*=u^H^E1l(YF=f!EtAsa zuzK@Zwl#BM?F&`r^D-K=V33awYs#ICgFuY}Ap}xl@Ic_lP>Ep3hLcl!P!OZ6#rSDL z^LdreQ;CEU>hVPnjT<>m6Gu(dh+!j?n3(wQ%Oi$IdC*`bzWbpPTeeqXv$jg?-b;xC z1}bsX$S4mRmU{VrN2c!Kv38`E?cVUj!5T4ipau;erFX|KRKkq!)$S`P@dpWW_m0ET z@`cMavDc>>@>X*VdgE;kZIYnGcUo(Bqvjg%R#Od5=%Noko20g1ey48-Por#32V40MKT%@a z&Psf{l}0vhq0w)*)WD|g)TTvGRcQT%Ug|VN4L(TJNBt9R9a!BXhiQ0hj7E(dp)pq9 zz`;Y+_Jh7E-}++}Z`4ZF6( z{JDv5wNPTCmP%}HYoMF0fj<3g{B3?lSR4O8*Fb#swP4R1V)K#Qw$@R*nphiKcCfYj zfvwp=5$$JRD6xH4CAMg%#LhjG_(k8Stzqp_4`bW9lMi_YR~=RQp}>kMHvQB17yO@qM|cs6Id=dto`6D);0iDR z1LEkfMthJy*yjo>@B;;+VG9a7PT@omNU#BX52yP6^ZGkR1)PE>6Sxn?aRM8GdMOip z6K4^B;I!Yzo#!$aRzFTtj6L-5aW_n&&;`#!-OwBFrG5eyg7Wp4z)0pI<_zPX{B75% z7sWY=@#gPe(W6X65orBltV3`N4q?ovD_%prDd-vNpx7jsA7%Nk=uw11Z+)V#No$TO zEKqubM~pdrBanqX2XAj<-LNllVtS83IU2C_ypm z(x0MTi@L)YdnzAq#@faMMKVTJ=#E$Rsa{X%oaDWy3W|BmJ1H4-P|Ab(bT0HGGe4geEyk+cd_=fPiSly3r~Kh zjfTCQ;5a+1NlW!Htm!)ZD<#Z5pmy{3=%XoCcDR)_tQ>Cj)1IM2hG@`${u(lPuqIEN zp_QAz*A80`%l|G7+9tJGazw3W?9hAT=4;5XW6Q?p;b5WRESUIFzoBZ-YlvRzFjy73 z4py5_4S$Cj&W;|Tk)vb0zOV6qu&sGJ4R731qYZ0Eywge_HS44|56OHTXl@u&%O~HW$k)B{g3t$I%vS(QFb3X)?jLF@yyzvXnCAQ4DYIm zV~S|p=#1*#H=Rnfvi&eY&-}In-ws%7zj?3>oI+NEPdScYEHkVHgW>%IreQcF;Ya~q zy5msbw^kp99fI?aEs;0CvrlK)p3>wBcp-cbqa!i|@o*(a+Nl+T9QwFDVA*hpQ&<^acsYsj z#gVk#*mk~WaDHs#i@}mQae|t$YKGI2F!bS&f(%GM95bv9U>$AZP@8yq#v6L-cY4-E zjGmxZ=8mAlkO(s55CP`X-yUM?0($0!{SZO8DVO0S~`)E8{1Bh6zlQ{l~$}+q4(Za zC(418{x+uItcq>KWC&zC_VDOF3Fg3FgFVjQrh&c4XgJ^vxrse^yn{V54*O_yXx#5O zzM}`jVK3r$E zf59T0$V%Ajuuq|#$4lx#ZbQzZ ze&k4W$o4mSX96^v4sYsuKx9J3z~5lO_){-3sy!pfog5~Fd<;D{I`qnZcn@+GV*$O% z-srKh)gdDy@6taHoZLGXvW(d^rfM0zMBk){*d`)o@onm zFS4D>aP%`AA_u+AKl6hQmN|r;u}7vtzwL4`ZK8hqY~^V$V}nkUG7SbbP{W}OT^>h% zL(h(G64{LddN`aHo8ZeIz3lVOx?!$p7i)`la>yXhrX3!X%e+|I(BUK3QBVBgOXz{I z{URg!nr96DX8G=@ogAja+bC0}(aT5c-Y)k>_1|PU1X5=t-YVuMD{|DE&$4BVFk=mKiI?8D~~o&*zpsR|U%z)Qja`)a&J6 zSJm=WjR#do^*8Uig55I=_Rp6MgG)T6@{bl%`JyFM{`t}>U#^_WSFWV;RVv#j*}hl& zjr#s1xq|hFT&~P(dgZyN^wQHMRqpj#s`v3YHBZ{3W;1?Jz4sDTsd_EPnzFCHWaY}m zVbiO6y|Z@WtBxIzBr#^zHt8cuzx3a`JeGOxX)vc@wRn{pM(scad;EE}U5 zZQfOrF)KZgvdO6Bs*%v$$Fl4zR(Cn0r=6wknNO5b`A3SX;zN(A^23j*tc`ui$Dh$% zC0D98)eQQ$%=B`pjl`Z><%9eXoRo-l(hM!MVn}r9}$@>bLkn zl}bFXG7mhcvL#EZeA%*AHnt8zroOOlN<9;;nJ1rC`Bz_y)(T~wdNx{54?Y%U>#MJ^ z7h~8S*N@+wS%;J_YipFXTFlz{u+`5q9(y8c51(Yl`9&LV=*iG?y-0DDfA;yPzN%HC zcK?y~#LY>iSIVl|Q!lGh(NZe*#9hkqd^Vl*n(;R96s)k{D|`oA5Ihb#>)3D?|4XA_#jxyC$>KL zCwvjCa;JT;1Dzo}+jthd4s4xgIGb<4_G=Zjs;Wki+SDwy#Vo4!sJ-{zn@G`8o7%N& z*WPM{s@P)hSzBxoBgX6hJRjcUJ&yN#j(o~}<-X4GI}Mq|UqT3oUxv}G0-8f6bZW3x zT&#bGkHnjOtod;-f(j8BgIWGsr@oU_!-Z}1h31Ua;!|Hi$MXTOOKb=OiteZ$L$Zh% z_WfYpZUzp2xECb^-LR#^pw}LtFkcJrc4MNqMoKWyHKRRBXSN%_B z$ljB^Sfza!ch_P?j)fNK5R1zLX_gr9QRFq`RKdYyo8$OKcn9|(ob!2F>LB}F%@b#>LLHQ z3=Sga4A&&LHk<=7kd;X0-KBgS-Ma+i?cN2&Ji*sCtHzPqyz1uweMrE9uv?1X z@9i)=8U`etHnTAgqVf2*lm7B=E^Z_FJSfw@8R?Wb0+S}2(%XHch;Ed1zb?4$7un`a z4Z$VK>R9024_(GQ2tV7atHJ1B+68g@D9Tb_7FQiaHIV|5t!Pz>0erDUC@}t0$_){t zQJqm|U92Ku$skpe4!AsH!FYx_vI-d;?*dFS<~ppNia!zN|q@YxyzrIn>I24|yD zx7*rphR5je&mvl-zD5H4K5T0BDV>)jfEcv2^@!H0jP)3-J-t}=XQs{043QDxNv9hMBgv8}Ug zt7KlB)CM1Y`owjgmBWLoIglKIpMWNyb5}~Mh&27Do?-*`ohCd@;RlSJ{ec3o4eBz@RQ~Ymyg%uhb8Wn z9h~)qF!-A*2fRdL@bievWC4%aBemh`r9{dBL6?aY2Jf4B)3;`98OPy}tG&;G{eAtb zG{c9KPjq0gC#x(A;m6EAJSSbbfO1BE$e-14`xsmg2_*X|-hrF=zdW6*<`fLcFdjm_ zB=mNdBUOZ7I~MSbjhE5A`$N2HJkfO6@*WUL&nQN{9inB1FCEE*Nw%yO)gf0ZCe8}m z2s`*@L}YRrNfJFY5JPLJA`-~bLc-#aPUcA{SlfqbnaG;K!5jDcCsze4taxH4WoZXy zEdY?*E=8h?vhU9e;mZ*62OFn}135}xlt`z9(?~7Ej7Eb)B)BEHr$0EJ7#`6QJJ)*J8sVa`A-cwR$SpbkWXND2ev6>hra05}&-Hg83D z5Jz#o9Ymz+Fjd-P&s zjq#N6KrB!4r)0nNvcIH`adKI+*l+;^V$({lzwCa_m}jjDk@geW7X9<&;>YVQj?=e! z?xA}#z~)wu?_ClLkxwFdWyY{Rihf~R+5hUMn85D390gU)^gUYKd))Al!o#j}%N8U{ zzyi&_WjR`En^gPxSaJZ}N$(QRlA4jm{Eo{{Av+QVUSjaN=& z%~ep^&gM1)mrn+YkEC4I2TVyDQ^ruS!V*udj5=}@ml<$)K0euQsT(;ZDA_GsVr9Rh zV$nVmR8(DLw~$%N)SvdSP&%Az>)D~i!DD^e`uYPvkAyzqdraO4dtKIq=l3l~E=FOG zpG*xp#OnZ@pj&|Xhi-e6>F7O4_v~4>tZ1E0Qrxg!DWmD=WTNZLZhsC~;rKx;7cEwQ zm$noIj)=FrNj07F-ZO91N7jeCrZz?Nq&7iVN6;S?ScYd3Px-yAG{3*|k9%j|w57iw zWZh|_Y*9nh2eO9z@uA^ zt}u46zN2kl|0Z}SW*{Wu;FwIjQ2)wFvVx>jG>@hJvTPLD;lOKI&5^87AyPOJ7zc_x z?T16>JbmXp)AqiBF8kB>MEQKeD?=U`082AnYJvZ9tVF8fA6!Mm5fqM#Gsj+5_p>ui zg)L3SMiV(L8=cRhp7fcG7a3Ly5nGC&7Uw_H3 zS!S4+^S70eQ#i+u8ppk00Pl;w7nm;!QswZmUUa+DRp_s4>#kX-N2y_(=lN|E>bNon z4J;r&HdE@DKbNiT8*m-dir{!1FH7?3=Uh+vU%k_9R>CaRc!mb6_p;f-VNyMr5RJ`i z%HHXL`sL3`5KCBT^2PvoH?Jhusxwf;PP0Hr`F`XkL#)SV8?VO&&xk(ZF>Y02%biDn zd{j>~xFfXA*!otXa%y7`JTI%^bR|g^3h%l|J_0Cy@#c7Q5Z_?lpIg`U5=WGOHDwJL~3ytZN*zWFpR{W|j*;!0D@$ z4KUZKyfWr{uu5o|v^nsh35yV(W9s-^JJVa|K#` zCviNJ*fubizLQuOS)gEb=!)3wApDZxO)q^@kE0}sl zSGfbPs((x+Cs44gy3*73JGfr+RHK`?>dZ^@7s$fT{uKv{VyewZl zgF4RmlKQaD=H7BnkUB4;qvb0>g-z#|Vz8NvCf!&f&sF||?6yOtq<7)d1txv+YhSdmR>?XRALW_V$D8TZN}m!|S5F!capP332e3BOD_~*;Svj1nYWuZI;3>o zp$sccIz%7i3;u^crWaalQf8{Jbv$)lCQ6hbntwuj_Ur7Ru%LQb4&CbM;<>xWNL>ma zc)7tPR?r~lVZ;Ia4xBcx>L|Uw6pVM1%a{=Up;>! za%%GD?sGv%vgO>dgs-v|H1r?)v1ne0gA!gVpzaRfiSBNC?Q+4TgS;)5lePsdh-#Q__p?%KJ2Nj4p3`%ygQ>k)R_o(Ib(HHIT%3iK_4-UO*MlK zr?EwfQ)I4wk5#%=F=4W@rs2dFmR{YrjKL}%2&cIZJLlaPG%OKj8?i4X6P2(BAZG;k zuWXc<+T7OQo*g;S3j`|S9bg6B=yOiXXy^DZs?_tiRB_GGt>WSQPWXm^lu<7QTVlPQ zDg_y07}ZO38PIL0eM}diw4Epg$oi33D|{Q_v1^-nJ%-Om*Kdq%=kbk5T4qV1FKUEZ z|3~wOfBjKTkyWX(p?Ydn(ckvy{49w!2J8J6?LPEZ&q+}!ko>jo;D0KdCt)?e{Y5tK zA8obl$J;j=mtLK$KM+?^AHA|KOD5;1;WOtQxwHM%^7s2|w4nw|5|PS7)O|;GmPz+8 z>nUofb+vm3)6)goXtKCQcz8%kJLn zO$tmj2(%$9W9MOw;aZN)F-}f1m0!~gL8!YSa>I+2?;Ug>u2=qnZal7asv(m%(+17m zurou)oh2LtkVU%=L{JYPu~$8kOFTBn^FqL>OItwA=vV7wDFfZbG;$S`Jab9=Yh;7f zart|7LqPTjx#u>xaI|s3$$#(c(~Z~Qi|Ip21%Vr;y$<|n0m%GEDAc~kpz<+2*+evB zayB85`Of1a_~J^(p~Jx8w~mA3br`dx!#iWhh$Y6>)qAN!YaFuyX zV2LPJ`>8_$D4#y3kaOHDuWk&uow5Ugrz8h+Nh0m?&8z+_5O`h&o{2gVZ0S}_>}Pm3 z1ng2)-g_nJT+&g3B6b$$ZW==v8v||*zWJn#%)UdfKw5Mz0maivC5{(DLzZ{-%8Oco zE3VeTfZ9$cqgEqHW&ONZEctRsn$4SgShi^65<4kSiq%%{thou|X-Lo=A4x#A%(8Qf zcaN*aHyon2p(bO7*G+gb@)hu^)v^s2OFhk+H$F_Od-3XtTacFUQp^)Er!UF>4L|y^ zB2OHUMcAcb&ZB{~1k`HXHdu5fcd+UECa!~zyOV3xyDc=V-I^y|3j`=Kp+=ryp56#l|}9cyBiy-uM=1oQX|2vA488+d0%>qk_*qpu?=s^P}O)QQF*6$kwI zTsGx8iwDCCNj4L>aUzk*H-T}E_1%QtXNs7wK8gE&;!UqUPEt^?YQ`?U3Jbp@oEx3{ z9Bbu9x5`E`ia}AD`I_2L2@VBz_`m32bp<2CFr2ydd>`2;N)O$%Gq@qF6~LO<&x9nx zKSu;dE0~G@Zal#zhMC2F0b^d6D_FZyR8!b;z8w;Yp8k}tb1Oq0$uf*=`U6#sX8$rOg(CA948cKh4uz_jmGEbw>h|X zz;%I#^_c%z5EY!+tgnhDVKcv{2fu@~6?5qoZ$)q0U+``0l#rlvjG zCVEY>*%U{UVg&KKht-8T9L~9xE&Mhk5?qG$Z>iF{*lHX$L^)j3Th|t2_s^%}9Xd`D z)=?O-S2tqd^U72Go&}PEZR&PE?+L4QXqaj~jgt19PO(R`{5WaA2zsM;rq+{zioS9bqGw+w7snyoiPD<7-c zskQShHbwCxo6~K`T624`Aug`wx}_11f6cg!b{94U##@2WjWevdkQr$Q$E~4^w^?=K zjO>4^)Ceaf4k*kQ*EC2|EA>cIaB&}|yDLPjKKyT|6{Zr3kVGUkr$4$o3&>+V0*GjeU2$FvaA%tJW_oQd0a7_gzLTNrcp>kCu z#$N^Q_en$&_T+76A;Mz`14dK+mVzvP*S<$FxeQ+CmugAaM2&K?Y4arKX2 zFS@P@DYeB4WtPRv*XKC%gt-)bJSgqAF`faPi>+O%(Ffn!DK;H$CC24=A)T|B3W*yP z#BL-H*06AaznxuMAb=$7yOey*%AKB5Ogb5gV3_`l2ba3VwRQx@gTpdH?X6?v-Sdn5 z*)??xr^et9noe06w!AMnnT3;WYtzo|=i1$4%?6SM<=lv}3Hhod0S6XAmfa=8l6Bk? zZKwDTIZa86`-Aej_o4}WCD!GPeTyQ=y!74Ppdmq?^ICd53Wy7drj1Fr;tV5N08@yk zi7++CMx@z#0e(|pEU(+5Z|)74Rw?f^F3L9a5iKshL8}cxgda32R4c2<=pS>bmxIA; zh{qjUUi*|EF(&ypj5>34{wdo*w1@a(<39l(D&wFIuq>LUP7GWUx{FG*sWL2c z;{OSquuhQr=7R+3g@5MB3Nv*jkV$CFc-*kW6&vjk84IWVT-sz3p!ulP7RQjL@-Sy@ zx%~Sj{XsX%eKs}+F{UMn`AmirCXGoR)Z>yF_$3{a>siYS3c1&=Q?I2yPag9=XUJ;F zBO{Y?Bep(||3hltkK#RLVm_C8b1AM!Q9BW(DDY0kR5CAJ-zc7|H$ zm+i{T9qG(=5P>MBiai$MX@vR9TM@wa)ZQay@{8D)0KynNugxK@%o;!Uj_6PRX4bKH z0TplzR^(4Nw{8&2uK#Q1r%HUY!t)WW&)*T~%bzf}FtWV22?o`F^kuKa*m!EU7g}@^ zFh2W3$TtVdaA!K`xy@#Ow8RF_b)DbOl8{xD4d*DEE%~^ATKoNmNMjUpb(>no z)cy_0*0mykW7io{a*1kYsSh!k7K92&?WJNGo0NtVXt^|SmV{!|N z*&97}emmOHkmsqU0=e|O0EinNmOSL?L=z)=yBgW%(mT z6zfaW#$yx<1cLuzg9V*47DnzAD__wFcDqNg42u}}xKua=neYlJMsG9QFH|srg#%Le zQ5j`#a!1t>`TPPN`%WV}_o4nj97XK6IhkWA3ku`WYy%6Od6R7hQ(GXF!+V3}iVOR#Q zTmB*Z0B|fHAI&Zj5%+Cs^q$gV22bcJ3c4uLu6)w$Gx@l0@0jPjvZ!@1BM^{p~a+f@H$H?p*pr)9;syorvk@C(i{d=*>WhZMaZFOP1 z(^B4b(C6ABWadJ*ZVH{w`cYZ~a49U6htNEy)_bG`>U7la;^h0Sx9XCuYPL|SIgRWl4ZA0wbKEqp0QhhCS zeT^e9^GYlBPQ|V%x1i%zJ}5Yp?8jljQl5W~wOgvz?wMZG-2`p+k$QDTM-Z3$_qTWM zay(Z9w@-06AFHmJoh^qzm&W6)snJX>jZsfv7;BUsItN|3ufY9-`;EEr~qwa!S-yvO%n8msOVPO1_PZ)0gK95doUJb%&rrnH2T!4Xa2YGs?gH@UhakjRn=u*h4!Czto;^0 z=u_^RI>qyLmzu#`TT6_YiJkdyyWst{tFu9}e0nZuaicn93xI z?wY?wiTrVEyhy;aMNq8-3&T*&;ZU=!(66J3)A%i@4PD8w8C< z0-B#Ba*A8A21o}}(5iGcdvj>< zDAm+KEKGS}C*%POqP%Z^_@W|Z<>v$zh3cv(0HbHuTkimdi(;a&>+b?TqEf{pvg(NX ztT=L!v0Ft=@WT?m2VkiXt#J`FtOJa|w;cPpKX8Da|B?P!bT}qBZ@^Y#fYE*L(6)_l7{{E$t3!mGc!L(R! zb496ygr26xWrg#iLJm(||1#0ru6ymrWyVl>8!R8VC)8_EpQHmyARKWkFsuynrH}fO zdb&-%^LVm(wx(vt{;%yCR`aRWZwJ}NaaB!wceC3`>LhsM`?D!g|0a{Sv~H~+CxQY2 zq1Pe_Y}O1XFZ~y52Wn!$PbuTv-w6bGF_>|AVsV8V5Q{%y9FKI%zQa1>+UQz|155UchLdi9}7HiFn+YU}Xd3qk5_m$WBn=-eGvS@L7dBy43C>!xi>r47a5zD3ulyin=m>FfCCN5@TY z^Rgt(vZPr<+4Si{HpzjcnPmU2?{CLTZZPi!7P%AM&NtUU%S}8Q?L>t!-8vGKkipvf z2<~rSAu2%T0B^sIaP&`E2GV!`M(=iCj$foiZA#N1$IW|Lvou89roC%-&D;qa1Z$uQ zEdQ>B#VrUt0FN+pO9r4tvvhc!;Cf9|53@AkZV#RtGR)25tTauQPCB&!h!oO^Uu-Dl zk%NgOHrwYt$WkCefXUL;7f#4+vQQH{;NhkU@m!@5%sR-AB{wD`rCWZ$oO_)sfu3Gg z11={J4{E`sdPZwDDC<*0c4oup+x5PWB557(n^eTNb0&=F{(|<5FRf!lp8aLbvG<0H zewpNaDtM!tYeKH|%Mru@ByqK8yTapQ46D7YgOBM#;`xih-8;@)tqU4owpd?v7E;O~ z1NUX&jTZ#(rEw1|lVqyocT`TwE>kW-I>%;Sp4kc85$|Zsyn?@nN7D=it?fojqy+o5 zS)2(vTUY*N4HX=p4?H2>=n%WcAW^KicyU|FT_N%J%#|%IQ|jmo`x0 z>5xsf-0U6n>8^arOHZqEF&NpEABIbOf8$GX^qpj(BbP)W*U;DQp}lB9(xnxpmRWM= zC}{W42_6Uc?3+%X+Kx6Xo|!xPe)xd2Jg}YgIpx`JxXNRxmdpd9{?@?wZiUPy&3kgZ z#Yh~k7J3}oc5A4Imr8yl1*?Ze8cutT`efDv8+})UslMsY+mXmp_3rDp-sMT$Zztet zo(-%T38{OQAn$a19>PZ!avSu}eae$Oj4X-;ERL5gR6j(n0p8iC**`{Z6v4$6v(1*< z`#bpjg}p;wc72zE6y~E0!%tWrg57dES3T9WnrJk9(Z9V+%)!e{&dcC_G|G1f*ST{* zffzWo8q4Z3->6%VdaONUzo_lG^y(8WRK=UsS*rve@y;8`a7Y6({vn|YG3`*rMIDH= z691beayDNcwq70{yU!qKfNZ4N5qF}c(G*~^%98b@21xo=EsAzwWbtQ!3~dl=AAH@_ z+RmO}>+)dwe!5A=cCKClQg+8!a+7{jFF)^lpOaO1$1!8~aI{PcT4f!XxsR-GcqmU< zfSxOCBgyeq2lG)NaSG6~kX z6B^IF$4lC8vu9V1P>7%MSou0x_iEK*^g5Tf#ZH)Q#FM}m-QK%%MwgEa4$Y0~6V)J> z8p*>2=+H&zZq*WmW$oqM2dbet#F%@xF8)yuD^};es8XO0AMu~^HGO2r*;EpytZXqS zW#|fp(`jp7i4$i{7?yE21@RaY8)h!q&a!wkA?S8`x4W@q1w6R7apAZ|8X)CWVdCqg zhNW|kQmFYM<-?1asb?p)fxzWBp+S%ds@=K?E5{A{R{29>w;wBs@}4giweq0vbMan1 zZ;)NX^V>XZyeCUfcXDmw+jX>;6_4YE%)RP%UM0Y$sSCEOrxk{*y^V-|VfSaTvPSGi z{ISRij3E*=L`jd;u(}yCpMN#xX#$=$S_R^|Wv(qePgi=5*ZHwi!VZfnU~n9GV>X*E ziwPN*j(GCwg{V5Ruzh3-VP-sEPelSt_m;{dMUxN0fc+6UKFHaEi>W>}c1!EU^0hS2 zeCvZaY|ZUPConi~5sSLUuInu#w`UE1JTIkD6NbWEDtd2jrc@ zH#=NG_{oXZU=gn{=sGM-!1C+q%G~vgB=F|?O8j%soZl1wS+xbI)2F|tnDO%$xc;nzXubl{I2(s8H6O$0YrQ9;%+2 znO3`!UGmrH7iR|$xExk>CA|n)LU=r<%9dghl7p|bAU=mzNfZjQF#70w=xGVjO;vTs zqt7wWV44|>6D%VmCp~`iV&d3z&e*I%S<8~W%u|Akz0C^;(Q}0)o=eBk8LS0c=OoaM zZ4dma^Lw{jPTQ*0&)(I$pDX1>KC2(@Wm!1-n+s`w z39Zz4A#J89+w?~|mx}XEAM!3%k7LK_YU<1@|DGTe3^`08fiL4b^__*S{gg}^RnaBG zZwWJBth4&TR$>rlR9ig(&qU;M@5^XTqR$MQ93O70gJTy7Qsp)XiLO9He)zNVJtsmN zinLQH3;g#QamQGW1N)-Lq|*cevBC^f$E_}mfcg=oL7j_#-yXVTV{qNm*@IqX64fzw zrd-W3ofm&*@6U#b)h>T|;(G!9`=_nZgdbSxy+(U5HJ;e5xuA9p$kk~kmmx?s2&4o!`5a(%p<1yJ^7o}kBI+59IjBCmCidy?v@rY=S|1T= z<o&P&^LoAK5EnFI!;W`fX>td3%QGF4og?q4{lWv`BXXJ31tn7)@f@+yEcsJMJ$& zDCyD)6+V3{yFwgNL&II`H)$~qFoBzL|GO8zYI*TtAX>pguW7Z5XvAf#t=_3}ZYAN4 z^oH^n2qnp}weRr`%EpZml>G2D= zx>LE0>inByh2_QU+|-$QNg}i#hY4N!cmT)pIx(rz&o{k3WqFWJxGi~0_g86=xPIQ} zFHvJU=>0;c3M)8dYrOmN8k?Ss8t;X)VyxTO@9|`IZhv|sDI(Me8z_bB#o&KLlA2C~ zzR=PbX}B<~d1mievJuSUs}s(Wp52V>?c6c(lH+RZ?@Tek>eV!X)e(X$lZJ++5uYQH zXUjN@6b6Ep5Rv1azj29XTX0oc=yo%BZlbk#4$Ym6UUO36w^vZaq_@BQ{?@#mQYd)_ zFPZywfjTdJx|S7HME5x^8c=VP*BpokW1|qxNGA*WlU{%{GqT6UC^u5jFu0kV5G_H8 zjN^;7e|-_gd`&&1Ryesgt?Ijek~kWFRrXcbtDxm#2ZtF`MywlPRrU6P?W5b0JbqXL z92Ap^@?R(~Vej)4@iL7>YuDK+g7lG!tU2nn-a~GKTD1)ER2`sW{Gnu}%Fc)P+y>h5pxeACaW8025DMp$%VpV0 zPOHku&cUY(64Ccao9gq0O};-9&3&FMZJgDpR&1FYBgBlgUd)gw`_KPNF3O&PS)>9v zEDrs4B~twM^*`CI$g04qwIW=Lstk*`GYe;;gEg|%SoXgTHeUFV8Wzk{|76}RPl0S| zkhh)FL0oDVpZ(G^0m#mDbzCYOzPTuj0)2Y(pWtGmN5OuBb{#SwnQtKvyh^m=T5;L< zv%oYtgX>(8XL%>#U#Ge)6~T?2SbngE+x$=mYHyGvAdb zpGZ357T1>2u3K`!GMDXjd5{V7HIX4Ueb=$EKDnCOVs12{A=?z5jjX>?O&9>T`-2_3 z<%Se+y?N|&q*?y?d)}nh(j=$50@ah`*Uv+@@tM2|Xpk{-c|{{ru0aym?_}VtPx(s} z-cs#aUKG59)qVv(X(67;oBAqQz`3Q5%uqXyHts7USb8SBt7_syYi*aOkhn9b(H6%? zE4s^`R$~8N4LpFHf#nS|Klv_8kcT_D%;q@TEk@InXM4Q<-v=4!vWStC6mJE?M6i=X zO|c(`81ou%VKvQWM#AQ)7vHpAwnT-df{8Yy+|*KdeqGJ+ODvIC`;)z6rGLR0b@ozD z=)x0dR0NGP6@)#`tGW>$9K`c}KWqC&^7F6X0A1|Gp1dC-$vz}Gzh~!g^bXs)7e|zDq{WU{_R`JDk}MTO5cT9#sT&JOX8#jjv|_Mc zZQhZ1Tuo!r5#*+5CL9foG-JGaHo9WrM{pDhT{|GdXzq%JQWfi=qr>V`bJ^7ONXXj_ zuU#SPLMwOaE}d{*bRu3`hf_ti+#!`5UFWL%!}T)(ZA5|lQQ@M$<(EcU=SV2Xz2MD) zUP{om|7yKBs>Pi098MEf76TB51tZ+sbvAylVEl9LW-?roWzu!g_GLZTC*hT#bslRpmg46$jJ#2#a%cH_g=|G8n9FoSE3xkSa_I->A?JpdK zuZzt9W7Nm$fnE0OOsZ4chwTH%6W)!Iu`sGB;7Y3UA3r(C#INfQs;(Csf3Y7Pa|sbz zH~o6Gu5K$1>58V^%30ZXr6iGg8pJagjKZW#{=cU2)%yIyJ1{JjYb=3Dt|C-R{?+TC zaKZie#Fn|FFGfWv-?=BB$-XL`yh+J7dinr}Sn&&pDZ3q!7IC@#yNlZadd&Ip-j2f( z@@$v7-6i{9%fquajAAP&)#ABBWux=dd@R1MoFs)MC*X^GV3lysBONs!4vWtp4+D(V zr^<6tdB^mS?x8zY(R8CkU)JK*8RwKgGd*1oi-3S-80F<=xFW82A-iIfmR7-55R^v+ zAZDfYv z43o)3y)=4#MfW0aXfLe7;(o2P*DrYQ|IDfV-%gKjTSqxb8>AGKy;zPEEAqB(i=OSLg z%x!5gD_v@tNoNHMYyVYaGCo?QMXQo zV3o&sZ`0dNQDng!eKeNzCdl zdLQJ^vE}dN(XRx{fQErL@>W2v-G;BH+s{Rvyytkvm!IyaZt^d5-;VpN7F{@~w!8J>;(vL}%4y+4b)Bipvd5JfCN~OQ9KDuQN7XFQ{LF4Oy~)er7pvK4A8UW%xNURI%+*Im+% zmZytwe=B%Zegb%x&8i!}nbl7FaWPe7Pp~na9Xo2*R#dv7mL+DGx!BwYl51T$Ox-({?WHYF{d%zSJ~H3f(3|9b1B_6~4kS@887RM1(QE8;)`@JA z_15hz4ru?E`D?!PtLVJv%HhhipRbdXsCPr1V7Repc@G8SRKUJGN#SM^^!E{b&%tuA zrRjruny723QW5pO$-4JNg`Ns+`IP4Je(Z&EcGDZ3>Vm;3yQ<<8M492V!TvSOaDU;k zqk5iBwmrOmAQQN_=ottu9krw=FSw}Qcvd!<{AvG8@p0&-PI38&FFYr;a+zz< zTl=>V8Pcq%`O`_G{2TSA+M}|u_snkuwPT-oPghx}Tr_x(71(*o9%L$8A zs?d2o<6qMnX|1lml!kOjRR9PCAa<%KsRa#zG^h7Vq;qieiU8>}8@dykl|;M7+>fE6CB1jpZ{I|)l42!w{!l~g7_ZW zwd)nhGc#zn=X#)g^fJ-iYj{C+yECaVz*&(v6$25Y@S?C=_~&GgkATGh9*HK#Wo^WD zXP9k|TBWg>041IT8SlUDC;@JdV3JX-r>lT{s|PHJ5UMrIjQ=rj$27wQ`Gd{GTBU0+ zDx}iz?`?m5A2y4 z3O%aCy1%J+&h97guP*vRs)jMpEC<69rcHvvE%8DQZ%xo zI1DbbRI66`d3?ECKh)jPgB{r+_KH)5%5J*$CFo*+hedP;d7V&m@hQmpf?g1)g!zf#nPF8kK(A0JRdwE^5Ll8^!`zl>At zs{un-014l{OfZ7vEL~Dso*0WvEYoPF6|N_c-Js!|`Vp&HDLOb+=dihBX2Ny4O%3Ah zw7OE;%r7ny?rVZF?kP_6oOW5E6Zr9aapupvWy6AwBOY}4;0)NXa>3h8nSqfXhh}>| zTjI*d85UHOdQQw{j^b_v@GZNw>1z9?#gPJ^s&&2I*jXlY44}^ODL0aJ)pgF(C6tYx zIn3Lk_SFcRX_BnDN&MaFd*^N1eaB7PT}-zx?iB@8UmTxvDG^yMKmR;FZsZBA2hHww z2cw?QiauV{n-@#<8jD*yV$Ao-iM$munvr%XEOE6Xi$3bU1u92CxJ?K0-7UgtwY%WQ z#dJ~lVcU;)^|o_YiK|V=(?o4uHP2GJ1yO_}M8~B&#vE$JRhys?pORsy&+mB6M{DnU|~f<(H1{Cd9~1CK;^> zcH8+_Jm-&>{SyYPuWVnKkyJ|^v5j|pL0b!_o`~&`iFf4m$>-{!N^3}ZrY2->qw zS^NV0>_4=t#LJ48ts>-5QWA~FSHiDt&C9V%60mBpaP$XipWjn&^v-2;VQ;eVwLHr? zSKdiHvCfeu)}y}p19E#Qj-z{c{2xBsN#-+MC*#orCebbNJzWy*dUpd+uIlDHD=!%L z_47}511Q=I>fDmYEug|sh1(I~4IwNSv^nO?tKG!t#IPP?JOMZD>47!W+H=^1ID-+dDl-+6`36SEhusHHo)uNf@|n@p*Ovi1PjMf#Vvb6*eDfd_9)zMuNEaaocs0#5=5 zkpV2Axohc9hlZbcd;&CPB?2oab`-0X=vv>ab)FYe6T6i1nI>zDvWVQBggT|vmw6>k zun5iHx1b~9%F50{8g3)bfqnZ^2r*I*k{$~b)}ffU#>lJePy4ZPZ%m*CZ`zj?xfXm; zkG&w;=7$K6z)Xk}56G#SKls+qc{$)9ALGH1y{@UKNK!6pMA6{mf3=`HI19FXasb*-`Rg2xL7 zGTbonFT!64zT_UZHMeQNac0v!$eDjZ^D*hk%|Un#EaLfWOfAViE@=Bq0!vCJQgw}c z4OUjl{Lk-e*8kGo$f&1g^~4{ z=qTTh5P3SQF>2Yq-2mK|PA{oEoqgLGj=-%4~fI}J}DMd^n%c7|ElQ> zZtju?p-n<63z4HA&XREhr^tui;U>0Cet4VIWhz+qqOao9P+1yC6?LO&B*voE=k6hzd8s{AY`0@?Ypr?z!diQ)e%=AyIMrj7R)r{Hne_ej zz945XwGLW=vA-VZ@aV8xdibFrjD6J)SE$07BS`A@<>s6#@3Nv`aQpg^>)!3lp}M=l z{c>m1-&&I4a)cQtzM-!~*kcgbAAYuk&5H4CB&~{%%AgGU?81M(=;c6C^1J)Ex2B&F zuE-?NluSH2pE zpgCy91WORHfp0n!d%ir0S0hYJGiX|MXg%lh)U3E*2d5xKf1eH9FKtv6wOsfwDL-d+ z{k?G#+E=kse%K727+>}K1$|h}?4I?bd#^eW(SK@vA1y)$sA@gr?wB)A_yHHoGmuRy zJE{Mad>T_9_rE_S4wnvNo(9^yZBLR?pMOHF8`rZbr^EH}`HI0+u`0J093EOt(3ItB z(H`C1QHoz;RlSpcn?)LLdCV)9CAnujBvW}hP_M{c$K+z6x2nd3mVwkW9<2um@XoI> zEpl)9;`6wP2+<(tUJ%c37mq0Kv(;htS!gpC>P~3!0q_pW+Lv5W}B5cGE-OIYE93wNpM>#4RUP2kk>p<_xK*m6EjbC~KicygtlE&`$ z=$AN+6w))xJ8zCR`+)68M3E@T`|Obg{bkJR=5-Gxw5R18J$VL)#A!IJ6>DGKIj%rK zUM@$vI9jb8KdBRKD4;bJUysN4hVOYuq)T$lfBlxZj58u8B6mPuuUXI-QQn3b;rt@$Smqf~HdN^U-p;K8>&RIC z!*dqt*V~k77JY0z8|3gt&D$bE3Ao3$(oAzw)jkK!7wLPA`)7FV^-{c9y_5S??D%si z|3aZkGck(D`%_$2u;kF!j1(@zCT+wO$JXP3$xxkf6#>sku_wCI-n(&Y2eJ_`cH}v={QDKv+e36Q%#;kYQ*X-4t{xKT+l5|RuY5^ z?|&hD#pvn}aL;RSmr9Gsq5MtAs(4V)u7c@Lqz|)JhJAB7WY&BBJHfs8It9yDcq&$+ zm66pl`k+T|Uaug&XW+YpdpZdco1dY_Z_Pd(HwkBtWaF#2ycu3@Lwo? z`OAMd${b;qeBCd&tEstW-D!I1$^EnQ@E~n=2XtrTk1dEbWhFDBI(E_bSqh1@p#|Kc z(wjaD%8Pqg;7cq-y5y!dEJ+REOOxrY86FpK{hkE|L!85ee+D+cZ7n-hIijJ-tpRwb z)3hqzKzuXXKu;+26T8S(_T_+XFNqL5b5@C1;K%p1g`RXqHAc93giSVstfiV1kCGS_ zfyCaVH4*nDmB4|J3=|I~r6%**+Gi+!-k{9yJKz6d>n+2Y4*&mc2|*+VqSOch6%de; zW~72hgLHQ@I!BjEONq3INOwvj8$EI~qdP{8(f@tFzx%j<_i_C12Yb3bx%T;7*ZX?C z&U1ROEDOBhX=`=`6&aWE+5S!M0oUU#k|AiwYIE>z6TmQ(&$P&zg0Bt0u@JfR$=b`B z0NN8<^|aF3?XO(A?AL{}Ud_ie_t`y4cv5YvkBx(-Qd<^K(;Xhe>)nGqt$OLXU_D6J z`xc48LWP}sS<%w-weC~+*!v9l1oy+kQAeYE_5B#ADO;=6H_au zYUDar*z&e^`28kv$}LMl8`mCR;BXpuY5lFlQIA*S!f#Emw@xxohgPjfO~C0nWW=4OC9;weygPL#0|eDq~0ne@^cEqAZ^;fhIp|-}L?T;A#4|`&V7R zoO?Eq!Rqo{tWVXrTJYb6lJkL)=a)Af|Lo*|m%oP3;M0?l6r^=%2v5MdHd-;hTyFO# z7o2zx&17w-|5qY&%dcSz)i2=ZZF9ZeED`Q42M1M{I@JjY7bCI_&<(v%)|>e4@QdDd zTR|=mauaO?#9m5|WdLkGUFpU(u7-y!{^N}_6FYN7S@XWQJW#sXToFYzgm6NWS4@xN zF)y$OXXYS|cnuvgdE_44U36Ixyq7T^3!TL$h7M+GdbGFBVop*-#e2*VX2U z>7lT$qu3=cSc9%vmIJzSr$N}WkAC!!kPtt|&Z`?ntHApx=wt3maV(&9;eyjl!nuls zDh!5lu!E58i?zR`XL%50?jh&1EvDos;MJMiZ<1?FeD!`mKgGOq#cLLX5t$)G;{%yR z!r%aoXne9q^eZ5(R@wwvW#jPNG1tz=4-`a0Bm7EvqHJDxo{(?B4ykB$I70V7@ zyd1lmL7knH6(5IuuDk*>E#U&fb31jHd4eNgH;Vi;(ewl^#$GC_i}&uN+I!-<&gqIw z>z{3m#)G+Ah?3hmQ)7}djDEIdaKEo#?cZ0o62_(PCBOMdNv$3tzp3Oo5~=UG@-lsg zzh_Q5xOr*?PCC=|Vq2?|7fp=az;ASBg@~IUX!x)n!LQ@!?dai_ zjv|b3yr6IPz`9ZOH)hw74GJNW?MuNzuttG)(tOid=Sp{T9c!sA3uFITKd~az4%V?q zLXo!0WY!U|&bZHwQV#1$GW{0Fh)Zz%H~M162lIko4bp8A1qshuy9-UJZb|Av32qDz z|JpE2-@ClXO~Aam!85Ud0QD(JBDP$maf4|32>R&ElBAespZaquWv(dEKMD^7QURtwRUptOE<%hZnE_8qWvNnj)RbgzR;SoLxv_i;n~cK zLz%5pS5n@o86JrH0sv&b??iq1WMVLqp`^<~cM9dF0t?X&*X97146uzi%B@!bw~*9p>2<36rZhfchC@&shOgK`HAG8sVkkBbue1D zjClLfN}`;bo`xb=kIQ~yM8&1_{7%DX-+3jLIC|GT5m#sd^RLMR^=*x(iHyJysNdL^ zzu%vzl%$rVRh%0>Evgr)jC6lHlux{8{70)~4ji$y${JJYBtJNF?9Ah8P(R`6*E1N% z&F|7aZBD-}h0~8v+hj3U4m+}p;-RU4%}M+wf!mJ8d4VVYQX z#syqNdoW<3X~EFqj#WbYOTbo&-fibm=kDaLc#?a>O8Q?_bSqawje^rb30DS!~BPkF#)yJeSv>sD9~Mrc&LM zaW!L2pyVLCdxWiw$inA|G+GP2v$ZruzN82%uiAYbrL2%k$m@+_!XfV(3V%*+rc5s5 zyBZ-u|3s7_G7o>Q)3EmsEx;_F6y zz&DHi@h0ngRSf^PJi44vX+=ZwGW9AJ@?$61B(ONt zV8fxc%KCKMVqGzz8XIM_)vTIpcjg@zFzWiBPqu&4h(L2Z9``~1ZM%-7|7>dd8+BHv zj6CJ%Z_fD;Sw`O>aC|2yu;49D+JddK2Eba~(--xy?$z@6h1(#sSrgbrhlPa*Iv3m# zA(hq3^XrXJr~IPa9xY_uEA?pybH&U^W6AU6TTd=LL2zEw`V0kakg+ zf2Y^_jsay656sU&E}vR+q`enL`qe((;Ql4s_)Iet@-Rgz_zsy%tS9Qe)H)D6F+7la zDz*VPzl3I{NWq=Q+GHbBI$T%SsbA9=E0tH=yN;yuD9!OPhYW)K4ps;!RtG+9nGA+rA#+pXh=H6!OYlySUM%#+NHHT}CI9HbD z@lz1`aBWwhGJ){Z`6;ZeKKetS78Fka;{|L`^S=HmkfJoHsb{mX z8b=vz**R+Hrz5!@kVi+aKLj^T!cA= z(^{SRCgFxa6TH};y^k-2jZ?Fn%Q7-F`5#Ty#c{xe;pRJu9Q(jQP0kogwt!|#-jlFY z_4V4L=i&7tPmY18V0Ab^Jg$gJG_a2B3ZUdq&Ja7iNd7-`cw?dwtk zSUr)K-_=7HN0-m++F@kk-GCkf~ ze*d|+%;o#IBCOKv<$rS$EA0~kQfBYUZkL^Ku3PJ+nK$~3m+fXHgcv6S=bc}>7J#sh zl%pw8dU5dIjaMMlI`Ql2>W-z1YOE|m+q-SjCgW4^%(VY+7tX~XcwozU3w_kEt~|{^ z&MIPoqG8FLhL~)O3c3>YCkR%W>EyJ-deLogbw7|FgpMpzo5L9it1dI1Q~uj&&|dqS z_Ak<*<6_KCkNQk-Lm-$_96fKe!I39By_henyOZ6_4)Qd}4g!SHlGNa+$;!&Fmv_(*tzCak z<^u0A~But3~qIyKIB@8~O#)h|@z`u&v zAMH#pBHis5?PpHL`;;v~^gI*N-`_@K zf3mI;l{)`LGLUiP(l(QW7l8ys)=?f_Vwj<24mZw$Y zzCWEbDAI(#r4uGqwbLZoZ?{OB=H4f+LwN0n%Ofg5;#UJXI!Ow83ZnFa1^*s8yO{0k zr_{%3ew4#1xQh{lIO^!APukChtW?T)>V9j+vN0zG+Eqt4r70Y()o>V zfR6ulkhOmyk3;b3HB0neAq^laf))_O3Gp|j^(bg=MQk_-)}$sJZ!!s&&SGp@_DQc* z^0nH>5F?jGJ7rq?7m$+MhB!F=siD*w$#q-qZvi#YsYBbzjG}DDu`KH|+gxXfPX62* z2Gc{HZ)#GvZ?mm1ZZ#W&?hAjSOWFR6d?$6N{kU7B?_fJvfJm(LJmReP>MES2cgzFz zKwr{ks*dD25-*ivS?b;a7K{HXy^dlk_qvJSsLTHio>Qa{>ODP*Wy@W+hKsCuE~5Jc zN)`fI{#!4x{k}gExZSFJ<7+$cbkKIs^KTAc6eTTOLdlk1fK4G+VN<^@`_3fm;PtcO zB~4N`=du47-1>$8a?DQ&zd%ZX!m*FRYm(d}0nfB4uaQ~XU5P=6rYXOWK5zu(eLVCD zA#+2=VjEk|x>tYK##d&KR1lN?j$q{PkGNvvixS%k|8D+wrzJmMw$tclH_O9gyF0$fFtT z6=r)!G9V2gh35xHC7}%VORfP5gmFO(IIeq=G^+^Rm9U>8aAL-CV%F7Y}A7!UdluwC!J48J?hR0q?BI z_Git6JrZt33MHTBa#hW3Mb!^2ObE{nfMMvLJ4}2xtwWn|UxSt*^aZH88Q(F(@(QLm zD%3tN2o10QAUG{J2nh_S)AL@#Yxj)Bv9>m-f=ns!F+cdL)hXbJ_iJTbmTPL)jIFk2dGoFk?i@&76L# zroNnY#S>>kbE7F^@npWw@Mqr>UR5cCMu#b(EFC8phw zuuONS)32wJjRU0R1e%iJ0kz3o*1C9~WXR@QbVz6>YWeR>i3`dgKFZ%4A z4O~}luTQHY;=oIPmIV9*?l+yYiqa*6`vXxoD&x8t3s#Wv3s@BP9zN_p_wdJ6a~n&@ z{|pnv#;|L6Hn_?Z!)c3@GJKU+W7O>aL%=rvJ`Ky@JQw7?)z@@V#nvpa_w748nZ7*~ zqLR#?+`ciejZoNy3IyK!-Jbi6Zv}O;1A`)7Wm$?2M`^97=TKlUhSNl7Idal-@{rG+ zA4gfwJx(4M{WF8GO7}bqdQ|i31?m;s!0NBh`Ok2|YebOl*_3m#*^dMsB|kEK#EySQ zA#!O{xfS0WKZ@A@$=kuy_4iUt~+xvuo!bE|sgw_^)yuQkb7 zAQ__{I*CGm(1cK^i^{}n`PvD{&wtI;KAXU^_ZZl#i`$FE1GBHJx3Of$y!*JScl${0 zQQjncCv;Lxnmn{vB>qXO+-Hlu1u37wDUR#q~P$Ngkkt7MA`;~tbT$W!hZg01SPa1$#v;?0zlRC^Q zGC{;-oI4is|KnwG#(G(3LGQn$)O)`qqrEBhPM{I`RKRt?B=MOsf^{AM(gRD!kV_^31386+uXCqvU{dFKeYwmRc6L3RbXPjWq|Q`^0;Xqi zbA$dX0%t48Buh))$*XN=JEWjNDY)^gWM+L_yRZxG?c({O9ce;U!zell0T>7}JDn*h zNPv&VFBS;N_1q$B+w%)SSOJFeQP7W>9ad7`qQVly>*CTu-N&W)=Qn&>ff0H5 z+!5novMz&<|JZC>u0P5>;fj`eTVwTd$A5IXsV|&(d73_GSyj_J`vCw&;~#cPbb^&r ze<*6aa+^DD4mk>g4?T2#S3QWC^-8H1{gb>RcjIO-CgECnpAlXw@h2x zaV8-Oli^cbofHmJFq$0-B+22}cKb)vCCo0O&r{(<<_W2(@J)*o4P1XaoyZ&F@lEV| z-}lhc{b#)HwIeg9n9LTEqDQh_k3Rf7D)dkJ3Vk%x0;>T5togba@#D=N0El{+9n+Sn z(1H72GvSxMwNzzN*j^d2sK=xY1h_bH$(a3V<=3CX7_Lk`i1PE79}QAk&yt3&YWHYN zcK~~*i}pvmD-{3*wkfv~XU0K#|HOHI%kGv!#;1qqTd2QqiB6*dot=n)+xojWTFF;l zCa45=3ALMjV$y?A?o}xiGiGd=nF7E9L+v0(r0ygCgSfc1I7{CZvn&8!&AmJrkEWz{ zs5+2pl5+ZUJl$DjDLRG~f^3||R8O=z;aYF0dux0`@cPg20`aa$q@sL)QfiRWjHr&W zcVFTRw_`?fOZKV?KYkC8cHTM~i`GhWKvhwUc{m4YHu&k0D0EE#NObpr*nrwI60l(~ zzaAb*aI;icn+!YbbQTy(vne9JASp$k_=n&~!#i;RxYTg>R^vAMg~KOgJKb0>?|2o# z5dG4ranI=8uDJJCe^t|RY;RZ`8dh)S68($mY%Hah?yW^iR4}?Y%4`40ic^^R`8AVh zJPCt!4X$1<4L_L~uO6bNEed_5E=M>g`JybLsr}Bdwo*ICJoom>(GD;sG+?xzM$wXq9LpMPNEHF4XHDFUVK$?DjG)1nefJ1 zLK(C?!MZDxZ_D6w9ox}ELY$qV4OiOQUvn(w)9fsVBkjqK|l3P8EA zoULjpMWIAGklp|z`%92a)G`aBZI zQ^y>FAmxGo-(dtAYxw=^1D>idHhlK_lX~iF?VC^Qbr9V3-KkFnAKy>;ww3JGZ~u8E zw^h1XKp+0e*Cd+RxM}OEz!|>Ie-{lEG*pv^XN=ZY&iaL zIR8;%DBhB5aC!f!Lbo($>Cbso$yC{Ig%Zi1gRhOKcFd}}si$v5)yIlZTD z-c~&KpTvA5{xy6CeQp!-Ux?5ks(`)U+9t~nU6LfalZHj!k@!niGiydOe0jrX&t@s2Rd8{9C4&ouPlaVb8g)GINidnI2}bi=NkD;qE*e6>AZEBO z6&9k$gO7Zor`R#JSDEtk-aYs_~dDn>|#Bq9>6o%*ix26>qubH=uvKr3}+ zxMVf2JI>j2K$~($zo+1z99KDzN7Cz5R{fH{s0?Qy3cGn;He-Rd9yB%V3e{)Mso7P| znNI4g{D}0LyDi$;j~>yfmhYXk_hj?>J}HyXaoscmxmC7?h!&ir4VJo34HnCk6O@>i zwjbvil;{s)i|N5SLhS}u)>B-^u?~{wtq$!vBfjpWQ)OBTf_qci!!1_VCi8Y$E6;+j zj0*fCc(gf-y$ftg?vM4_&NnXnfwxHa;tkE>*>x2M%#zuZ%P6nB;q1U1jffB}`jdbY zc2lGBgj!4p>r{BJvINW%22N_VO>_S7u78y2@DJ1|Uq7wA=vrzMN9QnlEY~LBo%%nR zj4es80NTwkzQ*GU|o4Xl?JfMo_y#-g&CQuh`K;Glt&{j!j-9 zOHKyWRxe#SW&MEPX>i-z{*ph6|CBvlCY3;?9Ty$*$7YPJ;4-Mb%%R;xrG9S`0X%mo zHE%O0!DcQ$rpB00C<&f<78>}sc{5Cv>neD~zPa=}cHHjO{Z#v_JbzWKc#~4C)TVgL z$#}N@acXO2d(`f93?cawku88j(%8f;izQvezYWhZ+lM;S+V;A-pI`cxk z-L6KOX+`jay_~T?34}^@{mse^D?~BuLsU*%?g01XyB?;`FkYRSk}JJt;UMZjR)4)4 z{y$7PMEAXHP(WZ|;HUvDEDG)exFF5T;g$5fm--CoSBVMT4btyDv z@RyGSF%GLF)fw@P#SMw!EN93bbAIv$_d>rVJu3d_Kevz(@AP+Fv87RzPt7^gzU;Iz zt{PT2nFlxsi4w(^>wMBfz#G>C{DAMW{C8E40jUdRj}o8Jp$&k3YpB{^k7QMn0pX*Tus6#MZPGkUz~ zU57N#gp*i>`k{6c+Jp+NmXgzykswz{^=7Z9`7v?oUB%geDPITOg?*XHgnuyvc`|_h zUEhTm)UGrxv@MY=XsO@5x@+=QxjyJ2T*g^wX-@RYTVAnxUdyV$Ds={XHHmx1ecHd#4Pz8Z+rO909o5C#k;XXaG`jKK{fJc| z&D<_mBh9qA{gdW_-p&rvnnY!9mYBAE+if*btY7XuhLh6V`CCub`vU=48-$HQxp&!g zK_W)=yB)NPfF@EYf}+k3RpV7DaQ-QW(hnIw;tK)oM#elkHA4EgeMa>?5d0h$q+<$4 z!S2hCVo|MQRpCG4jaF#x(47w((j9DW+ETDh@#a=@#WEw(>vtoirbWM(N*rrarf$E1 zwnEcO_EdW&EUX>=-0Y2=?iv6c$5aud*KbhdBrn8m+W!2o-WT&FV!*unooz;Ykxz`p z|xDJBP_ae)j3;xjY66jQEdk_mhCT zk4o{lbIpoVLvxOazSLlf{pZ7-=-TJm0&>|}6x;*oU_F=vP_Ii|!Z<~8zA%ZZ8 z5I;=tB?RbFecz3wVKPRG(zo}p!EFgL}!Q= zpf*5Fk#(Ys^yV|KumuuEewVYjW8q7Io{3j6oBTJi4>fK2oP(vn!Qq9m4=rsw2oVye zePYnxmx$5ENwA63!L@a+lm`sWP%=~UlYc2wBO}HJ!O38vQOVIZX=lZ{;hZ`RFp;`X zJv}$;C5*dAxN^PUN_nmJ;Xj;G^D@=K3d)xS0oGEemi|t-&Y%1M)3S=cB#kjZ7hT>3 z^mSSn2FrWb77(I#;yEYjxIWt{@Y;(%gxypB-`tFkS@sPmO%J)88c8U@aU}(Y~|U;bF$(JX*plH zsu~puw({pjnp?k(GmS)K%wxV$DJTy-aSd7F)tXXv>3gSsxzni@#2|y;MUqb#8ol?( z^U)9uV{+wD!gjG#)`)z7tN%Ja?NitO0S8KXieIiSUcHRCAX%aVp-{Xn)29m(F+nSz z{LIgZjrDp)2RXvBoFblgdo-!$4U7be-wsp9egS%i<7Bj~?J9gw2vwQKqQJJ_eNi?g zhx!~Y?l{XY%j6FTkk|PRK06CqjBu%7rFX|Q{}b)FuqHmuE~nq&;-!(+(&H6otlq-1 zAg6}Z!+Rsa5}}_-@z{7Lh8#Tooi(L=QYM$ya1Q=Og+`thxEWqgjT;RC>G?fnrZM0k zCLPcV1`=EL(oj6;_W(CI$>tMknwZZ<^p$~avi5%^pwl7dEA`mJ44^%C)SNlwKYnfRs%*1 zmkz1%H%;@oKeB@F-YYchk0xCXdRke0i;vByV70WJ`H{g?o{@{DS7MsJ3l@zQ3$7M& zx*eHA)yFH>2*u_;3slXqbpF9`J4|;6ojbMsidIkaQ#PPGckBPiFnc*s{k9k+UQb^z zjdcDWt;)}E%HMkvCUKs&w}wBFLXdw!6K2mIGgpxn1>p*~-pROqkcQrP2?gn};n=eC z7#DxFh*QIWK60$RHv_7Q;J<(t1xBNr!$=~51h2BVR`Dc0;G2_s9*(@6RG5z~YO+*` zown?g)ri80LzC|(phSGCG$m*m();nKAbN;qt zv26{4Cw84XKhLJZ`FIVw1hndKB;j3z?B{t~b^L%bDKgMZNLNB9$+lb5@q??UXJ^BF z5yxAM&UFDJe=~r8RYAI3gaU*IrH5J!s0G!5~9+gDw2uDZ{w2ccr1 z&}xZ67zcZj^Dudel9|HIJkAHK)Ao4cbh~k^CFyxtz;$Nl$FLXd@^0?!a)?j*ZCSH;5Z}_1Lqjnaef#iK1}p2XvK$@n5%g1QZiY+yO7ngLh72K7 zI;n{o^Mmyl&RJKf1j92WI;UiRU|(53UP*R$t)Btmi&k(3CoFs-EW>Pz&pHm>WKEjf zWi#MiD+I6EVq8WqwT-*?Ok)+%vr&ziBtF5H`twf33E10RVJByit*TuhBCMH->;CqJ~f zcqt``Y1gb`+9j~n8Y{2;%^FR{WSDl#N<%_bTWREYJ(z-B(^A&)1=B&m1=5BjMT2}W z!MXMU0=~QYx>`$+?=>B=v*~FV%=L$~>RO%GI$o9l8NK8()`%98`-8T}?*1UTcyL!{ zQ^s4iB33PH^{W;Ulx_X7Rmt)`?Gv!by9zm-V{c|98nt{?t3C{+tRl^otA#r(OB#G2 ztwAxQ8pwghfF{8R_ID( zov-5IV(P(B(w1xN7K+j{b;k83@vRkGL2r96kR|aUk?Xr9*CN$3B`hCe+DgJRuFq(u zJ+EtX9lZER?8Wx#T*w6_T{Q=Fh9vbgIJy|IG$d2Bj)vC9ubIe z>$rhuI=y#p)xQTb1WHyI>spD3iHntf7DkjP<2NDU{SguRJpVkiDd<~6Vtzv4mKa&43{)1r>u)?K9XL7YVc~CM#Sr#1NmP+O zEECd4b{^HP8C-2=H0D(sB{CEBk6C70C8@mH**}-4gxB9GzAvoL^$jw!_0ZBD+bVhE zh&xNIpHTnK)Xl-9#{LV_>xf}8nEC*|_#5ZE#gvU@y)Z8tpY;!$F+J$SE!iK9E;$Jq zgSThV^_0*b4dZGEmxra-8)tX&1LPlez`w9O-ZAh`Hft3v0ybT;20dsQ%8oTF*;o1OW6VS*U^j6 z@CJw4m3^CJRxFqFh*QV@bUkBE!mdsTkefGrN@af<6*t$~a|lLKjYVZWuEf;ZVzzyv znASId4fdF?OjG7#GJ-#@W0t;O`?Lw%+j^P0OH-0KBAn*v%;Pq=b}ExP42m7?w@Lx3(nOwlLC&z7yiLJl7Q}IECJ%bk%|S8Bi_6Fp7?SD@OgrM zi(+|^(`e=zV()eqD0nnKJU4QCU|6?`%O`L@ux>us#Im%gfyq>Ba$S$ z?C9#{?q41PMkbc~XDrF^DJcaN5LE@7K@oY$J7XOXhsH^7r#y<}-z9Bjn25 zLU4o-fWC0}cE2{EKISOd#_n`lUV%wJCM9NXuku95u(U3^{;$~=p@bazDW!nzy{h9m z3D{LjP`D7d4n0)wl_R2>8LgLD1RvWJbSyYof4b(sKw^xo5E+yYPkj4%1tE#LI_=2h z)wk~eO0_6a5-!~4_Tiw)%b;){mV5UM8@W(|N-Ev#X;!q~Mv~90ipNex_>Fp=a za4;nJzB)sA-;H2rbMAs88)C-0y|5^}$B-;sg5K@m)UIQiO15j{S+0-<6<4hqlvpYa zCB(i9tAy?3h3Y0>x?n+aq+j?`R1m$;UFF}6mn-V{`}Qw*Yr66XG@ienp-5;mYpVx{ zyNLV)46Ri?Vgl}3x9 zG-x!ZR~>9QOtBDNk(x(K778PHOz`ul<>) zIJk$vssJx3uycrRK)W&JBC!O?Rd8Cz4H0$*(G+Qf-e{ECZY#W=n&drG>6vKcYSj1r z5Z->NXj+auR|N6`p8Ok(geiGq~L04xO zV&?Qa>*hc^*z_v3ok_U=*zW3cv%e42Cw_%Vtv1kWRoR(AL=&lYqdDKM&zKssl7BIk z^LKF9xWm4-pA|Uee&R3qmgHWqrqI91q`_V0Rk1@C98t5J z9iWc_E)JdyS*BFL@L+;ct-(p!AO*9x*P}_*$Cx2#5Bi~DwAy6O`_ekwJ=J03diDD2 zDJ>lgsRV92xhu)tgS76(CL0JPkSR10a}~mk`7Kge=WYgQeayvd$E{mnRMR1N}b5J{^=Fl$;?XflzNiATJIZ7eSjzS#l-9AQhcG>QZND z26}uz_G||s8cw=LyW0>}IaK@4vTJ#mqIk3|FoTc#u0wr7&Y{)^r)qiHxAoQ{{~n+oKVcdPep-rkd%f$!X&mdSnC%=S7Ak4(?^yOe5(U=%bG;Uy zSIFzRH3hOcy_qqo>e`>HA9UuwAN;=v4TYNPj{}@!zf1Q=SxP>hOqYVpswK$f$8-ug zPrcQ>a_eS)+~T@RbJp7b7zfb%ob87GNbDnjtgI2BsFY=YMLDKAR#?(iES}h+!3~D# zn~&jL%2$3h8Ybk*0+4-%!^D32hf;>PfB{UpB8HQwrK@_r_o&)v>sPvKcB4k#ja(PZ zD~pZjRc9vwsVpNnwR0!ss(BiVf(58zOK^|fBA!t4lY6R5Xr*(0DL;5r_eh+bjADsG z)A&1ISIl$m-51Y$@jw>Sv>p|dH(@ek4>>5gC?-YXqMzgH)*0Mlkr9RYZ0eEEA2A72 z?)sgw!e8*>!z^(_`_7V}I1A#BKEq+6%lSs3TDIW17?nLbX(>k^Xo zMz5rjIV<&g;|Km#;P|eN%#oUuRS=#u`A5!)!NG|2=hSJO7aOk1dtNNC^ zLBF7JW$r2okbV2ruyG~&^un*RhwZe{@noUU;H_=iM#hiKx7SQ5#_2}r9=~}(^AXKq zr5}Y4Pi-7WAi(epfz-EG+Gx;!nwurF4l!t_>&;dgLl#Cxg#YE*6( zDu34K_&C6gdBO2i`q>jU@Udu1+ftX@jFOWbl6polC06W7@lt3rW)nWP@YGTgcJk9t z(ZJ!tW>|Hc&C<3w2&?5PHCLqL3#{0LH{8Y37dA6Ne*ZdTvR|UUtv6f6|Bp>Vj;cbt z@u5`lEH0%B^~X4_Q=Mu6bzsqPOqydwsxdDlBK3B1@Kqq6x*{c;!k*PwH~U1I##+jm zqUlSoTj~GTk8=6t+ZCW*P(aXthuFzj<4wiwy*v8c!3$o)$KdR|y~yLhcCei6HFz;{ z#AMu)Tn;)d8?tb`?tZ8-OCglN6vUYN|EN(A!d~!OhCPWoTeK55?L}eQ1#RKZ;?jip zQHplX?zH^P(;OAES>iFGT>R7(3|>4Y(>^ywb&=)Kljs-?C3#VuPzv@5bw;s-JTg_` zfLGb#$@sP@n1A-Jhl${uFf&K`+Z7)Xt337f=B%)2KJuXxvxmNbn}?Qq#UE&6aN?2; z>;WU}%T#A0jp`BkA-`hJN&V;KI;fnD)(QB63!XYh`50uIQG&xGz^gC9SScR!`EuwT zff0`b>-a~*yV&?88t6=J2uza4JKJ%et@CM^!h##D!h=Z+4IBdJZ5@1~Z+QT+lurb_y{K=4 zhnrr;uRej%Z)>=oAYN~4l(o1|SJW~spBcH57eexE@592V>0d5O;mfUlj>M(&-}NbM zKBu;p9MN9pT!Rvo4~etAtcmVy>SybUh!K8+4y3qd4`bBW49gZtrpSx2qzE)4=`^ZJ zO(xJ-uSKi3)=sUT;&O`lBnyP^xUotFV zOTT@w#@ut$Kf}xM)UYjqh7vMVo)9ZKqgJ&Q5{dWeCVW*x`c-Jk7Ias1kpKMWD1qZ; z9TI%l=w7-*ts)qR@Z=U|Y_{t9Ht8qhFzc4)1i@v*S6iR+T@%iwMTXu(?0oqxl>en? z-QQ&TZFX>kTjIc>Bl^EDHUqc7srzq(69|Tl3o;ZvZY7&846R}Mvlo`u;s&#!9@FyH zq(xh_6_>s$KP>btTIYGKU0^=-d2&9(2PZkuKz^g61byrQXuk;)LhwefGQ(q&;l5c2 zvCQr(*6sQX@{n_n)+X%lL^2CKL4sCVwlJHb(x!s1_~ziO1CZ0O@rWysHz@wMhWGAM z?rq_|s!i76zo7PTr=mmMDkt{|B8yLRiR?kfJGvZ?}2YE?^w9M z^DCZS&(UF3El0FVWDLc>Xd7T=aUt6KgEPVBKzeh13DUh6WzXEd26lI36(^j3T+}Kj z4Uc*cCp7#UNK+qO?|7Z}>y7vDbd~uoS0)~BS|IMmEO7+-qUJ34j%+X}h338er=pVl`T^J*X#cr4T z*+f{qaz;hvU#3s-&iQ4ZB?!$hhPDy6GRYZ3WM9deoP=BBwjCR-b6c5XBm*~IXkl8! zSF3z@4bUR{0o{hOauzOjcqgexYJVoSll+jG=$!UhcP>y3n{T{~NX8Nra2bF5Vc@xJ zRg%_lSC6m%`bRXoNI!Nuq+w+m>>;!^grNnUjudy$#H~@fGd68SO-X}K7=8O>#5i`` zy5+qIv2&I#mu=er1N=Y(zr%Rvq>wlm0BmJX!XAUudfBg`I{`bn&Rz_;7`c|~IA2pP zWlBv)lNLysmeNvMI*}5#wi6}Ax_m;VUw-*T`}gnH?%lif(@#Hn=6hQH-_LvZ?AD>Z zKWYD-pR{VnUiDvjzz_84zVwi$Z`h|FevIxPu=}Z%|10G^mJjXQt%G}aYu$H0Y0%fZ z)O^MdYCZc0cL?6L^QYwdsg?gvpY{#^rUQqYdob6zCA$ZWw!pk1J)Z z{{)wyKSV!^tbjd|eg^%b(<3uczx557jyBL1^oATTPA-#Eq1_|E*+UH z98yPM56-LpeB?W5nOD{af7^JXH{}4k<0a+;8Ju4(vrgjXvLhFSX9osnu*u zn5Tl43D`()nZsR8=Fd=k<;^AV0|Nh(kIqdd|I`7l^WM?tSc|NGSZb^ zYMEdW>W}?yu4!``pmtrdn%{Z<_CK*}D5O*1y`)6SoE>#*HV4V(5^8Se4?MO*gjqpuHo5C)(8 z&2{cWm$K{uZ2X-3veYxgGb(*tNZ%_Y0pDo#?-*czI@RJ+G~sog`zYxm%B+C5^j zc2A$D-K*DW_m4I{2M=iXp@UJ`^_#RiX_0o1vNe}z?HE4EzE6oh`J1)Kn%uBCTC4sX z`%KZ-V=`-%HM`T+?uymgJ#|i0A8i>rLA%FKx4y2>?jLsA`u@?@`6|0MBWm-)W!k;{ zJFCOWQ%7p`rehd9o%z3W0y@-sdt}A=8YlP z0o-(64jv-sZyHX)gRnz!I2hP~t`a@2+ux`w#<#ux_`10U9?(AP zCwPSJ6FfrC2G8~2jM#nWxqO19))(|+Llz8i{V2XF_<1-U#bYwhgWjHr?iO1d7(QkB z6!*^o1Cnu?OnU+jlf(7c-_#GkWjwIcGM3a$-~d<+j)E`Pi2YFSSi5$b+ z=wLf`Rf7LLz}4v4+fcU$`qLKc3${Mqn2i3K-~@ECj@3rbI-x!8JHxnInQ#ay^8p6q z^O8I^jDK8zz#i&DcgsPAA%T?yYM^8H@nj8I8T8B8r?I_q5IVT(c3|oac2_dk_(X(r z9Ok$GaCAyF^set^Ua=vD^%=7vvo6trGOwv64n(ICVm&}4_Tx1hdM5-b)n9v zafZ^DxB12X4)%e8=Ue9BRV$aVdPbdJ>wGWA__Ke(wvTR}Jx*vdY1f`z`s9O8bZ4GB zqk7J?j2)7GGtbr!*6S-DyrM6^{!%|5Ffg_K@qvBD)8GTnH;hNYTi`K)ugw2SySME) z%9~Q**MGGP)`2fzQ_`k=`q1#K>HNcvrEeLgwqJBe!&e{B+VA$bOb6{=D+k^MY}&eW zkH#7fcUXK#?G_!@2&3O<*vx%0wDHdj7=FMq^$uBezz?NpO#Kd9Thotm}oNBszf+x`7P=7N{UFkt`M^*TIbj(!?BMf1ij*88)5 za18%+#&#_oGhcfRvkoWC*S?L0X8{ZNw6X!izq7VYTK%KiCmhsUK>z^%^hrcPRP9t# z!_&TB?b5f~t*wTmhY#)3Ua*aI10GGDt=-@<*pX3j@8I6lB*VcqvArC7y{J#e&ERWjKe!wAgkaea%iuHDHgApSp#k4{ z?u_}`y>4S19!6vEyL0%R_;}bpG{t!Awf(79ZM9A8VST-Mh3XA{!+z6Q{Wl7)hfly? z;o~k7!P~%6!wUBO{pa=f-}~M}dtIJ#UIoA8cMe~Jhm!ejyf#zpg&fq&?>|{CgFfIL z&xr9U_)Nfa4_pIZ;DPW@Qjy!fNw50!PlXoUg-Hd)DQ3BI#|KqMn~Pq1Nd#= zhk>7q+XcB#yXjBB@F&wb9|g0(3l4(8Zd;bO^5d)yAHOT+NsvJ7PC< z*^y^j8E};OcAbIM&-jD4;O?)m+SeBPO&dzKjwX7jm6fPuOG(J%3|{k2ef1N#kbeznET)&^n6D)wf?ft33gY?`Qi6r zZlI@4tR?8JU5rgiIXR@CfBD(>EcoLhL$V)Woai4oNbn|jdU36bb#~3Oby5}E@9-R6 z5<(y)KC`@pg#O?ITQLf3B`6b_pTm?xVsEkU=Y4*_&#pteG-})^J@dpfIz7Gp2EbE% za2X%^!Wy-)xx3Zf`gHoI+V$fu$5JpgRi2QR|8V}JSe;s3CrU>53U2S%^^+!i`=i>L z{0naPH+gt9xNY~*(WWMQ|CMvR-Q~c618$S~UrxrC($b$L#pWa}rKPl#mi|&HyjdhZ zaq` zka8gnfx(0&P7dN!K7=n6lmrk^mLNc`6HGumpzK3w=x-@Q&@iEl`#1w*kn}foAtae#N}vnR;bc=+LL*RE83G=74ndS23=^)u zVb^;-=lw*e^xzQ_K%k?3gin7{7s8%^^>U+;>^N_d2G>Pi;$m{WvSglH2W z(?6SD>2FRS1!E!@=CDlN2$)qYmrtkDUOi1Yp39;8O5CTCMN8_*qED)LvEnLLtk^%! z#f`4S6Dn4+q>4RhnNR+H{Bae#?*SDnbf=0HyhFwAxlhH4JQmSEVfXn=t-k+eE?QKN zJ^Y}GJaoTGKKp_ywd<`WQ?{zb+Xp7RQt0jdcIPvsO*FHspw-Vo?E<_p0M#QVPpTejs0Ckp3u$r7S)aS6jgzT zitC}`CG>>dD^aq9ia&Aem>0KPszfn8Te7&Gvd{aA6jSc|is}X{oBzRLdg$@udcxXS z;(-TM{Pw$4tibJhtY9G(`PPcHKC8BG0-lJmq3#(Y(f|d(KYvx{CKaA6p zMh89XCa(Sea`v@oxu|`A_>pLhG9QJluY8hOzqE_?{Ox{Q*Rl45wkOYHG(N}l@%OIt z&>s6<^1;Vc>duGs_#JoZwnwhh1<#!u1wNy=<9Gg2WcWh4hf)f~W>EU!Xo7PK&iW`T z!5?@K7~w$`C@LSYe6MBuP8~Scr%aqk=JXhoN=Jq>@PHtB41PG7z~2bi@B;z{)^lT# z)8iOn{2ZQ1I|*7KSOg_7*aZKC54*EJcmq}#-+~_HDmX#Ez$tf}K^cd#%xF+NgBLjV z6ClAU=Va(PB*6`xaYitBH-QK!G)X81Jus57BLj1eUu*KT=LryQ=z9l z1X6@IjiKE1g9K92lWn|FYSSZzju5bjqB6`K*uiHwHIM*EPMyQB$Qb&GZ+0Ce zIKhd~GrwRvxP>y4lUAYU#CbO=8hxg!nS6|(XU*^iWv+uG0mGnoXR26x;^{HYavi6k zK+iq|!zTS=4HCQvwqp1r0ET%%Nld#rA)SCs@VLy!Wn3AJLYX>IJ`=dh*nq+8=jaQ8 zA*?U3mEccK`eWXy9|bRa2tP$1<*|)1dob21%4-~nz*gRL83JQTE52Ky*ZREn>p;qL zEElkBc$&LaZZ#ay(EEte8Y4Mt1Eo3breDQ-7FW)9aw^Y4;#OM@4$cHS@0ux+Mg9? zEf;;Ph#b#~JgU;KH&ER^Gt^@KK{Xz~UgcZ#REbhg`~AlrvA!Iq?BiDUg{t*b=Zoov zl~#7b232U;D=KR^{8*8Pt^Zc$(IOFVHy&OzPd*j7@g_ZPpD#RHUUl9dZ}`4nO(t(v zg;pP^#8b~4_qpIFI0~MEtN9II!P#33Gr?W(7aRtU{kd^vOW5Df7|w#V{C)dF5k`a6 zcRgBMkK2B$M3EwT;+}h>b`~hCBDWXTjkVLgH`t$hJj_r&{%up_iw&#j&1+Hl&K3`V=c0O zx&Ofk?;U3?-x0%H+78yTh95FKrd_lfyroTX^LVuVwwI#r7;gSk+1nF+7B5mL7t7L5A`EI!t1^LhWj`w!S8Se7g-@8~Fz+_H6WzR5sP4tFj5<#XMW+24ztIuYA z=8nV2z3x10d!c~aIFEug7%)R3(2u`4aKH~`V83B)fS$jRGYR+%$>~?m^f!I_$-5X? zjh=Y{cahm>V@T*Ca3o5N9$c^4zos9|6cY1~b1t~=2N@s_8$I|ypKJE3=?7NCC-A)e z`1U@}@$`&ojlMNJ*fKsbPvET^ycwT3dIBWbvmNtR^`oYUth2X`(B`zKA^K0VpwinTnC2SN*e-wTt+{KOyYmjAM~kKA2I=b;JN6G z-4=%|%Co4COgo`}HF{GN^o$R(E;>16LjpT}3@8_~E#mx0zma_uS@fk3Uh+B_gF-C|d0+@*gH8`U98RD(l2VT6q5s29i|x)2Q?veE)!-`E zPa}6SrrsyJ&VA^ZYjl%1Wil>YXReV)v4PSKo{QX!Jl1SvGq?5mTg@07#)53)j4Y1+ znSK#$$$X%D#GXf=3D9-9kU6ok)QODBcr$(kqoQ-=a9pKVtWSO?=$q;HGao*q zD;n8&Gp~6qb4VP4lvyoX+fJ)`nhLfnsA~PHxlGG}Qp`7V6*31L_MFvIAI<$ptp>Ex z6VE@Pi!xml=}IrQe4k~0FFeEgbWx>?RHS|pHEiBcomzKN$JQN<2enc{E6eTLM!A{s z-5Pb2P^OX+%2!oFy*HH5qNVZO)=Fq?bnpb|4Vx3%w)u1AHkN4@?MuPKl5lYoPVAF>GpKW7M;^T8{bJu<4M| zZ&$+SqwV=Ne#gTm%5X2ip8w$>wVbrhgE08yZ@(VuPEi(oXlFRkqGf`rzSUACYPQfL zuQ%5Vbz7*xJ1x~ZcE8oHaDu*C+nZW{J9JUY!86oy&Ti|k<&P#Pp=Ki`yzq(=o_kRV zFO`etyKVz3(_F1=zT38Ktu|KgTZT=q7&bi$Hq~gMif<*TX{$DB+rF*Zw2O^BYo|l| z=(DNyp=RA^4N>olWtH%11tnCep@cWzism598SQLr?PJZAucCz4DjKcj@JXg!wdyJ1 z9UIrSv31HkAJf+qnY9W{3!Aey?3q<-Dxqv;CA?fd%H`}?tnEPCpkef!GDbt&=>z4% za~NB`v&Ovb)`sLY7q{NLjQ#z#jXU-5%rFM8R8X5Lwbc2o7HXT&MrE6n*R@rzSNhk| zD{K9%dhw$d{dbL>COH@aenoyQo!i%V%TP!hP6p3I-{u$u&w9i%Z?84H!iI$ol{&HM z_yMl)HuxDC{szAVPwhJOz`xKz;(y^bBzOn+Eu-5*M}^K0Js33phG}$RWOyaE8Ol&U z7{qmJyJxN&ug1Rx9KmJXZm8# z#J&pO1vhvL6@ei1DcL86Hij{vjnu<6>WN`ALGT>f2Hy@i;<{UF7g)nMfu;DHU>hY+ z1bZxd7ux8DwbC~0H~SLodg%Dre-ID}#un{b)cemq107`ueC3Usw6{jT8jgcJ7wm+d z1LKqTPu5=ieXxsyz33LfCm)-zPqO}jue@0j{V#{gh0I=q@xf-wT)O_<=+QUx3~&b; zFc&{3>fm`kM!_eB=dkW<%`pz>YeT~S$8FJp2AeHw6YRCW3E)Vn*A6M@Gj4Nuz` zPjnstUubV~3g6)|&R2{V!sFm!@q8uljAXv@U(VqCZ{cUk4Ay~LVBe?1)pGI%wOo88 z!cs7G&K|W)oU4Rx9~`9xM;)8MVX&#I;jm#-(oaUeS1pImQ9|dQNAH6d@y|?_5sk-o zeP1m{EKmE(fgSv)eju2z8Qcfwc~*)s z_*MPh2i9E-OUdDx&;$%`Zu3q57!SrcHXg0Yn|x5Oi8?lKt9s2F=#E-<>a;Sa>&(~B z)I*&gQj_6LoaefI2mE3G4<9lfhc5;}a3K+}27g3OaZKa49y_cx$dTYL`~%q~@N379 zfF>vO4uLaG4L(tgR}t}WK-iK_(anfZfBV646TM$H zCdk%YZ#1-#`_dq5a?mW#;90(pfj-O?)dGHiEk@@uK3K}VA<9XZ)Lux(>(>ki{gtn^Grjqg% z&Zkq;of_dOKDhLmaf+?c9My8D^joFXBB6!rn!waw@r<Wk}i<$h39Q?A$!ws7ry^k(8weo+}jLikxOIyR{5hF(UTPpvTlkugr^k+%2IY~=t zDJ`X?zkK2Z8cqW{v(lL!xPhRCu?gq-s(q@u;*9HTz{7QnkO(9w-2D21J$~{H3b1e; zB`ow@M_Gulg0d9hj?;Oz{{E71=LLiDighEc8Mey+J zCSVeP!gZVydHp_u15T>pBs8vb3Te2GP=_#$!I$d@X5myE1VHFHIR`}^^qexvbx!LE z^&n{B2#gYt>zooqUtG9FxH7>BLDWzCLuf_FwT$wUYsb6pO5B)$#+WmPw3FBA(`FC0 zp?;$Y^&^maJqTZvHDQ@kmrxuc{7{wvMHJ@r1tF9ZacMKcBtj}iTLLM$20a2P*SLq$ zc*maTI`tqNhwHr2jFavd2gVP-L`_dfI>io-vHSPCe9tV9#|< z<7GZrlWqV;S<5=HexgW62~4|K6WykFQ_i<@M)$9=Ob{iR({>P$3689sUg^uF_i<*- zeeA+Kpxody?v0e`Zn1otWrWP@EK?8ns#_+=!`hKPztwZD)tN5C(VXEoa(bgBhrdsX z<&^hrtKm5%{hu(WM{thiv(CFv_m+KA^~bDL)7g9UO!H54`3-rJ?~~J0CVi|dhUM7x zGtav~e|xRI>W^Ndrb$+|#iz=4W8P!R9vvSW_qgk4W-`J5l{%_7@>?~Vvro^q{7l($ z z@^Uin$D#&E4us4e~+!U4=-2S-mH^>cc`9l>kX zFSDf5kV@X0Bnf!TucW_U3xF)9q-}V%|Fbe>?G&ps0Y@0mnRCH5URq1nJ9V_({5EmA zUZF%eoG{DDu=H77C9oDA{T=gr&XAGcxKF+;PL;ytNl8~_quyaNtN!Sq(j1SX&m>XlzP3cWXT?pJg=ib zKIqze9+Wq_jnuryq{)Pzux+VHa@L~fLPScQ{MjQR| z+5Cx~f}TTO^jWI|>j~v$^AGwDx@YjBjiI-4xH_opM^C0d(Mf|BZ_C879L|pemp5Lb z6GG_Wrh5l?(5cYP(7S^d0jmTA+MBx>JNR|1Z)kCYjhAx-y6e|HznXq%Z4B@;j_8o# zjzCV@MHjWrwgG^ucBNfu*Tr<{ zn(U&v{3c&i*SvZ2q(+SzhDDh&Ws;mZb4sB?h0^FEpaS{wO0H~~C136cDe~yEQvIV? z(XO!HM;~9UhAA2w{)H{)z6S<+EnT|AU@`y%e9M1mg1*o^q!E09 zo?^Z&P2&I?Y9*{!5kHoipOdWFC1GNq@|NzVE? z<*l#Zl372`3cWoHdn;vPk06+cIhLjZ*Nzf|4m)CP|k;%eX-a{|4=@ zsr0^%$!nKN&WCbJfvXEh;k<>73aQ*FR9HVRzg!Akp~UyR`J_-pE~VU3D4%{eo`6h% zNA*qPzmSMFP_JNJ!>C|^{E|C+R>_kyo7`NaxRh_!Lt0JWBE5bQ>AOv&`&yAEackt+ z_gl&}H{B|^vS(4=>{75`K{<;}xuodhFUZ>;kGF$an~q;APruznvFRGUpGorOI(NO2 zFHbJXl{J%=Q$QXn|EARaYQ6uBr-w(}0it5E~wmfMR;o=Y-FZk=}pvn!tF((z{OH6MLg*zZRV zn+hr}Dd{uw7E&zGzAmhN4u$&v$}7Cj;2g>k;ZaWgo;!~e%Aen>A3PA>d0swE&y&~V zVcx;dXDi`C%QHb z)rES6^HN^ud1w2ea6}%tETW)dY9YyflVS^R%&V5pHl~kWHrn}VPucW zS^Qb}g@PA0K=yy!+UizMW`U*1(DyQ0@Fa(kVY_6*za13n{6WYCWU+7K!_P#}8aN7` zva#OEqz+(-<^wm8ZyYv&JrLWc`EI}f$l)5_k1Itxq|$BQa>g5 zv75pV79#h8<%Pb(ub-5~o}$~MZZ{h+ekNo)hkn~3XtbTaqFrD@6)&Ha!*|75Foiu! zU@A6GWUj46$fx=F4!#>!Xx~sj0!=tH4H-?qqpfe?*E)h%V3%Q$^1FRF^!2hW%Pf$_ z)-HMv8zA;jv(r*1Z5M$*U6Z`?^38rBD zXafheL)H%Ff)@+my zfxViC=dykaKYWh<#ck;Q6=UI`veqE zGrW~SvOSYc^4*fpUmvRsP}v-k6DAwAG66bgM}34IlHYJypM6KkN2N-aVYZ*B<jU{5Q7$?i7!H=^&}Zf@SXc`9^QAEO35Dj3VqXY5l)#bzJ}1M^{L0Tg@YBsV zi0{lh@D*M+&v+*PpARu-9X>-m!{)L+)6I4GNJ|OlgJn4)op##1^Rd*`D?E>_543Hx zh4KUaQ8;IQDV#_9^qRbquDJd-RnA-+d98Gs-pPC`KW_i=?3x}N^@G$n z_-P|LnyaJT*L3s`g7E1Nu)_Av&}X!tKA{cNm+x90dy*!t^mIpFMq`^AuFv@2Uo&u+0qY5_gbfL{KgiZD)Wxk|_^!mhAJ%WIJ;E;zlAw;v4GxoFUV^ds zdKnM)DTCSUPg}lqxilN!Os;IHW6hxl*DJX|N?tso*nLCv4H7juO4jU1?xO@xIoN;$ z;0rb`C|9()LT-$_QLe0VrR2ILm#`hA(U1?X^m3Ce5SNK~zxY$^av5JlVoB)b376UBGCB{V3aXew5M7iX27B+vL zliuSQ={%*gm%jQt3rY;7Pd+!D@-f~Ln1SNSDKt)j!6?j$Gi)$Kslu2{UIH3Rbt)xK z^?1ro#^DVW7@<*&aHMgXE9p3Hd5aXM#^B^49R-N=>1(Ek(lI#V$aU%N1i94GQI^?M zi4zS2C7V}KmM}zi|E{~$hdem;IL(dI;!Md!2~x!g<&9JCFz(uhUlb!vM{#xO=E(F7 zl_=U6R2dVDs`Me{bJ`5XKu)jATr;z$xM?{kPLFqe+>};3SqG&{l^0H~As_X&w}{ct zN+?+b;-Iv#Ihni=Z6weHqdbmXS2s4M(r$<#NlxD59(j3t2SFj6T4V|~Cq;Uvzj6;{(7ln8lW{aHQ=Lq5WveTtXuG1;hZx0Cpizub*y#i2*d6Wfkn9bz zd;NQdUk1)k%5;I01bEa;Czn>cR0@|aEO(WLXDzwn~Tiqf+aWZ{?Ynt4Pti@3Q;Fir(Wrv!wQs_RW1t_uN}ViWIp+9(?K!x>CU23@W#6(;@Ufm@?C$2Tz=DCa^oFZpQ6RQ zHZpdup7&@y?zlxBc=$1SrEy1THt{FvwN7N%4v}%2M7~+LTcW!TmC{d_k)o;u-+Apd zaxd+D@Bxn~OP^c(&bxKa-0IDlJ9N&F#{E(cDLv@ToqM#cx7;ShZ@5{C-+H_EziT_6 z{|WN@(8{JBx9D?j)@MSuYM&L+x|j6YU;JL}KkkRu$M2uw5BTkK_4_OH-0Gu!epfMh z;O2Xz*loAT^`$PCoUdf_-bl$t13!?`WEF-=3|qdz)6z*perOtU2qWUktt;*Ae4Ks? z9uW9}{CKk4lP2GIvk*qtU=RlQhQp0ALMO)|mvMkX`W)m9r+QI7L2lp~n1!(vXO_up zO9q_Z6 zg*IYrMAm`@*4v&V@ZNU!di>EIKL$p(NeF(7k>=Rdx^W*vDfoc_)G$)h zjen-ckHgK{l>)yRFyHasNQv`q#g-N3IOh4*c8qrPG40{gH{#KLHWJZqoVrSW>j!sY zx$;v!n1q8FLm|Yt*k&DFdzfL9d4U0$^7#&FKUDdwiaENuaQ=aF#*cxLu?yn|i#ZvQ z3nu`lnj5B3XL#TzFQ*PG24S41UR*d>ZOz2EQFrR2ZJ}>i2QVJsB!NlHLFeq`B3P9- zF&nNu<>%*_T36;Mcnqeq!OdL+R1!>#VVLy->#mMdI!dWtr6l9~89m2iK_wiKIh8P; zYJJKLDkn>~dx0KoGGgV;6sgHlcZ2Da3C0!}~&Tq1g5(gIO?>A@V zoO1os*UNn+?vn?KJ|HEFsk}%@4g|@`6XXqY2l<11x~qsMyPSMN{_qK|z<+__ECdFE zXZPMyM4o%?U8y^GhP_p?<>X(ba?{Rof2l|8e$l&B2GhnA^WH7bzWTP*8KmQxcwAb2 zw_aXt-c=q5&rARLGP;=dJ2-pqefP?1qm)Cvi|U-YP21w;40uLgB;!$Bg9j`IlfmXYrZaVqcFl*wim^aW%L7=V5S7J)0^ z2f^fQxTTEM+g69(e!?LuI7QJvaMBt6Arn=05C9K8I4706a4_K@HGUjmK0i)C@BtYf zyc|CcOp{eAd)a709VtKP)MCR2x~Mtqkmb)PX*+2%vX%Q>;5|5TYviro0R}1y*^tAb z17MrO6L+zx27S;@D5r0!v-UOEhprsXkB$xw;1~_{iDD1WL`McssC)In)om?fjzQ|H zWwQnWKZ2*?bMb~_4qUPmbs1;M4DdTla~HZBn|W-b7W{ts;0)`R@E}6;IOc~rM;*V` z9bFR}ML0j}1$12FXIy-K)(hy(%#+|XYwavM@tloUA$}cy)*l?)1kMF7^iei~n{(Ik z>o~Cu{C$&)9IE&__knM1N<)HQF`EOPsUPs*FU z#+Z)QbmC9)Wc4Oepz!6A<&t!gJxeCZlRI~cW&CZ3`sdCixpPHGL_~yS%MoD*7G=@T z91)s+p|me&9?6?MujI~`+dDM!UtS>PyWhMki?=Mcjd-z3V@>Zd9S%L@b#H?tei(dp z92Vj(Y)=ILVQ;fw18l3herB^iI@0F-o4o^3CbaQ_7o1&^wYKUi*u)47W)*guGQ73F=FM9zT1TPR|ux{r% z^S_!sSL=?QlmHHFc=%AQ9`p@)b&T+lq9ZeY^dG)hbXnUx${6xIY=ZFXa~WTBHxB(l z=R=RS`cQYurM>X$|2&&FV4%Nnp_8HCS)XF-b9O#|Oc+yiXXXQXC;n3c5a|PSBbx`< zB>jL!%d35iZ5q7`U6(^wT_4z{Wb`uXu6(qgjj{9x_E4+0rlW@u1k8NHCyMT6Hg?9% z?{Dgcjgr1*!!SA=won2&I3$lvsm|^mQ76LQYQr0~QoFEw_M6*JN^~pXJ%d0>Hke{7 z#n$;`I*F(sA#V?VTjs5wXFhp%QO_RJJX^cj2bc@YHEbsMAysx>n)Oo2TQaX)Rr4zI zYv%qiw_NhUB{nvhOJtT@g>%{OId9Iq-tRkCF3FQ4hvdncP4Z;dPvlPid`kHxPd=X~ zNXDO2`Lla@E)>e9^~tIA`UAO{OXY0VOEXBeOc~|6+wYMwO}a_sjBWDK2JbMn9zTgR z9=A%Key5pSe$5S%HDh|omN}!zV#6lIo*db-$epGBCDlI~XLimeaX-ov)fy@`U3M0m z!k(KmTUNW5Tl=o$OK(W+FXvexC7=9HI``oV&+90+QqEktC997U;6+Yt5BQN=aU{Im zq-D!=iM8R%8*Y&@jk`+J_dBKC!b4K2-5|OCo)VHRTV~0s^Ceea&BK_x@usXGHs$ni zDNFX8+Ws7pJEgJD;(rF`PywAokj*9iKW|F&NqMz=8$0F}JZ|o}xkj1xOeMaP9$rpL zL_OfO@|9e@AkvvLkhamD=jxeKIn)o{U|Vg@`Q?V^vGT(DAWuHYn}cWPkxbWS@(!F- zS^L;WkICreqs?x)c;jMwQTt!5+S*$#WbDTfOX-?fa;q0^M-a1r8v z{}~~?gO_{AePk@S_M2{ zj}850b@kiJ0qWSEvHh{WZ~-=CRF_@bYb!`>J$%L3(RePa8XY<)w0;in(r*Lv}W zE^LtmieSGa*vAebU~Irp*Y9AZ_A`g+Vt3?Xe->*Ut25;}{y^V2%p&ju|1|q?l8z;r zog81al?`TqspQr907hbyo+?D zLhBE)3C{WYX$M^2*>>&IVGt z16=Vt`hk>Be*B~iTRhC>fxGyQpPjvB*6*wr=nKB{21?D(p`8&oMabo^T`pI*(7Dp8 zfMjZ*zgz9+j89~goY$#Li160Od2%~Bq4MBQ$rYd9$d~6udKRQY^me#@nQ9lkO5VYp3zQ64hU> zyQ666elEqJ;B#|D9p*$)ExZ16nnm+L?~WzKW7dd%M{9> z&8$Ci=y-cr8X?&gOLI9a1ydlKH#!E)8;2c+A3A?h!cXc4KlsFaBMn|R-PVWY!CrO^MB>-ed+!|;^&!?BvWgEyK7Y$LD~dCmSAq2*AFDdJY!0Iny|*xebNDf>4PXat zrN5}7-;XxNl&53J`i*^K=y2?7#pmb_V=zpF-(M&2KfZ0|4r>bX8E#X)mgRI=vv=rt zFt-WJw@U7?$P?`~9cU|BY1U{#C3_vAm0na_ia-n`}_`MlViH_x?K* zYbJsy`Mt8Qpk~dQl8}(#|I+`Nm$o(Sx==2EOwz8jEA2|VF8GVZcKBO`SX6U*XxYAH zP5B{cZA8KdTgVe|$U>P7{{#(=N*r}=`nM^k6Nu>22}E@17#}I0dwpj1kp|y1u;9#) zBTN+slql}AP{+~A|7?&YFUl>!5Y&^mD!umUYkw*|SPsD`C>7%zOcDL01Sq7lvLV=5j}2s zoYWgzPp*!<+A}EgW=o8<5ap2O0Hgi;hW+k*lO^%t$HUs-HCK_NjdK)d-moH%`7CKTi89JnuyLZ01Vo zns7)uEj}TA=WLYW-_DeAV@6Bd=n>lfG2Zj&6Z%FeZrm89(K33%B>C+7mC`LCDU|o? zK;CgKuj~KOqh-RUgJfdo9x@`ji*#<+Pu}bLwN&jsQkutnE`y?b$ao!>iGBJ>+_2%A zZ=6j0cD#H$Ax=h&9wRXWzm_*U4wC0T{6wB=)=!>m(O)XJ87R$r50%e`jg;}UQF-J1 zaU3^R+o<&zqiy_L`=|G+)AI3pk%_9@uKVRT*|}t^tR45g%;+&d#ze=+s2(55n6JO~ z=E9_JC3fNjt+Tda;O7z>(?eq0c9z&){Ur8loip%Ep5*b-#?OXGY^Rd@Vr+oN=j)8AiwDY0F8dG+a` z_4#s`R}Y)#N;Z~(di~jX9;(k+nf%2!GOgF=GO}%q)NfKrZm)HnXY|21KL zfP5pPrSl!Rs-MU-f+gP@`kr9{hC5^gavw((G6YP)7zi#P)7a?ZE*x#(1oG4Zpm_VL7Cz#e1XBDy*qaMKvY+y2(4j=WV{CpD@SPwI>Sz4)8)HcMeDc4} z8^+%S-|z{R7(aoEe)&OuaFLBbTsX@ae>1Q;K0c`%CnYLwvQBV8DwJ<;_@sSLC=sZm zV~PP4BR9BAFc6!i2$CVl*S%emGFU6vcoP8mn36sZ48>uKF&6{1yN;YZVq-Pr0l!V9JDT1h4f{5fQHmAQCQg${<5k|JBnun`<=xP)Jh_AX zK`wqa*ppqzAIRj7&r9A57H4A($Hp6;jh11PW=o&BTkOQt?u!n{=hK(Um~rFnK9~x& zrk;0}d^~rvbRuv30U0!XndJqelI5jOz^}Mi8Lv1yZroV;ddd&-@w_dTw_E%{88m~u z6O!i*^ncv2uVvy#{R~e(Q(OgKt9BnH?{)cFx+w0BjMg=^;_!q|726b-<8(|WDb|9a zU}=+HL#0CNf$~iA{s8Gf7QgbeVr}VGpUAs0gQWAPUmF&KvlErP=f!Frw2fMi;ge@e z|Ad{=^JkGE8%5@C5ZU%)l5G89wImE4BNN(pmr?Dz$mju|%Gj}5=Wiz}hAJ@^!2I5d zuizSZOWpp6r=w!tfX@{3Mtk+(exUFFGFe&Lm&0}a(@!y#xlITwy5)(R1vrjCQ^X(g5WoR-QPGAWCTH&(Fmhu}&u)nuL4 z8(oA=Kpd*T+lSqS1B?TEsDmA3fJ0Jof=w~t7MpT|{A^<8aEDHlI(htzhhhfUihc=J z5J*WsvW@{qZA`STV9|py4;qHxXuO~Twa znXmkmPksVy2_keC{N(2#C+icRpZ+HB5WO+Tk8_mzvB8*ihRr+pb*;fkx(!D(v`w?Y zi#J{~4(6=%`B@{d$%gqAzA=_QWj-=5j2{g5`N1?W(~W8H0;k6>8!x@4^|C-p=0EeB zxkZ1Y-(nv@?=RP{oZ&QcC$%drkTUH`yV9t7eTQ^26rRy5}d-e!_BzS$sk|&enNj?%K2|m zyQp^3v2{mj(W-?!SN}Q5`*vQ*@LmQf(!Pk)A5q`@J@}poCd2ND9)UhVpclay)jqFg z{v>DH;vzT!KM%SAdI&leIu7ezbQAO$Y;zX4fIfk(v!bWFVQa!($R>Yu6?8y!1`Z2z z{-0zUC>^0Upif~F#OBMo9epXB&k_92Hq0mgla3w{71I=mpqTwO;5e z=s31PRr&dD_C4}rdqXeOGSPAHAENJ|8-#*WA?iXKe4iaUGk!00De|C?u$h-YQ}Uvd zp);auTYl=qv$PC0j}qvL-h*$FG3+(HmmOMTwo}&z>aT4=Cq{R|7K|O#?5?!c^$DMp zt^Ln9p|i7*n2SIT#?AW6mFe>7bMW_~dodrdm!szs9LapbHcNSy4?FquULM*++t88l zD>EPP>!SBsz0l#Xmuj8Zgp9uxy&4|$PTL%-{e=AiUuM1G^-Q0%4brro`svtUC$2WA zn)NYyTXbBs^#gUqhCsjwIx+TIbU)@K^E>=v^DVj`&wuvgXXWyimwWwzZ4{p*wo(Eq zpV9uSlf@3DYc{r-1?3EyGsu2J*wrD%l|$(6iMhvX>*Ic2EhB&4{8Fw;Iq5K>gS4I6 zR-Wqrlw_@wRkBvkDi76uNa}xBUpnaTxqX|C5~Ua$*;Hj|jam}<`dboN?H!4%-%uiv zIqlj?WSeMD4j`}K<+DjsiG0uJuUu6kU#%vQuf6%dqssbx>QUuwiL6yeWoiqr{?s>2 zmLYS&k5(#oqr3EynAnBVW5sFdxlyG129ZvyL^{mcW>^!|uA}j_YN73oY!$$!7Sg)c zK%JW_6q`;;haYxGo568^j7^%y?zL(q(fx->hw1ArkdjaSC*74Do;OT0h3e@P)jTN&WR+>yBNdj z%O$$U$I?n=daG8tzKYhq(y@+;*6}8POYJ8gn`(hg)oV+s*J{h-m1@cxmEV=-ue~L0 zU#li<+!(y7RLP$U)!&oIhFTxWY~SweJaT1IH$L6?G}7PEyEP@Us*c}#A9&*je+z9- zO&w3tDVOq@bIjGoIwq9CGhCTLa^tVr_MM_PY{Ur@nt*?=jD->U@8rx;Jm& zrLJw;oGlYRh&p{x*Q*Qls943DcW?S-eyDkk*U#tLM?2bRc}CF^-A1uAI!2{uTT;vuqm%TddN3p;Y$Lr*~Be0LMkUbFJ9hN#? zWT?*r&Vh|)BPGrG8>a~S+Q)i<@A&8K;0gSz_*H2mhc(=#lqsEDdda0y z>hV(2yiarKI=!pB@%0;WS&Pdgef9Km>-)FLTTN9CMzxiWZDJ%^<-f^++VwmvdP8Lu z^6QV}3Nj9UzCBaf+LYO*y*igkuP+V<#AM@#$Z8>G_;k#6fn zdTvr$tyuHzGR2zyilgv0@^H$qsi|R1REI9o_M7je8UDBmpR*{?Wfc3iDqP6ea>KH^Z4qyy(qNV0z-hiRZ9k7Hs zrvx_DQfy-F7Q|kj4aU8t*vHsXHe=2I^%N5+KZsdDA}{x}pKQJNe(keZ$=tT@ ztpBt;$_A5JM}l+IIap6eJcoKQp45xFQXjAsOr>m|(^S_Z?)k~`f~9BiG)k$1u3wDyn^X;&ok$tc3;_y*qKDfZz zAH2At$rbiLGJ}1s$TrjUkcY?&mAmX?WDf^23k*kxfuDm;Eocy#3$N3Cf)vmrO`bYk zGl13L2)-fYBzqKj1F_}fKG+CRAI%@cQYiGl!#H#!h-c_?gZyAF-wj)XSPfAhO=C^s zbVu-o_JS|SZLrnKa_a%bBMvnMf58{VgFMJ?_OIFj5Uy{u{^(`w8FK3q`fEWf4~~GJj5l~ny$s{PD2IuB(ob4GV@iG9#dp%I@8AjM(K6Tv!*jtV)&SrK zd!+F@nx8nRSCcPjX8`xPub^c0tAj)RFVQW>!1}r5%{lZ}(d6cxAn{T;U-fi)& zbeq&o8jf!$cXztm>R#}jf>OFcX=$ah5?d3PnoM@2(f^(PDpsdd)+HSK5_!rCoo^3!@bZBZ?+QNEAU%ljRf@95MmmPcBPWj7X(>3M zFqV;yGIjX)VOyk=4nM|AjKY*dI*No#N0H!d9Grs3!k;u#Mp%TaBEwrQFi4V@lRr@i z2-rX=L5X9tET;$s(@`);Cm4Zr6fcxq7W)_>Nk^$B9VO1Cb5aWqF_%uzh)YKqAswX! zg@begVo1knOgheA6mr^v0hV->FiSsn!1yVjzGAa2263EgJCk;X(lP4cm?PblLtjxA z&SM;Oyy1+16Urd?5oaUml!Ky;(~v+woP(TBv-ikeYa@MN%AqQ27y&RSn4ua49)%et zP8D+$G8A6g!W+0yP-!1+;k$b?7s?k-UWhO~$DM0*jdW`HoyxYoQya;BBs1srHgz-Og;*fkY{}Z{bowkd)NgyRGdoev{J37u96oyXK7T3Y zzd*^=`si=JOZ=|W^4X84q)oy}X*U13d^qojbX$BxrffJNJCAVkZlIN6dFSmoEg!G^ zO}Z@oP2x73l5IzLQ%iFG)Sf$E^Y&f!n{?K^1$D!R%k8 zZ~P(Ylz2j7=WUm*Gna|{kRbBoFCs~YERVa6pZZ-Acm5`ytvV*H7aWl$a}G=Mxre1o z;!*i_{R!E2IQ2FjKXpdp_lXS9V!JLoEpdzX%J%u|MCL3JnYmD8+I;!_heY{Z=fm$w zhXbW3ojN6FHt&!#3zy58S@Cja-@4w5LoqOd>{7O0Vz1Dg8PjY78!T&$?Ij#M^=Li5N z$EVGaF9$}+!_A9$zt^DQ>wE~qnEu9*%NPO)I^bFE0;|~Efx!!B7tT*k@(x}EOt5i2 zw9y|&A)kTr!2{k($fEAK-Vg9OqtWBM~0E7*OdGHqKB!0SFi&L;T7Y&QA~w zfe@VT%^Qo^K$RLlGMx=V1cT6r)W-tosdIpz{&0q2D~rCMj6k2H+HYVI#CJ{O9z2XC zbPj)zaD-w=)O!R;xPTM-+X5+hJ_c7XFN~izO1gj(-Wo~2TN}6!Ul>0dfCxx&{5S=z zPB;Z|25K7^Tjr+=UMc-?X{$ehy1apmi#KTTEbA+mSLXx4Mg$1Ex4gLvr#;UKIewLw zaY_HaVk`kuHI)9PO?=t$=Q_@NC?vvH0BtdcagkqDs|3`T@Yu+)M7p(kb^>2pR=biWP*}VM~Zx`)6 zZJ3xWZy*QwDL?*fc)Dfgav3{shv96$_#|04`)4_>_$%?tMYeADa5;3Hk?o45U}{&z z))tDfU@e&Y>B?hzt)HAZ}m`L0uKvZt(D}>c%*JhU=42{7vwfZoVLXBU`%4sj7Hh+G8@=`7RK?iI zbL7nQ1#%`~m50G#@v##g5BNK8shnBA)mvx&r|P4HI1=FvfsK_4%8%RAN#l_mKA^u< zT`?FW&b4~`YSSAF)Gv^XpUo+3ya_L#Ky)@NC36V+{OGRe zx9DKh-*_k!8LPZFp3r-P2Q6@|-MQ9uOY{(v_tZiAf{n@OC*k}!e+k?~?+EgvQ{nJJ z_Y7XVEfd|z>JwHzdK7vVgnn*1d4LC}BThy1@!&;}AR9|@I-5L)U&osbyXcMR>A{N= zO*tUPoXi1!bU1X&@UiB!e8$)66X3tL*|nCAZ6SEsTWwTl#x4=$=YQ&n?IL*bKlQXX zz%Y*R>zw6z1Ud%a$jrs_&_zQ!r_Yar8-11jNbRC+^gntvZwSXGLV2tm(Shj`)_*uB z!{4|WywUiSLB+lLP6nrZJ|!=*iY_Sp9{`sdSrrNjI8 z$iaPkWX-k%^3B?#(s{{m(s#uPnZ4nV?A&wqeoFcO7PN1lek$$REeCdPlRaBD$cnW; z%aA#`hM%}rqNn^Uk%Rl>&;j^UDCfUK#||Bn-;ez++qAA9fA_HzYf~&_ zi^3kpAvpN5@O9veLFchILSRGG^)UJ!L2vj5gBw=4R&HHszAxMOsQmD<-eyftK#09b z5!)pG6(#52!zaUA8}Vy#uo`RSkbR7`IE1dr|K#`Wejy!`|EV85=%r3K#ioSqkNe6O z;-_rxh4WK}&x@@tWOL-1+@~JoQy$7PzZdr@8=D#bqwm54uhy5~1J?iO6&&tn_Az*z zUd$)Y)H=@-g$W8(KG`a8jy=<3v& zLs_5e{hYPm<^azj54yGLS@<~F(2S17GYICzmX4p)Y_sswr||2%alUNkC(pn)O&N?I zK{L!b+D4j<3D06Yw2wIyiNl-Fhq2FAA5z@{FsVN_4D!-n?oE{(R+Q3({T>^yJ@;KL zo5SFE4#6H-m9TMMsq})5P0b7v(Kte`Y<8suwW24|7i=(QlPq)J^xG+IB!AQVo}ILS zSH`uMUn?9TjqY}vbfjqFvua&OW>*~@+805a>Ke&=)jM&(cR_(xBXy<@+Vy>Zk2H#AOZ ztkz+S(xmTYZ^ClfyJf4^d%s`b1#+PM3mOy6?a{B0e76luLor0wF< z(tpKq`F?$pY~8ibuqMgJn*ExW{}1T@s}-Au|9Dh7DK_;}Z2IBfU=w+`$M=NI2j%nC z$1RYOPyXMh>8|YXyh;1_84m2+y+@|5+A1;Af0d>a)=K~H*Gl}VpJnIf^@<}~WZ!Nb zGx8X|_<6ORw0Fzy1KQS8(qG%!=Vy^`H~%i{cPDAt+8;{$=qK_yGHlu^yA_+3ul+>^ z&;LbQOj;*xVpq!e*oCqsZiXC*`%VtUP4&hhRzDeoSe*+q=E>eQ>twIiH#CO=bu!X6 z+4%5%-LJCu`vqE#&Zk)mW$&*#zewM(N%qc7@X8-G$s^|6Je_;+P^O!c!LlJY{yVi# zmaq2a^@JI+H-4Gy-L_rpwp;eD{89E!p5>)4TB`GAo0lh8CUu9l>b=D)J^s;?W$%b@ zz4lI>S++aPdU(eE^gNF=D`TREVTdB~eyxBmVOh%S)&?WK`S&U4^A7(Pi zmq*yAkgefw(?V_{^X-5%@Ji(q`$Le|*ahvNI%KYI%cD&EiyS7$J`vvT%%PZO_XJ}c zCPCmGvK_pmY#)mZBlwOSnHfhiyd0Wf6=Z;siH!BTgKIS0rVRC0btf;hB4vNp^rg_?Tv;FgxR*uFBpGhWsg^c!=A zIY7VMqm)tS%B7j5`m5C?exA;iV|(S(_)q25=v(EIcQ28bdc7o*7f+U>Nk`@M36Z43 zM?5*Obk$k8vQU3po57=0NC(}EcH|C^B6$TYtHpzZv5y;n!-i<}P1 zvoHedz%8(E-o}IS*~(+me#t3`QY`JTMx?Le&7{p|_({6V_(i^7qj$GSkA8^{75qeJW6vo;kKnPD>tiEjgP&js{SB^yuhi4( z57DQ78{lzoq~zi|Y1Te?;H5r({qYljJo97oxq>?!^h5w9^T9Bi=P@@0J@jJ$ zA6-4j#~vo9Z?g}_4l;*_XVS;|oi@N5S{rD&*aA49ec`VQZI3K^GKc@z^R#T{Ho7kB z4Y2Lb)_2+=Q|$d=f0et~4>Wl0V0ob91NI!o3mu%_L$>#JmwX_lVE8Er8|^# zo?Tk^Qpwj;pVRyr^DpBw=66BATRrIm*ADuEgW1`;qvbqO{1F*BbfoM{+9$I%&XO1V zzi4yqj#k>o-+e5*REJ@G45lXg-KWw2nf@MTY}5LuTq>K=t_$Vz$0Y4ayV9<- z>w>w?*jCxpFBa7(ngmOrsNg7Kan2@uPT(X60K+apeVjN(FbA9cNXNK`LyL42A~uT> z6v5l82qa4}eYBU(DP;ug5d<)4#Uyhw+2Xr`r!a705Qbh($wToXFPlqCbt)xK^?1sR zZM=;Gg@n4{NK2Nk3JU2cxELaN`xc5G>C~BYoO>>vU>7zwx^ySZUmNa(i;w8%As$mgQaU9V6+XTPttT%vM_XV(h=>Vd^UDs za3mmtO{efO7W6ZLCGg{9=DFnIL?%w^;nZF;44{OnQiQ^V;g_<^_=)3Dl{f+%$VZtL zWPzfnV?!_q{g<#Y!Jb9?a5SP^VwmO)Fc_&#Db%tk)73L%OxEY(6y$AVoSOECzUrR3;im0NO zMgJ!V@;arL(n;awg{A)3`ZD|H*)spv`R35YsEZ;`&=Ce{#og~X2`W^gemQ%eg=)L1{_+LRlKWK<33SkueGD<)g%d z(tha~X{X9t7ggR+;6|=Vl37dE$l^r{WXYoWns3oLc}FI0k{(OgSaVVaFWx276IRJ$ z|9+zKr}o_Wns=1u?YZQLy(#PS)bjfMo3LPkES)%6mJc2#bNddF&j*Z^W?#&fI$zF{ zuAfboN&SY&k^w_x`KYmyIBT|z-QvYOZ-FdGSRxZvY?IG^66v{K+qXfao6^VH#&6af zlJA%OB#DWOWJ!WPH&N@Ud6z9olod*I7c7#`zMUiWhfI^|AH_-Qu0v&Hr#><_rn@9` z=_&C&Kaqq1LnUE!oW#%i!J9M7mw80}Cw(XJLxxNI0G%`6jFtGAvpk+CO*Je0$nf`?D|nO}c(jxE+QmTFmi|8Ba(e>F*LkhX<((>6_8Ic<*oG<=fG`*@(V zjec8-*1O63y<#}y(;2%kN+Iup>5h+d3{0FJUd0QR3%=FLUA$Syg2`1bVBlk;KMt** zcl~S*IX1WORzEh-gH0HtOb+rKWHSM6uctHlh#{B_M;La&GcXQ*3~a`a;R>0gvK4vD zrX?^MgQM|KXXKL-21-sv!+Ge+1j8^mvth#-jf4C+R|%+Fv1NswBxi3`=DGBPzfr|L zwGJ54aN-&N))iKF>U$19K?mhMey|1y8yB0g3~%7ahzwtVAEO(=1um$801|M`#wf^7 zf6yAU_xlKWWCV z<%2_DFxbqSp)qK}177l(tbFCinajEge()N6qrKe6XwI|1Z`;76{lf+_Fqq&|Hu|ux zV$DVyxkr8+?h{u`w6Ug44oRSYFt{?8TwVf=7*|d)CIFbc816ZJkGabD{Ics8TNALJ zv2qws?LX!%?Y_D7&62liUa>b)1_K~-DS?;zySl&o{nBG*4{IZM&D^)&9OD39Yr6_G zDj>x>>m2U=FL|i(LvrO~S4xJf6t^+z;wXimU`u|d`u*O>d!_yG_A-CreEDg`PqK39 z%0HF~CZkj?kT!j$#1Hdj)Znir{#%uyixdx#Kj1-lITtX2V-C-zCajUq7Vj~<>%I7} zj7i)e3*r;)KDhVCc~^(>_6p@)Z1=&`)bcLY&uN->P$=&a8J)QC+`RO=k2T=u@{wZ= zPrp@M?V|V!&Ndq|O9m_M&QttdK4h3I{dThA@quoa92 zYkR0z_-viXgq7Q6!Qy3xvx^gSJb9MpU9pt>3G(f%1=4ZUEP3aX$x^$=DEX-Kr}AA) zPg&GSv9?$XW#+q!PV(8~OXU0!H%LCRhZ@|@& z$^*{AGeLP4YCRklGY=v9BCJ2piWx z37_&+-mvinxeXQ~+kzLHNx+$8{56zcb+oS*d}TQ9E)MNMPqUy$FbEk{I-ThdYd2ru_nLfWyHzyl{c#oPNvNAjl7HphJh3 zkMom0F@E|VejOL;h>nNTmU_VhE?AwxKP?-%&1RwSgJQ^s{lM^nF{D4VY{&23pzJPm zH}F54A1neN!h;CW0XbdY)>Z+2Fm>SUf#>jpGn|BrL)O-B@aw!|UB#v|g873NIy$&# zZ|M%>$Ki@YH+Z2Jass=}6UVRP>i9XNVE>W*=h$EfK4#-BV+r2!c1q?4HWJnhj2Sro z=HNH2A4q2dRrvf*3#3fD(yp}YV!AwU+r@GDO}?nEv_Q&>mt3$48+rbbfs{Iz_b{iG z4jw#c2c&TkUO4lhNMF@o`{}ZN`lb`Ib@%>I&`*kG{g=oEQf}S3Rr*cqCnegI@bn9G zGf4F#*8JE(3Fcs(Z<{>P->{Ra{)RvA_0L|nU@{IBbrj733{Nj1CbY)(K0 zO#Q75eqQ^Zc2fqt^cT7`HaY!H|FhYaO}FTA=*Z^Zb7fKvpXhUyJzFC7Xf|i!OABp= zg`e`_N54U5=UII6zh4(uFDp~?U{9qC^gC>|yipN z3WwxckOa0|Nb5kqGLP8oiG33NjUY;NaSk%F_Mv~#2U@<(8?6Up#GBei#E-CfLx0r! zrk-bS$JP!%eMh^3ZT0Jkf0Q62^3pd1rBJ5bXa4Iab_aA#cO5->)HdC6I1axFbZ_2b z!kbef@J-??B#@H+ctiK9JG{pqy7ArQ*i{FF5_@t-EAY;s%MD^pn&X|BKY0 zzDJ_tPuSscvo;=4`I~wm<*L6LNU8Nn*pieykW&9A{qO(JrD-Ui4$1bb*+E$h%hHiGV#jXUj;@fpHEPj)$ z+p^30f&QRh+~&y;HhHI_$$q67hebZ#DAIq~X_+zWSJ^sYwj3HeNs?my0G#kZ%GE!~ z-d&1Yj6sS)75;qU`=1Gy4|ZA0Vm9N7r>D$ zkkXZ--zn>_22zI6p#z8H_oKht=cL7xq+-8{9yTC{!Ag}wyzOf2lCc(OfK0&`WwH{V zAUrBFAMEs?;cW15GUN<)KK9m_Tmegw9Zp_r8Zs2SCx?y`48VRAzh{T6nh0r^yp zgIm}h!BRf2Zg#cFUJGoeKE4b`CWBvKm)lrRpdkS#$XMjCVWZDadmZ-T8->Sgi&csBfYcpmqV)yR1z>dSg1#Xw597!9+D5$R-oqBi z*y4x7##(tmWow7scX&?y!7(j|!)|S@b@YI}1sM!8Uhq+$$uq!r)-~8t*$;)k7L4OL zb`S>b@$H|yT^x)K2CIbDG=6_EhKv>MH@`FKnm0U$#F)brg#!OP>l`1m-hxxMW$SxVMaDd#}`c|9M7D%~d ziX1(3RESYYF_3Zrfs|X$#iQ^*%E^EKK+5@>56kCkPD;wdzgO1nI3S}#fs`lD22%R>L;dX&&jw5R zT)FdzjM(4>Lk{^>WZ|~svRg5hV91mXG&ra@c{FLiVe9O*+okKwU#0PcpQO(Z>m~l@ z?N%m1m=43i(cQauX?^#}$&+3X6Gy==(XXFx7wNTH?BLj+CNGkMilc`{O^|(zg9TFl z@jAvR6iBIjsRdFlA&^qbvp`D4PjK4dGd!V#4#LVddq{T9X4u*prBLm);z@n zWC%JaYia^0xsLyK+g|j zknY&f*Bz1F$Vw&TKlp{-3O{lZy|+ko5tE^`0SqFr&wN^15Atw$DP@9ph6~6%h_WI7 zf9}EKbWLQR;d3hd;aD9E>NA~0`w#yW7>e%5K{NE(Il3eL0KfJHzCHH)vcAE`iB50r z_p#3S9aic8uMT|G_WyDiEeB?Ug(0l=*A$kII`JIvkiJxm3+blta9@eOb>j{3oiumf zcwK!Izu4P?FAwZ-2lraJ)Q>r#yvzY?2VfU?7vTbJDFFFSP9P!gpQ1Tg|(dp zQr62R8EWYm)3%~YyiGEn_Gbz0&)2n0yfB2e(AN%2{jp=7^Lx6n)s1p<+neQv$Qz`< zs|6(8ReE1_(+BQ-K!yz-Cc6*pmKp13$n*W4w|+_sr2PL+{}-&jSjinkv~9QQVw+FM zC%RVXqicm}2Sil;whLeW!K5S~@BbP8inKt=wCjI%`D2oHrCn)P+I7KP?yVuIT?daH z^fpWmscz0r6gC`3oOZ%@6hs_xY-AmsINH*ABZW(6^FN#Mhb|auoAU`S;glMJ0|_8N z$s$<8rCa$4BkdkXvI`EoWa+Wz zpH5p`I_1+Yf?$R%8fFR>Clb9j@HGn_ART_*21&Z{2hveYTsp?W5Wki~`Lvz4Sz-hn zw{)E4b?qV$k@UEwaaISHPTMVgS)A3Ge&HU*SscnJQtr95D$AFeakclhb zltmO(eKw9w>d$RN&+)fxzAodyAW?AUcYtQ8o<(kY{U&*;!c+2cnU|$vnTk@rY!vcurpY*Hcoa zw6>SL&--~_t0J|!eIadT?U0TMN2T%5neuwAM#j&5k3addLwR3)Luz#$BGKP(*SyCx z@AvY?2aPrF^LpPsOY^w?EmKCyKl3lCQ0h@BegDJq=z~v6kw;&YJ034BB_IBmJbVA6 zQeMZU!sAa$xffp4@z7_z@T|P3WxZVab*ULMK-x^-Bt3r-`FMvaURy-EEITDlzMLs< z)N3ZC%a)cGUwl@|l-FnKm{)kYf>h9PFI}drJp34r*C{WscdiG_R`mljN%$;hkoQVTj)@@`B$s zvJrz6vdS63FxWZ65e7k=KAHwz5~LP>O;|R;Gx(85^Ap^N940V=Km`s6=scyf8C(f~ z0590gV$X9%Ta}*}QE`HSwH#_dP#pYV1gBFQKk^58t92*XA0sP=4j}(6;DEBIv(_EM z8V1oPyFF{li*?6BT2Z##&zRopR}K`WVM0YaxO;?f0Pl4PH|= z!5(eCZ6l?6m6A)|yTm(%&YcX4A@)Hf&2xFn%jJn)PZ*YSNP;=KF~Tx`84sP$B|4Un z&fj&mz8dh|0C~36vy$<}j9zdiJd8Cs3u*u4ua;l#t8|}~E~me5#aZz7T$!M<#K{DR z@5qe z+x^nd`)y1q?~5-;mAcKP>DP0mW1{ArzFFRn`Bd}1`fu}=CU2eQ(!}x}m*^Q=rDmtk zq(Y^zyaD+ReuAUmDY#lvu@9UDZ^7NtB}*9&gU4W1nKFuB?15&-i!5b3^M_ z)(@}i=c`iw<)@@#xhv#lm6cEF@A@Xiz|7#3%D8kjbp4GC;y28i83z!u6?uY8CY}G0 z3CM4Ma|M_Ku7zLdt~f_+6Q$w{8$$@HL+9c!mf(dS z=v)I2IY59u4qo&T`ZAk!gZ%VSgAonvFrVPHX#FA^XIoc<@!LTL%O{#+KKx?s!upSO z9h+mZk6*joonaLozgnGby^^0+Ld;tT^GgWdD||I%Wv{U zb#2(NK}L)iA+1}tmPU;lN%Q8-B{DKHjV=ai*-~0HYb=o;HkZ~tKautmmP?H4upQ^@ zk~TvoNMv+d?|zGBDd)eysa30%(!6P7r6$t3doLL?V}UH*b4He&5}9~Zq`&I1o#GEm zn_<%>s(mMGYpdo>G;gbO%KC3nyQp^3v2{mj(W-@%ZCqBas&$p8r=g=^k5Qd~wJw|S zS@&b_!Y&ll->~ZxX;Z{DA)=q4he6ofSl`;_R@Tng2q_ohyQblD!k)+hri11VvI9u4 zO|iCSV=6iT`Wb#2>|{gc4>6x3x*O|z>Smiu(QhbM>w;egdlu_<4tv8dMt}r|qOft& zbWy(^=oZ-Vc#{S?C^`{&dQ9tKwo3Dlq2E$peFhs7(FL#%Qa;bIKvDEv+6XV@qf6=k z=#AJ_IgE$;V>7iN1^SONm7h95mQTt4cY3t5S!0`~uQeb2k1j!Z*mXI`G;>WYljl

    E?W*Z_zJ&`sty%I3CTzcwi^2`BhExmtxbjKA`P9 z*Y$zlC-~bqaF6+bKFWC8fpPQ!ZF+ZQ=TIdZCoKb;f;&(VofF-y z_ORM!H^p|(T*YQUU8w&n17DG=KfKy&|8q+BD-~0{_o)o#r!>C=&!;`uoWp)clo>NQ zMg}YxAOjZ;ly={=lcy^^Ejc1`NS5qb(thF!#io{-2Y4gPd={RqX^w}U1nCoWngJwN$WK2&^Zrq6Gw?IUlSc5S3>`?k_F zvb9vK(@Y+yQeR5EUQf!s-&h(pZ)yF|Rw+vBXxP-m!=^4hddt}F7s=wiB8&AI6ON1Y z+p5?!cb~NBJyN3Ht|P6=y&|nDRPx5)RmQ=e3)O2#Wc`NPW^IejA+1Yze=v{S+-jtK z{BBKe?lG?zKib~nLy4@Z<5^Y5)y7)qTQ%izW8AilM7D3|eZp_!?LSNVIkH__FRei% ziG2G#FYgC+y>h8rJ+0$gTGuz<)j40!OKYon+Gu&M95;_z=<~=+T`FliD^~I5o#oZv z%ZK`ValEdLDNuA&v|(wRs5bIe^S9*gx_3*)cRkypllSbsz$b?Cjl9^@>x zC<5WJalX*|1q;3~T=4OkjsD;lG7&zo7h4tb4%{(0j$DObj~f==D~2H%w(nnzuO{5(VRU~gqDLVrN?jje~^4R0UgO5gb9 zB*Rj8NY_s=i06XkU@G-78#ZMG`+)zo9M&sf4s(b#7lAV&tiumnBZJ{HJbh>OwKWw1 zlwdDdM?ghrJ)!vs6yY#D_S>)zjCp23jI@VxwNDA6z^BKd24E%Mv)9UQM1SCqW)6Xs zwzdGL!8h`=4q>lO`E=$##{cLp?6>qia|WL>d(K#+(6_7!YkXNl?&)xkWPD$LNBlk> zR#GfYUn;$1sDQsg|EDi$5BJ?X(7tDGuy=^E`p@kzgAxWw&+mH5>rGylE3Usn(xp!) zw-&!u-tF|Rv>e}3$_*|j1)3F*3~y(U+iKk|Z+-ZVv~Al#Ix3zWTSAhw|8k)w=Rb^@j3(BV7|{yV_~{_@r-IG;1o&8aI+QTILsHzLj|!4#@J8A~Syz8N5fN z>+&I%7{RCH`Q29DX!C*IUn5$qR-&sGhj-(tg*~--Nf^*1?A>+yV zfVwjGz*5S2L+kc_ZU6V;^SZn#P*h};bZFhdsNRS5~|c2&*YmpFu#I`K3lSH2oE9M%QJ32LDqx_KhM>IShfi zfuE#-W$51MJ%$@T78vne)7VeMx&a)+uLw3#j=LYiGXB?o3}Q9?hfKHNPx=>Mr^;;l z4{YKEAovXN!-aH5%0m9qf4?vX{a1ao41zpbifO^ueV6Nt)O!^7TWbZJ+p0o-54;_~NW-pl?>f`c(aXioI z!P-x(hu~)p(FWQKhC5snuNCw3Zl74ggNrT=Eq)4eEk|9F|DR5;0DPO0&v>M$?-WmCh+|pjx zwyNtEdbg02X;?<(ZYx_Kw~bQyk-~32js6c5j@9$e7ppH`vNcGvMiSLdb+#|3NGDyR z^xdrb@DWchUb0VQ-0TGD+VdmBd-Ug4*iKR?>+eL(nl+QgjT`IQwylgAGe)*-IeTEw zKk@S2ZE4qqaQS1BcBNfuSK9TrxmIplX$$stF~oLnn)Wj9j{SM>$2wpiEBOV{;_V zMhtf-U!15yI!;oQK+;jNTsr)uqj-?cW?SCofWm;nL^=vZ(y=5v(Uf%Bj>3fF5q{D! z#==iJ0g$9~av#bf={O%rXCp1?C=m1sC)1#~lTI6h=_s$9#6voUW;W)c__}o742rVm z(lI`A@(d@-l8$nY;)LPPrK7mvh(J=70t5VBBULRk3sZ%t=BJia7noShD$s{#nbZrSALtMIh5D11XET3XI{isHn8Kj8wTi zhe$VmEyF0l|92w-X?)6_JD)uCS{ID9P)3UV zA4+%m&X$ukXKpF^at*0HY>{+ZBhsHXZW4)}vro!L_LnQe>l{Ar$({oRo)w}1PcVN< zW&9DjJeLIMua@N~m_JxXu>RqE!FD?S{|mWt!`o%g;l4hHQ!6n95%plAEDkCvE;Vk7uG=c+otMYS%z4cqu z5BUEpQlgZA0@B?epfno@(v75aip1y+0ZHkU97u_DNcW^;64EdlMl*7Q!P)zB&iDFU z*Ev6&|KN4)y07iE=Y2mPk52IUu{9y2V9*2k!S4x>O8xbdZI5iDJyuadWA4GEk76xP zPHTnc)P%z;(nGb$#Hlz_<5@eLV~H56)mA@IO(a5yX$$THvy*2o2`(cZ2YH#@QEhx! z^&nv>e5TUtpdb@^7KVR{dz0LYbIyj66~d9WplU)ukZ?uyT>$7+X*`bG*Be2pcc{EB z+HR-7dTfq)Qb-{VRSpq0hBFvnxAFSxsi^$(wAl64+CI_7Dazh z@mNH2PxF4x-VOYSc3)wQ&|~5TjiC0~*Cb`_6+CAC8S!Yr0M%`kpq10SCX9xZWk3z< z#ACvV4bNM@b>P@q-TcPpUn7~Y3`wvODto?220$Q6Lt14+fvv9CeB9!oF}8U;$2wYo(MV!t#kObs00nCurUAYP$-sE z$)Io9EyD$S^*djyEMx?gT#^J3S@$j;17r6Y3EV^auUQ?drR>2uvgc8W0ZD7hG0W(+ z4rGzL4ofBnZR)*~ctY3_fdEIxeeZ2y0N~^y-DF|5R8@+3MVg~oN0|=WCh;RpgT{_% z?klF-B!V^4Zol;HJ(@lDJ?`Fby1y1|Liz1FEDASXf}Y455bo~sCG3H`nMF{K5GKe; zQ%;6XF5l<#nn-V}@=XJ3dF0;Dy}I1b3G|=59*Mf~atWaD{TU^cPdBP)_A;8N@w1{P zifrpGW8M3ZbH^r*609ieX!F~zL>y^}{L~%G!|nRuM?i@eD6+QCr^d~Wd2o$c4$Eyx zIuZ#*&1u2+4~mrUyD@zxf*&E-fZ>*#I}B^0v}#QmWsrScvt_`WQEnZ#_X%rwn@lel|>4P(&r2L17#!_Xbo z72k4zzJSS7;7g~X#drdXks6~nJ3sRvPHgjP-#_9QO>tPf7HjbjK!|=S?M(hZB#5cY z<@X-{b0i?{v7>ak|Bo%?{;tUzKM}DtoT@;H^?qxN$%tQ>L%iNDd5IxVXZ5f|qH;e) zo#UQWQy;{P`_$a!y1{;!wbf%+qb}}Qmiv2orHnXg)`ODFq045wYl}v`FVGC10 zLu=Eu0JHi)O?sK*p8wq<4V&Y@MG7WQc6O|$7`?60nvhBn4KN$d6KJ*(NHG=47~NYi zUCtp$JB6R>69&*=(+KC)JdvdJ&)xUBc{u%78)=tESjezO1BtY?ExZ*+liX(3M6IdH zgDg!a@ZJ&g2!EuI`Xwojju%aAd6q*VqJC)GgiIZe*aI1hME$CTzksE*8-hGs%NFz| zG+w}^qBkvx{X}rE>BA@%~K1Ig@N-)Nc1mdXb=n2(Y z;!UdFC15R7N599;6@yi6vV0NrDu@WipW|LmY5Orms|!e64f~myPFY!W#fOe@ewD+G zdfj9xiJ{nIOa7Fci5AVQQ_kKs%#vVlj3R2FZG+@8{za_wlLSDm&3@=QN=_Rn3&1OG zp*!?Q%u{TI>~G8^UwP$E8i~-YvVLrKO6PZO8}c&P>(CzCI!Y6-*iJKv_fXDYHyRtv z>T<^$M#zW^d)b-|=kRoI#SiVGyFALBhLhzGHskDm^|o`cfUjFfmW9uE;_2po4WH-{ zrcdNo$_=W40}&m7?J>AhHoRo5>RP#VPj<>KI7NP9o?Bq{fRsJN1KeNgWVAJ*^N`XE zcx8`2@HXaFJOAgb)>Dc$-mmI~_vb(lJ19G5r&%EMrY4Q|qu%F`x3I@tNM56+*b@8U zG+B3rJ+ZyEUEb}~L-G3kPSxU`7X~Acntv%0<^n>Jy9K7qa^BGwDrzbFd3d9ABvPdv z3!a^xG4fw1vwD?i|ES5&;R_GP37olZp({-feHP+9WvX-eWK^0xw6`XiE*03K*J+=UoyUmVTbIGk zcPB1al;v+*$fE2f$XN*id28c_XqXk=?TeKY#@RA}&1 znd1TS&MYi#6f!0CT!O=8j4LaDcniJiS48ivoz6C->`G?jgs;y0ED4*G>W9!HsZIJT zwy2UJ^(n%Gq0LcmmaV7}N*gNt$7==)AvWFXbX{D}P>&=(%rZt1%svAe61TH?b2qdy zrPlu5=aBWE|641GC$PnYm836%APNq`!wMu0D8~l{yy+-Z+h4O`a5vxP#|pgJnXiE` zIpBQzez?51)wwI0Rp64 zC*uhoI5vIIRhK2il@3%im`hV8bAu%C$rgboNlHkfc&|sVA_l@pV3|KkbCb|X8o+#6 zk|)C9`_e<{Ecx=~0W)!V5m>A-ZH)oJdOkWCd}J`|+0jq!WW6Hyg%68Pq=w1>le*O= z^x7o^Wrl}2(-onWveraxx|C3}bc(YRQo}Jp*R<7*7n4q z2C81zQ^zQH4%L4+GF>*F1g#Sb6N0cct69%X<@-t zNY+?NduVk?&i-OyelvgTMfn(tMxQzbpI5K75b-uE_YuPb9X;FTwe845Sjw==V%j@X z$P+c3gC41i`mzr|M}S*iqe0NoKbNBI5vxSiwu`pvIlx%H=~9^=?d+ERLXNs1Uxn3% zp6DvOZH{N=Pb0|^3=zm}lGF zlv|J5CvkiQtDNo|T|jC?f-;^Hw9uU^>|eL&O( z4;MNbm6&86NL=^wt}?Oil^l}%g-N;N-(fYJg0hG=wjP1GH($n&f+Dei z7l?H09e~MWp~BL4e^irLutzj{Y$dr7a$!RZ5N(YM^zz!lB+xYw0TsW!oHY^mrF9db z)*9%O!OvkQgcZHoHk{5gs0O=&#N?Ao!FRrYIOJ){v9~`5&1c4{;p;kwD2sJhL|K_l(V3PT9Kr&OZ-+zLH=s5lD9O zu}fX{^H5!N;s$-tR6Y|0D}=<{)9IUE;$xZ)DN*axRnVvAUR6?O`qmC&-X#~t9EjF^ z$X@@-&QaRj$IFbI<1XkSj*(F5++}QHM2PN>6^ucwzO|<-Ec>kbCZ!-2J4+5r1dL8iP5Al66e`t3t>wPGL^SBJXPB_oLmR~afsW8p+vH!d$3cYy3M?(C5)fLO~kTu?d zQA3KE6u+#7E0l9og5&iYT|66=j?zLC_bIxdcV>hq6G<^YB8zgS-M@c~dj@6vnKFGW z=A7YH2%vIbNE(Y#mzs-v$(i!&37LglH`$x;^W6JV^H0x^-@oPlkdr`{-Ckddb(M15Mz81v^!Ay>7+lsiI|GNWB(g^lfmkLwy1(4E#&^OZut%p^ARujWrf zL9XCkQ=PlgkHt6fD$Ovi{*%tRcAp2d&K(x`SfJb?JF(*$2@WA|JL$UJ%9jLXGB?Ax zNu;leKe)_dYLPeEZ3u-Cb!KVI53{g+O~>?+qhGxf8<+oe-blbViuUGDj3;~<*YQ6A zWN)o2Jfnf!zX3@7e81LM1nk8IaJSXR&yOMSg1}F@zFA%aW|iX(pn9#G@AsdhgEgWf z_#uPSNJmA=x0_=6zPrj$xpk-dmiGx_AV5VanM@*s1fx7)urN`XZ-_-f{nwHQi>-=? zr+B?fJdL(Hy;7TPe&_heeD*`ou?5Z(0_R{`$vIK)z3AII0`H#-ikc#Q9D_I|4Z%x|0I5s~-H;J)DXDLP zvm$KQ9Y9HF>c4e*z6bA`y91XCr6oN?6Ei|an{GNvW#keH;}thQhbh4&U-yd9>RAxs zsnSY3zh@_ki|-JOx%l{TWqt=1q5$z~3KT$}$hJV+TtUN6^ zG_`xWxg{($<%xFCgM^F4hL)pX2bb;WTYJ$-Q~1-khf^01g+8c5xXAJ8?SSWj=)jYydwkeyJ6(p= zH0Mi_jG90vR%@c(Hg4b0q5)#2xZk!7MZyXlICXeaS(vERdJv-=ZH-_0> zuHys>YdHpFsdn05WW1+&Iegj*DN$3SZoNoX?d-ANdEt@b-eZhD+NsRdtqwu?x7Pd# zLfz^cl_OA_(?z5JSYpEy*^k1Tf?@AsQ!8+M*&|&|p{rwIvQUvUqXh+d07m=zTqP ztld#)dfJK{SS04fx&01e%8lq*?2+PqnQ@&sbaRpF^`n|5fLfLmXkL^u$50XEBvbdJtNB#7Mjx9pPhoRB3tGlVCp z$V^-`gQa_h3{XV(hX*2(ls04_QB6CGxT&`8D^bGG9#Mb@RsLoV^Oy15_#xXHz2l9c zcliyI;omT{G^e7{rqHXkEgO%gzO-G#(eXUA#b53k09r`G()x0O%6FP1W)|Y8IC14( zLsuoiM?u-5&oMoRU`@$|ue4kEl0CN``$hBSL9SjW7Xg?SLMZW|f24Zv#aoWP4U@Nr zS9Om9Ezy17aRd;P98G4AYXT2(MAz)C^-tgVK(HL}5j{|2t0Z0 z2uAgYRSEk-djZEpOvhH8U#h|w4d-^_$o=`mPKZ5RDJ6I$T=p6b!3=rXk904l3i5DG zzZ1>q1J1KhJhOEga?LxWgt#4f%D0BIr2FqEC0-1H zHQnoaMHTg1&#J8uRz=lQz9V+<%F(k`%#GUtS)0T1E5B+xo>m2~rf8?E_-2{V$6OiL zu!47f&y?4fBT8h}ACtL(zM2@XF5L|jios#3Csm!3)U}tL$rE8@r#S@`wV}{M*ZJVn zb4!mf=mH)R++mD|N<@YjIzkfZKAg16s9^9O;vyA@S&oJfOVxjGuS_R>7Vsw5u()Xn zBh4U7Lb;$3&zGh-)-@# zUs>Pf&`xcNVC4jT5xn#QZgO-t;dZ9Vs0=)!kp!qre?+^5F?52(N0{WCzLZLB7V>s? z>6emSIXe;U&F;D3=xlgI;6I3q7+>rQej7CGVH0^gT5;`%hov?~;M5JFBobX}zZ5b&%&QMG&3q=oTS_(G=F~H zb*k6lA#=vJKwYFF?}wxlI~hSCQmco#>I8u`s~G?2XObM|#|JOE`Tab0lAA&2~GOI^|z{tf89oNxKb&`sNFK$<(2Z|sE<~+kxxggYi5$#%qOk` zzkPh)R!xa?y~5ZG_H|o3_vBIx=2TnLL_=ns3Wc72qK>#YM?^nqRXGUOJ2o-aH{;r} zR;dNLBYnf2FG|>@i-%{bru_1v)K@5Svj0?N*gH0*Re&bDVusi;5n$S5m*cMkp@KJ} z9-K-uEHxATQhvo2)yu}aCT1%v7pV`*7)xo#uAcm}ooomeMias$lU6vP(E$&!v>No!(k+$z;e=p9EBIK3*GriX6Wvf(R zJvY@D)>XX$o>SefC2UG_i#@<3EGjTL5iL1n(ro`{;rw*ca_}8Ld0?3OMA!sZs=tmo z>dS-PtmOmCBdo*z$U)hk>_rZu=rxqw0|(v0=shKI3yV4DBU#(B)JygpVU_RM>C98MSAETS$L{=)8} zBTFJKeRR!i{WrtNCYdvBDo_52o?BD1LG>1jwVF&+xP>tQL=+8))u!K?b}U9T`aVR* zyC^EuZ_jqme$Ro9JYlpw$g1RK6FOq+=b_Sdm{lwTNUs}V=*xbL)FT=B?CXJc_EAOd zNV9#O<$qRONg*xcqJLL+`-`X75kb{j>Hf+Io5aK1J!aW#E4X~h?I*5I)16CEhhf8S zlc3fS<#w=a9aEXWob*U0+qad?^R7X&lxski6)4^1&F69{v$LRo9*9M>RBTaiU_y@$ zKtQ*ROiGWp^W7Y7L~n}B?ZlNjd+Xi403STS z$X=7y4fn(w4f??v$1JVx)SYW}p&xOlUf4F>ndiA7v6~SH&J6DDc6P8$h*-M`qHW{} zF`JfG|Meg5V$}{X2kC$>}#XE)# zF9{Kal4MlJk7rxNmT^1(US*sHngb+&toMJ`dS`F={SJyPL60hu!G~wP*9xZ+60dJw z_B~xBLAJ=YFGR)U$K>wx=-s8w()%W5&J1SuTZF-fmD|T)xcVR0imtG}M@!iHe;_SEF0as$DVP#^Y)U3c7 z3&7Qk0)mS6A~YP77~0p!vM8U8l74e&RAk;ODp}kED*KmrGLv`ab#6S}<8pOO`iQpU zv`X~Vbv8H3SPO)+yWFlb#GscTyxCMICz?+3F$N3HX8;_rfhJx z0jYxjU5XA1gGAE@tPPZ!8Ki?+Mxo5=WzLyGyk=N_#|6K1`)J>=40B}PPRs?W^R(7PEt6o-nlcAx%!;xX>x!trT>)fksnkaM3U%flt>T{?H8e;7w;jw zEy+YJ#pK_=SpS3-s0fPxj^bCg4 z0Z6>Szq#9lmY&ZlZq9YFuKk_b3<`c|z2F-G%75YPXl%aqSs6czdxv3#>lS+gYFjhi zU#ke;msXZj(5rp@5KtBcA+onP_kI_V+f zFf_+9goP5DO#e8;jd*{OdPT0jqPaG3anxgvd}`s1wEyrYzmPw-?(au=q#~|fmZ+)p z%BZBti};?K*n3WTTYoC-{7;BJ{#UR2C-=gE-E|x*Tbj$|sIS^6W8xBPb*y(j2|}s$ zyZh92MVFB69YvOe+pjWMWMZTp${1-!HYg5k z@Nj(|EaFk(84qO5dkIeJ@(>w01=({y>^0o&O3m?%A||wOIRXD!Jkk(&D4)I?HzBuo zxpC3)sT1aL>8}{#Gk!@cD4%0l+x#7J6EZtd&wZ^i5dSP-wuL~*chL&o>YC_jh-z;% zWt-9{_qclwXJ|K!yne;I`9ng<G&bpBMp%@&oE#?Oe(H9Py zwPzVnriErxak#kv=9jfzRbAdY)&B8@v(;5uvo`7BpEOwe#Grk@{0Wv`&XFYN@bw$u zeAqQta=HePE$Q!mJkTJcshTNm_@m&^HI!h#yIxz<*&#JO-aW%Pv0HQ}E63X82&!?~ zmusbK1dN?)OTgCY5G8*wI^y%?{GdxCW6ga<=~m6Gd$C+m+yfb!_MolbRki^&^oy&* zXuQ!zZF1YK7D1g58S>UnWM01DnCNTEw7$KVR1)#%!}kN0S}eYa1+G)Q#KMevS8*y- zlSytkM5G;G?jSOsdnqT9B23)saf`A>UeOkn0QsGfzSZdj-NG|SJnqpVTUQ37Kw{wb ze$c-1D_`PcE6$vjz#qfAy=WjMKzUCI0_R2te8?Q@)zO^XJ zBtS3clTyPTENAPYr_e9pKmZVn0*9DP{&ADlSFfNOnL&Itmj?biMoMSNAf-G`2HH9z z)$9bUOpNJ6dh;<*K`xBQ&|WJzi9t@#SD||{#9M_s=wLv;dm2=!xVFwnpkcl(sbQ#* z_8U-OnlzhbKLt9i%?OR_{`dv#MdZjGgcqrJY=krxxx>{ixg6^J#CDcwwbz7 z&R2UfEPw`F@WdPyx|3v0mzQyf4*rajJ(d~zXJdFP3Nb2ii?z1++fr9#J72soWqic#O+bPX%yXPTExLLfu2)w#yp$<*!i)4hwu8&B`u?LX6}VOPYwu@BlNBD4Twlh- zc?0nIFGXu```C&#o_TycZzQw60{49wMjDlXyuPO`DSK`}qa4vL& zI=SpM^iCxLljpBYrE|Z2S6w6gF6!Tiz<(X*WzrprG2TEROi>MiDU`X#mFK6^&=-`$ z2T)+QrY_s&`RGXn70hfdL7$;t%m6GvVV*UZwq$VdsI-Bd>h)00xr?-nUmW&9{^{=B z^N9TdM~)GD^vLoiA))-h5JE?VKPyqVc7~Y4*Bue8HsRz~}S@8msIjP5Ar_ zq*pppat3=rnLfQ_C~t`clsOfo^05`i`GD(gWut($^PTnTrsb{%wVhDNHI(t zP6%nt(>UHdWc?}a`+6ugrR#i0D?GcKtK$G~{>3Rj%E*VTD=1nD=WIl(#7dkU( zngD+L`23H?uYdj!&DZSbUz^$5zdceA8SjDGIe2*D-B5eH=JV}^vb*eLjbm-w=k?n$ z><}E3to2iAby-%!fMae01;?jtLT>%?;`d8#;M>Fg0SixSVtWe+*%iehdF5L;$kU|D zqgEDqzrCGf3-hJcj3wL?m#OaDHx@{$|NMVy8V}2(=S`-x|AWz7Y;;JCo4)+7$-&0W zjVbp#^9TwGI(Q0dMG=!tH~s$8I45`_DsRPJlk&ZvgVpZXC5Bq$y;Ym1bO5jflTvsc zata>(h?lt9=QvWhXPor7R&Cs`I{FZD^wZyIEH{r#IPljW&y(%nzfEbzE08+eC*3kD zl3tmsc>${J2}i{nQ7^W$7w3E>X#HtWG_Xm^TCQK!k$^DJGa$p2R)cQ+%K`5vV36D! zoxH(g2kIs_ZLSaO@Ly$?CsxvNRZkd-oB`Vl^CgVimgG!Po*OI>_87DNQk}yOw143j zJOQOUY%-5w94LyWGEKV>t6(T5vsw;~A4woCBjif(l{x`DQPL`uKX?}`@`2uVozaPHQWj-#<{LD}wS#<6i zO}IUeO6H3ylJkuh4d`Ebb;&q|1RF=nvE8H>f!-tfytm8T`9}pzrweBXw`r z6t}hL2B77Zdf)~n#}CgWpY?Uc$A^l)dk}8^TPA*(elkWAOg@9Z z&QId?YEZuVm9c}gd-ISqmq_^q~ zXBITotM>k<+2u2fDrLlfH=}&QBSQ24Bo-=O#9E4A%(O6?E-9)eCO8)dz(S|IHmu-j zu4+~_7sFm#?Hs0juVFuEfYinWV-85l`lHBnH>G3z z4uZDfJc8tNn28>126BajsLut|=p}#u1yWV>+9uFR-}kTiwNq2HO*Grus9{ARuvkOx z(4fHTzslqwxfKBa`{BUg-)_*k>FCmAnTCq#CG|>0H;43U4WDVwn^X6EKD&Zx_d$d?y(E&pR zaV-}7vWqpfgi&Kr3^BbH z4qH>Z^BQ{3hYfsw#dGLEOC{oZB?F<@dL?Fi&zBDoz9fHmasT#Eca8aOeynybRJLgl z-zn7GmM$P_B-muO_IVr*kNOVtZQyd9$c=L^Frt&vNX49V+0uElYjaokfg|ts)bdtd zA^cmh$9JsTDAdHEW7nH!dykqOB+=x19Q$$cq}}X6kFJ*JxqOFK@sF|pfzgo=Kp;BJ7zvE{0V$O z0%rJF-k?eo%tc?nBLwKyxM9q~ue6?L>0cHyXZxO@p1;O$^vsne=QY5X*rSM`!>y*!OG}xTE`x(H2tRCTjPnTu3A9t(nd)M&Lm3k(rn0InV06Nr!K6Ni6 zQU7!rK0Cm#G)&?D3er7e6zo2s!w^`=Nw|r4Qk+f-&jXJH!vY;EW-%crpo^|#@z36O z#8i`0j|dj_0_3unPWbe@(+9_|{7!JapN|aN}q8&bHax z{=r%4OQTU~+Wa={r5e=Ek}*Jxa0l-kiIag?pymy#h`jJ^F7>Q{DM^G|8f!vy=0c8s z-_MG!6r(B0i^t=}$vU^y7;kut7om$#dTNv*{5WnLZ-oMNf>C%T z73DX084-(zn;bzqr{uibAyME;$sPazsKx8_VmqC#3jLHls#g0XHL-Dak$-=_po5o1F~aUC?U;Rn*`A?eL=UyTNQq&@XSmtrH^=V)$6*wgJHD2HMSrFPt;RHl%`_&&_a@lepUkP389?GnM3Gi z8g|CM$1tQfdyPl}+n*i9E`YFrvbhhBZ2B3KTznu-Q2_OK51Fyryc8m3_^{`k1kh*k z$U7d&e`UiDP=wGj_`LHi{+b^)_8t&ESIUA2ykOcV-I{AUSFpVj2@PKpr+VtW_F(hy z^w!Ui(vTE@?r)2L)&_5U(Ygz7jOY}2c{qH&7BzTcJvw-e$$A7W{VnTnLu5o;PFqE^ z6-ZUN=1$5;L9Yd@j~8XlZpt+cfrX>%Bse*SqJ@r&mYOau4%C=yWA2@&w+2KFoS1Z* zI6?<78!*PQGi30rs~+1P9x%}3slNXXZ0Yz{LeOA7d`v8fBxrW05>`qI40g*Cz4=q; zR!b5%y{Zn_kHP&?AC=Lm>Agqpmt`Nv*2Wd^(cxQ0|KgJX!eEV^=U*476qRT$v#n2?Pr{<=HX3nR-JZWLtpA)P2d^|fKkKYIcoCx`qAbF-_-NG*2 znl)B*?#3}#%212|!@Tj&tNy{$>R1oRhrtKSc6jd|#Q8$le^u5&)IZ_09zomy%z*<>B+QMf!moi#gpb4uG=@Pl;{YhDko#w6R? zbV-qn#|ROeb0)VqN+@19(KC&8YMp~Fim7bbHJb)2Lu^m0)@@Ndc%cJ&X?Wm2QfzZ;Z=HET=Yc8=W!4hgDo^oY$r0~+f=-E zsDv&)iu`@PyQvxKnKchu1^8oGm^ey#(qv6&DaLpK_76WZ)9lHL2?l(i!>jXNk{LoHO^1y>Vg&o8@(P>zXarMBqCP zjgc(#=AkS<)$K8o826pQOZT1A$%bpX2UxC&W5T_+!iG;?26Q$zMI@$M`8=Xq+2#&3 z<%k3cd7V2fc%`j@8}8QsKl+O!#ffcAcq;}j5jei&^A9v-be=HXu{0riQZ8KorGrOP zWtWYE%^S_N;4gORPj7I~)~-3a_PeaOs;TaMpM%5iEgyK-O^cdYi(Jz~t%}XgyJQEC z_oWl5!X7WbRW32QZ$;D2Sy3Ki?$1zZxIf~Mm!bx|kVdar5Nq3}F1f_WK?p)8e!%DWcQy+9UJUq?r)-dULoGBHEptxuPM~3vP zRpi@!49gMBh$SL@_L2jYnrcqKEf^e^kN_4rco+&4VV>l;0NhcPRspdGvTz66q|Jx~pXneUd%U3EYa>>ptg$35b@owYq6m1y*EkygVni#{yMtFq8fv zey?Rln2+OV7*d@>{#0kfQzFc!Phi!XZr{WH@SooomV5|xSlJ7Yag=SwhgUkv{8i-# za;r*N<}orO9V&^O+6IpqgYa<^K8Hz^lgKKK0T(|x67*ZG-sj$nqlrvQ9?XBpWBRFt z?ZM(RQ5LD>-FZZxe~j4(*}`PS8v?614w9sY)NLZ#Nig&KxGG&eDmZhpn>&GXl}JlU zS!-F^4|RwJj)kd|`c==}Zd60u1JS&7SGhUc zTZ8b-w&J-NS;kK7F+vEDK>lYUCCcv9-R-I~fO?}1s6FAIBsYW00PnsE?3>Y79uR%d zxE}yBhhbiipdqsoTHXc3DYXhViIluoo5$divw1t6Xmy?;%h}m=p#+%l^@UHOH5mul zf!~FMh+4KaY24qAAs`2k$wR+YC^s){c^^C zZRQ<`tl~3_ngV+TTYAQoJSua9>*C|Zuxs$+y}vc@?keA>F>uiM+lc`&Irhgz_JS`7 zIKZ~25_bR7m2l3k4^Qm#Q5i_*gqlOitecWMOic`b78f z*($x2vkh%faPH7v?)=j*%CZxoV>^~7r$s&+T03u6*7`qwa^1@+(niLapBJ7_XAlK= z1<|z(X)eiWfWTl8VQ(p*uQt&0GHG*qw@j1z*&=0!$O+7;txfzbweF4As>NtQ;DFTc zZ}!^u1C(Xr3F=d|-)hCd6%^)yblZL2ldFlj-&`=Xt^+pXY-RITJc#`g4pNzOeCBeIRoC~G; zsIcHQzj+Man*f^1Tyhoo5Q6%Pv|~c?2AYK5jm1#x5;Zwps8lhCXcH^<*9?7JoR9k) z3UuOC@R>u#R)Ha*XGnzp%P`OH!XgUCS!0fTiGud2T;BS3E649Zc16FQ@rw`Q#h)Z= z4(NbKgZAq`FLB?}U4Ea184cbIlU^JpK-tlPt3P3rE1|8DY-MZOimJ& zXk{4pLvno1_SPMa_{Gt6z2^uSwNywVt}CHgi)O}zp(UJyLoJns++Haqm}E*&eRH)- zxxsdF>|aLN%G5Ms-91@pa_qMx>1OlKO3YJww0T zFx6L*^2Q;9)d8Ktj&o4$z?Bjb(s4B>HZJVf#L8#HrY!key^?Yclv2#F(Rpj#t()(V z^2RG+?pQj24c>#LY}{;?V#h~#`bnJYQeEZp;hX2(kQ-!bQ!#PWXS6F-1>4hgjgGRwf1tjKJZp*aK$Cg&ay8duy}{1h*wIh~tU4fvs3L$0c>RJv9Yw#baE9GwI$h~oge#lB?HY)9wKdb<6&~PeRBqJkG_|u7cgk8L?E@6--rvk#M0)x zHk}Qj6tLcPPUruoIiyISXtQ$&ww>D&j|^P~eq*7h(>B?n2UnB{q+34orXeOV@sz3a zil^0b!gB1LJnb0%)JI67`l5lD&zM~~r`g|H!?ahV8)Qgw_^->Tb~`$-3H7y^L#ghK zj^OXX`qbIk%YcsH$C{8^F1@fs`%vxBF6G#1|8V=Ypr2hKU%(c8ErYwu^2&>~3lJ!s zBpa7oNz`zi?hA(WHE{R*W+5?0LckxNsg^;H^@t&wRndoDSpT|QTNfZk<}d8H^xssV zjR$n_EA5wDr*~!*PV1+tk0<7@qru-gbB5}Y4&B@Za&r#}Q^3R`g(Pk0=u$~{=%9|T z?-|a``xV*@3F_GVPusl}U&lOdUTi7m|G1^P>N}{FU|V_4VDGzveh6=SQxBV^*YFN| z%by5M6qtbz&l*S>Y>S76(H;|I)Z<5E2dd3BxX9w^;%!e}8x!M)YJMy_&`Ix}o>Oan zsG>WC?CxigA-xE+Riw(bltkGW#I`(j^M}u&%^hp!;eK}yaK+bYelpz%edPdt=$Ev) z9hD$Z_3N(6P+s6UNq5ccH)%na&cWVRS{$q$p^3s>?T{nU9pc-6^P3SaBcrN^q$Z0B zSr{VXMO_ATxm@I@aXrMPRgBR0w4>KsD=a`+pCTt9uvb2-JKP&s^?tI`F8)dOV}_&Baj7m#%y za|o=3%?@_c-SYLr0$-uMP!)zjg42Q_@%0~XovN=Edh~_`bKj2In6^7jUB+(OI;ZLw zg>XDYnV$CN0)iHU=N@K8bN_3+yZvwD-MqWQxq4XO@w?Z?&kawJ%g}LxKOZyH);;m~ zO<$X@9DbJ6Cf{U!n!}f^*J?8v$HMj7bCtq!>8yX>%Iz9R%?oVihRWCaPLqQwXmCmpCOcR@0 zh#MVRVf!6&#QHe5o)Nx=*5BokD8&f=}Z4R4qmZ(>aImg0&%qafWuc(@o$}BxNtJc>zty@1y?_T?!xnw1`NKl7-hMHRVi8`#ZyevKgowKtK#j?fJ z`#b#Ljnzyl)ZHvWMn~w_&p(F0Y*u0)kD05x@O{Yxs<&t3O|FaiPtd>`V}_xl^1p~N z8Gw5z+74~K6|&y*HJb8C;ypme@ZRs~D%0MS@X|<{>5pZxoRhyCO``r*g8cb@wP8RY zk z%c{eC!mEJ-4~tNs1> zk{OKHJoIncZJ3QpOB_=;hJZLcAP27Ux1^h|$`Z+I@qy5~9$?6d#R2Jlf7v|=@N)fV z@^qT`8DsG>Bk;0};ccEo7Wu>_*xUeuGoS8F{dwn#V`kf1fp5jFpU-B9=9_Ux6>W%7W zsfke6scp}Zr9Xom_}jFMyt0`{T2FcH_ltukiFzm@DOXv2_AZf=%`fNa|3%kX2E`F| z-8u;Yf+t9DcXyWw!JPoX-3jgv!QEXaI0SdM;0*5WgX^FJ16gwvQ zQ+@W{Yd!0~u?W;JbU@|}YmY_m5u<@Zv^wRhkSD{RzdX}4H@G=0uX2?)*dqwJFA|mY zPgd0+iWqxmMIM5ZS1)l8mLEj$7QHPO`!v$-141DG& zi5+x308w+JxZJ?#eA(6M`I2IaAdQ1^F!qK<>e3BXMOoW&@+gu#pt>8EFZ0bbWOk6IcM@n?}mJ`25r0{$ZtxC zC!vOgjE~bJZ?Wqh>>X+nOPaki=j1|u<5BbefWF1>5%65>`kwStFg#)4V#EBbeA>SZ zTfT3^K7NX2W?0sjnJ>F8f}cK|y4q3!JLkR3H=Q3m3qF}xJ^VE}J;kq>4ILviPXF5B zQCulV)IE_$+nxhPObW!cMTVDrbPm7$5)gYs?u#j;`EDJn1I%Tdbub3Vc|Gjym=1m+ zC*xi-7pvhgL1)2z;|kWK^6zZS$vi%@-^+Ef-NDgq@2Ox~UB^EBt9)XB^&f1i$;BK}nO zjkYExeDs26=^rUF-JgnYI%RS55nL8JAs^0A=J~nvnfIoflo2;RNlv}@Qyc6(t!YST zDo%a{h|U?Di2Bziq`}#Gl+!*N`+_$ynw}@8fM>K%o8yEYmH^nEtLxQkclEmOog4bi zRY*4r*ZTTt+hNucl}nfLYrox_&GK|@iGJKXpfjcJ*@6SN=02Zxh&iSnZT0-0NDpB{ z&H9RNfz7dG&a=P|gf1r@y|h!!8FUp2vecl!OaA)zX>EB~1%Rr}cKsFKMMY#TMzW*a zX%1wDqr~k@P*p+`^XljdaB(zpopCQ{sV%Y64t?a-?JJb5E}99tgTfD4r{HfmwOFpT zin3X4RBbv_dyDp-xp)Q2zS-Pxn6&Dx(xP9k;$8H-RJ_WBavRPKrC-kPz!zFJD>QO% zaglF~#j=aYU5@Lt=Q_{wFa^UjVUr>BvsJy7>Q%FYW9~Zl6{ckouS6!iZe@|IcF+et zk*sd1ukwn?X9Zm;os>JK2c<}L3Z8)t-g_V0n?1*{Glb2`eExd!u}#3MxXJL*6j)TI zrR*LIvjE+PN+U)<(~xH00y8m3RkPJV@#QAJpf|@u_X2ykf;CPS4IR(zR985vp{l_^ zu~lT>Ni)qw)@9doGxlMYZTaG8Q^s|NmmjBoQ_NgjT!s%xA?$Ldc!|EwRzGl&X4K%e zz$QyT=fb)@EGEgeuW`+B)2ba8)PzQ8w)&O0z>LvswJ<~frP?R=YF3D3G|vFm#b5H` zpKy1&VxrmRzNnAiY?z&VrgvANhn4X`gaWsRDh1`C;gXlayQVYaGyu_WPwSgs@Nint z$PDy+W>^Y(p5e8*SJZxS{5#skXPBuA(X{GHmB%9k6Hv#VZO|PQVo0&1S!2{&FN!Ec z7^p;_9QMdpQQY-j$o+Povwza}VXK5hc8RXsM}6S#%z=;CkNvcJ5QTJ-tooIxIrhzX zrs^>NfxcBqFz6Wuq*}R%!?i|Vz+U>sf5WWQDWp#rvH*kH&O#->u{`&$^r6R1!RDe|e2_cdM?Z_fVtbr?N-i%WSe?%%8aXU`$> za@+T>N|ZHNMBiA&0AlX%v8Gq2HhaFG2j%qo7>4#`0&y&e7hF_fF?w015A_ydkkbwF z)r8(*6E?Y8>#)(IPJ_6gxx@p-`o5jplU70izk>vE zaD}sT=pr^*lF6OjrNaigWxC;?aCFi}7N(QVMED5C+|gr{*WR=C{JA&)HIe#52D57s zSN}blFR(tD_C%VvDWhwy$g-z24la=*kL$>2~03Lw?Tea&KX_U6$x*=RqayzTgKXj4gyV-kHGnaqQ(UR*@8jvV zpP6B6^Pjkqv)%z***`Sief(R92GlP`v-7T1(gB2sy+j!!2VE8KiEiv`9m600LHF|%AjkUKG`5J+ybU3LE{zm%gi zvP0nV=LH)2U*$hGHQR|XB^}(OJZyhCKL4QoH^XLA`yPinE#`?7^{FS2YPm2n0fiPb z3v*dbx>HqFDW-d9jznD~%Nglr3)&$QMJA~@CrVECC&-28G;v}ikEL_wx2%2}!>7C( zNBR=1wZoYoniIS^T~0@HfM2?5bUD0kpXGOEJmu1g;_RJM0p~UXqKUCU!$`GRM0K7z zm!C_z{8hO(6LqE4q2p)CXX2`@3Y?%+1n=d=I zN1V-Z^7_15l9MFNjmL9|*`PrfyR;N+z1fGZ*?YOK+_B+S-1mFHush|B8kqL=%(Wjn);wIc#i)&A8&^A8C zq-kuH)0!FhY^ZN@*#AKsrY1*PrUS zjCzU;bdw+f#Y+U>trK_=oYUl6H1g1h*{QbLtXOy!M^U*?l)Tb8IBJcvnOZj>D}B@+ zDZTt8E9*&okE|rZ%$nvWGn&lE`et#_Kll8bEnGfVZ&p;1`rNj#+bD~(XU6+Ag+Zou zRJQt%uj3{$c^1YKM&hA4n!@Dj#?o1OZ}UP&>KuM2{2I97Ejub)0o&WY-X7|_C?ZL5 ziWAx%m+{Cb5DHV`Js(pz=g;>EhY~Ot02Nv>tUN!Pi9B_rr8$-2TFu?p(iW|uu;w!N zT}+ySlN5C-&$QE$kFhHHoF6Ns8evb3FiZ^m6#uO+p5QBsqM2))Livz7r%h{Ws@E*2 za3A&{SBe#0j>pLt4+Q2aH6z+l0k`j{T z{GxviW1Fh?c>JnE$Os6Cp6nj|&;R%UI&54CN2t}~vqu&x*QW>J+em(R@_-Gid0(@^ zs#s#V0O@wUi0eQuN+LcG{hH0AMNIGZr_{x(?xYP=TfV_h9|B1G7+{9LTkbQ8^kAVC zmh3Py5tgJ5kp^6mmCvv_7l)y%x4PZu(?QARp_Bp!e%dy9j9(aHwufk$kHAU2xk;v$ z@G?=TNeb3(kAV(=ec@vl*(=D?wfNbl<^7XoHNJLE0Jmn>q|y^T@ZFc)62;3lM_Sf^ z$5Ev$O)O=<*NZ0|vPk@2IlX$IAvQS!2Mh}~QP$+iZ1~+H(hU{K9t7}bz7M1&+h62C zw3>1RCkzV=(Kv|8pZkN}=Lm3~R}dnVzHcYJfVRMYGxtzBxo%k)fLGa9-a$*baoif8 z%wE&@n>5O($5^x9U`V0RU2sM`^UH}5zuqPK2G&s`R0*LnX^Y-?|4AY_y?%^&bozz< z()>OBkJjL1W`hNXyOg?WY0s1*n(OQiI@Q4>?_r`81+Xu^hQ3*7(l$8Z;O(n`Ja=r~ zDAlU@Tb+O%6k#1R{kli;RTVP#?h0e+qXRX!6u%#T=k4hgx-(dbae?s*v%Diu>~LVP zZ1sw74MRt?;l|%vZRxk@gF=%Xq=?*FE%qnXfyPDDO_ed7(2mFgR;!Kwi9X=IaE!=p z{rSs{QQKm`RrqPr$r}O^}G#TBchM>(u(@x zmoxvb5&ASUTy8NPvn^2_uwY}z`n_}p#XSK_s{fv&Cv^G1g}4|`zbSC-)yC6%q4Tk# z#DIx5rN?Jrc{HVA^T>ZcCeD9h$PlmPL)S+2^;ebChE}zv3f|4NmC}ZZW{X_z7f5KO z$iy2`SL?+c53T8nN#waUcmm)49D1GCVc_R^EatgYk*c*(Nv_5E@Aam;q6^$QdC#G( zGJRi%wHzuW9-1m+fyZum_s+ok7X8CcJkDMve?)xLB;yx{QmF1X zO0SP4YFG}T6;7fSU-zV-TlJ$-MC!v#rWC!7TOlJ#JQ9x&G0tBQahxzjHZHBvC5PZ7 z%WU>PDmp~`?iMguWbee$U*(HF9fV4>$FE1`ZBh3|T~g|7OI;t!5nZBfnKE5%0O-Vv0$e3X2X`fUW_bl!;eD22)~_y>N}$X8D|egPie zk1vi(zuW01FJ+(?7arZVpGiAC8jh+iInQq-E{(@D4{GchToJEDuaFPucH_jL5B3{b zIzhPh+yh_x%BnAa@LUJ?W%O($L{Jui##mIq6L8zIpow@G5X?PiO++A|6^iC)OU4we$VHK>QXPkN zVs?Hnj5)43tWMy33myolohg+lT*P%1nd(5BU_R*kA{xeJtdBZw0c0oo{p&RZXey@_5xp_GJ~FM$}y#0hu# z?RmgF9V0@T%lE#Rt7N{vCsBN{)+b$rMvHZs0jB;gXH$?05kG%J-iD_+YHlab#_CVf zrBl^`M^q0RQ)f&yNfvEguvgKp_2g!A!^yr9X@3X0n4_kKH1^BYXAAlPzd&6;>yxNo zb8AY|Y{{jn(x?OY(1T6?fuxpI*}z4xL`g@48BQ43r*nm7a?SNhd7q&JL%7K}EIb@I zEI*8VAl;_LOXPa|2n>WGrvu0Md1?G!^DGJ&A?>}@vHk&dQwOyu^+#E4d7B)~mtwEw z7u{6Odh?UDv<3yqA~|~#IXbx>P^2=>n%FtKtK7BadBA6xgNJpqs?@i+G#N9adfHd} z#Z<}LpH*}d1VxvtuTrW(kL1vm1_fFxVW(V|XaEE8{#lZ`7>&#>M&F+O=PY9{IhQpR zj4X58q*P#ZqNGA5^-!^7OoyNCoH9YjQx#X~WgQoj#t&_-6AIg1buBWp)vNNNfv|O^ z&R%C$>7W^Kkr7mOq5dxtu~U&`nfjtiNLB;?P`a10A(P$v{eSO-9tZ9Xs&AY zpu)dvt0@V@VJgU0S&k&>gk*C0oDf@SHtR!bF>a)GOVMX`qRJu_o)S%FZ%ILD8fYa27$PCfOmHa~>EcxQNhc^8a z>jUVu%4hGZkf>i5CGNJn=Gg-rN1E{WVd)yIYz{&bJjD=Gf!ln&>~~x~8ip-UtTLT2NJHWlxMX<*q;ZUFM6r?t*E-mZ|VYY|o zG@t1Ekk?y_RY4e!aa<`LMMQKZS_xZ_Zj*lrj|pD&ihqZ3i+^dPc5zn8umoz>cs|B? zIz==Q%M9d30@n;hcwag^kTeLWMN9X`{XtB)osa_A@iIQP6HDch)Rnc zkPF+RZ*AzB`1$;-r%&@;pZ+?Wt6W(^TfLlG;aB;X<(_GmU-9Le&g6?G;(lJ*+a@gu zh&Z%8v^S*Yd+$aAI=Lb1Tx|K-AnwQiQ-Fz&ju@9XwRF3_Q04?Zlo{=qfrrj7Q%I79 z5-6t;k1)xg-lZ&=*;U;=NzN&>mfNYS?Mg}$W8l5Y7XK9LElR{x;E6`cC_Ni`RtOFk zw<7jeq3C{=$dtI}?sym0J8cU6hcxz%byOoY^yfx$Xk)1bq_(-M?Ce&dzN@cjKKT~2 zvwvtNC35u|yE$w;anQEi@@Z?{PX$$RLCIen9eBJTyvjQlC2%E7-GNH`&?6yIuAUa^ z+Q4*)<>T|DHy{Nr`vd+#12bgs7{AVlhpUlptULT?J@I~eD zlzK^aks7)f4eYUX!1n7x1icA%PhHK#8f2gejawN|<~}o)O>X9lY>l1vXQfWh&{VlC zqPow-aGueub06fa;J__jSKZ|pg=W8V4CyqHi)YgIDMJ=-(SWe(TTu*gfRjKnF&nZ{ z{3}4A%Kirb;j!mZf7UCb8Y6>L;NxbsNu(K!u+DG3>+N+!hXAV9njHgyZXC3MzGai( z9_>J{tL8w}Eu803x$mETbBPRY#6)aXSHNFV=Qsa*UFlhzSi#3LsYFD?tC5O&+G3e} z7q%=WPT(=pvgGmLjM&Ye9;Jly%4+s|ddaEBz$g9`|KHbt`ugZT)XF%ojEGIVMAOQD zF)CTyU8XpW(t7faH4OY4)k;-G3H;WT8PF=JLUg>s=uG{X>xHg1ux7s9GA#^^6L8D@ z7webv!l7=^-~SjSVl~%M#B~9Xr6{p&^6d=W{);O$zRb!Ts+cxdDd=^Q0*KnirQ3FX zlZjVoapH^kwAie*Oy5tyI9VrGQ{D7FrvYf*RCNE%C+Z&vm5x@-*8i^jPIh)WNea9u z+?}}_2hUbwS!YR;(`;J9N0Woquc=JR@7O+i7qbl=>k86k`%2%(WK)F^6 z%WRUK$j5@SklvXN^RIHvHs)?>O1*zMKC@>MqAbBJzYnY?2Vv1v4U04Nhkq_8!c-{D zE^|^xjd4ZP#@7r6<&xmtnwuMi~ENsFXg#o%glG{Kz1(Nv8bqoR%{|f zlf(1JV`bm4gf5>dzaozcx@)~Dvr8g08r7HJxb_Bh70zm!N^6LMlz}!Vy_xY++Eg{N zg9=Ic-e!xT8yxwgRLJz#lh}(j7Dg_TBL&3KSO}Vmq#Oe{`WwL#{OeSIM z+$n2>^nI&40Kz`&u^YT)SK~CI6>n*gqq~STw{=_nKf@`q9SNtxMP9PWMYpmB-&0Qi zORv|b7YnWLf7O-@e*k0$!f=s`)F!cqABRd#TdzmNs^=3PDbu9y8!4XOxVsxKSOa^P5l(G>T!v}oGK19MP{28nlh8CTX#R6`tq zp?c7VT4P;H2w#bfHJMz@tF@oDODDrfh#Wcj4Q9_mf8~Uhl7dnM`jybN+8FaUXe*v+ zwGOE3c+xonJfTz0^;Sfn)(DepnB)wO3!nr7)YOs9UaVw~_?2Zl4&-_{UgU+3UJZ!@ zlQ99!P*i7QJYD-dlU`aswX=c~293hC}51c}2~_mGt5^t0x70ek0tL)6Ey?Dw+C5uz!5 zG@7^wtRJ#0aS_z5qb86>$21uWOiK$~4|oTVj?(Cl>{;|ksP83Z{j3dZHy6o+y8E=x zt}UK~7*+i<7`jh3A@CsZnXJ8BadZaNdXd+w2=$7VPFYo=2;+0q#s&W~wXA4%NMPN+ zbNIb)%KC-<{-VmruJR#)El$3*QiZ=J*=NYFTDS#97k!((Qjq5CbSIr>P*1NvGF5J@BgUW(*&e_sV8~^#!XQ7t%q>uMoAHRQe zcW>VMI$=<9-o8!!F?8AA&E1?9?Y1+mYkvOm*yZ-@IJLok%6=C<*>)O>eRuHHd}RvH z0#?bv3^W=MsOD<5`C)OXhPr&O$)2hyxX(0Y)=C<=XdS2Nh!s4cK_4vyvasyHs3w(8 zb;%n{2w`%1VG9|j6v_q28iAh^oU}{ONkH;j52cS)3pn87OzC-4MdHs5Hi}UOs?Xj#% zII0g6Dwl#`V(5(lR&i`-wiqjvncWgJo`-bO-ib}0Z24EbA}^?pHRuIhab0P6-)9sG z%vBJ&X3D^HcKp+U{kWDhJWLp%9#yGIID5F_n3AmTrGgP+fz>uxD@hG9Z;MTT){9tI z=~n+Ktwtao1l#rzD%q9#mLjsn18wP`Qz8d)TutP*%mO$nq1+hPhWfm~jRtAXfAdlr zKa1^*>vohDS#wr^W3vJ^u?>2+${&*q9+MzPOdwc$1w`^rFe)Q$GC+#-GpNssIMx8nW6>R>jvu%7Y+oA1L&zKW@*bPf9929)B<>40vJ-as?^GPe)$WKk4B=g@YQax(HcJ%vF_XIeNbfn;D7NA&FSX-5 znDnq7O^e})KiQBhN)d-|KW;zD4jOP5+a56@0&?a$nrr=oBx&rQR$%dFLkw{zpsh6K zb_)lhu0lOwyh08I6c{1fEBF}3+mA$>KxUB!O?#0!A*;T0NM!`LrnqLZTPl@n-(0du z(3WrowJr6bdKgC8?WBw@+tlK?v=cr1)yDGf2H$M{A}Bm zWtQcrz-fB6Xl*V`{i zk=H>->Xc&}FgBSlFAX*hdmRUZyjl>-v$B|BOoSNB`KQ>vDrEW>9^q>ekLf3vp27Jb zkC-p`>?nicYwGz*-Xj;)CHO=gr>sJ?_P zP@VpR@}!<`IzR7{^>*59`@L)7Y2D#(*!nxie974Z_K+RPxG#~$(nLV&=(Km#$1kDi zC>Z~4sn~v88n6A_CDZmE${5aWE``)7*792*Q_|XTp{^(32 ze6;TlsZINsWrxI*1K;MI{T-_Ao51BN0FGg?y?-4nUaI}V8yc-qpUn;y1mVi<++MrW z(Eviyz~Q8%j#!@tqG+AK_97_MIxjpR>;3XG%=JI^4dXz`+FgcJ2&dQMVGTWNw~X-z z|4o{~A3$vv>c#q&Dv5Qm=RAQRp*j4o&xJsFERsQv+zz8%d|VR1u7claBMa_Gzb53m zeZ+pyKMHtNQIZ@MhWVK>B4!OJZXxPgOev}S)hvv9l#RaQz-T1XApA|Gd}glW=FWBeh25hl$lSv%UcH=c&b5LZ1m0+KLIQ|AO++m4QwdDZFW20+2QL^($0d=-Axh z1!g=mJO90bn>l&pUQBE4j_`KoLkKV5n|CiY?<~@XW- zS1m!t4Zy5Pkh{IE7dGM)`g9%|6q)^{lH;Y7#g}DfWFV{DyjJjr(`; z6OwT{6bwHFI;u7{?Lo_M6I?{cHjvNX<}rWhOJa+G$_#B9)Gj$a95ZaXu7i>-&p!@wAPRENj%hbXVN}&5eeZTE9o>! zgJEaLUy)+{ug^q+PS|kX!5jy55=q-AY-{)M2=?u<3}?jgTvoEAv;dKx4WNbNk~tiHns{Xb4zwi6?Y`mv2;em;M1R&YwTS^6=!`6XJ2 zx`kO&;2fFFV^VAmUkDBV8dnT;+bqWz>*@Ol^u6(F4XSx-xK(5fQ4*EkD>}U&axHql z!j6RxbdD$O#)sse$x&2|nV}G<>dZK#XuD|~D9+&?gG-sKQenz53Ep@oaB+Qa9b@(t zbw?N2?dnWIy7OSMlU&vvZXiEok&LC^A>n^W`^sZ0b>e}AsKB3Wlo|!w9@*wB-rd}@ zXq9JbybL?dFche_Suh<8lS;Op2CV@{-1Fw@eOQN{i~6N}Ct&hg3w6w3j$4}5M5{Tw zd=g&h7>sE%LdVCw+?Ev>X`(*)$}GNYV?loG+a>4BJnL1~^Kl??-$l1R{I}uj1cz zrS17d#b!2)L;%gTSDF|M9-@h_9auS{IksbjIALd^ASyKnF|$nNY2j*b|au zxecn$Br%W-kN2LN-wh5GHTzX}}HJGd1z2b+Ivigz!Tbg?9VV=RpcwDtC+}vHp+7mVOJh!GKb$sLgI~&S96X2>y9|i zg&!sOawZmCX=5{@r8(?EKaoZu^6qT4Z*p=H;zg+y3DiZPO3ps^&@T>GE zZ#Zf=d;cxT?_CeO^m;z61BCexDxp}0iVOUX2On^F_phnRbHM0&{J}XE?#BqygV$d_ z+9mEG_;BVDzEuu$K8H_388n(pFM4(1P?7GaWU0p)JM>PM)`*S8Q zuvus7k22gh8nDaCP3LiWGvJC!DFzoVAj+siIH*}eb7+=+E?T1mHOjF+i}Ar2bRSv0 zKS0Rsp^C*T@8lTMtUcu!M_X{U_qEy9?vWz?YOPS#FJ|*sf4xHMc2YC8IsvXg?wOch zE{QCn++clWml2*{DR0rqHICv%%1-e{pe+abiGxqK5DYTgt7X2g17@d#nXBEr_us= zDZx>!FQ;z{b+=l)i+Z zltbTg%bAn+DWp3su|W3t?I=#87I>nlaoXKQ+79Pl;NHCa zLn5R@+;P`Cfdb)55IT~?OdDxBN|THu!2vq(&m8N}vP0uiF)u;}8!L-xME z=q~^G3sbUOnnZi%&F%|!?n#vDS)uKztFPW-vqt;7XdV5*^O{U)!iG+*W=q2=3nL>i zuidV1{(@UzaxEBm!Y$S7<9X9+n-{n_)@<4uX}NzGOTNK7?{F$)&FNRQ`2qg=fP3aE z(;ury9PZM1TO-qP)z2e!`(iNE)|5ok(HOO52!-|ehhc-^uM*5KbA2ckYiheoE3&1a z2X^s!Yxph3?;QJpO3@w&%EcC+zwj@fXB>DN6bhv-T{^Xfnmf0D|IO!Lto4}7pHoo%u1a2CH{q^top)=tSC%8+ss5nUoDZ{%K@m(N=svbbNu zhZ)7z>p#-5x^#(pgV=yR%pn4>ipW!Tf48$tTj1uQd((ghq|($pg(DLTelW_c0h>N5 zb5Q3xLLr28=^`de5Y5N+HX)e4?q2F==vZH(QY{7bjk~4J+}8}hiC~YIUB6apIhS|1 z#fLSM@RGSTk~wPYoozet_WeI$m_!9w8LjVgW53R&%#FA_?t^&eY3H1~30U`9rgKZA zXX)bzf4S5q3hnRwmAJpOPYr<02?9nZV_sGjgCEAadpK;;^-0L}AbBH~*eO5nGcK8b#$}X%_0&jW z%b*yAChK^X*$&Y#1<)j#*LFH1(3z+SZZ)o1^mw1PiCJdwOKn^__CJ5GcDttS5r=u2 zpPWSWBOsw*puclKL%bZhuZ)6)0R#&x!fdbL8jVwWbxWPq)R+8aIkEfix; z;XC?jRsPlQTDl)?rx%6evEQN8wgsD0uIK0qCoQ`InWzL|m}a9#*B??FXxt#2_M$q4 zpp4BPf!^g{d}qeHFK`hd{o>%pxz6~#6j~`B?BO(AZUUaLJ|b2o!B_RS+Es2Wr|E)Y z1r0xFCWV14Ao(8K4|L|% zK^;Z5@pVmT`9G9Ycr{&^9TU5KS7_!uvnR1k_$*3@>+Y6Tsf$A znlEfAxTb!awnLS(TMAdk%dGiLsIYQOfp~7U!Dvn$DGcb0k_6;ob1dAd2@KV5?!YT1 zI`+m2JH#FlaMxTDH9#(BTKHkA8aIQmZ<{%Hu~#HUVe7Fn`Sz^qU;XSpzd3FN`7r!6 zo^Ph8AoaGEVa}9a97Ajsv*N7b8eStD8@wZkPZ6=a4{wGA?hR%Qc!{yRE8uu%EWMq( z$ZZu@6JY(?c0|aa$&T-eJ85@2LQ%HjPirToX*+}%UyX@d@=}`E&$L!@`uXl~YolYs zJH``u6*zKj`(vhHitXt<46~T^Ba=yP8c$){X)j3IX;-4{IlMNQf}SStlzfWxUbbfu z<&N^eTX<;vA!)X(w{(CeAsTNb^%q1@j4pwSfG{G5iWzipS@oJ}`|X^%-a)#bp}_HY zSs2l?6WOuSkNI4ML`Ebpft&sTIb3GUuHegsh>HKGlxC`4efiR>0`^zCyt)z%Lnn^s zvDF^P|A>T1W;UAq@(YP84F26%rbtWNZHtty+2BoHF) z^ncHPa7>_(h;#ry)6z-IU`r>^!K)O>gxr|TQogy#hQZk=o_g057d0Ji>Vwd30R<=G z7;F2~d;e(Aema_G&NYSErds%%{wYre+^qRFGfr#R{z4-|4lWAyNe*gjjuT~?DobBg zo&wF4uJax&1JTRLne2KSExb&e#>|2=kr=5hN|@%VLA1qu1P$7NbL*SjKg%M^OGXSq z1BEf6YehF|*H*T?3Bloc5u9=q7JFL0T58EfuaR?{nlBlFjw)NAH(&Rkn3SKQPa<{w zcLPj?woF%Yt%muzX!Bj7KRn^zJ)TDss#yWP5~v@7_GPNj>T@)CL67xSMxnxn;Xqi{ zl@U;Dg*y0wcQ*rZ5npBjs(BrfVy{(?l33F#+c@*=_Y= zS(#D58A281AyR-0Nk}z!V`?m%9T(V(eUtVi)6$l_%fwWB)KH7cb~uxJgd%G%@o(PkW+8n#THYTXhHi@FrMBCJHJTRMuKcT=v(e!UF zd|7>wSfpuLh)T$l_iKrAdOW=p2~{K^ zN`%B~G4^wokQF9e7A9@5ZIQMmuP>G)v2Wb_EY^J4_V?0Rq z4z$($p;1aa-<>2si2Wd~JehB*5WUK1#{o)_=uTmT89FxNQJtx1OT@|`Wm2hr!@SG9-KWI%g-e#@j7c+-Lrb=hnXG%92C_<& zt!jTU!Yf4TgfUX7+21JPYcIaudbi=(eK%3{EcW!{c%oJaG{+9+tc-3Nk8M;9I50#wZ#yDz-%c6k z+HzXS@_svU5iv{{x0agv*3bpb(F^ljn(7hz{gLihcp>DUZsq(RHd&p76Hc0SCv95) zP#e3%8Z{(DP$!#=9+bG|0%my33H*4W(Dh^!k@|f!rLGZ^aqC{XE^C+Ny}&38)}66V z&clQ0$wT`tlzpLTCahJhp zzca^+TZ?4(&85nKY1J3!7%j&or{8}@P4ymfu3(C^GO1yR-86}gxb&FD=CKjtXqe4879WlU6Y6*F*r(0N7vZ(LcL z1b|j42Qvb5KrE3eBEDIkx`C)0v+AXKyXA!E*F8x{bSs3+=wFL;wzxx2KMo4DT!L;b zm)7VWonHHwN7lQ+Q%+JgpII3->shQa&hYb;1DQl>q!boij;ntJsugsOfgXVg>ePo!xw0QkI3hP<%bddJGb(E`abwx^Yge|PG{^5F$#4HuJ*IXQv!w71 z$Xh>8TF6`1nrL>j>kMt}JV!m|*54m*AjGPYCdzAl^^{$33KylRZnU+4WWu5koRfe+ z8h?+v@dtmLxG{_9X2Z{$M(7ZtV60}vt<&}TNGW^-bGFg%B=rgz6Un4JN+(TRyRSd} z7Jzs(Q1uKoctSh|RC5>^+KIF=C#LLp&EHqHTaT-tnAxH}(Mc*!7x^81xoKE+89 ze7MFLwAX*$TMat1r(O9Nm0W#=`;F3R-mx*&>oJlg)G-6RHP5=FUW8DBT>GdDw5Q4b zIMegWhvH7U%|0+2?08}!>}n8c8TGR^_S-*UPNJof!;E%XGq}|{Hq#y^Kmz5p*WOny zb6mUQkjn=AJ4)W?ndLCTH!luk){{f6BRQn-s-BSXO3-sz(gzBPsa)gx%Uac%rx8tc z$9heHF_b`H_ua;1u$~yIhbD34zrpoS=xDDr%Yqr@57$@=f4ZlG3G-|vM5joRV69`- zRZF82Cp0Uh6>oIl2RB1eb$P4Ctdo;Zo#HQfS*YcuV-l;`#0Ee|sbBA~{&P1A45#d| zh+~k17{Gw^Or@qU>@zaL&H!m``3K=3=IwFH11fgO#WBqj(yvxoM#-|I#JBnUTI#P6 z4fH^os`$xSu~v&|tyC@1K7STpsD#;Xi3^vK!_eoOAlPKH6nU2AZoD2EbE(@%kUMM9 z=iIO9(H0$WkeqR;+lrh^$n&W(>_D5M1PTN6nh&N>W~9H!fSD-~5HM8PPa-k}?{AR^k6i z7kl0nfXC$Yo1VUf2N*#C!HnJ8u4Q z4K%x(1tqXjGR*$DVrDesB}2?3>&sJV5S3Ccyd_{wuN{*f^()BykIJi6sepq30srK` zZ}$@E?JhVAa)1PP;wL$XcIU66RPWB4+R0kZ78nex(91*8m&xS-%K`QXXS3=3&YG(G zr?q;o*xTJn?U!m4%__Bb-#0IVrtIc)=iJf*MicKRgCycW_Zwns>>w+q1;My~GZQ>t@5a-IJ;zO1$7EDt7RbOBZd#W^`;j6xG+Nf+Z4!;FCeqQaA*}@tQt$p?+ zRQ#Z@zFDJSa{n1FInUtDHX(Dbg^a83HV5N`&BjB6IV*R;yxB#UHV>K!TVY$hbdc_FDM>(4RaVGZkz)G}BEs>9!<;4|m{gV5b>=pc| zOME#j<~s<_<7}kxvTu$paG3#{yUu$kJE?eRwF+kqFJ&B2il$?)3+}a#X-^eM1QNcs z9)+bVpze&6w0-)D4q(rWg%@g#g+xTSa47pNB=9k|^bA-`aIdiZD)-W}ujRmf)cjgM z_9is%^|JPJ9mN1mDis@fK3GLyXo)u{4{rj7NmsX*}d*=oO3?%b#dB>)3a`GC}l^p26Y zX!&6AcOB8LMmAcJN(%Hhbxv?n#e?L@G0MV+V zO<%H@sF>M8=Z@0;;qRmEw!foq8K6NNdJ_&*F^c3YB_ZpxEg{l%HNx=U6gBW66^qdt_Nn=$51?wbtq=mKcg5lAAXS&m6 zvE2>6{~rGSnGl0V{RYIRamVDafkfTv-g0F+(T!-DU*9Sv;k7cnNQjZc@}KvQwQD+}8b&DN{;;%>Uw z2-{se-)%Bv{BMJz6Y~aNgm%K{d7t_3iH00O)4H}*pF&{c$)YEHC>DqirI>LLBQxR` zI_LC^BY|$1Vh=Jc@4#}*cYX72R2%}D&7n3zlZe}95;-bk^dYJ^$0-79 z{t3Q8=>ju9UT+d14LPmGYG#hg6{t0oBMy}jP%*}TZ3asRV|Sn_74tXIIsZ-0a8@-I zsZD*DY&~E~RoKrT+awC3N|o3(wQJwd5otDA_o_wY{n?j#JI!WRi+0?yLPl<%UljW% zH*phi_TgCV82cDcp!f&I=b^(MNntTW;fe0?%j0!M*Yg6AVk`osxk3_3x2cF&fv2%` z&QVa-M=O+sq2koNk=Cv~1)t)aUkD@u{T-e4Px;!H+{^ZK1v^nA!ZW9xXc@uCyX&u_dAwA0o=0NQm*g`>Cy%p>@iMY z#)ZW3;1MgfmKCl>+Wi95#aQ0|-6$d!^OZ-%C6;SXqjjy<^Y}-9z}k@F;`iNeW!C5o zad7oJZ1K`fVnwu}xhzRbamBS*Z$RwBqxX$eC;shRl?k99_bE!qM{><5tIp2lU147l z{?brC^GPdu?dYDx`eC6ZLX~R&1%bh8pzDrqFwAFr=+W_|ha0{6+ce`0Z#LZ9JWwRM zL{DBY9g(KiZ|~r!oygwY03vA);oR6ecqkKWteQ{_j~a8H9Z`t<+2Po~XJL>8v_=*3 z2(rd^`P7L1HrS6YI{QmFQN&`yc}IFOb|dsd*k&t$_$01cV~p1yS9jN8| zmN6H}BA(;fE=8BGj|*^tnD-*A3tnw8Jh%&&f{32-`M?QL^qlIVDcfi*A>cxO31E~ zi}Abu|1foyQBl2NyH_cZ2BkYiIwXbxq(Nc?ly0QEdk~Nq7(hZoN*biQLApV@bLbv= zV1Rf2?>g(8v)2B;zwGtg`?>DlbzQJEcNG!QPM0%!5ddyi3igRkl?NTZfnN-a=bNTe zQ0{n;I2o-8yxD<#UkEcM1oPGD`kBQ;p>>f!I&}u`hy}U48Xd&N2*&F&Cp#j-i&k?z zKKcIRn38DWoQJUEzg*Sn&pU_a%H~HWRVmwII9EF}3VCCFrn+zXwc`<$E$#Qk62;9` znarO(Y`>_8i@Z6xUx82MWl7J9i4yGIi;cA@$G$78|5~dy@y{B}G$P8cur~Ud;*0Fu zg7rn+uepx|@f*)`T3O;Pl;#B(0Hu51FrMSAS1vqX2>Uv9`?^iz_tLrJ-B|dAKcJ?_ zP&V!3Ib@oJ*cE>~v=8T3_R>LSk!Tsd#-$QOQG>g@ZlXLVTGPYz3-f*!dR&XV{Ftfe zgujtnorR9%DtWdi+1xYsv@B#xE`y|N;Xt3fQazf3tVH2)e}|ZU#2Q7v)z*d{aRM0n@QGfQS#L+tCb4^mG6naTvCDa8z;d}Ts z?(uLzO`5IWY^pleK8=OS*_mLJAx(URhtEj$g;Py=XUL>4{E7^f#J&i-3W!_iPQH^I zmNI*)3Roy_`p&qt*NL`$Je)hXY(!pTg}WTUYPxv>NvU*sAwN!sMkcI{Fl>q7rew{^ za|T~LJ#%&O3_ko3M1CwtZq5qopLgizJyGH9qxQqoj%_0s^5smYL64&5y3?*wsx8K&5d-_t#j%jb3FdnDpP5(Gl$S$)Q4^dj7`BdCA%nMyhzmP`ho5!=L! z>9$BLxhVsJ+l^z_)bvgQJq^?RsAo{8%AvzV^GA?s{M>}q-;;}*ssTF=`50O>tj+?4 zKujUL zVIEdgl`Arfp_kG1h?;@HzZ&3}6y6u+NwJ;Zk4bnvo<5IAi0BjSZDr!OQ)Nqh!DUQZ zT%ce1>%P}g&qIpIDLY=*_QUp*-VD1gb&YxD9?mA&9D>*jRC>ocUI}zw-GL$=FW^h@ zYlIE@2GND~?SY#Bnz`Fc%MTNSEd7bNowoN2*O;^rqfLe?gTPHOnR2IMTH@-ygO3UC zLiglIN>anHGT9{$rD#~11-E~HE)r^EZ7w|t(u&f{{dd;Ym&tG-Kmt;+Ck_~;@+z*7 zai{ZO_bCEFWK7`^u3g z)o&HCTXa2}jfQ>D*K%!BA^HAu1AsJUxWSVXps=`|Y1{8}`&=Gpxs5>PDS1;thL4G5 z?qiQ;QZ$0kX*RCm$`FN=^h=_07_gt(SfGC zy^e_5g9g4!BBsK)uuh(kaJvW$a|wo+du4Q|?3;CnioNS=CKT%~ctaI&d%$n`LcaW} zGlk@o_j+0Zy0}M>3{Q~BBuSROe19bX?}Ctvp>u0mnonPAlKbt{tY33LnH^&(*zQ)n zA2IH6T`70$*K_^V3@cyjzu@US?PNOwc!8>B( zi*|W1@097qW)wOxob8h>UpHM*+Ib?(kcs<4%KGE%t)6${jG07p5!o{N%i_M>h`HJQxREjJ+0D$J z4c<9+`lgxlG5#I0;R*+s2bG?~OQu0jFGL(YWn;2MKYPKi#TUUnMzqwwvI8-rL1Oe= zccsT=IxF2Af?5Q6Vmh~EZ?!IdycilkPN!1S&ycPJWG05ddu-cJ)4fbG+xs=`g+1tx zi8ryXQXp8Q0jl@X4j(7R8355XD*i+d{jEL}iiP3T}*dchW#44(OXW&85edG^w|glsmCMWs7g`vv>wzLJiDC8yEZY>kOLY0fR-XD;qVqt& zve?k$Jg4rcv)-h;i(MN6*{F>oFbWL%B8G2vl*c}FI8bLdrri~H<;B?Uw$VbSt*u?E z-+l#p6G)#K2GfS8kLW{bGU>%`{u;D;-%Ae<;#C8=Vz&!J z_AZ3SuVe)%U%7)#fWjRTeite*MDS0zzwSu%FX-;o4YZIC_44QEcmA2*kOZ{WMTV$@a^Zh(Y^nFwU2i7sTie>MeXeD(1QT^QTi&AEkX$I9I9<60Z_ zKHYAc1HIw&pMl2QZn=C;ny@IzdbHvHun+;KnCFH+^3)Zv@c2@6Fzd00O&mYRtZ}us zADIW}a!bI59RrHT9+R(ksO`-8(b3zv|6~A}Sp@sfGuvIH{sr zMNlijxc>UDSuRJ#Q}|>Gx@vrzjaA8G?3_$Kx7C@20GrHN^GW8%QExs^A897xp7TW3 zL+5*h1N53U`iPq`9Fa#tJOIiNd@qkn9fT!JyN>AJC9mtLZgZnYT!pmzj> zuX6|!`JcTFzQLfsUH*dJ;g7K@mve~_2o4G9Kt7y^2Un~~Ri0v_p8}!lG~tA;hcAP@ zp27!5?my56^B56yjBNa~V!$CYY9r_iYN6x1n#O{PKN3+nf%`&k&fhYBPa=7D9By*E ze4l62PksN*LtAolY#78a9*Rh_F;-rbiT7OGCvdLGYolQ;>l`2L%YyH8v)!)w#V)WJ zo9|^O@3lN(VA6UA@jX^lA`bKiZ*p16&cX+iFWdg&jT&E2A=-cXqn&p2FKbq8^!NuD znf^YdYD8d~!aDUE+isXEm$dhOfWr<@7Yl7dBr;T&Bb(QJzgq!)&F9DSIop5Lv_cwBWTB_!{9w2j~1~p z;h4N#OtcF2jzDgJ~-}a@l@=FK}OG>jHD(ou>RR$ z*EshN%p!Ro4TEujhuAtU>H2YKVnlXCxkVn`;nN1|%#xB^+on%bN)fPVe4e{%1Mj`s zE~-j$Z)I{CB@b|~FNv}$a!cp8ik%sC|Ld%asV%;XpWcu7-Y}NoLHL;KAOED9HfS6^ zKkK{UoA1)Wbzg?GI)%m^ZZF{^G0UPaS{6J3h3oR7tF4dM5BgjjA!d^>tCtdBBlll) z!LjMGXATnq@j|i8PY4#hH@DeWjIeHU(1Ax6^ieR(8`99c#Nd%$^J%x zaBRDB{~qhkmQ*W=z2Pn|I+;9wv9f=7N{0+Kke@1kTaRq551qBbEMd-`{q@XYRFaFaGg?d~UlU(){G;tlS0)V%%yuO3|*UEW(y&6_KPF zL#lPPQ;yPq-~EoDI*lq5!Q72=-`C329LsVIf4dKT*fG+7L{gSQ!6H z$`{B3SP*-0;-?nisn9OE)@s{iRpZynrN$h-vIq6Sw}l+IVxBLiLw7Mhqrm+eas}{E z_VGtt^Ry{&A?Nv&5$rixEjD|&r|9rsRYiAO3#v&lTL;Cszt22A%!aPos8)3Jb_wKU z2Xrt5Oiw}*)Y_=gq@04M+b!BQqmGC9y1mOeP_u$;L;1W?_>ZefCAV!9G3-|V#~S)l z@OJCvdVJ``q4UMQsv(mJf;}tEp$Wg+BUwp|&8Ef0;LO5ro=QBBSyn_t{(A%=(IdW; zas7kL|Kk2<8{Jsw7#QrV;@)Q;AZtu}fmyVYz7fCs3C=Dj(9)YOa7%bPx^?*2EYt75 z2M8N&o`fjeAya__JP&I@BYY3Ha1tO{+Tqo`N$Z;yrG1hfFe1W=$7pjRw?8lg{`B|O z|8k3n7DIgUM%78Oy|-{Y%bj+pTkVwy8SfFsYM1t$4%=Z}0U5Vs8?^tFw%g-gk@@H+ zd7PNM+}xWLL7Fuf?lpCu+Z=g@naSV9=ZzQV_>Sl+A8x*^%+PKi)2`8uU$rMsP(}7Q zW^=s<&v^r%&ZrAdvZNE5RHw@~3{qmKYiYb&vdXn115#G_#FB2gI@89TDo=+bK4X!rW-dGLRxap$CT!wt(MEtEeA!=#i+}0=d@48txm2346GDL-4d?sY2W#5Hmi| z-O*GaFN#bPq7g<_rI4%YGN1oQb}1#XI7>h#j*b?pI2j6Q)(?V2w*(M~hQaaLuJI7G z8F`B~G3EcBL6Gh&B8*E6MJ9OE?d%F9tN}oMsYs+_eRMu2rPXpI>^$@{=v)kRjdx@XtQBIUX&LcX+Uy`e^E~tJvSqksFj)h6FAm!^J`nOy|_rRu~c5ikn8h5pud zI=kK1+hDw^?mWc}ycCZNpL1H@AQ=pIxLl)sBIOLY)j7LFg&$zdHO6$` zh#3@a1||8k<#q&=%be3U?g$kf7`?xMqqkD4mA*EG3r3@wkA z2m`d;Y*lYp&w5MNwNqdM{f$9Nw?5=#PL`XLWOFgz`XpD=PEp`)gu*U-YlXyv5_U(i zHarn`x|nN+spW@`1*^bf^C9DC|?T)ZNWgkk5IpKm1iMA!}U4pRbCc)IVWu`U#ollTw}9H$>^!+fJ^R*{SRH^6}%TR)5Qo$M3N(BMHk5m60587+j$sbRp`9`_{Qo?}`vrF&Mn_;w}2Lj81}2ZyB4eXi~3MbUSg9VlA?5m>-5cd)~G5+yZYQX4_o94_$)rL4kq^AO(8=)~?rA|Z6lpZkxUQUWDA&({ek>h9hBo zSfe{6hQA>1KW(}hTnM{ z{mK%q-dlwjvFttYKuxZ$gO%Nz?7wJu1N&q+!UYKf=Gw~m@9 zG^JfRABAr2Sdj;)K74E|^_FUre;~y)b|;A0lRePx2G^}e4T2=%i*qTgG6-M;%5AFl zCdiw!2*7F&*`vcy)sFD6q7N(h;W_ZthOkR?mfoD=UHhf7Ay++M>ig(iX^95~g~Gxt zlGzS?$p6dZ!DuPPXK2mEuSc#L9IV-fUI+*c(X`Z0vH0yTuvXdQEkP!6%|^hwd#|~e z=u!c*+@6(s#qVDRW@DV`E6W1LCyM^|5y5XQ)z*BIS&< znJUX zH9C(Et&q$GD*~PJ%zznGf|-3kdM+?{mcqx_1;4#FIi&eOmt#p#Bwiwrg%KX=)OvpBnSo zsqnlB+X`_x@l{*cin zDWCZC`i|bS-C)*>O;zs2Qv(CC+^FNfwWU-UvMOjv0XRYl@0Le*CTVb=BW+MlVI)l$f50PcVBvuNi1Ja_;fh(4`5;KCp@AR1 zNhxfLJxLtlJgF|NcbH%x3rEABrhU$`+6f;89=io+;%}(g8|L~dhL29^xG`SRTu27P z9{KUnVoX)nxm1AHn7L?cW@Fih^R4&v{+hP+Yk(42*v%S*h=3ZxTmKb^;TvY;hJXb8EL zp5MP_XS1kIzsDzcRZ|3Tl?<5qECkM4Tql56Sk27`ZR1$BowLxM2Ho&POXfNQ$0e9D z*h6f(v!6XZOBV%Wl7S3+vYEnp{SnTuDK!RkURLk1ao0}RIBaBx9-u18ogGaIPYQAy zSBsWrn>8o(|1$oH>hGXT*VetLK>}6> z#;f&(!Y7o%yj2W#A>UiChByh}sSh7~fSf@WfTZLGJy*3sL23aMr*O4pbH7+&z$>(* z$t$E`1%a8p6TWwDt&A28HxqkF*Z;m>xc^N*Pd`h)B$@FPo>F*Md1zdng_f)`kIt~1 z&eCQIFaQ%-p5Bv_%kgQVt$HUd-wXj%Uj$1LmMp#~s)+s0ejyw|Zf&+P_RTGY z>Q)Cn_OPeyjbRW{5%^nztpLn%tgh-udhvoTH17(xk=rIVERV&~#xE}KAtI|seY4nW zU35guc1vu3kHed`?fKeY^Sg9!m2?)%U-L?whCV0xW`{bRx@KHePMIdF1yf!aQL!96 zRjD>;d3Y+N;+tOR5?D7l^}ZZ^?`B`^5c?6(oyYkqQJR%vXzD0za_-{##b$K>sge41 z+ISd$%GK$MNcTh12sys`e!Bc7vPPkBMC#iDPmiwI1|x-XTEnov+@kt@FF#n36`zPr z6!fcML{XVqkZ*=LMp+^k*;@jI54N+i5*sg|=w$YeO=?&yHVyFW2ormj1*2|kmJPqB z=#H-1=3~RxUlx|YU3X4_-GW4^((P8K>=AKMtZjqo=5`f2X~w0e;D5UNyEBc>)m7^b z)ZX4pzk6R(G4Hc>_#9{-&`pH8kHAcUtGlfp7e6TWa21whu4?vP9SE!v4nzYje}~Y} z98d4Zjah&BF593^0RKcvODXG@(wyQ+e5^Y2#2D*||1GhLjpBk@0h^oi53KFZQ@cQy&qKYB>!?;q-2_kiW2-UY z`Q&t&%F!kzs3+%rP+GZlAyLWO1o&M%=vI^fRu)s6+C*zAJgR1FJB2;l%AQ_dZu4~U zk7h@SDg^~8a>7GzaO2flQuZ_5>J|r*nT^$uDdCZ5GlJIER;l1uH{P zi~|IWH{Uy@TN*|X7?L{LtvH`wDj5L0ur+~wxm`at-wKJ)h4}#1r;kZQp3YwM`NqoZ zS6O_r{c6+b)3mX?;3g7qCm2>i!R@wB{8rLvr`B)TWq*Q&x+MS?pNd+&r|#{$*awQ5 z`jM`gDD4fe5rP1+8##tu=^~*fO42*-o@gs!=d&Rxcf%Px41-rWF@A=n%Wbb3xYxQ) zm|7+rJ;mx`DaRZm>iXw4XWbwjjacGZH?r-fh`1s*Gg${p)#Kmfc)9coPVgV~nvBf* zugNBC=4uTImP?s!=^O3G{mA8x>X|^IYaka$c}!pI5(A9q3Evx2~5=k z&{>988XllvU;saZ)eWxVgA62_XY~R@r^K4WmbKxv#;~FY=53{E08zCvctrU4x4RyG5}SIm_rQey5Yx8G ziV%B_a75$)US7r`o6J~e6AESL(YOMI7G>nvQfUYsI;{w)F9^}(J5aka&HXb_oMlU` zfA7SpIwM&(^t*J6MuYy(z}$7gLvNL>ZV4`frCN}iK$!3Y>7y8|k#VXk-3>RgWmHZocVOlOb! zp+do5FcvYPmP>TWJ8*soec3_g*p8)@;fqsPcEjg5&UCx>ATirYcn-8Mnc8{)IrCrY z8n59stUbd)hO9U4bCcd;?5`1Zz5@o}88l%TG-4PuoBKBu40{DdHAL@{ZA~G;JCx2y zVnT0P5m(H!Hvkt5@1E7Wd-S!E@Cg$wv>C5y8F$6;!$dMeGS6=Aj+I7_ZPMA(Ygrhd zM_dJnv^I4Hch#z%16(FikLf9J92cnb+&y&-&D=OefKl4OQx*@<%V_mFpSgmQ09qjVIf z7~=NMZX}ju7heyvRF~ebJnLk#F$ZKaAFznLmvy#PG|Jomg*lPd z^9iUr$=|+i=i95h$>oxKd;gvmTkZWVW$b)%q{4#+1BzhZqD~}HT4a^lx{D)fb8#Py z^4TGeM}zpxe_tn?Vm?S!+$9`rVNn<%?K5ETteGG9ClK;RtADP!daTq>ajEu}vP9sO zR{sfYzjfMJRa{;2){>aOWCJKjCpt%YNpY=KjeJt5Rd=-60VQY)WQ-V!SpB;)HY0$? zr+nR{8tf5~V3PRHmR%B`1$VEer<;mX;04_;^=NEXKw1h6P)7V&ACwb#0CcqFmM{lp zTTQe03WThcL2M%O{ck?WzWsx|&guPd$Xezyd78I9M?uv7Mh*DgWWyYnNX5VxiC5lM z1H27#KC|SN_mk{7J0_^SIQR2!yQ2ZGUq6nLt(wl)-;;Oj^1VQ(eS~k+)uQ^6aW@&+ zIl+1)Rf*&!I`7;kq<71e!;MHe+n(KKpy6j>zChPzzj1nfNesD)QJfGCh2JMP2@$-m z%rc8*X-V2#%(puu00x8Ie1Cs>N-q*>W+Xkz3ZVj3bnpHmXf8W(oR3L3Fhi8TY4kx9 z%*Xis13O}ExsAnQnu+<++-MxFczROlaUs84*cWZ3zla5-{P5J#$TppF0l|Gc>~L zX~gvG73@kKZ{BMOpuEA=khpXOLIFrdy0K0JUjIkZlKKtP7pd0THRa+{Os-5-OeG%! zb@4}Eb~2h#-^aVu%0QxfKvR9S^~S2L?;R8q)_OE!u!f!Jw@h9QRnFHjxR7$qIw%)|q+}q`M^5H?o2H!Y^`_~m{|>LGGUxM7E3^{fweHQ_3g^_QGNKKv zkS4ABabM%k8v#^Kr}d?UzU-|Xx^fn3LK6}(c6D&Q{T=$;W%Zd9o3NwER)O5A(T80u z$M#$%-4F`AX`-04XZx~Mw`XQ6=<_B!H!uZ93JT&1SLRIN&l5_q=Ll#_qPX_;=faPU zd%HPrgq>WOw(rajt8V|9d8n<+rc){I-}c>xO5otJ;j4{VoR6y(FMk>uMJ^jy_qhph z!gMhm)2DB>P__e0{!A*`QE$gY3t$F=_s=%&0tj46fqWK1W$v>Cp=8#WHIQ-L5BpLu zmG+tozWmp=&ka^VF&sqYJ0;`qg%)kRLgnfg2ufRk6eo^tO@H=Ug?S^+r%^j ztXPwBCN!p*^?$ZFnqn6^6#4L58uK(_3(LdE<2tC-&qP7ijNu=5=ong zo%CEzQQnPww7WYjm{w&I-rw0&T1{|g;(-WTO-`7HuD#+)aHLG)`+$<>(-IvF?eWZG z!&sCu*-n$G9g^#N55CO+>b*lERv#I|jFY5vr?ZnBWOs=iBlF#$_h2vYjO(so8k~Qv zGH%SuNh)Oe0!d>Lw%vcS`=FEq{L9s%y5~w-k{IuVkQC9BLUJ(7QS}Ni+WcHG+WpWM z6XRy!k@C-wfcz{|;}W5x!x@2r)m8e7Q-Fu*lk%7OZLS_rf|wD;aSQBl^Ne7F5Ml-v9% zL+^b@YQ0oV(jGsUFYLH2Jnpe#!V69-csfbuVLSV8*W*ypCnaXv=HD|A3N=qX{~xz?4( z@pszq@0R}G6d2uv6TJLK?N*uoIR16~Y`eM)%jn!Hex0mVwBC$*ZUGc0`&V6Fx`%Cc zdB&xch)3y{D=R_kN!$$iu`b?IsuXYC^MGe6O-u;d`-sPy`W%ZX{388Ea@%d5Z_RSb zFBIUd1_QYxD8f;&GKFpaL80QHCz`9T%olxkOgZ`8KAcb z$fwOx;iCImwI*H~hF7`Z~J)dHm7U2DIxF=VVl zq+QpNHu!@CAR1K=Omp_~?9(nOpyprD_X{k*PEj{5nf5}m{l)d<4o&{5d(PMU>WBFq z%NFHS(G!vO1$^YFjTny8iD}bDW$*LMejuOYsz_qlCroul1^J^y>`!@ea`UP-QIY(# zngns8Kek9ZS!7Voy!L8W;}FqnVb!}P|Nh9~&&$6~lkT-5TR7qNsl#RJpUnbzdM>8{k`Aw<%r`#%zFnekcH0+5IJ|vwG**|<|53WMtacjIg zVwT*LwbuEqb%(Rlwbyuf>DyK-cUPbEof|EWDH%kRN6J_{iZmO><~$NbU%1q(jUHG} zqIX5IccxV{o5@^YVyA%?|_fsfO^BW2BQ z7#Mp|e?A!=nZ5Q`?}DPLB@8NA&(0Uu(@d;cwq1j#69{JGcJ+5t)0zyf1JDurf7Km^ zAZ>$hCe;}DRnslg*)2PA~alI$FYttZow4?QI2X zYdXhs1Zp5g5vH5yE{YL4LsWQ>sA&dZPJK6ML#V|2kn{C~FCa8zG3RJ}sKj__LilX9|d+IqQHMW=>jKQK$2@;M!Bcz}O z$UKxce;fjZOCGQ<4$L+@OqeD#J6|SE{9&4@`gBPNI?t=2r6DM08#Ng!e2@zl%K*KU z1g-2WOJxx9-0L)EvmKm!+Se0g%=*OtF(Wi?bdiayWk2RRa9VWoEh1RbT}-}9jPU`! zm2z-8Fksk>wh5U?vU=RI0wN%W46gI_?u)NiMw=&sVqFJ^(*QI3aSpNurcJW=#<)Uh zODf0QfMvTZ99BY26)d$eNpEWmQ1|w71iA8L$h(w^OA^FFL3)TwK#*FIyXCgmSc7#1 z5uHu4D^2jNoFA_}&|#tyZqIS(ea&&KP>*w;dC?qJLwWEVyVjIF+*}dX>-b;<%mA}T z*}bI}fLbk#{(Vo`>y%1BSYtDM{sV9I<52x}YivEY6V6Mjy<25n6#)6~YP*rU}ws&ta5>B_UUmFXg9tr?tB<%YRT z1`0~J;1#)~d8ysso+4N-yli#VRb&yc{?<(={8)z1^@+UkUM1wdzL;FpT#y<|w9BQE zNq*EdU<-Fh{Shlm{5!_Fs3EGuQB89muILxmtHRuP0nb1)doU_v<&Gk1-vRG@DaY z5#uM(yap*y-QW*=W}~uqjl$DsU|GB=dOint_}5j(KY9HTs%Xf>FrM;aueuydK0uH-v}q{Vz-%UHdOfh@;8=6j;d)bH&-v(9UhZ$e1L zy6Sz1WQVA*Z35Mz>Kz^Ue0INH8qR6o^Ji>(_PHHhZ+qSv4z(wsI{c; z@zpfclVn-ZCdm<~4)6cM$pE(4`U$!?geCseu`lBAuji<*(WdjdQQ`xRr&UI@d%XIKCB2u%Unsk zuQS|yHFIQ0Vm=!ibm*!5Ia7~<&$s78Fc@3i$rKGrM!^JB$kuB(h~PMPa8=SzCfoeQ zd8}Eke*RZ@^+<6SzntXXiAB~HQT3Zm%TMKpQ${E6n2~X5vhs=i>bRNG(gxw{zc{Bf z@hw~h*yn(aj~|zk0#Tt}#)JLdLxN68TV9LP{(*n%49kn-clBk##B=!quLcttG!InB z-@CugTn)5Ec*uWu!f3`o_kX5k{DL~!sK-ghv(vPd0H5v&ywv7Tz^UVheH`Z9Z92(t zo9=JsbAG=u%Vg5kMPe=Bjny~2I~q=Ok?Gvs@GI0vsFidp)V)i2zjcmZ{iQF~a#EPM z8^xI<#w|4#e)_YRp|x0Js{Kb+)2Ho#BGFt{Mz3h-R!DqqZ#-%9$@@_^OoM)C*Lr&h zpS_7Bl#b=jOOFR?I8CLN`M|4lHeoTYsB)GHW%nyEABl&PzY|etrIIk>wOaYwIlAw> zB&p`?@|HTntB0|3cyNi;{PJjmd{aagK0UMYCSev7d~f6hB|h?4J}9~s(>RNBIZ0dQ zb6p(Z(Gd@Lz1+}fKDnyoeC7GYsL(+z#odJ1k~$?QC<-fE=P*7(kGFa6R-|a@&uatu zr?5=(gY5NhDiNH`JawAB$mW6!lPN(FPKwCMjwGpRe|6IY&?RNYnXJMNO#+!Xx>Mm% zW-#rPFTGVpdZdCVdU7-9xcpuUP`3YlWGwZ|IC1}7`Dsbxtfz{8Z~@Ha=q+OCp%HT{_Di_PsB0}xV=4PBohNo*zt*=80%o;?W6N<3vd1ZqfaPP3!N!e zHuF>gxHn%Dm7aV-b39z;b?sODoT;TtaH2*}ZYeyj<}~4{yC3X&Jj9?EWUZ(ngiVQO zljp;KszIX6VB1in2;gNu7_W%k&=1R98gU$$5YbMOW^%rjX+4z>m`wT@tcYODtu(p%9U8)CbHiM# zv-pA?GynFF1K0!cW}hQJTor$x3_x>E>e>!tDktiXaOwHbPLNk)ft_6{oqkBj25DId zjqvN?+jOAFZk0xaMvSW{q`GhZRyjvG50`r6`qFehjtFJ|AmNrkR50p$+jCx?q(Mp< z)f{`X_bv_JKE0;ufs&GxQ8o1Ot(hkoTgl#PiTH2wr7sg?*X0VQCmD2w+5MG+ka0b< zbS~X6_{Ofra&xy-`QE>8eUt)yl|eC3+2$Uf=~hFF+q%`gcu zw@idl)%FmD%Gme2TMN|Fu%4!dwWndxcj!N#=}1lI&z?Gn(b92PSHEr!o-sq2o1Qu{ z`i8`!P`l@Mnauj$2K1viSiY2T5;J)2ec-c_;RYTj6OYt?I;twnLavX0eb9GFLUss( z9Z2+h4{C#F9Qx||zfy1n2;+TNoj~L}FtTfT8BHx%rxlB%zG2RX-X?qp(M>XV9QjAw zEo5QkkJ>C%K8E(Rx+At%o%&O=%$Qol@7~HTB_jQ;RMO(ftrlB)l!oZ#CxzbgC7FkN zE1?u|-TpL@d-0`2^5N>rqc`7)U+Pk`Yx5X6{CB=SX^FTZ8PYLI0^Q~zL2e+^eMrL@ zi2(Zx@jyXBk*9X7wTBXh|8^J1rI7!NXGl_dmk?w~G%m!)JWK!WmdoZevKc1j2(SPO z|7!QW`3P$={W(|1@l?)EIj(;@;_axCaU`yLbeK#Dvf_6v%3}&`X=v%Yz$5~^E~*J{ zvYzR2mfi~cFtim7P8^I*VD~GWR)zAcw*T{XjQk4BnBU7IyA*mUoVon+4T$Z-`GqI% zs+shz1OSUyVo&u)-$fWEYOs8v2;|wz1c;pNumoVUk^}-=HOeXJ)Aw*D27_G!d%Zon zZjFF=q?9t}gVBRW#;a#tX|Hv?0J@Xv<~=(^&CgTe%e8~if7}gB*2H}gFG0rQGZOhz zg_M$lDS48jLVF*i6b#Q(j|WG8w_bLGE}^!Upskb z=Y|8eoLw}BKnl2J$^!!f{ z+v|_4cyje`E)slQT3ypCfz%xCA7+~MCtVXNSDgo46#=WOoAtwZ1gmggB>f1v7@DZS z_Gj2X*0vwD+RS`E9DE17C^M*?*YZ_r%c#WDE|DOFwq={@LVJI7HA}Rg zR&tM)?8`#4Tt+KcewI!E49n;i%p27d`K-5dV}6nPDaRJN-6s-$#M?d(-m5{1yJ3fXF-h5x+ZtWEdi%@$HI zK()H+(`TC-`^ggn=;kmf_dA!)kM5PIC>a)1vd=WeAE)BnP?ePrw1Bj@Tf>aOA}h{2 zJ+ePt1786iA1Vi4#Xd42X&wWRfi`AYDFHnoWjbAwLo&yJrVrK&Dl*|%t3xAHS$jv) zh1lm)h!FfRXdww6f&M3?DWITXpwQr4AnR;v$|u>CJzSXQB1ym_k3oW{zw2xY6@ecb zCwV6S8}L+;8~0w)B?IR-${;7gJ3L60<-;Mk>z{p#P-=aR02T$037<-ag1O`=+*>E8 z76yiaDYL)k`(`_Ez{%c+o}XyP=(c@k*uPXgoO}Am2lf-tDICg|5sCj9i8C9x*o-e% z#g#o^Xk49YeDkdXrns=>MWYHXiL6Un^NYZb7nBUv&%S+nb%|zC&uC{qV30?xr*`?> z?yvr(t`}y0n!DVH2)x}yfxKzfMqnXHDhh75J|u%RnOtOB*~x= za&S|<$9xbn`mm7HM#ur~;<4%8`l-dc3?9JkoMlUSN3J}=bnT%JM(;grczSn?k{`LM zr-y%)UZ^J2&>!GuzAAi(eZ7>*Q`LU|F%T6NOjHG9xr?v(*RjmAbx*{P2&~{v5+Zox zH1twmO|!hNw9=+Keh8amm{Ah=cs2kCV6>4$j2;-Q`m1;25l%m!e$U^rBgN*vnG1tG z{JuA~7iz!F7H9X3QS)(sm8Uu^Dn_#^?Y&3M-5lZ;2$-HYsl+clN!MVL1Bm>2tQpwa z&xUzHpCYry;@h;Z!T_zh@TvBk<5;ZDrRC+m#*jG0={y%cMR{eAq%5>VmqfC-*XN?sl)CAS*@} z&^LLKud22T`}K0}Lt{*rcTyJl2$imC|K`~Xr&8(~J7|?l1}uR`+Z;!ZWQhLr4CdfH z+nlNv8Ld%e-W@o?IS=|@-Y=3Scx3RA50$p}AJ^`((RZ~=F6@hS@Hs2evxB><&x7sk z@j=q*V#rwB`4O<{2jmyEl)fyV@VE$AfB3K*=uM|tprX+J0wa!9_tj;UU8Eb1*2Zi- zo^#p?#lqM2v`%(`up`Paj*4>FVlNqbvtLZMo&-L505#*gKd60JbtXiqmA0g*G$GY73&`D*asCo=g_7aZAxGO;&n^;F@HMEJ+pjW>P+SSIVsSO47~!6>eC+$ zpLy8^sr=y3${CLrnf6OT+sYZHV)Pu09x+fooTJL!YcP;h)NOZE=H!JBA&cj0LHlLG za00r%hq)WR1uK4jg3(fki>0Aik;hx+6G?Eyeu5P}=%lq0!s&J`C|zg&!&lK(}@drVy^UdWQF?C<$`v*x&( zyOD#l_ICXx^UfT(0qwVRjQx>NU%bO^g+*BQmYBGSP<7Lev>-buYNCVQxm$YqW-%Ck z+{_qoo)_^`1Rvmj8acH)wt1b_Lf&qNu7RrI~TUv`1Y#97Y4w@r-jP06Ei3c zF+01v_jo|Wo-TpS6~{4+;xPJ|-=E2wtX`kAHig&hj^!GUKPsjRRwWr{yl-3`7%enN zMw#+iZ!@mhgv%%S;_PAuzyA+gZ{gH-|3*>1EiF>qi@Q4%w-$;OD^T2_SRuh7cyV_x zlHyQYgS! zIvnI0<@rD#LK-B65^nlmef2ApCQ13=XK{^2m|KG*9}R_M-1>|HrlrU_EY-%zbvaIK zt~g)zkO|tp|3T{U_r=iEPxyQ25T;;2X3Q%;34GQ7g^&O`z0>_i#(<>&EJ|_ABgxlC zXZT_cBHv8f9w4xb*1K8j#}Bpn)SvZzjgZ|#q_^jutqHgsN8Wfq>zx0&RI8oSBj#63 z3A}y1#f6An3{LO7%4plrE{d}x$#{Q5md33grpfe$&ThK!wsOw#>;f0>>EXTW>)oFD zN?y$9|!GL@wq{Z33J2 zyJpX$Jm^*%kej?khsYr+#HirH`~>kfYOXW<>CFCO6^}s;3))Z6AV0bmnMt2}eO}Wj@+wvlAIz2A;@5YrwBl4MTHL4Z0_iVNI?W9qv>_s50 z@s{@@@eJoyBN4;31^Fh)@@JxqdQUrfJK%BAthAx*1<8b_Oi6&yyDbj0 zG2>~BIXwC}eM=hYNE^DkzaxHx+=8k@pJ-tcqsnFozhKBT(O zr)LC^rIu$1A1KwaVkf^^K@I1Mul-m|`+OP*A?ZBpg$sjgeIuoR31&y5y4zUnTs}2> zz7%cm-}D7PIP$trQ$rVi#jXD0={A@oM33Xee*vK%(jbhO<#(%IKg5%Zv>qp{{rJyG ze#6ASTKL6az4z&2ueqIJ<1*qx?xnLmR={Q=Utw}ZF;xuvoi&Lh9Pl5t12nLEJbDT! zL&bQQ^Jai0bueE`85^!~X z;Z;Fc{9vphWY*)LBM*1uCazj2bySUX$=8dpL=7wxUX_E-p+VW$V;eoiTmX=#h;1SV z2*H32>$am)#RY)$>aYHsM24q-%Wa@c#~OY**mGS2gCqk7Gt+A3HNS)y0ZL7T0OU~~ z`8;(EGi!wABY$E->;P?8g}{>yLz^otHPo3M{J{s@$l>2Y1xMb548 zhnI7Bw84tnTWag@YU@kzaF6MLsf1s+KN}`LsCw1l@{RWEUnFM?c{a&~5?~AvjWB=q zW%fv#WZa;#B2-tUNa;U~dC+O!Uar`A;o`J1DdtixQA?9^n9&SXHb=A%fNrjFjdUsT zpJ&h3pI97LL9ds&^Z=`Vg!iE3-pgnU?nu~+50&`E>bo~%HNVO5pR15#Ik}K7`5Gk9 z1i2GHesh%39bu6FmKtP@Ek8uLxG8Ibh@QrLPipgLmB zg3iMucZ)v{&P)Zy{dTinQzdI%1XJKSO6?`ev6dCH)xW%XZ2;%W*I7s8DZd1!Ft*FZzJ^8w0l zV^dJ#K5!q+Wbrqc_Uq**9|tO9ZO3CG9CT>;&)h`FO|Tu@`q+i( zXqrJEsl@jB_52;YI*daACq4tZ4;jL)8Ho1C=;PCXWz&Zs2zZJw#PVo(jsd-$I>HNW z$1c+$98NCW;#A=7TCmI-TzJfRwVknXT+AuH?NU$%93*Cl6|Or=BOTcuG*})RYqF+l z&Y->89n@EFy-9Z`z0H*xlzhn@S0uyfh<+wn@o!}lBxfd8(KUWC6l==5b%kJ zTk}n5G_lyt$zv=3mdluDcG_nS`$9P)@s-g72v}kP%9pMHq?9^O9&H zkBv|Y=L_L4+?*(+GvF{bC8yZ&BU4*3Yl1LDisxI+#|&ieWIC-p!djNuaJo~zge>dp zVrOQekgf+2JEI+@lK%OY?7D-fSr#AWd5L1A@86_?&z-%tFgUhs(`o|JpS&kh|Gl-msz`1!zPM(AH>4iY;4|;@$38Z13CeST+8XXx3C0@7*~~S~(AH+&%?VC%<y-e^NrznpkN|rPv<4FgkyO4qP z>nX1I4mH0X$YlP{7BF^ijo(R`6owdsgrrn|#|RdQTSQG!TEUtY%7YE@qw3+-FU@z6 zjnE^IX@c%5@3#gs4I+-ODzGX%e(i~n=2*E?y)L))XtD$41HGwZH`KLbrOi_6|Nh?j7``Ly=qsz%z={z4tAO}rF;Vl;mX+G;q z_3-4R)9uT2znr9UW@J`7MilaO{#+^HJJGpuQ**VwI*&Ndab;flB3F{7|6pB~jD5F1 z*4Ki+se4zciKYw~I@|J9?o0`ei*8rVcDdD`sLYPzo7rdPlyy$lBm;i0lTtHDQ;eh$8v0Gpl&;_N~&S1GC%x*i}|EvOm+~`eZO$ra_}o z@Ofqc+Rz9vJ9G%nE^tV2N;n%m6-qO9_|BR}5Q;=O*Q&H=d`REJJ9vE{JC`_1EJKn* z@kKXdRcV%K-g~Djw2|&!m9?EeAov~0aJa8%UB20~Z|QU)cI)`fyde`+lgXz7{{oGQ z?{jbnySXvyI+8`|vfB9#59@3}xD--2mtG#&E}b1M`u>^siS4CTpA);NLO z7n#vna?4OM3i%V-b3sRTk*c6I$bqKf_nip_UlQu`b1&{l29o;heyFAlr7Y#7*EzWh zTfE+=n?4ivCfj7cem%~PBl8=ZfSOK9!caMCX+SjQgbq=AWsn3vP;+)9#I`b@g2(cW zJp;aLSsQ1Gq059C{B%5A_y9VdwQHBY*TXzZ7gXJ^39E7|{FY0Iikm~{mGJ5c6<5cO zuR3&v2(&##9G&X$W~5NSyNa#_O9IbW)<&pp-@{wkTn}kjRJ3uk_lLzdSb+{{aq0O{ zsJ8Zgmc%9Ydryr^fI__!g)kf`+nrvbWhru|>@dsf^NFP$;|l9(?)D|@!f?S-W{=kh z)UG|1`SgjB_8zc@^f5n7dMhty0A6sH+)#PLc#D9yZ^ z!WrGoRtHb1X&J3$hwNK9{9{WNCYdn@dr&VBryyMk!avRjt*J#mQpct{4+sWVmNfm4 zIF=t^E(gH|+s*Yii+mkOS1uI|)1DN43yoFLW`omleq=dOg&>OL)2BhO=@^?fyT?+^ zgP0Kz4B}vj1b!=nhG$wW4`(NeDXY?*DubFn0Nh9NF4!Nyt^{#2EoeIjz=}-9TZruTfG6TwG?sLQbfPI_rFsMXA)DoySrPT9*71#XYc-) z!3;W8ky^jl#myUrYQKuvKqb=sYv0-V;kUp1x-NO#k)s8bD0S-#S% zw-9fA7D7pl#!@)+7O3%01vflVM1LsD(>7jQJ-Ue|<%yt_RyM{BpPgc?2mh5_*`4%C zhI&d^KYzTvMHIx8purRzMicH6D-K2;MT_HTq~qWa$Bid(pz#KX;Qo4lZuvBdwz<^v(nxTb+=5+^F!@-AR?3`x$2(rJf}#h%9L_u zCSX$gleOnPYa2tF6<1n63bm8OpHbU6#HQ~vANc4Cx}pRFySo2T2X_b8SbUC+n?f=A ziRO5Aa3_12yP%4jp!82dsu-BdI)083Kd~g!HrVy&i0H4TMNf>JL9QXs>F>X@#RpjF zWwPENN~2;`5TYAn_K%+Go$KycFu(+!`T|`hytM-{EobXBfVr-z(m`x(tHmg#Ms;=P z3+#G0EH+-RN`MwOtzN8if;9AV(J_O`DlG$*6(O9SS-u++^P`Wt zjL})&B3GSnh*vs%QA@PrB39{NO*bfpk-1X+BqYUaK%n2xJ`vYCSkT)~U+OJ+5;<+X zJE2($k7GDs_~l2mb)181(plahSw$lnPty3y)`xUl7Yp9u@xyCUQVjP2;|lMu6al+P zN>7j0$_P!(0Gjp}vSW=(6=F)bhu-E57#3NFQ#5B{&pU{-TTMmCKniWOrHa~WkR!@s zwbd>m1Ag&$SP+yNO)Sx+{+xd9M!kq0k3*9(*cm0)VVo#x{-ZyR9PLT`dLUXEx5!x9 z0=A>1xXdg_Af*0ZdaCT1$>7MYPc>ih3p!(L&ilWcEJGpd{LEW6mn5gWvojcoUjqs- zAv<3CLn11UYHrEi8gAJH5qS%)fcy>TufG+9whq7;k#NR;t%D8(C}iG+GChHdiS^E? zj4Cv`UY0D8!7A7KUu`qE{>O0aUnb<}T9Hv2!d+L&;HR#G7b)aDizXC#8uSD3mEjEj z^&-RELI!!Ai-~2)vz5*&vFcEIY8Q{mpFw{0be2OY=WUU3iXMp{q=QCMTx z@Mlr&9Y|?}C3jx-FjH3t)T`i!{&b-t=1`!l)8-)dvARIJ-vf!T<1)drw~BBW4T13< zhxcs+v4-wl1db@D_rYaZGKlq_O>dB5rGwxVKeH)XDD&O%p}-wAqn)75P^=X6eUv>2E#{ZLBOavO%v1bO?u2pzga+^Z|r-XB=C z`&>g4%#E)hs2%9uf!z<)wU@ygR26?RSEX1&8zFzhK-9rZgqVXOU&>R)3HCpMs|&^_O=e$OAOU^ZIgS2Ml9abFrFS%zBYE!ck8ysOHFw`z{a*fHTr_UMrfD0yU)^@ zz~yO@v1{TV_ji&%>#nk!KxgwJ?}3AFdJf(Uz6z2QyX?k{7MMYflJMK?9E_{o^^R%3 z-n;_m2K4^(m+c95u>2e!s3H5tzEIr6&pUKQ+2_!w@J*0foE!;ub^N4 zx_`f>ebu)6h5dvY8r;O~5vBU}o}@pFfm#f^b<-1LnZjL0CF{KbH4+)$_V*gS-KIjB z3Lbzp$Np_$S9?@jt~>X?SZUB5?Fcqk$^EQ>o}Ek>MdA&{4fNqIyU%}WYQ?Cg3JXyS6%^Zz=hx6GWs_EHqH#9R-P~+hPhq|>Tg!{GonDWf&dibaPnz(K*xZ+= z7)wJOyS5WjP9E{XinvPeV2<_26V+}+7F5g^HL7r&O^=GWk{mKPULQu-Y4eli{F105 z?c6PQRi8@kgMS>0L;d@3GlfZNDp9fBkAe7a6N+`oKid;wYaA$iiUP7FID(|MPP1t2 zMhoRGZKq;8Rk*(WMddRb_}tpFuVME6)7Eh!?q7hG?Nh!&d{jeGa>2)&qhYSw?Eauh zzF+;H?{kAP``*zntQjfJiY3mA>&)m4L&XW-;qZh|2D{MrIAS#V*Zvxi4_hG<>>PG7 zB=Q(87cnYT7rJBo>*;^6x~KuNr6wXXMt__0j$S`@t0t1iJ=x3F@?lV<=Je-09IL0N z2XuBur#cb{m4{vFK}FY1G?G5XyTNPP`fHA z$Ub&TDU+mXG;aFcch2y>i|ao_oO_0^HOHXeT~K+{LHtv-Y_TvZahz_uZi{a`0tD`( z@dGhaSxz?_s)@?sk}FBJe@CZL)4)%^)FK54f9mpG=J;92(GSFKe`0 zRNp}WW7kiFurS0r1lvlQRNr(SePUTE-a`O3+HUCfDI6=K-ZO4cy5)|D3g)5Vmm*={ zFy<(YekK(uZYZ3uAJ9iK_K%5BhsK~vXo3l>ReIs7x;>dHiYCNE(7`FR8mZh_y7leT z9p(*3h#!k5>q}m&k4BxM(l$@JY>oFXsF4lOau>EZyvps0t^@7>LcbjDRLaa>67W1? z+e0^7!DeZDhfF>@bgJ-gt!M}c8<*2dkGABi@9P=*!zuI(8|b+>wyS8>RS_nMqWwuI z7YS{i+?yV&A~N(m%5`HIoBXQX+m$diZVsx%8vWOWBoe=@dkRQzO!ES6dLpQ}xcONW zL*+V<%|#D6fB?+4Va~RG%43rh@*dEqWH$0Iy&uKpy&X-YM8=mb!12==_ z1REr@`-^d)oQP?)1}+Yf6zwOVbmt0b#r0BPzE?CG^*{NJ6AnaD^+M0~FkF%}Uoavp zE-3lt<#UBU57iF~cNnkUaRZdwRV zQoUf6?K&-VU4UeHHm+v}Ox#HPT5!k%6!aTr9s?4ZEq%A%(+XLEkA{u39fsZv8nK%1 zd>&pzQrHYW`Q4D$d#M1r`l$#Q(p|ZU+&CjHy9yOzpnR7(X4CCTi6ySo1G^1=)AReeo3}^HLuPlC68-x#CF}Ny>xUE` z9V#^!|LFV%?;aWth0ivwD2yW?%ENyDrZvVbIl1qf_DtoO<1G!J?srmZCWxSJB0 zB>P4eXlne6Xkd%#vIF#0r3({;!3!&cF&E%9_jR^wD#_UY?8-lyCYoPIYD*clNw^Iw zj5=-ZaJ741sKFnr$#bOx;zrZ4DYzVr$4+-d)>@CZIdl<^1EUGG{Rk3|Z0DGSmG+mP zaL<#2`wq4~gQ`qic=Tk;j?dvWRlEPqGtaX1O9O2y8~vm9|ASId$8OgYtq@>x#K>;( zEC!C13l^mT&kXk=1kt!$^Vpf#HbS%G#Y;6q<3&hL3ihS7gku*k(zOiy{b5r(ZXq4C zFkZzDo@74#RUyplR9`B#>bz-5fn=L3zb}8%J(SlypNUNLa^Bnl8fW~Td;8@WDohGHM6R+k`_-0Z0)I}NC6 z47Sg7Slt2my$0ly`S>yF&;vXKQ1oKjI$Q)K5*s!YVt1z3N=a?su~zF(B{5%_dMaab z4k=-gkdWwQk-8wT5IcWaP#Qt_AR8j;bk%blwH{aRDh%3Q)qCdj>vfU7r@F<_x-lK7 zixppQv`&?}xzxMR_7*t)QG6vuXBW(ASFL2=$WS@N4ysB$uQ0%l*S}cf`|(;Lthv{I z?P~?q2cmKXmHzCaHs1MNK6x}nSS(Ae!^UfnXzQ>m3kZjd&hG<(2~OYT=^O*I*-9^0 zM$N8}k@|n!yMg#N|3Mgd_?Aa$Mw!PjlRai3N+av*tP*`oYwJ=})JO%%@z67*A#Z_P znW|&n{23=eMdA^UA^vUOHY2amxhEzlc>jUNaxrcB?EJjjK)tLwE|S;pqSD`70$iPT zozwOowdL~qI;UmQHU%@7TeFEKFOQ*!Ta%_ZQv!v0ROkKqE>F-UYDAr2^ zUR{w39}>FmNBn-AeRAWb?b0@(i;E(8cV~F{>)@h`?8P)~uc7Zb=`aaQz| z7qSHXIB{Q_Ykp7;CZS%LRaq)3Ky^04RmSrdgHO{1A^L$9CB;@^_M%-3V37$!X0~u> zg__tAN}ObI?G8kwJ|X^5g|t`Qh9pj7eH}#E=`7Ne4a;|BqW;_yObY7$DK;3gz6;uY zjNb%b;8Lf3L?aTbcQb+M*QSIUJK^AO{MT2jmXDQ`k~l$^O?c+nlLZ!~nU>|!BPD?M zJW48c?xPhc#Oe$F14hnTnfVp|?aKle(CSiCV3Av);7U_8AJ>0EDDk7hF#`@&_GUZi zba2SxjyJ6>XC$U-?LRMQoF;rTef(K>4JRP@pT_W1m^cXHCAep})8>NXx`zx~iXg<2^#fY23ADZRaOlP-I{2 ztRH@{gH*wL$&)pPG2?cW^6+@w3dT+Xo5;+2$Qe(Th$*k&e?b3gA|%|C;q3+UQD>+Z zrcglYHHWXDQmv9x8dSjGETCx&MQ7CaBU}@f=$>*Hsvj^RUVPfY3cV+1h(H82Rt2>X z`qEhDLS{XmmOTRf!z^brNKb$2Vb(6 z_1Sd4=U_|c%3W^)=+RD*j)^6<)L zduLUJaPb4Y3wa}0?^d2v*}93WomlfbV})@=`c zw|PQ?Y7L1KDLKw8zRnd}PV8zsi!U#{cx7*+04s76E1aRd;yqS{DK*I1LH_AnckMW? zhl#Br@TkS!X@*bJC0$eE;?YavR_E0hknPzbQJp2n3O>pADOVaDB&Sf0r&@{!H+s z<`XSMvOt!RyRA!2hSIOrG`Gz_a=V$uIEOny?*5uqdj!n&Y@g zA!aGNtdC2VHvO@rq+WqsB*He$T5$V+2d-H&DGsy_wGmuk0h|4~}b5_SySpJCaY9@r!y3jnTB zT2?{{pB`=$>aE8aPf_XID<@mO=#vXMM99Y;7MK^HmKql@jS%k#oxjcb*D~n^UsL)i%gGezND2`TaEIkfZa} zh}~GOBvqxs(;v%5ol3D^;qFgIM+c!Xsd=(g&S%|f?EwmC-~dw);^6Jz1WhznDnG4t zV8-4K3>%r=&swOvf&&ZxCLJ=jQjf#e^w-|LS#1yJJCVhQC{lhNr@+9G72h0>tOxCS zQp>_UWG{y`@L9Z7VoqSVJqkPwdwWWk)KGGv7Kemp&}(Fs`n6GK?1Rtif1PR!sX1Z* zu`#fX2!m088-*&B;ejfaK6~N7LFmj+ig+jBGWRU^Q}X#m+89@s2W!CEHeu;f*`Jj) zjeH%GBmH`;=5xI89iQKtpDYC&#$CGBp!df75vJz5#e%H*)#&uwQ6cij_8X6#lHH98 zdcyuf@4gQQmI_Us8IF21J72|(m^S$lyUdP+zV|A~vj?Yv-qT(jVBXZYY<(aaNm1D5 zV52R66dL9*yd}M*v z1Bpjwd5WL3s@=7-7h9;BK{Q#+6SC_SUihI|=H<}!gF(OUqBcE2PktBOi6Gm%{DPU^ z^UaMn zMxtH?^v>En@j3XSr8s2ZYMg(TKd4ihYBdF@fCcd4G^={O>KKw{1!bGY_C)eIkc%J` zwD>&Msahg>%&r!V%hsc$TkctR*zDijK$wd zGkA55(D!q17!D#j$)OP3c3qwUIA&n?(`a`NCjW$bXtCk$Pqg!+L9*;6KajD06e`S_&kFPN|$9_*Vp-1MIcZ6$05ssdb$rxICSKC(v1a z*RQmy=NA0@qFrr>dkljN)n#fqNt<6)}ZylM5#| zk%>~4cs}XD+eK-9Qk9b^!!Kn38;_?Asy=pE+x5j8{%e8N@Il5MjymU?*C)5f$b{|0 zcBZMa5Tc;kc29pA?T|z@q)KJEgmth$+9sK{{v+1C`4JjvDZg>K0-(QoE3L4 zeIORQ*=&0Y9p!w$LhK{CH1|bWn0zVn#-&FGxS%ZrgmlgbL5ct*s#%vsfWny7A6dx7 zOz^|a0CoH}s06@J=NMU0p1-?i5~8XW6`%i!)Z=p5;^R^)64TICJ@Q+${N1 zSLH7Umv_S$wlCQP5;@EIF$3bOI?j%!Fqb(ctPvvp9Mm)#n4d%Yh|t=-9#Bt%R?PQ) z=N(XzvUpI&Pzn+BR0rc<@}qYQUC&dX6Q-+S-E5z*KTK6B?5&isSjb0)I+XF7@9&ib z=}$Uc-10S?%zUe53z*B+YqKrhJM?L;-KTAx2SmOvW?*6~$3D2O3#PeBeDfl!pwXDL z&Z$=I^bFc3!Q=YaVXaWH~B|gf{_T+g5?=-t0^=FRbae~8I z9Ju+I)b8(@j}d3wq#3nN^Yq{UCScdn4}CTAb5Xf}?9o!mk(755Zd`Mu#3F-fBW?@2 z%-o0k71=>4-_C{sTOeQG8?x#*@nI^iGOv3IK5WmJ9k`T1LRdU=e|zq(L=X#-)D?~f zg$j`T$Q{eFGSk;%PKyn+vdYx=nE$^cT8GhdsLzeR_e@_2a?&nS5ssBT0KoqGs=hA6r$KhBad3Vl8gH z9SS!M*`wAxvL`An+Wuufiq=|}v=)s5c_FU*yaeXcraPs5Vl`KZ~}!IVs^_`2^5gG!^x-2XGNgNg|s zu|dnzpTlA`j_j`$R(u0v4bmlYZAw&cz&4g==X9*lhJ?7|2JPw11&m`}N6ey_TztuYKOPO7reKJ=KUrx%X*He>!amw*`W6U1)h^*ZPS1Wa;Q zuU1PQRTMg8M9r^8z=3o9>oh$Fh}GT$ANq^LJ@~~?t>v@OrL6>})jKf;!{-x4(^g`B z9U!Y~PFU&G@5o`hC}tUKuJg!p#)o?@gjUN$(!{ISfXtA$3>{9V5#X)9^QbtRHr~~Q zrp4%@dggb7kWZ-xsv5O!xZk*iD7C-f$X5Q5{Mu!XIcvZ4@zTq<0C0cRQzfX3IO)Y* zOWbm`*bSq}7($;Ised8W(F(g<1qaQgHMKBoTGz&82?bfs$@#0oay8}cIUAED{`}3T zWVpj3pEcb%4N}nke&TWyH)fu@k@%t|A?Zuuh^v$x4;AWc8&FJo#69haOjYI`G*1Ye z(;O5tACyqqrG*J`@jA~sWiK}BABCJYU?p^(R_$AS&F83hh7f*G`sK1`W2) z^(!a2EiR}P1`@`w2f7JIC`wzDc8auPu>(&q3syHGgzrmahhKe^fj28YX^E-m14J;T{BB?S`QUs!+H+1|Yk^KP zyoC(>e=DIP>AzJK;Bi9K07;myjfaD5hfY^Fa|!myqrod5PS94s?fXApI`nc)9f~B= z(5aQgr$Nl5YU}! z$ERW|_#M$OzVjEekcVco;RXlVcu$sC2pD{vG`AW&P@ zdFxWmzDc|N;A*mr+B$fzU#fyHGUn2M*)cO^Z9!33m2Gf5MNp~Sz1T-KtM;CHq~4Tt7evWq>3Nt#H_WQVI2D<0PUVAlj+wacp*{Asqe>7 zextB^H}=W#PF&%ykpZOlEvDt&^!DvpV0gP^&qylAREw+42Oy(|b}*{#Y)zo=JnkJ( z7lQLcrEvnvj+|S^Zhbh ztQOP-g;a|qLPF3!o!0(nq4BQ=$AOh&xGU#rAs|&R09FCmHJfJ z2aDmr`uk~XLHplncvq+SRvb=u*C>FCE{or*Z*oYNmzUkS2d=imDlivvE8!I$T!4BK zu3wht4P+wzYBdJa^(H(;#}z|*2_G?s(D8TX3vBc{JS1B^PvgYWYG;4@cYFm5cG=IB z=2=$SFI4HRuZL3PXI11FGV}%rM*ySH15(ZQlm7?MLN;z7;mHv7gPnH09Ls&{Z`{0y z!8i`w>OFZS;Fk}=W&F7iTi)C(dNOIN1)}!C_ zmfrmEShXTw3VCp5XwUgdNYT*|O!1O&y_1~fO^71gd@l43IQtz&p$^>J>1j6J{2?Li z+6j7iEeu|n9WU4_SxNvU_NkV}l(_yUER5QL-CJ1#`RC*A_&|b04EH>-iws&L{{tzm2L06!|W35j)40Ht1Twu<2DV3!bz1rX-QW z^8V%WN-OrXt+={Llkpi;dYsW!cjnonMg>KD zvX9&yG&oh-Fw4;Y)@~>8%aSr zm144@l<4C4mdt)EGL}>1azRv_FW6%F(k>9ALk0>vqu8CCERJOsUhgO2a?NF#+utQd zN1FII_dkq+M$DI6GE=WMDyeaUsMi*=Y<}sc^`sfnhc;&}a(3x^_}gU9r*GS|w3ufB z=q!^hgOB^#MWk*irpBAizn^=MtI{-`F!NOrGC~4-K#``xrJqK;; z+J#J>uF&0%sJ@|*h+6Y|g)Zeu+{0rUp8cJGlh8N!$4!?S_=KoLJe|d))Bxmn{3aggOiD=FBFCS{MN-C)c#DXS$;`T6#A0J}R(C&=}d|zmrDIY>U z4ZRw&1oP3IaW&nq3@ksDR7~H~gW^qZ8C`-sspZe&WYJf6EZ5p&0)MAl145;gQ^&ic zHjjtQDE%%mFed`~&wk>*-+Fiz=TF1?e2eDLX+FQOh7H$QWm5jLhD(F*yZb5#Wr7xz zo|3h_VFR+ljW1Lc#2&f`Jw*3s+RQwsk&-)`st>EEM8j)e9$FLidD}A?lkQA+sWIYo zLl=a?Wkvha6x@62xQ_d7oTiEUh29V6nO|+CJ)j`&{NVG@s%XIEW2{!mzf9%lVzo6c zh4#RB9BQ|AEi~_Bu-IS}_&z*qTlM+41VVvxX@1ABC#~*=_nl_glRBl_@ON`}?*F)Z|r^kG4Q88pQ*NNfR9H z8Zj<$%M!)HK)#$NQ7ioeGtI3y&2(zb^a(yQEhaGsf@t3!nCS@AEUN@t%CDg((MPBz z%TYvg=U4}?zC>*Ecp|tvQ@pih?V?0IpcUt?oRF`lyU)z%|MoGBAbm{TFFh=hMU%d2 zOLsaj3Z9^KI=f{~yZDeo!5|k&Qp(M2c_(af-;l!Nw@7l8G|D6cF0qXb`pW&iQJ-Nh zQKg<2G^pORCRwj_;D&k-vq_9P*n*4CGw{Wg@~Y)r8|LI3`F$f3;(HI4IRj*(IZ1Wb zCFx057JRySqYS|)RueHdJNnpTK-#n9wJSxxw$9SNUh8V0)nltuH>NF1w@Vwk~4R`-&Jb&)0i<;MHh8EHhm6PJLRR~nW_J4U+erB8y z=J`U_yV>PT+H+cQ`_g|`>Mr7WlMS=>vd5$Sj+od~O>LmFGu1;|!BP@u=ak0PHYc;~(hHBqBIOod)yV4}%4_AJ!JEevkJ<_!JRee)|m4mG_KTnDA!9oaFzRo$ zIz?hl)zaDRjsdW-z#EEKdv(meLG~90+`f77lo+BPD#Uw;K<-_fs>uGmNCmZqR)5Gz2bM4<59nwl~ zyJCj`MiG-);4Wg|N(;tNUYuekKa<5!Tr7~PbsZLJ54uy@qH$6P`$R^xX~<(+2~iLD ze}%Bf4a)x|Y=YLMCZQI?ajl5@sF*biKuIJ^nsEUoIBg5minzlUXj(V(DD&OO_BeD)vzz<}Qx% zz4Xvj0*n4kWKy&J4&fEWmE|J4Hha7_$L_{)7ChQ^a*N|DVW%dlZc z702Hg7WLr!#@gVl)gal)yO+7MTZE-bCjVQxD5Avcw7a4$LQ$8LuUDI^)?{^7<3ad+ zXq!9keBN_(DQaD~GB?{cFrFo9l8}N|ZOG3o3PvT1r9TS%+hLV7WflYcdbPeJEA2bS zG7VNQl7W!HxGY{AvU;n}G0lAcI$eE?Iy|%o_Y75X#E6k6`-K*}>Jv$)-LNrduyi;) zq*gJPj+mf7`t1-&*9HRBQDU)~Le{WRHoqB>z-dk&^JA5mr|G1x!yP_VV&~c)NlIup zQ`o&F^@OlqFgG%6#HX@{(zQ0&rBRCVdald(J`%-Tr1Rv-J5Iu;p{die3~F>^Ob42 z;WTN|{Gpg2oW{cq*soYn*8@5Uw#TPH&PCZPec0^Z_4y+4TnAXJP1a3!k-Gw8BpB%q zBfBwM#=%Osjvy6g*0fOzN1PBM4DQ!<<_`B@EtnPqF`;9b{N_8CWT2OOY;F^g_*Jn6 zxrXMcL=H=DPO^<4^@rC^KYG|daO+|uGMU0H2G$zQgX0LgECWlKygz8h46`Ql@HE9` zVNr@ibtLcp)?BwRm;gtobU&9}{0ttdvFasC;bc7~T1ItePYHj?9=L5^FvSgLPZ11{ zxf{0CEPJQMV-%`haq~NGIFpVme;_)r$yjXdJasg`e8qgQLVq}e3De?l#)$@m4(R1D zP@W_8exZX@vq&ZCP2`5fS#{a#a;%d_z6> z>X6g0$xG214t;sya}stFqEQK5y1ivgO02U_$);KKQI|#TU2|N9)NM;847R%_6;uK^ zOqkmX*QJ_&MB0~2=xyOZPFIglc}t>rY~GAeZBATprt8_a5nj&(6c?+%to#fGas58( znQ`$CZ!LY}H^y^=*##uL_d9UU5L#kw-shk?=IKLwIZyRZMbxj+y{8N%&0 zF`xR_iLJ7S-X%J68EzYHSfc9)SKSkOOp=$|X`@<&rUrN*ZK*8*R6AJvvH5pcyHrKP z8nS<_jmK>4w2DGx;nvM{{`gKO|2aL=I=R`{E(VJKoJqQg%xP}&BHR(H{yalI^OaSd zrclKMr`CccD0b~@VQIdNcIVjJt<&KtdItYTmEn{ssS#3&K>x0Zq1;6ikouAY$GMup zIfh+U4peGf`@SioK~*KQ1_1o9sbK=;!D3x*;Ot#XOWPPpE=LXnw8O2^cGTF8EUryVbQ=z|5E^g3w zhRp`xVjX%m#RB4_ z4^1UUNVQfh?NP|*>L6C!^K^aSP}hk<8kKFj=wnbJ#@gOi=>;V)h(^qfm4N9>A;q;q zYuqe_$?0-exD?7gty-^$Vip_MQb}|9=5}NG!oM<07GuK^b}|2xip5)2v6sgdSKGyF z-OsUvR9*r${ZV;+_?%E@k6JXb**XQR^3K{whu~ixjWwsgV|*|ka4EYdr*V9BT9RTg zqU+)F$A%iMzIJoAj046SJkA-QM~7sy#x)Iso&rWT&2Fn% zRtd~^y}=6cyxq>U8!WN$vt*iYL?R;V4|c^wg%_Xt&NzP!CnwUQ#9vpz zDKI)AR>NIEcsM9lS5Cv2^(# z^>g5>TTaV}a86QoJv@!?6+(7PZ%Wj2<|*b$d5mMS?_B5&j%;l?T+s&(Jg0>OVw%<^ zT4i@}M0^mOCsLi!_?Gf}dXedj)c05i!5qTXYx7TrP85e>SY-UZ`^tGoUiFsKDxOO| z-ZZ<_rZVX(l6V|IqeA6uqD?XPzP@pBCkq7sqd~{7iJZEf(Lgdx>-E3UEc^-x**V=P&+rOP?*SBpQMAu|BQHbPQCfT*-La{{yu^O25JOpiMgv zw8h)_&d*Id5wv3uKAyWEd~fjNN??T=PE{e;Fk*DoX2o3 zV8;I~uv*&My6r>bQC=(>-W#udq-{Y4Vnc&pU zDI-y@QaO=hh1FJNw{DxSu=;n}CP><5mP1bz&huk!V_0%Qd#qNnS zQ88a3E$7eGs^P!^>9^0Hv1#jOl&B#57OW1KyF}y%VzrB#+JcJJKUc#U!M~4!gA0ZX zLw2!YX`i&OYlkZ<*W;b^%e0;YkRe4%#Fl>O*`OKjtlf^+yAEO2KnLU$TOy?WgfgW{ z1NZLz#0EKlha0^yxOpqJyHjOOi*ZvY;g#SsZ%OOXqo-hhY-AKYJ!9H*waKXOX`|6r zqs>O!jW!%@IofnlG-yEAVNLAC@>cBUgrWYRmrC zsU0rh9gTsq9R&t7Y!;Ua;}f)hlSz!GhKKtgu?JvvIR#h#VyfY%^tp zr?d_0>Nk54YLzOb>jtG|zPojo{B9-i=GI0HjRT=fiY)EXsLIbcFk`mbjw{7xx6PCl zk)*wA*SE*PBR+Wc>KWzICK!M-@A#ccdw(LV}G6Z zB~FzDy@&M2`CB}E;v;bTHeT)(+jO&FCrQc}v~8F1T`={n-%;S%Q+)XJ7TflDqgtJs zI(I3MzkoVVvYmKHUN>piBuSEl)LYlFqRMZccwx1k6IjB)#JX~FO;ppYpxbWx& zCdr(pVBx|cG9n3fhiWq!)8?~u=`*1B;Gyt4cU4y?|B8Y8Q8sSeh&pxZ=o+M1c5SPW zHv6*1&vtFmx*fJ3^usgJL#)?hX*=t2_CO+ENj%>GoW6b!&ZEa6N3JjH5|JZ^d56F` zkmXs{>^a~td^Ap6z4MoL*!`yk>6?h~R|%Fri3kxPB1DA$claF6%g;|TF}VHg0emh8 zVD|onXu04w6dhFzRVG)#gk2MG_}UR{Kf42c*7QM%ktOiUgen-f-5rOo9L07qH2ZiA zKnb^!_{IHajNLI-;t#9SN8i;3|IZVC!Psq+lz+#Wedy~kQ2DEh{Hc4Vs-wu3lUrav z-Okvg&)Xx~Mn!(-VrV(9E#@9r2;ZwmB<&9LThkXMN0rnYK*nry!=cNEBz`A)t?Cb3 zmy#&!RvE5a+~6Z+@IJo_13U+y)TmN=Lx|hf(ZcT|{NCuZdH`&PmqMA*l`vw{XdJxc zgI(vmG0=*ij*)bX*m>4l>M{_8g}?OZpWw1_Bo16WfV~&?V#MYVdb0&@i=4P)qVn&# zum{684@b>uHDN!?9uvGL81d)#NM6HHYl_5AuaEINCTpATy>J*Kwv0xtX|(ZQ&oceUilc zW8XzzjNCfL!e3YW=-{OT*mq%{I(hOojk?q9q>m;l|G~@tn7(&5n$2&Hf+GqbdY2f+ zMpWJe(kBJVk0~cq0jc_=H#Us2zj%Y1eCGz$G<{R+4UhSq^CMZWr0V#<&86x3XOgz9 zh$16OBSyzK#s=cHHhLo!%g;9D?U3qtA>*L&tmZmiyw4s$(>Y(_dE+C?&NRO+_|=dv z&+5-?f^DbwNIM@w`z2ivyK7v;=p0iwj_$v>U&fHH-iDQ`Uut7hEz8X^@D>}^k7JK{ zaC0^{?aJTW2u$awisLFG-GFqkX=j6^eUhTv%5FFyZRmH^Pj8aR%+0!N3(7NPQjU}@ zhO}S$f$34ywJ3fX_mkc(MyfoaGAg)NK*{kXk*7}{#4izF7Yh<4NQCCCn!{_i7tTFC zhl?*S9o%Fdzydh=PET zphz+Uq69&ajF?eTP!R)&f`SM<|Eiu{T}6&$LC* z3v7zc!@3*5`s={W9jEIM2!wE!KAC~IIb+o1N`72^gy8Gxldm7Ux&mrP!h}0IpKtvpKmuzuc*{(ge zm&SZxkfd|tIwE38!l>Rpmk{CTD%HW#9ua#ENcLS%AtK!uVI!vzmIR?&{Ze&+1+2V&wa#pSf4~i{wePDxjt04dGh-6eYx)$i`X{boAp_j@rp5) zKN+X!cmZnn=NA=_e73J>lc+zP=l1h)KBjD6Q8zx%dcUgAdh&X7-rf@jf)C^7vzubK zEZ#v?;2ysSL+&48hrd(1`8@9+#`?U|G~=N-H;jbwnC^o`)?>yFKOB=BxTq~ni zf+)ksd0x3caK9i%@orqaBUz#Og(O>Y|0qZRDr!2K3j>M#w&UJaF;G?Mqh>8BTGAV@UMh7eoH(!kEBV$r#}qc^CFk zud$u5(jqDyo{^6UU!uf$#v8_+A60Q0;<$X7;yTB7 zI|oGVvB!17TE@hSPUmsp(nG@8kJufO3ip$QYj(~8R~cU!XBjgYJ6Ye);S7#=--a<^ zD`PBUtzmR7EH5X+)BTFLmUR`^=EGKHVJujWIHqz5of&-anM;luOQrHOg>6@&hs8Vf1Sqs4Vr&)n|Z$Jc~-(} z_6=h#Uw7mcaP|7a9kP#DD)m%#Y_b)@ebv3hh}}&pJYE#)P-|k-d&LilclxGU^@BD>xQ3rHeh~n z59Oz$Fx+|=<)}MEzQ#Lx9tg4*d133#t*H+vMEMIF!k?z@{@#9BlnII z&muzOL&Zy{_+C_A4J>=(vP@TUh9i*gJ;weG&F36w8h+r5u{{35$7-c=oz4 z*$=})=cOpZFAE^d9ZvcF`5WgD^CU*R$ckUW!8T(^l6M&Qh;qsV;EyNz-CUVqg-CK@vNE*&2;pWxhCM@*SATG(V8)yS4|`|4=a zK;=s1#knR;8esLRg@|H;33wqQzZB&^YoBttckdn+En1AiN{b=6ZQO75B4W^9`4h8 zZ6&EHA1kc0VE$BG4)8!8T}uW?{MKW-RKc^<-t48@L@e_vlJ7bZ}cnwX+?)!JeQsd+Q!;o*aaV!xeJxbSA}Z95-^xF2d}&@BjsW+Hq2cJwK8QM{V`C!vsL#-Epfrz1_+JC zMa%u@-b@QJ6%`B^HWXLFLxl%VpZ7w$cAbTt(XF~5YR@6@;-lmGhGd(o?98&S``t=l2c+#0wTg7ZeZ(6Me)aeR2^UI;sMT)eEw zb^9)8HPn=dl>Gk2-@bhZ_qq0mZes3)nPTTI<$jygYXEN9p8&4j!&S2b=&9LS z_-{m~KDgy{2DteQzPk^geS_wLoH@A{jRQAdAodAPZQqOLwKT=3p_X_!yt7U$p|XNA|~68)Leb{KGcb zma^@uBvHJO-xnE|j^o%S9SrN#O0rR^xTveLZEj1&G9^%Oa;u>EivGu$q^@8!Klm^Y*g`KCN- zR%(v+%@+wGWskPY(V|`_x`#R{l_`XBB?_TI)rwd$Y5+p^Z>Ko)Gv83V$T#U1kHLAP z4u*DYDST6&d{gU(zA1r@jq1X5(KIA_*in6b^7-boP=De&9jALdbhW{@d6Uq#frhYM zsZ2@o#TVNw(zq#xYHDRLvP&DdZeEE@fBHTAXxu3ICTQPQEEv{@d`V6gJfi7^~ybMD-k#AJV zH)P|xz9GLzzNrV(#pD}L`(N|T32SVfI|*$X)c!-?R43nz>e?1=TUJTFi6Q%;G**H= zfQP)xqO{uChw!LZcP4G9piBEM@bMx&D*1?%0|(&p)hpuo882^&QC$QcjcC~k5tau9 z9=RB7Mstn2VpqM%1Bc+&@sr37j>4fehGO}U%ZBusTpd~m@iSh z1auZJ#?!lTc=sd;ChLt*xokzKmM8}U{q=bD>NQeQQ?X&=Mw)Ao?FXQL2dLDR|_a9(eW z9Kwj(E+;W>HM;&|`Aec>M{CsLmCMyIxn&ng1P zLa&7h+;;c$K+9GVk#hWm@raF$6=Xq&!wzUvw-MoU5zHcg#Kqmm$Lws_*zOlNTD({> zXwP4OgnMzwc=;M;+jgL8g=&Pq#i2KThQQ-g-(VQcSqSygWuRW6JdDB*#63 z-eMh;DN-6$%U6RL&3iK5zemE8L})WPgRz=0Pa;xgA&%}bYuYSP7p@c6jqAvDn1+s@(koYUCc zd_+d#OX%yaM#)m8pi#aO4$&M10kL@P;)^ByM$^2q5HuS!!-?b6J}OTiJ;Tf?vk5Z` zp=HD7IOpIdSOWL2UB|dVql7z3(#k2j37L6G1A2czn}Of_Am0eA zF7ZcLU5T)IAYrx1FZt%3?=d)SSdJkb#f@}xTxM8wprbMKC z_$U#J7A`|c!lP;wv(0y!({J}Z9w#OUA|(@H>sF}>+qE0X@^xrP zSY3qT@4TU7@xa3inZc2;(lbCUs&@&()8(Va(Y)uh$a8G8^`J>PuX3E#AUzAhptMsL zDR(*t{ZUg;mawY|;gRW7(%$0!joTvbbFQXYv8Kq~ zIEUk0ZessI0*|yBXu{Rl47nlK5oYg<;q9cC6?JUg5$8`xcogV!0euOh`FJnNsr`5C zK_2+S1`g}CqLDmzoH=tY z?lB(yO<4Vca>wsu^%E?S=hYfDYrVK-+KVHD%V13YU8?92FQGyCGdIoPD@l)s|*Y`HP7e?(e;j@RNn=C@__=l>dIp&nBgEJ^Cibjh!Io7R{PBgUbmQ zL2%=LUmP=L^yfA+NxDYuI?$-s0JZAYLrsl3s7PbCY&kX5tWy`0XU&8Ag#aXdke+l%2z-+lGg1zVLkos!|o*rpZ^nm#^damv$J@)ox*3uOO_^IeeoHOx$>1N zi}p5X+!Tv-_23&CgIDys;3u!_JLPfq?0p{i*Tm!G2F+V{SnWsW9=)Mnu@cJBSgKaD zRz9Cqt67_l(>#mDTE}iZuyyx7#5_(Geeq8nXaBZB0gf2CcQ%GvO@qPNtvG(&1xKTfV9nXJ7dg8Eq(4FEPZ9OmNBWkm@3IbK zZN>}xThDEg+jpS*uEPY|@9D1<`qK|i!%m+aICK3BJR&{BP8$9vQQa3~GU*#%u=t|y zE$K5M(O97$eHvTNO8Qd|EX6KA8+gU};84UN^s%C3mB|w`cyZFWxnk7x40U@QCuj zJm-0+xTYdhv{lg9sIgdZJoDfTbT#RMa!XYuA8{So9^01ho~5?B9ERHrgPE@xPDY&+ zBxBx<&gYg7{2uzj=e`eYy=*aV&N!4WUtYXfs#=F?=(D~L#vdAw3CAt~lvBb_BQx;QuhYuCH zU;FB(q8M{@uh9bs(^%|9?UmQBYfs@Xag0BICal|q#&h@Hk{xcx_;DCLVgyF_?N2&% zzB8Q{I;7u^#y_{0>oAsn6D*(WKsLzdquY0pg#FW-{4;czT>tO?jf@{P9+O5*!ido$ z&|+*06d7McB6hHFEa6W%xq}zv*ICmTea*&T)V{gsYB~s&*VKS)5uIlu0>=-IWlUZp zeO{=qu}1T=ewUqtP-#tdNncJ-5F{}sh%teT@23#O{T1KYF2$nH#5M&%?myAzcJXo6 zh#3V{U6jv;ZJ2;;ZMGX zj!Q&J>H81u3qO#3KCV2*l-tYa75-;^ZvW5p`MVq|RhQO6zgJ=vFn)FDU9pD_hD>jBBd$`rPoz8Wav)y8f_&*mpw9+ z)}!Nm{~;=9(xWy;bn1dh-3DU(K#4v%CBZm*ebR7fe9lwfgky+Sy zF#!v=+hXj5N#Z=?t?*C2Ylq9P9g6A8*JAatE7%s1g-w^9V3CPEVbf#`A57O0-im96 zQJiKxqqse?O$Q8bu7#0pJ7D^hg;;&ikFL$crV9yJWMGN0JqHM!9nq?-i200}Bk1pu zZQBbhU9#H~20>{8V;O5V#Q>{4Zeq?#6LBr$EMv34i?8ujA&h5?v#i5d#q$834=AvY z>dctQ*vWH-$qQFNd;dAQ?;9B1lCW0X_iP;IZneRr2csV25$jV7uCYoJJ$H^mFSF68yS^C;FDZ(m%Zs4N)}|O{GZKUM z4nv)FWQYAj*VNN*PBx}ePBj(vHc(E*7)4TVLmfIcSj6&r`lK(fPhHXo#`*G^_8JxL$8WsV&p?ypn+D_WA^4mlC zk{6t=9mAM?(jzs>gu&OqO*^Z#O?Mm2QwZWNKx;EwvEH7GM=`reW$Hjyld+0hZ zk#mmNI~k?5RZ(J5ahgw!z=5CxI2v-4a>L0ew@j6C*AnPwK7w+3dstj_z{tH*V@A_VfGra?oI4^KNV1C7?Vmc|l|lj`KFaW)f$ ze!H!$1^)9GVw(fZC(;<33C&HUzmSg8Jf+6kY8YxY6gtOs#7>o*$FlJ5otzIdcJj*) zct^~9B^$6evqR(RG)4&{OKDa>C*47q=CB-F11#Wl-37<4AH%vcYca%%UkWt}wt=={ z7ggo*;^hk%8X2N$wW_~~l)uaG^1J+Fi}=U3e@T%y`CnW3ZPMMkNef3xmMBVd%fT=+ z-2@x!9k8_6D!!W-8(`}eeVCgW;nc~ah<_N4+)un);cG#XWAdc3Adks+ukk+pF`^?c z;^+~p&o*|NY!EgTV!Cr9jJK}EHWKT7CJ6NRz^fO$vokN$<9DCu-+}$lmTT8SF?Q@Y z(LRhdWFj+6vZN~<<9J9skn?ZskDF~Cfyo2Fa?W1FSE<5Wf?%ocD ztBW07yHVKKv3-5M_L=S6gzd)b#5s%ITi|ux8BY@l_jB1t{LXDA82&xw?%lhXKY#vb zB4vm6EwN$!3gOqiR@<@5)DXMOHc`KB#BTFVShr>q#t+|wzMYM+aQY#faSu`=QcCTX zNRY2Ze{;W|@;pK|3`KjmPxG~vMA6TzbJFuL;vZa-j}>0l@}ISpiIf{QY=pWx&3UPP zjWp{*cf|tHHtW46FyCbaQ|brqUmjC-wr02*d=_s~;^=B_(+`*mG7ch0m zC_#2>T1^AaYmAWNdlk|9k5Vq&T`Xj5+d>PcZ0u=JKEhRJcMO#8RN1|4CtPqk0X$8{ zMduUf-mbkwq~skbuZ4(zjXiztJlb`T6DiwvMbzHI$h{bXFGR`%_98;vd;AzP z=Pf{C>YGLts>4BdJw9Eyf>=98jPKqX1*m;3n>K^{-u=kC6OYgnr!cgKMC9q*pc%Zj znj`PxHTdt^_Z5-S2Do$$=Qo?7V_kkDzY2yA7=keGi^xrS30M1r&?38nNJ;*<5fFq= zf#OAqVCjglNIZTT>1X|6I(I3mlu<|7 zBBh`|emY*bc_HQG1sG171GQ46QC?jgTUM;Xn}}FE4-Uub*$YvsXem@Htq${f%kchO z03JJ^#G>J&1u>_7)fzamaszNN5>Jkv!rZ>YMO~UzuM0PQW56#OarP%Lv0ESEn|3W) z!pr6`aQ`{(ow|tG!^V9>q@2*RAFkUv1Hrd&dFOug(9{zC7}jqvuKNZ7Z?fR!a02a` zwGxYiCk`ErxXWP#BOl;y=YVDnn+hW3_)fhMW9X}sES=6qXu+o_h%jGj+Fmn;c_gh2Qv>nj!=e&!ph zdp_S#uD?-7$v64?Rr1YLCEsjEn(wh6`-aIG5mKM0`Z(e8zHQjQdZDn*KK|M^j~nh+ zCN>;1)Wt2QJ@^zPA2(q?88>v_#~$`LyvCcJv{1}SgTu)%QC7b4|$ z^4a?gB)xnIUBYmVzcmP(EOzbwK9TasVTnljkcpJDP)MaPni3{BTkJzlLK1FMUFS`i z`Yn;Nb58^ur1*IAJ^~Lpp>Mkm;&|@?{ox->ah8gg_XTt!zX>Ac;9&?2S0ZP$mJ=z* ze?_FM*9b*aieT2vIf#20kB_-I0zd0eU5nFvReQn|B=`j*Bk~r^R<1==)ru%h^AWuT zO9UP>E*q`Vldzg!da!sIQm;lL**^q&Q|F*e;S#7?gBeiXkdtp^ep7iwA#kT_FE!l z&3ZU&xRYXVJkqYj5Nvo^qqy>)Vm0INAH`hOVcl=An(;Z3{w55~B<%Ct>42$2_n>2| zt%Qww*tuvioYv35QNqx@D-Xr)5O`|5U>?l_bGzO*&(!-!`CK%Dn7)!>l#*W2v2`8pe{U?c- z>uk6JHy!sN`&aOY?!&%0^Q-fi{{yVP@>j9?C*#IgK5mY0*1_EqyO0+`IS*m!OV=B? zw?7__j@&`o`76k~M)i)NcEu6~k^RS~4&t=%%^zd61!1-1n}fgRn?QG5KWYKTpZMm7 zSj~OPgj*(3-VCH)s6^~eewBjdI)q0QtE*M4hFzw+BqC)(0v0dPMlp)Nb!*mw?M^d% zOn8R7LDw*2++-A@c-N##Es02Z;i^QW?9x+UY@3!X;d$^d@}4}WpTKzx>czVvtDtNB zrtqO$2;NuVx7`9g`6WE0->-WQTy~*)-A}+7+r#M4qK$;rZMsNAO0OWCpxm(q<${7p zN%n5qIwJdQAPz0nN5k^fP=xd9sWb85S|l>5o>n_{iXDDS5H>BRT2Dwa;0A&7{zt5t`!(N<(p z4toFMWy~HmUgSrdvvKamIUMJ5oYM&+Ws|0G-B0aEe2%a)J{aCddMQ!I##%VPm+|NU z0*@Y}xpG%=yjSy9@HeqUo_8?3Hts~{I*rI51u%5PD1=5uNmzaQ6k4`vBl55D!-gZ) z@3OeBgT-Dns#On#X}&O%u=*a&AF}=?tbP%2?T1*sWQ7ijmn&B4e25}HE!IW3;$>03WI1e{vjl0u(S)5J2~P>sKVvBgf2Vp1EM-jPM~Ctf z86J(%qsIy&W%K6E;o>3@DftD}BSs7t?JZQK82S$#fepr{*kNUhZRS?kWU>neTX#U; za2q!5Fvp=2ClPul9!Vea@S1L)oCQ34&&0Mb!lXAomyq@m{t-8@@31r0ZzCH<+k|bl zsVuxZpuUkYwp&`m%_jgip1cw}5dP;+cKVC+Q7R$>;w>pYb?zaCt+UU{sHtPjw5j;b_V|B>VD-&SaB{4W2LBJY#m<#+jAewY7Bx&9

    u9Dl7D@{H>9Zok5eS zc(M4AcVp04qk%n_EGcQoz@yiXq3ygJB^Rlp_L};z@UudCb~>KDeFmemMyRp6hO}6a zcQKkMLqh{iSO?hQ&HMLw@G^m}U4~MNON&MK78iFTJu@8%DG6A9d^t*Mm!j)y!qmqc zDH-WPf9dfRD7~Z%YUtI(E?;xJ%Y28#ltk#b=#YMCR9{sCCf;VG|Bl-71j|UjjCL71 zUL9r^%*4XNC#g@wj+$l2PPLWQvE9oQuhZXBTb@GaI3F)7cCy&%y%TRgq~YnCr> z3T5ed)sv7PLsWu+nM z9Ub>r1J&i_=y+8adl{1--r#v!GS;{oke!OCtXqSQ@4%bPG(3Oz9BV!GQEpi|I$l{2 zO?g3M^4k|!>#-i?NWapG>SD*s)XX2Oik?ewB_s;@zNolXBZYku?o#emj(!%4(63M8@f+Auuv0_m!@7ARJv}=}h zoEMz3?q_{oz|0GON#~1x0r@d$fwDrsz&tw6`igt7Fv&w9yttX`MB|ai8}}EtBaQl) z$A5$M4J1Ma3D>#kf}$uqzfk^qC~WeWo+3k)RaLOceHCsc@nV_pm#0sk!r0Il^=sA_ zlXF>3l2MfWE-ruqD@c}*OjbdGb|hsvDQ2PXER+cUn}i=42p^G@QmcU8bJs!N`w=!@ zOUL-FM^L?StIy|I@?ER)<#@?*<SeUkkeLhM-i8T)!VRno9ow^7+0> zc79pp{`sa(e^%I5!Ge+~+y0*3*TTn@>+o5Aa?O7#JT_#Ks1zcf*Cih^shs10VuwMF z1@br~k-G|t_*7tSQK<0lMI4V5ggZt2P}ZN5UtgxsS0d?szK;Ae-#@eeEBcD_e4PED@Q1<<%dhAw?#-fH|L^H5`&>y>=1~7D`iSx8dwrxV zf71SEeT6Uh@2_=~McMv;Tc2>YAdO4LLB`jD-1hHXBNrw%@@`&2e?I$6uCIJg1qPFE z23ZUeyL08{g5Z4QArTc%mZ^19ue^1!A3rX1DeMzd4P<&8PqdIjX;rlO@ z3x6L#qCw{i$YY3zBTB#he~Bn#L!CC=FwNW@TO%@|d-4`KPt-=SlBGYNXWaSGwHmFu zV5*rbwuWb7<*7U9GI=SAl`50}T7@WG$5OjRCrmLtLDzo3sx$Y{b;@$_2Ds1HD(SLR zZ`2AS*6qXk%P9iu81r@z_APR}hIWL7UtgOaFMcATen0k4RZT5{^GhOpBl+h`eg1+d>?vdI_w-m4x(ZPoSJXjSess;>A#$7* zSgT4{+m`$|frN3Ef7^<9^f``GE-;7Y<#Tw>Ukrs86cfAC7hc2|O6U1EPP&TRpeUU$ zJii#lkz#Z$>98GToAUU?WOcrV<5q#Wyepv;^F@BbdP=%NUtIH*KGjJ%pUH2Zb?KZS ze=F&T+=gxNC!hZXeZnPWvi)bDFh&WSDn!1ZYseoe^jUynC}YP6v|UmvCV56<>S>T7p)|A{O24jmGWS7UrW^1 zqa2C*o?k3Ld02gedg3KDoR@O$%P%XcNqJ~Rok}7Hev+C@`RyARo!KUiagM&mU5|3= z=OVY>c7B`4ojIr1J+T@IDM@s{HU4EB;cA+@;uPO2-|7**cGiTAGhqQQHORF&s*qI|O^#PrEjjYV4;`^JF z`$G78#=A$z`tSswJ|-b2`?>i1T9~ZH=d!b&A@hAA-lfIov+=bk&b@u}0PoWu2@+#Y z_6y|YrUQ97Qbqq_2@MU!xN+lAxNu>yYvi`AYw_$!ES=$QM8Z0XS|Ci|0UA($;i!li@ZEu?*8`_-jQiUMNPqW`?wx=S?;jyNBn%t%oG_@nDP~Qufv1ZR5z@`iQnM zF*W^d{QGY6qf28rA@$NCwXdEiHBfoq({x0pI*tt|9WTwz~&6L;l z?=A1%y@RQ#saROgbH{n}=HcN(Wg=xQiAbrVBmV7#^-WAnP^nTSsFqcQ!Mb&LMf1;; z*RQc*!-mh~4C8Iv@HQ{lBg`%(z*IJjYI|G_9&pdgxR)P?inkZ7JFy;>#iFjvEWcdW+u-*nVuPgsI zB)RbX+hqH8;fvJNRI$UUy1Kd$qfLfLrQ^xZlAyP0HA+m zp`E)?`&7`mX$yFnSRl_o4F0=q(5sd7ZxDUSUx603KtMRoZ`*~A4JiL0zYZTg8e!q# z^n1#ItE(%;Y%TFjVB&-cxWUAg>}(u%I10_CO;DKbJG=i7+;{RomhV+quULcH{M(^1 zv~=_YB%U~nbg#=WUAPQY)XJl5$x_gtJQFWGeUNhI5)5a~gBp#A@~W!XGIufFcm?43 z$qQILX*x<3FM(?1E5KZP1>VzGdF*lqi${z_u_8rKw`w)muFyyJg)6vs#1%8B4uuOA zLX+Bc;JDUEkYZzKtc+!nDb>AA!^ZI3VoGg|K=c7;OecL_@T}Z#V@UVfzC*$v7fdYC zwNW$SkHN!-A>)Uen`j1hd1#4 zD*5%|F_PoR2yi2D|19Y&{%-W?h7XrL@af8FX;&g%s2=(Qk)O-CdIp(6o{}B84Q1Ps zeL7C(_&e+7gq+D|<43}E$fmk*ofI~>uHV?_<0S6`J@7I36mq%l-?1lz7w|Jd;JOzc zpYnjIj-9}o(f!PDXrnz|`M3g+CrQ_n>`{F$yNmDHS3D4L#0eYb?Zu#OJ27XpCC-{V zA}g5Ma)azeGhsk}4@J9}G#7DN*txj(2$oH<6hz8pllS1#zN6we+x{3Vwc~&88zo`A zkHIHJ9ThgdvCSgCuVUPY>;DVm<|C5;B0=5055rDUyU!z?e#1|X-@>D#_mS)#gAaZI zl5O@k+0P4Q-<z7bk%(8{U;y?i(hTFo}{sA2X3sdTIIvYD4e7{Y3oj z(xnT0e7>_IW&i%T9CTS6KXd*(+INwN{UbVbL4?f_fk!R|ThP3w1_~A~gvryU;nvNY zf&g~t@L@D))JW{)Ja6DIJaF<=73cFrf<0oP5J-5`w?%7ly!XHX@R$3; z%i9~BI+H&r&JG`19hq;zmVBl1TPnn%oU}erf6|gtyu$RmKNW~Y6X!pE)KJ1%@TFtI&s~;AySGRDLv&x$_NY{HImv|0G%2( zf!Frk0wer)S)+FgX>CPcn!5xB28ub&c^WGnyLJ)BhqddBFzds}C2V)yxD8sh>wY0p z-oA}bxj8sO_t(_a6#2<)^3DDG5|Pr{+8P=(-z#3C1ePsdCh#ZY!+V&TnW0LRDkwv^ zymswcynOWvZ)v_~VQC2s4UNzJ$f8-ZHumeT6+V6D?j^!j zhM_3U z{;uEt_P>fNe=g>p64(84T=^ejbqrx0VP0m?8F&aHefkHGbe_%;E+dxY>-O-jhLL9|_Il#x zac3AWvBuDzrkFg~97hcgAld5#=}~>JdWd^{#-smh-+V{@X;_^ZMEwxTq<-F2)F02? zV)5WmJQAJn;H@_kDVe;v$_<2Z7Em!n3;*YckW^a#koS1Cp4*E3r_lm z$e~%B&=ULW+LTY#Y7HfO?I-V-S?eOV)lTcC`|R@oQ-og&fz$hn>cZz_%~_J@m!f4 zM{zSOEDXa(jS~LoNO{wF&aoM*Ep0{4$o1iu@i0E+T?&QQ)?MgKxW)LzIL3JPU97%- zUBqRFqesz5vk?jtCeI|CyvKO-A7ORr&sfdA`7Txywn;?FlF+D72{t-<_(;BqBW#-0 zZ!r0$AR0Am28UzL@*OE}ec6$cFx74SHfrlNgi?MuRJ`y)1)Vsr-eD=QI+W&X<9bTF zbt;70J!SF~L`Osld^+WM5^dW^xg)>$IGX&yh;@u|$EHo236kQpsnf*x&8GgbCx7rf zi|0=Z7cRsj8t)$nw=MVVL5&(U#Qba}&C{OKeC=IEI*d(sqGHu5P%BXq8>h}j3i;y| ziNW+aP%Tv&>ZQvG(gYaNOx8pT9M4)dqVG z9K}PbJNt}%Bif|wv(yiteU?h?;T;wqr)J@(a|EW1mxz?>*Pg8BbxG>D!mLV#P5;y+<=vZo_ z<+6I{xuGr0&h13hlNk6vJcAV;1JH7-3_Z3Ngqf>0>0g6CO>T7$>7k`jYxFSh4bw}O zh=d~@c z#lsL`k0Ws9u`kx09fP*pW#~lLY#|?oUAv4cS32EE3c+m@<~VZH0y}2J1dbt zWkR~_tSFAP+13eaD=JHiQm2sq{4!|0swsM|Z;Gx)s=}WvT{f4YG20YvS2u&&LRE?U zNcSi^zcgB{YK-0+TcH2;s_1842z@OJqn~9h^fvE=wq#$O?az^+{K^Vg?6Mda<1XRy zy&&ixS&Q1UG$bMh@4(5#UtZA5b)O|eF>MvpT~Gcae{`Tal;=gR17)Z@r8*29w<7f| zZ{9AIgtP?2K99xSH+OOG%{_R9dSTJBMW|M}nwXpyX;K6l3pCJtTXVEDZ;4hrTcP#F z)@VJeEn4?(ht{o0wCH;)I@XHLwWd#!R`k7vmKK^)a@mBEVIh=|ek!8j@li}s&GpHY+0qG@9^)2xq{ zEn4Ka-?AkdH>{5ab!($l$1WJZZnwC08(q7=<}A7mpMZu9>Z6`UO*CqxDb=5@Y1y_d zTD5P7=2}hBtXU&;?9~U8H(6mL-#7Xr79a3I-w89&qGfY5YTN)#S~N#vYDYcI2B=%V zE?Rf#hN*g6Vdi@qE>D2dX_Uae0uIK$!)hBh3>-g|?$d(WrU|V!AY-^;QW#gpFXV$uZ-3>u--(sF|IQF@sSb=JwygyKXEZ5fJ=lR;%j0aTb!0j-v3 zqN_gHT~!Zd7MB(JrF3{VC(_?2LoK?t6vvyvBqK;D{;4cbL4}o-3Ad=kq&;%uylomEsm#dRKEz<8Q*DsS#zw2lHGD5%XGBvqA+27ri zVr5xLe@AKb*;Ef**R(*xWf~~8poFBaQyxtWTcW#ZchYZ0_T~D!)zEiW3t_*ZK~wU{ z7yFGjv=F-u_BAK_3Vn-mU-Z|vApP<}zuXGO8yUKp$k1#xUC(oeAu1?0r6OABw-k1o z8EK*Ha=AYF@Uy-i>5Cl)>G-&EXuh}sy6J17*{b?bT}(K^`nobSr}*7%rwm$aWvIZ| zLHgol0lX62e zLRdmVI-iLUjEU6mt*JijleUC~>ePNgtl)ac>mY2i9nx1-*55|@R41X!=jC+}*D3Uu z%Fs%#-;V8*zrL$4uMg`h>~lMcFhP-Droh5FtUr&0+st;juG}6zt{~6QxEEweKKHf0 zVpmGKrmJaJ*aYoIL}Db4N4Q|P^#~MNR7k>I-pP%Hzw>op+hO7FbWClf8k%o!PIHQ` zXf>}TDrl;M$!vuyltksh_0f1;7qm6+i|*F_Xg<&vy$pJx(}XT)-LXAkNE@`$lE(lg z9OIb5vE%D_@{P!kbIjn_!7)T(<7e`JXaC1o+elMWV4b@-qR~iz|Hlk~Lj-Eys>5J_#*)X7M$E?~;IM!a+W-Mn+ zZ$@>|qU$(@ww6HS^lMB}E7M4Z>8d)295 z2MwFh+-~$Fth9C$*vc5oSnHAqfxR>Ix1l3nOE}xCMN@IF{5VTOILmm;nAW^a8#JYM zH6hGt!ZH6VUuiaKByhF?%^7+R9}7KeS2*7O0I#l&VGZnnrKPKcu^%U{LGKFbfK=!eO4<{qp!EJ)WDge;O0+ zHzJ&6ylviD3vH)UK4sViJ$Cm%Z;RgOY1$KQwzNgnRW(s?VNn#Km{ggtp)uiRUHUA_ zF}V*3&D*O^tAtLgo1>k66R0mDT;_N~v7$7^$tIKo^ZdQ%t{UiJ#`!>JRMV?X_6noG zf`TG9Xt2Hs;YkC^XG@?J#n-kJ3%eSYLl4S9+LGOBORJ#J{DLU3un^RAt4R7rq`#%O zh_f8SyY8$^_B#su)s^gX{!`zeF7FXLmu8LX`hhLd(sKQFmPo5FrY!VZOg zg*jEBxmK>fn)Qo`-JOfk9H<)IqxX&iFn6Di$gm&;UcQ1U<})SyA$%BZHvzu){Bb=g z6o;;@#U%TxXhQW77}8yaLL-V{?%qWrM+<&*1=EhqmT-mYyYAF@!2qqqw4!<~m%7L$8smlr!T~HFT z(bQh@X-Are^xP#wP4bbzOU6w(-U zoz%Mse;$bwA#RwvVG*jgt}VTApdHnN>ehvR7e;R55OmcGQDN6%cES=pjeF79Zh>is zr{VCm!-#qoh4*47CLrZ?3XHcJqk6UK;vZk9OqueVNck7b?`M{Ot^EJPZ@>HYe_f=1 zZToi=d6WOOMcR?Fhj`A~u|q4d<7B?qor~{G5aah@^LLue=Sd_xCa7g+eM?M}j!Wb< zX=h1(Pq)Gbf3h8g@ZXAajFl9EP+>HvuOW7#l!$_g zqI`e;Ys^b3q$-8Ex z3ZmtY`jSO)E-#078s&O&-4!;zZlkh&{w=%^Hke5HU)z!LuMjDB?2r>F%fdiU53drR zBIW5bY*@P%YURqIVucDYHZ;Q9q+}#Ld<5MUD^RLTY1B|F56i_X@WCfYPNW=#qJ;~i z9_7aN21dvZiNPJWGnhJfxL91+lyYTfgU!gfcn#6E4qu3rly{#pGy#0B;_5DI4Ahcd ztk}I@UtG8xAlh{?z#rXvO0h=}DX(4?Bjoh?b7;x+C?Mq}o*=_pM1Z&a-&9M)`7B2xB~$Qkt-z^R&AkHDw5={RhEKs<{n zO#L%^$Ozo`IFGC=QLxtEfZEi4L8KftK0lFC{d+{p`A{oU7UfB{%$SEa9vATZ_!+Do zI~k>l7ng{XG*;e|jmI=r77rhTVug#KE{&D_>y7X+G!}O~&tt~WktkfK5SrAj2gfZt zkP~qeF~>bIw(kH@_co0h!*j=OBB_I#uAZo(2&6h3A{{D z@d2L4UC^dgE8*9%qedZyVt-D02Aqx_70+G@((%!qyW=VoDMM}mk@o=J0fcPAFH9`Z z28}Z&|KeIKaQh+s%%$IS;)`UgT&oW?b#?KZTE1-AH$+NgQ%p!n03JsGahE9|2a?d| z9VOwv?*>VqHz~J{z5s-s7mL-U1?yieewQzR7k@{312-tY7Ix$|lx-{9=kKh`*T`+K zEoIxEkF$NMgQ&|bs*`dZzh|4}Hnr{gMIhYki;b`C^TK{6f<${E+3O@uY(E0MnR~Hz zwhdgiJL93}Ib;Q2qVp6FZjBIW8C*0}C`61Yd_o`jIjmH*H;^7j9yzEQSMdP1MC zQ`k_pozFgf^0QChxBIRYWN9B)+l!Y;Q)(qUbA4fBgJREXz6r?mkq#QC558UbgK@qT8wGOo^ zSCNR66Q|ehU#H?ucqFEdpCAz_n>UB^ z2^X<1`0Y5FE6RzN%5io`m+lC#p*S0M1A*3u#Lmckymzl&@IOa={pKCK+&s{!eR~mS zhYlEs&`bWvOMMIXqmF1ve&v`xe&R&L-n=1*4-VvyM&yqo9+=Kgq%*k^FL8Nqa zl*k!WSFW?bYH9-{#+VBb)GE}gdki8t>c{poX^ zZ*VIt0+Y!fO#I|E2QGx$+>VH&E*Q~6n&a`mj~rQNh#Y?5zzdo;fBdzV-YAGDKO<5i zmu$VG`S?@9;roOO-@{Vz+gFM!|LvIjzrgA!uBR71UO9^(yJOh4WIt9+-HW|z4kP^N zNu>Ev?24ee@h*XkadGl{u{Nfmr52L4ix8#15 zGhL<}lV5&vX7f&T&}8DE3P$wqi*RRm7ESy-T!M(r;|O3Ibqdj-N~#TsbV{LYS)%0$X3Gf}owDO6EY zhv~c}NI&C;MCVgjI&z%Iy=qsg0_$a~kwv-d{lh0PyYC>0NJ$vUx!b3-ceoK6j)`N& z2t3ki-3qQJJq58Z?0O7_j~yfY(XmleoZq#V`sXGBZI7UDJ86gHUgQ^l`a6%>?Pa-N zxt!fMWKvtFgZ#&VAm zR!=~}8DD`bX4LLK#_9zEt6z8rd>^X`+mUxoxrR(f79 zpK-gVOq+_RSZSy7Q|Hd2EzfEAWji#UqXUDHladB!y8~#d*+}5v)ald3iz%`{eG)IK z;GMsDNACr*W+OiKCO#y;geA=_YgDU-QYA}5S9=M~*Y4x(lV>nC+Kh^oD+wayhDkG! z;_i)CZeB2;@2Zq{^Uj?-Zl0Z{c>X9Ec>n7!9SaHy!ti0kM4P_ek#Z#cc6e=1vzD!4 z=jRbP_NGXH@-`!^Q)J^e2Klp^nwe?;L$ z34h1JgrAxCJJvn0jlajv-`CzBS^0bF`Pc3I8`}7F`8(Py>LdUDb@@B$DeV6Zc79YI zr#^*U$Z^a%wg{6Q+Cl$(L0AXLV0BssgY6A4f8Jzh&(^^fz16TWUx__-tFZ3KD$IA* zhW4o;*b=A?n`<)cIVZz9)k$fwmK15qJP0i7qrL;eY&JNU{j=sYW;+W{vG$ zR#@R~fO#(SvA|Uut50u*xxXFG-myVyo}thM=HT&-#c(;Ki|xBsV8y+7oLEfuw_q39KXcm#uRrTA68a}1 ztYISb*9-l{?u%jMw*}VM?6B9{2J3fj#DcjCu!xc+qdj!|k}dYqx%IxLRQDBFh5tc_|j190|h!N=8FT--q-~ zNq^oLELyM%hUTW^R~zgNw#EkX`9ikuJ{*RZDq|n{TF*}{3&&vbEFBo@8Q_TJCLBJz5u1;$#X_g0&^|d9roj#1 zdAk67AF9Ip-e|a8w}E}g32gE<=6YJm` z*c(2#io-j;6fVS%!Rgy}unate5w=rNZdG}xXse*k=6V=pI}F2jk3y@NZBV9VS;*>; zt=1$=e&Ge$a>7aZH8MFm$b9he}9yNqRqyyaUxxwv@8{DtE!#&s&?&mz= z=I#MER}wdO5<2Hj=ScXCy^hY#us?hR`wtw14axokhhTe9N$79IIlDuLan#WXE+0j-(vqDY;A2}XKMqSqsL%*AsVLFKfvrRus`f6oX=f?#|amB zx;Vp~>?!Q?z1hB#!x0>`w}Z_QXIT0~!Ynourgs2~;771NaR#<_cCg)Vjs14^qW(vm zoZ-SYPI^+(=?YJG7o0eK76*cE!~A9zOzFNh;Ym2=6G;7X0>_*kaPa6+>_2=Md&$;b zJ6jxZI)>AiLlK&ohC7sD->0nW9%VwYsd)&#{TOF2`oq=TmHgxaR}bn_PwHbO;d^_W zJW1y~;N;?h1CEYl{|Iaj9>#vT&xO!;_Se4s`^6i^4mvo&`$`1vzWxYa62u7|FMoMT ziC4^{7x4BA#0j$Ja?F{=lPg@QoleJ&;lL59KiT=N|HVD1Z|tT1Jwp1&Jw4z~_4YV) z1n#DmaND#UZX342&5%BgOyF*`10I`qz}3(MP6k_WaP>y)*EN96ign`Cmc&kXE$nsI zkm%9hdUSjZY*(%mZ`nR>uo>=KcEV$iHQcF9Zq%2;H~h(cLw@lfAGuLIoM@~aq%oJz zKP*b^P>d~GI)B)~5y!~~U&g0oQ&|+}_`CD5<2b1Bj~&^uB|C=>;h_5w9QAXAbEp&C zW885%<`j-xJ%Txo+NfdBnBs{HEqBPUmg4<>iVq8R%22b93@UXCpO$oHMsDgq1=88vcCZiWPgFvYSdoeP}tWrY5|=S8*z;M=6##&$K}^|B>jcX8=$eF zt0c!MNEtRAlA!|=9eB6V1|&U6m;lHG2qtTg z{kEjP<}AhhK>D<%znNgK(CzUm3he-;Bl?lO6?5@p&c|D)gC% zLi$ZM$*_&|*}gg1Z?lb#EA-`cR@P^7l0x5<>^C!_<4o=tPQvYEea2fw9hs=a1Q9;2 zAd0Xp1$l&zb9)u~e4OvQNQSEGs-c^CPmHn~hdz4l5p1DgYd}bGWLZ(7uW8- zk%>b=x8QpE3|#5H4vt4*N7q>&JV^6pTUe7Fr&H%}>G}i2rsN{-qlC4$Gl0ma?{G0J z1|Db52%J6Pc0%AqKAa_CoaKIJ%x7$4`!3 zZL2BVHX2Jp*y>JL%Q(w;>q5tl>Knms<$8g$HY*I|Si6p}R$t)k7p&FCfmH@@)ZZlD zB<;RqH{1!=++5g4=Nc)L)VAF!3=(uie8?bXhs5u99I4 z#qK>6lefFkyr1I?#p9w4N@MKQA+X=O9(xYxq3@>d6oU$)kd6#PDHhvOe&ut&9Q+>6 zpd92dc3j$z-g}0l*zyu6wW2KgS&hMV-@R~-GK2f=K5)A&gJ%@w8<)Dl^Y~iIE!LpV zx~?d`xClzFR7GFwaTJT?`Zs#R?I!8pDopvzXn5W@08>9Z^tBp=;yNW!LZ>vvxKY@4 z!3xKscjN5cArxavkdz_)QDkEejJz$-efJPipAtHy(0liAY(2jl$HL5T_SPW6W!5iE z*NzbSo4xm-hs8v)Uje0bWf*2B#dxz*G7MQRLrEmtNxu~3GbNi>r03;$F^sW_v5WIy{?2n0!meR7pKz4x&vuldFzfI+Io9$xA3@`maoEaRh8g^Vj?Q$h z7KtVa&p)U>bqwo>JadNA3^dz9?PUxe!!Lm-OXIIEra4U~nSqf9#-fL5U({UO1S&(y zpg7&9*9MxWP=DIfJ$Beu!jdI}F@Mf-7;H0v)hQe73)+V@=hk4d!(_~LnM=P3H@u_& z-lo3AE|XoTS)=A>A|<~u_CG5>doaew|I>$NKePY8DSy{9+MoLEziR(~Q~v+ux4*&8 z@A8+6{GZ$Y6-D0Ue{B&&%I*@GseQYaaCbdOM|sHy7bd3hcNQl7@n?!4oGA!s3No6q zD2Qo-NGZs7{H#~m#`lEJk)9x?@eY4{-M6c4{%jn(78;7NV(I2JCLj`#=RbVgx=+opVf9(S+A zI8=;DMVpjGoTE>%v!x)}azFh_`So~L`d_`C;(UlV^Ph!E4ktkZI z5bD*f3;W%c$bOoPJ6A(7b>bwkxUgxB+HhX82{}Gj5N&f5qq|BA83mDY({{i+7*|dA zVPFe6k+OeZTnGvj?YbBkfbMeQ%7B3baphWw=*iRGUT7yLu6*8+QbDAwD<@L+>W|xY zPRKqRfCJ0)P`^?Yv8%}Z*>eyd9gD1IFL2muADTC5D(owyTII?xS*0(0ks1*Tqb19s zUZ$+rJ;TVv7^xpJkerqZy$uFpacEWb@-SPekBq2WNbtV`?J3hFB4za&uvu?}tjJrq zch(m(M~xASPaD;!1&6hU-yu>qggf~o?_vl-_a2mX&LBVi5|MKIZn0>V7pe{K*B@aX zXOMgE5nT6JL#t5}VSnO~VYqSb0zTb&h{Gmk(A20S?Q%6`D(;6yAnQpItW9@`F~o~u zmyQ_s=ZKWk=i!aJH=Z9mou5d#XgS`W^~YmJPb?lh62&Oa@(win&CKyJF$s5ruVKdc ziDHM7CJi;=xYrsv)HgAg0x)){w2MZY<}Ki9e;9c*wxTaw!ZeE4OgK^Qw|qp(9edEV zX$y%+*^7?5oQ``JbFrD{mXAUF)0Zv_4IyDr2ItjJdLh<8Us9J!d`&1C_eVm z7vaAZ!VB{MU=h6HocJE$C6U&Y?eIc+W%+!ZbXb=c!7JPNO1>dDaGex3zP6w5IN2A3 zH6~B|z&?}NBF+nWfB6g^c(~)T-7$EYI>LVa5$s)a6eqSH$6dG6bZ@%v1BwfYyof&l z_dQNw{oMWN+SWwugmvD+k?u#f9#ET@{6Y5f-S@f=aNl3lEz-dQtEO8EBIU~I`w-#a zPS;SK1<{iFep6JfP=J8D&{F34;;bLZn}u@Zpe$h2Y0hQ;)R90ix%$~6?^BlSWxY7{1_TFYlY)*GS@`J;HT;?SNo2MJ+O$hiLqX6rYiYK4j@O>Ng(yB5i>UQsB13!|-D zpVkB_-I zh>MTMtT}TeB4y)7aBy}Kb>zB=U9PB(+y-t7w~5;($QiuLRl9cZaym}&{RR9_c%XMD zY3HK81NtNIN-z%WA427vTQmN65;_fwjFIH0squajNIi2~&_r?MV;44pVAh6>4W0n%C*iS%4Qb zcS{S2g2fsGXpk=z{m8-uO`Dy&knt!HPp{vC&Z5OAL36xX>J?zMXazFQ1>(UGH;G6| zbC(8$+lRKBig9y``e-tZ8?JBj#!cX2V~5$%Gudq6t-mu?rMC=CLuk#MN z&K_xg6vOXR`|r|qgwN~~ekpe;QkxNpCfZE)G#1|U0vNJ+V; zN&yv&7&Z*yegVjRnSv7s4x>d=X=lO7l&jqg2u3!=3^8;XKD?xgInr$5W28-z8G=%dD74Ie#hA>AJ+@r^U-_J`*W< zM_}(D+&}6j?MO-W(xlw>FvZ_bggZA*p2x)ggHV9l&6@?do<8-3NI7P-M5Juh8s{00 zUZf!K)Y;Ei-K$Gi_`6e1M1Jxje|2u(;R{x~cp~riL%3U7qb0?4&cDVF9*WqrK9oDg z!@+bn8c{t2k#f{n-1EMKEUJ&q#w`+7)BHkv&Rir!#S*SOf!RhQRIOM^ymUx!t^QB3 z`UPRocd^>{GL}r4F7j%PN|j-=Y&AZTZ{m))VpjhlW`-nZ|h4GZ% zv2U0R>PCH=m-G^$UKcQw@?&mmr#5Zj<#LC zwU^;p1l1?&+vlD?D}qS*y&Wk9k#Yq2Lxv_TTEY70F|lhRm+w8F`aDM3cI<+q?q@{( zxlnWSwTVBO=&0}+f9K;&q&#r=8b%M=gC4E7LVvXf!fw3~PkXs_{3KLi=X=67SorCs zARLnIS9J0BM#cZyXJSW6M@O`7B@rpR_U;QeZ@A$ zKl&F0#c|e4miz2AeSXg~|AcY&Z!7eB`d$7hMcyaB%kT2L{4Rf6iAuPE>4$VsW@%+K z*eHY5RT`+M#-QIWz{H2tlSJ%^9_-9${+WC1L33*}?C`h4(=?tM#FH#1DMwP5WH(6)A|5})%)`r|y1X1}uBn6dr_GU& z%F_t`$DLIq<$+ICfG10UtGshru}uJWk~W0IaV|qDE4igm<%i zji|&&m~&JY<(8|Xx}FBso;0NiBL5>gzu}netCQ3s*-7#W(TR^S&tVnRbjqXFy4u(g zu#+Z0uaOX+h*dhPQC^*nQvzzT#RM;3yvE~mf6o$BSW*Ku*2}Q%vWzD3G9*5hL4TDD70Sy{qgqpJ z-Lw}kl3pP(BMEEI?Lftq^-z6{3|lYBXi_S}lLQ&ok^PDlWT;-P3ASvqLh|$1c={n3 z>%2@+X;pnxBmK=6Wk{y_JbfaAfq@K_tIANVTwNGVT#00t3wY-1jrCT`QE5pnRMwYa z^=TO%yQm8A>qwGt@8t_DbvJ_Q%8Dp6zXTR-o{HP<=YVi;noZ87 zS*|KcX_}4C03yyIj*|RkCUa44u_{W_I9Omj9XDA&jP#$*rc9xXlKp3R`1&;r&ssub zZ9R#Q!32#(GN?>02$hxvpi)u=vZ8dX5ebt=m>9yOk7?vvxzC?vlHZeM*kUL{rOGmt zQLPTW72EMV;T1CSGGHHOj}}{6NVa*WFS0Kd{xb1~Ait7&CFm~E#m$>HsZL)CO@*cZ zcv76JB=Siz3s3SteaaDUBILLHWs>mc_e46EE#5Z7*D2fikqG-a|9ks+pD1I<`G_|k zvJv>~6Rd9K!1P8g&LrgGIVBWQIzjUFJ;*-tD67fM7S~=*`h>l=bFecu2cC~UA>nN{ za^$wNv-w_9{d45?SJ-|3DHkCxavs`j@WbcI1(t_~A}o#4Y4qx+dO4 zop&h|dH!Lag%^kLf{Ty7A;_ZhnI!xv#P0+YZB3GY4e)1>=OXIa;r7FUa4+4$5#PNk;dP;heh+eY;69LRN~T zlMICy7si;w)8TVBoa)B!CvqieLw-@A&mkm1NYBcI?PWJKH*5!$`6}pXHUuZ5gJ@i^ zzB@^K(&sonnB+1tva(?J2&gpu6cHctiz|KDX->Nf${!wH4)qbuFq+b z&T{>JB$x1ke0u20MYP&7OvKy1Rx(_Smyxe!IO8Eh7bXrAEP%d!Cg7qMjT!R)**HIR z-8Dk!_t_(ZFYD9%<1ArTS0+OiP(kmeeQ;rmEwU~KhtK>Eo7VfS@$Nl8mXZqn(!cyCe)E!i9Sb;SaO~h1^2c%J zqlg(CJ4C$w%GUSfziyxO{>c6(ifN36{Q2NbHcmYJgdK!;ws&(8@hX>oBVVxgYx@K$ zBotc-3m?+8uJO{fHg|FmlKctpGP8y4T*6zvmva3THdzwWbK&tQSAK0S!d}vS8Ausx zb3cjexc&m~gq=^wrvCZ{XZZ@cOkl0>(a-(#EB;~O`||m0!gsFuj|$tA`bN6%FWZ-Y zek5If7%#k&{$4Dk%L|G`o-g4n;i)pt3as@DMfSyOgrO47e#Tn*TYrz4 zFmf-Ft*{%&OMH$zs@vzDQ1XqUE&t8&`F;Bm?h34>IG@9Bah8{;#8}KaqKgvRl~9%P z9nP;_Q$F+Pfeg!aWGGEJZ534w7*Er~E00TfMERAD`FxaGP#zUXU;ixSK5rR!G)eZ7 zq$1{Ng2)L=Eh&TYx|OlgZ7bynydw`UzSbk5d?TwY<(%_?P#;`(J&k!rlTd1Yaj37V z0$opIJP`U%NH~Y&e4`@CW|EhPef9+N9raLpX<5o6D`17I5$?a_1@%u!){u~Gq0cW0 zdV!ls&#=I04dv~sl&6*#xmFzIT#|j(=bX6$3BUL!8MmIlz#``zD6^~<)nA4Ugip^u zP&*STCtN3k8s(horK(~5xaCMX?k#e>b$gaVZBbRIuckbZ@F|IW_>6Nx%K6kO=TuYI zpzo%1>;;lCUt+!YE~x9)f!YePd7f;)r)x-mJ>|INDd#MwUJGmWcF;YO@jUYtHu#uR zUFwRRqWJ{`PckU4r8&-??J8(ow+LY;NnMKbOfck_KAXPJDuVj!i@-EMg=|pX{9Fd} zZ8Fqj!k~=K*Dr)ZlZv9yQo5G)2|FvVl)=PD1!-ADkd<2m)`103hw3D7b&3iKEGU9P zBw`n6!qUoAe`7D1(EmvKHi0Ur%leEVlVvo2BmIS6^edA7HfryiOw!LQ3ftfUs7HD8 zXZv62mtQW!rZeRKbQ#iV?qW^(e0_yJivkb%MFNDiYRhEUNb{BF?_|gzeH+qm$oh=? zydyc)tMV$+p*~F@AHI1l!+vuanl_|!JV&fX!Y}(_A8N}`WN{G`T~w5ClQF$`Up7ev6{wHaQXj?B?;H|8%?RzUocHgbXe@&Rs$L*teY97DO{qHMx@7=@v z`3q38RB2SKsE&1Om(#qI-?-0yOh>LDwkn99uW{$*O&G0p5pPYOI(i@6oc+Gpk@7zi zsjY%YnaBT@#hKGzFM>$9aT6-@u1+P2Vb1JHxEaf22lnH8N(Ntib^rgiDB8y)Q}*eX z{Oj*5e~CzGW{OJHszSAR39;+JtJ4>ea@G$UX3T?H=`yHTt{jZ#Y2)qr03>;MLwEcX zL5{0Yu@Wqou9S$B&L^>8&?1F5{(+{lBmxz>9|M`m-BmU7NWMyXJ@ZrN^VIkXBNVN(TVX|PU@I~r5e;7@l z3w7QRqEbZ|8E-@C+cYFo&Z%dx4yDVfqN-{+n2~;lPcRbP&Ouwg7`9H8YOvAON7luw zxaa7OnFEJO3qEVrhQm6e{6tC>-Z`To+&AwK&muyt4`XPjuEI~9o3;33BBhB1IyPx0 zjt}qB17YOn+`w?S8ksC;90ZPKI(9331e_GKf6a-Xqd#!5uW)~(^`?oPj< z97IP(V%oH6KPOV|+#`0U;p2lkc0q{EVdPzofag|Iw4rgwejVGh4`RswIRRmCqH)uN z7sD1PD0WP}y7%C>J5tj4h3{1$h;p*H1PUqniQkD&o?zw5l~7YtgQ}`3mM>raEs-*d zu6Y&*+~8e^coDmNm!aPxUx@D|h#_&**Jdm1Fs9!w%o=F{SCgYi4>%36+hiOs*7w5A z|;_ zrgW)%afKJ4^Fnkkf1OBK2$N?_$E`cJ1xf7Cp+kaHBCvYyTs(-okIeV)VYO!uYSpYM zUJk88@#AUY6TC}HgNf-*RIXO-3s#@<74dfiVUvW_YS=b+v4qv`UeFyq0i}u*MGcMG zu-I#b4_TRrPe_o6lqHIz9$}%q?pkE~UBjKDZkXD8016QXHPzCBvx|#()_(i;ZOofL z?^`0};K2y+qdq2`Kx%*A0RzPG-pyOVpLhMDSjl+Rsc8!lXBo#rIsQ_dl7qEe=Z0dWoSMluzEgWwfAKt5VmO# z9WAg<5Gm~mkEm~cxFaRi^)r!@+8|zfKy3;o%o*BFnu~Pm)(u|X6hm@y;ZJjy-o1MZ z-}LR<7lDD&%OTFwSn1eN+8J!v(4h#s7K+?YpTtX5rNg! zQMj0#NEsh5p66TJ*+Qd!y)RhJFFl}knJ$vBx(xY@2|F)NUO?Kp072woLXV;!Su`~@ z1+gh3JsnS5=fjM#}X z*&_z=M;-_qP4l{n+^g(!8qfc9{8SbuYw}K(H~nc$9D?Dzsi<3{TE2M0zvlx74t$?T zX={s*lsn#yyN4Nb=E#YZwIm`X;Z%&B5|OfF2Y8-2Epow-Yu7M{FiDVfx^#h$7x|9j zwZQ7WeZ+nHX|=)S-TMVLo!Me0CsG!`h_Pc39vMNQBnKxbezj=PLLyR5;^zjjl)Hb# zp(97out{TqO-v|%;N*!+-z%_Mz8bX%M@tkfhUJ8}Pfwf^dCo8GNJ%-AAW~AC=G=;N zERNqx$&MgWR;~hToi*a$neWs6W)B`Nh>MyvYvVA*U&aN-hl$;KqX6NBAX0jIh=1!2 ziwMVXiobkc#+mcysBg$oj6aM+e7qO$9(~D=@~K>bSDh)xX1_9yaqh`$$M{A3EgNWx z+;n{Jeu$-9`V-}*4hEYkht&|d?94&KaL>sDS(ictfwWG=$`V#DC#+6PBwWdWnVA`? zR;~I=Sp9;|eT&sWNFd)V;k=sWG#Ztwl8^Pp{2-37X%^K%yr78c#C793io9C*MuwJc z+lmbYICl&UxrU)bh6qgQ)TtA^cn59r0UsYXZd|@T6GTd-e}g-9_6*vRKiNX} zEu5w8fl1(A~Gef<1Ef89DfqdfD^mLC!+Y3z?0DPeUZny>A) zJ%p$4vgJ>9B_iAZr991$(*6z5eC0-#m>o9?25=fV-o83+NBWQ zag$e0eZ3>)I{lNl8j~bQg#4;ECX{~f`tOOtXG}i*zya|eR(PB-k+MzeR^&7K-F5E? zN6&MD{K!6I(&YEr_1_eQ&t7Haf&Twp{sD!4Pru7QrO5l_A6H(#evO!z>$rIFA|=vZ z@b>nBukXct;^T8coV(!bD**0A@-qrT3XXaX^720B6KNA*I+vGC_Fv%o^_X9?e?hXJ zocvU7|L-q6QHqVdA(G!;sZRt={+=gMeR6)Gy~svt%1hk1as3zVUqEzKOu|B|6_5>3f$BsV_MI*UWHm$jy+&BSpfrk*K3^j%M$%aZt>rCo*vpwZg?yLu z1Zj`wBIJq;(NU_%`@oA@fhQ^NFk{bB$Og$!a#Sg7K4gMV;9KgoKcOa8cym zTn*fh!_!OQn592a)VajiGB7&26WJ-$N4d}OE^!gAk^QL1(#UylA?!bU^B!~dQk@6O zP<&(wY&@_HA79hh&P_)8lf`oVQpm|L7y8fBK46~pDxqI;OmUc=*g~_$_jn)o0=l!8 zi1w8zQVcuSY^Ir22HvN<#!B0Dq+b*z#>-%GrW9Fej}Na}W3`U-aJRUuEKFt^P^NGX zA0nJ2I^otd(2;+_99~zRGG#=L+-wxUEQYbo7 zhHb|RQhgdD>yakbGFgxGixyJD)|IBnd-fjLH2&8fHiB$eDHI|7Elve!R;-DSj~Zg# zk^+=)%BY`8!)VGH6|)TdN}>WEU%U%vov&Rqkd!hzW3co?mQ z`%z_q>kEO%tH?MXhK)wcP=1UWR7aPA-i8H8J#!U^2%~G3;$gTd?%yK&Z@4~hkohVT zyN_F=?yUNd^_QVAjorG-Dx=}->ZqVq1_dbzFDxsBnk_4%{lcbbzpfe7XH}&!SqRmp z6@<(ggzsqqY)Mn ziBJ-b-zmxb)^LtRzf!0VUcbcMJ9i`-;Sq?4lG~Syvi%!m|Ml}{blI=sYz{I~Ug6%| zy9kT?Bl{7Afj96fiR>$p(SBO;@(}hQ9cDgBSaaebEYID6Z%8c79inj~HX6|}(O>M- zz1e>HD_%4u`6n_y13SH*!ocG(Ji=e$UHaF4%fB0zQrOfIg#rBJzS#?zT@$3n~%&%f1^)JQZ8}$1K^z((^1#bji@b{H@ zPkQ23Bg+TDE%$HJ?(6GBs#4cDTo0>wx}RFu^}DpiYwlA z(W?XdqX35Vnu6%dQoBMPM5FhH(L#UlW)(ywHW2zDzV*?&YXQ<%!Jy`Y5ovRlT$GG! zUMJCa`2e9m$WVsJTh+f}I;Ccn zN3GRb=(3|5Ryei5*~@CeCtepzV6}|~y6Lw-&DnKPjOIbCUvz>hs_QgIXVTXp{WAgO zB>lj$&~t8zZacc7CY>)fp`4^Yt_-R#ZHms@yF$mIIZpda`aYM-2>tG)UrVP2is#dB zf=?xHBCkRTdZ#hwHfMSY?K*W0!_3v z&~>dAS}dy%wTY#Le(A|&(OAE!@U7N{RwzG{@R7zwv5|#PW0o2kFR6~&b1R_Km?DyW z(iiqkxF!nG8F)qbKlMsGi z2)ThhUU33vjXWRVsP7GgghwOc!CicM%e!fCzNK7p=zog;nbbEQ2x}Q<>3dFUGM+tp zKyw4~58IC}jFwh2eG`lp9?Ur70hqwp|8a zKf;|ygwI)&$5KZ1^6^Wouv&xCls{IUToqf6>=ZcyFTBo6U5~h%Gyadhht75aG8Rd68mr6z6t96LGUKS5;RH8pk=-7L_ewvC^HU=n5*ieCT zAfv-3c>jXuE;MFeZNU9lRk=Rp;m8pAm7eu_sE$=d`N@^B@%S!eh$$=i=>0a_zgZp+ zW2xP*DHlaLVQxC~_nSd=Tn$v5D#OmR{4$^p$a&YE^2YKgPx(oO!qu>2=0@aQzK7iF zcVTR`0p-V5Lj}t7O-__T-n&M~O=tv@mDHw^GL$b-i@uxFv2;3@jvdF%QDI^&lqY@T z(`rcwkdn~oWB64OO~yz_(wDo&~m^~sc@da`~ys%ksz-dquC zr6n9JQl}JJ&ufIXYZ{{jVF~9-rKpd#oh*-R*8kWAN6Z_badkO17a`Fip;%vHiYgi{ zX^fFunqY^cDts=#CVg&XuiA| zN{l1iBwdz5lhx2*U0aOU*98`5>LE0&7^1@pz}~$)CXs%NWlhN!RZwtPA*c*5gtD`0 zppiib472JC^HX(jEwm_N!VBWS`D&QFza5%y?1ZAz>OeN2C@M`U2xE_G$jl|5lkzu=v=3y+kc z&b$iH)UJ+FlX$M8f{L>XVcY2%_>kA0;&XfKF)0I8>QkO?Eu6K6=4jG9*!rRk)Ta^# z50GKH)pXo{eIFmvKVa|fy{KKYwpd&+W5x`Te{o4qPe%mBcpqQ%Az>;J}VoK5)u&-5&|EepWEki{Cw`# z?K?o;`hvFq&KQ^GL7r+%BJd?P5{*l%KQSB&>M-Chf~p*q`|ALv{`l zo;)M03d04qFZ}j%`>_9Yt$S;)UDZG%2^O*mfl*qd^a$9-F$7fIP5axu4MPD~>-o_ik;XhlL zNV$If22`k60mX|K#@sm*arZWpAUH?hu|fGG6Gi{81e}j?&iVo=G{=jH2|+-hALVXQ zNJ-)2e^23moBi`dN;8Q_S*$n=#!tm7H!q}6KFhly@U94AM@nrSy!F3^Brktym#Y#b zP@@9xNU21m94seNHmDDKoBha6dy6~K*D-aIIv+0>Q}FUBE^bg{@i(pr}=9Z&BG4c z+M#(Lh?Es7z-Yq;q$WH;^8JU<(_JZc#1acW=V~M4 zj2{x5PC|RwXt7IF9o~_0-6mv(#NeLCdCVL>N?Pzq^ZRdhr2H#H%GT`=$cuyh!lWH3 z6-3HT-4M3-AaXBUh3kfG(5lx!B2xD3iyL-M_~ado!@BFBS*5x}q?|pQ^5&b!dYuYu z%RQ*Ap&{Rqax4;0e1}LmX$D?+cq8T1MHtG7Jmt%&VGE6wH|42=bD5;i2$ZGHPJm9eTF!W~b z!-jd*6l0Dc+VKRQo%2LSAdMBa$%GFDk%LJlOzxn+gTEqD^4~&_&$HfFb^I+POzM!6 zJ90z3kR9ZU_m={YdM*^t-L50)#0{jL4aLXH7xU?U*VaEpcn7U}!PMtI@U`5GK^)q+T=y8=&>lktu)+{Anr zDpzMBWl0!JpMzHyE+ge)FgDCtD2VKWNV#a4gw^K>t0zvAcBHIP0~R}W;=}Woh^H98 zfaV2aN6Ly-V83)Vvd>?}9m0gE-TO#H%9btR?0Nz@d3m^f=MLu0|IUt-Lx&pv}Y;-jT9S9oSe{;^WH{#6`wn7R_CX z5FRyZ&=3y$?eHn(4PryCVf@&!Uv{LVw&W2WgdTK~c8?|>bn4L^UKf3ZZ~TKUqqm&6 z(zj2a?-MCU3`ba0qdg`Pg#4s^&V(&0tW@3w#jV>KOLI(8Bg&-fyp+GV~YoQoH}K}ZV-g~dvJXjJ`bM@rry zj@q4({1Q*&9zbX5QfWuZij`rt+5nl?uH(U(3t}g>qI91Ibp4@~>yYgogj+OjCU@&C zh@Z{dw1$hE$P*D0jS-_piN0^4rG+Do4uASN;V%&>>267Pq7mw1hs*o6;EuBeJ_Neb zZ<+~w^t)z4JY$M72K+xL(r$wEo!fYe@R4_9rZ#(;I$+#@UFhD?EDjj ziIjIJ#@!CUeK$Mkj30{P1y%CJn`X_L3GB@Tcxlb&9VwYexm*ufKEb%};Evhy zm+)w6)S@z^@x~N5Q*44ogxv6gvM&oDrpuyt49ou)nc@G*#?>`{W z=K}i5=Ptbnm;A{;oKJcAT|(zxl3$0m?toBBJMmI~_l?`pvL0bI6DfQ2L9DH#cyX}9 znvEid!MD1e(6C8XlrXD;of~@ynGF_ZQD_`a%Ge*QwDna zYs5>4Qq$64w0W}9BeOK2bgwOL^V+PTeIUWry}~J4?SgYHKKA?a<-UOTjyJ=>jib z39I?|xQP?;^_d`2@{W{*XIxI5Roan~a>QsySLD!K$9c;RX-7(`!_-NWa3?GR*@Vyb zR(ny8=Xgw{95@{DN8Rz^Yyd15>7YjWiXuPOojOB2Tj6<|vG#KD%ta6>r+r1FELH;Q zl`BDi;|4r?$>%Ps3>f^u2Z|QcGX@PBg3g`0qGzw(lpGGqC%t?3MdvQv(7*pctX->zpvxDK{gKHbye#1Z zaz4GuC;Sgzd@e8dEh54%WAo-s7(AHllZ~D|e{8>BzX4dYW)%Vhypf&t_x)pza^c07 z#>U$*bm%aty*+zjzz^!vw{L%}UZo4aOXrZ4$^SqnwKw+%?Pb!?^_UQB-@XMyhYd#; zd3(RHPm^mt*SBv!=<4d=qVE|3<3B$M`BAxb>lRE+&1ix$g4)p)J$g_Z2js6qpFaIW z9r#mc`7-$UoW_R?t^>Ct^E++iH>dKs4BWaI4l^?on(U7hHhyNGeYtGe5_p~WKt?+6 zPWSh(IEjmkgN219MvWRx_w0)9J$imyAM$mVF5S_qS09>8FUHxk?nr;f|LD%(MD5M_ zQG4Ix-rZ=rO`Z_wL`em&c02{^G?8ar)E=yiMcXDEJZ1f3Jka(u8x#M9AvM zP_=7e*tm?NqX+Rk!4wPTb`X=Q%4*eMZM2(afhl-?>kby`Er6_k0aR)u!#?w#z`gZI z_FIO9V;TwlO696z?;2y^Mgo$rMPiZ8Y{=>rK*hE)>}CDC>ydhSB{t0Ngi^flk**O^ zQ3kC6O>orO3c0ruk#jv9ZhIzU)-)M(bSvY^6(;Ec&r;rC{uUj`8Wn_Ev(nhHaV@f= z?jtuU3?5cfF?)s#%a^Jl`1Drb#Y-f`#$nOw`A})7f^u4AV6xc&AFszF??x0n_f5x~ z=`t+SDNmDfOJV;xC2fn1S3#w5A*ePhg>9SGAnW==YELvyTF(^vOP8zT@@0v5nfxXl zOHBSh_O1dhtEB6@;hMBycdV}M+TGpQtr)0)3Q~e7hzJUzf`W?OB2vt6Q({_wMNYo`<>foI7*w+&MAxpJRmYo0o-B%Zk{rMqh-+&kj$(@+lg^ zA*WEWGS+Jw;7$AuWSzMHeLYS5*tjedJADV6JtOhv?GEImZh?VbAN=@Z8OT>uhK>Fj zyuOo!oICenXrYg?zf^==t1?(;*#)n!7~^^Lau`i-g|fsuIYl*CS#8FvmxaiA`2xlc zmiVcaJSsOY11s~6c$H{`+*p0AnA467=Q5~VUJ+{-ufWT5w~%-78djJtLph>fsYMxB zuI_-B7xc-{(}n4*HYoo?8C0sM1Pk3&cya3~UOae$bsH_9^lKHAsa6ImbdM2Z%3!Tg zeO&gm0&d?y*4b+?G}0!TKcW0D&Q)DW!(r>s0~Uq228aV zAj|tS5D|lj?b^^^O!XJaBiLac5EO=7uhUqwbTL%hDA6;O$BM;sk?9@=gq%j?*2OSb zs03XtMFbzvCHhxUaN!PY*P5eNr<(YI#!a8eZDC`-81}YHF=|*($W^F_ie<}Vwy+yN-xqB2z5m4oG~Zpb~Whc|Hsu-ER68gf5EzLF{o^;aYLX)1E^b713Q z1JzEdDATYEy3FqaD=#Z-I<*PI=L|=A`SMUvRDr3XDKe5JAZ2V+JoI#pNEVL9U^24D zjGKsYlP15P0B`b8*<&0U4KKzKH(YJC_i6bU$>L zED`hvjT~Jn{h>1Yd~)JJr!7dk5KUGb(SJ_#(>|ilIg`q=>0Q2m0};LnSh+476BZrE z$QkP~c8Vs^91ryg>KI3~)hAAt(I1W3bLPNx=N9B&qxL_&i|Bw$&^Hf+#`06xxg!Ee z7ZS-5BHAyXlE|WbFA<@x2cfUK48zBc!=T~AF-mxdeKiqzacQa{W<#l?D^Wmt2Eyphl#NvMlyf; z55}n812L{=e~jzVPkgFV9@dHU!1moRZNN}$*Ehgp_v19L#*+|E0Ir+?&eM2}x`>wn zw~>E}`V~%XKmQmweGzB2?#9Y#8W`2R4+iz@OZv$ejGH_K`-{fb<7+tMeFCdi7-7`734#WH7Uw_b;WGM@r%lJ!4eM|} zCRpMNpJty*^mxqYmacp5J8=jthjzgCjmu!dl2*8Sn?c(L;Nz}^j_qpVyD~pu{D5(| z>Q7@bBOiXAZs;_+8@_8)2IFSdM`FNS;En|%cg`d}HvS+tX+AI8tSsiN)I{PvY73?N zw*z5o-31FYzQZnOb!4SU07%d9V00YEG{3`$`C|}&kvE&s_;U``phY#WWt zXA*GHJ0uXD)TR2v=8i(_1-`oU8?3fKpG)3%~LJGPHOda86^->3+5o7hXxA3S#?qGis#Cl4aA*|rbnQ+u{= z8HTjSXGOVx#A$S&IEeHKqCd9@=`Y4qdJy3Oy6Drb9`WNl4DCM_@sZMLYmsMT(0BR} z(Vih1jS+W=qjeobWYBW-?IAgZ2X`BSGal6boL4v<8iamR2MhXx$5qD}x2Yt9R^g1x zGz@QFThwpVrZo&4%y97hQ5ftqMT6nZMg0+Te?i{;OfKwvFJ4 zu}&@Q+&C47cCNy5!x?DSllo5e8;xv%r8`Y=fa>q^TLn{#*5a8OoBoWQ9tJo>ZP0gF ziC;#w5^Zicw}j zxW@vk*UUrP0ga^g4{r)Rs?YsheQYiDza#0I-=ThIRV-RE63z~KFkCSit@_IPJM3rZ zIxna89Dw;jbF`b#PK>370~=!5)@3+I?KC?;?H?;Wqu!HyBRHDgSxSlL6ETkFJ9f5q zXx|p>)^Ne-sm3%9PZx5Q)LJX-W`M4dfqTJ_ea>NIJ)mmlCgBpmt;?otYMkMvWI2RAhNTE zjv7vMCt$)19ZX%g4KtQ{V)CMc7(UsEp2K7q>1iXG?dqf`?K5^zPUQ`mAd(e^|B_=g;WzQu{}cEHhfJhj6#UALwTh{ZIHqykR+; z`2a~IlU+7iWAdbl=+nPH`VAb25hF&3(=^A>xZ!*8xZ!)VF2Onl>lUnIyl;Opwf|%K ze2wU*CPZN$=|;0iM;bhI1cvq<2=!hABsnXSwWGWD#h?z|FuZdwET1$L!J9VXT~r98 zhiN{ex%48*nE11J7kU->KKJn2pJZ$-%i6nQBjKSH7ML@5BnEfwiJ=1qL!EVz$s}i4 z-V%RUcVqrA?^vf}-HvrU*7e@=zj*suH)I`=#un?0tUIy}$vj}4l6Al0{Ac<_&xUnU z)=gPQ74$P@dQ>{yha(|;l!63-X{e+qo zt6}rZ<#^{EgXgEhu$IP> z>Q{jEo-ue?=z{#*9k4VXNw!8gRH-5&(#oc5SJV}P-#x+oBUmaE2e2=xOI^#vc zaumcY$6C!URQE@yR8phw##DBdu3g2tRb-E~R)tFE3b1q;h5R==@FIUF)~y`_Rh0@* zE?)&}<{2PA?mAv3-h#D-3E5+6Q0`bB7EZ&F|6&_nK6i%A+R;!_Dl6n*zwRC2Xro8- zzCMOb=_;O2 z1S6*RLfIxnziAot)EbNpe%o;5>|Sg-I1@U{s$h}EkFYlFgoDn@;I!EY>NEO5u|*}6 zYgG~bNZ#4{IpHwXx8FA%OLUa6XhAtxI}E|Wh%Imm*@aPtQ&FLfGL+hu$I6XEkd?EA z%;{a&v3V*Q*H*^&-<3n(F+;K0Ya3i*_F{s?WR$16EDQTA?2AnSj@T8m50lqSN5u|u zC{wo#x(@#tYb`af#eNCK&gzYdEh|E?Qw5l88Hx-+-vthKW1&`s52E-Hi!}9+lEgrK zVCykwRO?<%@MrGIxwuQVRY6_>wr<&qdUYir<$?tZaO?JMu@J=@_Lk85-M3F4v4}Tl z-~bFAGWdNSGI%ih^zMaTbZz|j@z~_B6_;)jO}ZC*-k$RU-mAj*Is26aE8uHSGM?kO zyB9PUE<(S)eFTj`13piG+_-VD+w6b~*Y61W-)dqI0fRr6F3~~%etpGh)dL6gFVQc~ zeW_o}Q(jPBZ)cBlS8gD$Q1VLV(o|8LZ=8AYkFO=?6u`sBA38Lz59r?yJ-c@+oqnI* zg8mqiYwI@Hk#2oe(EnBwZ)OP#4Tb*l<)S}5s9)T_kNee+`$gAAjT`~Xb=HVYxGct* z@Lp5@KIR*b6~301{~A6)VbCMHmd7#ED4jlyb-KnUNL#G6Le#m7g8skhKc7f`{Lds= zm;Yzew*;i*=#YF*nq83m>?-!}bHd!YvoUYpd>lM@2x)1&e)x6iTLV&(aYs2D&&iJT z^f&}rtzVMb*5&>xpfcEE?$A&A}v&|Tp6`hRIx=% zR{~NV@r34xu_*UrSv0CwA1+olczyLYuKNXJI@zTh;jcxrUvPN)PP~1ViVMNvP#-oz z@+Gxx2hT%Ch)vmu2?)ZFfrG>x)9cp`2z1#;HsD>ND9Xg5f!QFZwdf!nLUb+b{ zhwbRtN{V=;-lGo^I09o>9FCe=qGjFs_>tb_X=H<6J98GVlhd$^^x?*h8VPX3f(esx zFXS}YRyVQN+7_*Tmeym7vH((QEJB8>A2Lt)!(y5SROo$CQC5b<5?y4Txq#%;@i3gf z5OP#5HhgmA44-g3JnRWA;)jTG#o&yMoAB~!GH%A5!|Z9(QTC^@XjZEZ4j4&MMgA0! zl0G9i0$5NieAjM7mtSN+%5FUozikiR1;@g5)w&NrO1cjp`t&9+8hZ^^qA9h5=RA$^ z6LH%w6fZAagVU-ts8_3&i2T8udPS70kAakvXClSbSAcHK8FWi!Ra8}BX}S_wx9=e- z@fr*kYojuax0=FZgw0B^i`5q)5amCx%QxcGadCBzu1ycUGEWjO};`3#A2-3aJ;+gAZ0si2_ zne&1o@iHPril)W&{~MxdF_7}cY3f%1LLAp(bPp*ia`DVyi@ya(Srn0S!2;a5e-AHS zzk=hoVn9k=T|7y8hUfWtu(7p8wHhR=<>X+hvlMBGH;{4lCd_nczM;8Btx6SG8Ji&I z=3PlvFI+6<-`Z8HVYBf{6eL{5y`TtaPMId|*{E6#xGXWmYo7>Q-*E`jdoqynJG5xm z7KhzV2usju}|HS+E}z{7JFphfbi zLizG&P_q`CN%pV>7A|}EV&dRoqU~*4wGw^dKE<8# z$B2Fd#Ix_(qX+x~X%3?l77>Ad{iTR#p9NBm8in}t=OiHI$&+aFYdexbB&$b{L1IJ{ z-Xx`B-!^9fcx14M#@O+=?N74$;&nKgS)hK6ny65r0(8j!d35d~a&JF?E%mRuT2;ss zZ;Y4fBIVjGWZ!*&&1=`8{zsA07?{KT&O3V;aqdC_M$5n%t=qK5z61M(JpYss@0f_}yxn9staWz4(a;4E zJB~<)81QcyoXYq47fAW_ndKozai;v1WMqzi43gX~A&F#Yn&)}(H-jkOM$`Pkb^jY8 zIv39jq`XG&-PLg1adUzG)G<(~SiZ!3^QVB6E{8C_S3fZyv~ST8?whs=TQGM2Q4A$p ziRt&~(;ERH(!PaIl2IRkl*J-aT5Uw~RmgyL=1BH*7|0vXz9ao;?d! z$Sz^8!Jb10@$)ag;3t}Y=ac-r6BCaY_aDR2${KY^Zd9cCcjTp_OfR|*O+}`U7 zje)~ZmTVJ_AiS640n3HN)6tl$K28Ap+mH?GYR~(D?;!rTJ4W@DfTdl?#`fL0hvtL_ zh&XT*13F1^r|;lF2#bjpv$9`UD7y8N0V&&eMx28S3WLwU&D>f#^nihseFoq%@&8R= z3=SBtMzgvyASJcy=B}f7c`6*vTFa$~l*A9M8ME;)JO+6r+wBZipa$8Fa*7HtG&KdcB?Ir_uvU0UQC3x=0c$d)K{$vXKj7FBHrBE z=ZZOlN0bCoQu$@F>)rz?yL7?{9~qF6WYY+ex%}(}kWzN&0hgBwq?|Dw31o+I^7QdR zht8b@pVWsA$Au7jSCi9mcn8U;Uz!WKJ$=|nT=($9>+@IPVs3>-#3zpAtT}uP?j3SR zfp3Haq$GY+ma7cC#acpNV;>9qTG;2p_O#h_jnaXXW-IZObfB+I{|!J&1|xDrN51ck zXBpT@<5gqc0%&N=!M?qFNXPs7e*Ycm&j2YI7|8&_C%JEtcs~mvF%NLu{W7*VMqu@- zQ?Oj)iz6p4ifddigXl4!vNT$pITB_T@r?O)If9G~_Cfw< z)3*ksOlF?(eTlahZa;$kw(Vk{u-4*5a3>v{eWU-Te?HOs^l$oBlI)p$bBRyH9y4Yv ze*96|_{8~pQjCh{qH>;W1`g~4*WX*t}SALRO;h%u`pa}AL%ptv=3&_nhZHoxW0sb=T5(3=MFpBB5=`;lfUlS@`?EK z=P!VQg2MZIen(37=i1Z$*EVq4wgH*xx9QhK{Z0O;zr2vj*RpUnE)bdvWX5s$5>sYvOK8?fj zs8_E(wmNRbi@bc?zkd&lm+GLrd?nPaS_O_vmf%HDC>|U?fyFcru(4E^j4Oww1|r=1 z1J_f~8aI&)h4QFVqbeMhEu-=fJU!_NvstsDrl?e+@2#3N#$FS16a>fM@rhH=8$TY( zmCK@WGit+jN8}e2;L+2k(9zdLB_%o3s-gn>MN34O{3moT{qYl^EcX){{alxnxJ}3- z<>B$;$5^VbNA=}Vlj?7z`ndt8@zl*1h7%`2MXnqgG^vBlTQ?(@j2?FK>KYlKva$jh zO3K)vsg39Uk$85}4@UIvSCJ=PHLi_Kj`qmS%M}K|auXxSsgRMRtb`5o7vnh@7D*@l zp+9Ll8C=GaVi9svo(lMl~A*e8Z52XAR{Z2au!ytB?GhuMlKf3qYn< z2-aw5LY1D6l7bvoOrMQZ_W)$mJ#46cooY&`T15`4NLf$yB0BV(tQKfNt%@=fU2Cf;f*9uf0$EW_@qR6njiHiPsc+lPw@(X#XL<}{Yo&NJ`Kt4p2+s`hqcB$RFhW_ zCkGmum>?-RS!@tkzhOP9*Q!p2xEz-0FT?#u_mPsG0!u4PR3+n5UQQnR`ua$E_6&LS z?(N)3hHcA|rwo5jhIUadQ*0iop;iTkGbiGvn+tF?f-E>boiY$O>;EC2)5qhw>rS$C!e#XN#JJMwrv)9uCcTAd(Wv-| zR-dP@M(^FSsbg{F*mj9NpRRe~WBQa6sZUn|ksILy9|t!qnXv~wI;}z7I-^mkaxDpv zMD+NSuJ4L1Q1du2oHZ8rJa*t+TrdKiJfJb&38MyV!g}3ZxaIB+TqfH0>Att4krjFZ zTMf0)wrNR6Qn~W-XjFdy`gAqJpk5p3`*@W3K@|#e6)}HIebP*4?l^Wrc2SW zMbnb>e<0eN`5yFHRIWgC(EPE(aCZNe&(r68ReCmgk?z=SriU)ACGgmL`YhLltdl;A z%6U%I7%>QEcG*jOxk>H3%fl)YUvKrl6wk}D( z7=MblzY@`(HDVxQb`yPW_s!_i+b_meG+sn`;(&z#dbVrzMf7<=aQd+RIK9)3+D~-( z#JclDkH`GIOF*u)vAig8M1dVUcc6Kz7WlsG_fQ`{n)HrnGRE_9((NePw{It$K4VFS zo{Nts-S-{bJw4E&TNirnWiYy1SHy4Mfx?I=1lrkSa7SqqPEjsfzAWam&N_O zPkEAV*pcM-cNjNrB+j0V6B>l4w--8f?S$`s{0<{Wj}+0h3kwV3X+eOeHJ7$=OYRYXsLT=g^Lw z5#>PhL&Q1w+iXOiR?ShK>W`-O#KcK-eEj^-t!H;Zf5`BmI8C}Ir@$b8^y}9{v}feV z!K9N)C&l{t`=NWU9`uft!Jr}i5fRA_!x!-P_d?H}-2^WN4H}Gy2x&nyATSWU`t%m{ z2M+Fs@CbURseV9!H}zL?8V%?_0AZo@j#3H=2}bWh{YCu&?b;yBeiIOW8o?VjqHjwc z8{Y{hwtq+vUc7w;KWb;Mz7qX@19~GQl-_Hi9}?n6?Wc00->X+I_yzdk#cOIO)$h~4 zkEq|be=h`w_=@^x&O~Cu1PRnv^h}wDqsNUwNMsn@>m_}LV92OJf+zjjw1BU*EnWoE zc(C1!er?-{`rZ5YhIgPZUc7mU(1>6R8$JLPsD9sm-HF#;q7A`e!RSADfM`Qk;+ZFn z6)q2>`orm+VfwxMbfss>-4}M*+3}bj)d~obTJE6Flg>;xQjj?-_3pg#hU=i(>q@D zEZ)zNvi$xTQSRNoH4d*e!mAi>X`bOZG@S!Or4691voYD$WZTwc+qR9V$+lfnO|~)F zwr#uJw7dH|=bpdt`dxU|@uANNdgxjyZ{#UbKEF50J32ss=6-9)ZOQ5!C+E<6=CC8- zv>){1j~iJKatpxBwFGd?{*QNn$Wdod<`pO8l>ZcR7+r$PJ%q*>G#_r8ruh9M<;sxK zDP}e$*RRS?blWlzTCU{i#N!W&>)wu-GCy3DAx> zL~3NHZ^}8>9*ij4JM#bU!uVLb9ivG-g`jiJ3V$2&H4=e3sUr=RbGe( z;tDGivSITFG9V*?N7QP;T3rP&md`LjX&1BTwA~KgdS*i&>drz|Uc0C*a2l$`QBdle zJNgOPmgs&2{%xS~1;6&1AE5_6B`oePU#P7QDoAKbt$MM+ag;BAt$UDc{W_-!}cZ&|T-zNgusdo$<~;p9;!RE-8<- zjT&)BNBn%jE>ywBx}!1BD@DYUXk8eo_y-xk@pZRkDSK6KAI&M3!i8DJK0+ho`U{1g z;{?*eIDHY{`N|4n%lJ%`M6?y@533pSfV8Xq3%Yu}(lEkCx8$uc&0IdUH584?0!?#q z%MVGoO;f7%9t{n;5=9S-YNO`NiNT~F?y*)=WCTAH&wA}}5*?(t;8v}2emSJ@EvK3^ zIisz+n#fS;SwYWhk?Se8XmVCJ=+G#ND@@8nyl*U580ulQR3+BPv`S;IE87_U)#i0T zNgZqbqpF6Cy>g;1jAwJMWYRhTHQ}y=l}5*6@0 z343lRq^)qRRpb?+6l)}CCzJ-g*zzqi1^KtwZMEw&9gCiwl!K3)r$Mr{Qkt!;xwPiU zM5TFxN=wW45J~TY6Y<$GRL#fJ60UV`TXU~Q zhnKToIP0^(`ba2bp#Yg)n5$)+^%1t9QS92|9&V|vQQPjlr zJd{mF0_8dvMirx=f8JZdzR7Rsksj&=0dQA-bF6cN2E_$Q;xDZ|$QRrdqO%p~Pfs4s zw||LBEy@N*JOyo+YNI9)(h94}<7kv_NQIdpUkFx9Jg;>r&FDnsr3u<@cggs&){OhW zIWJW^{3RI-8*$sB6h|Hz*3ePyIR8W-98Z|ukFmCUoI$N$h}M_5%m}5+MW+=t=@X!m zY>Nd3!nE4Ais$jQ$bKEF`F8w6LontD)bc< ziPfxT!;Fsb8b~Mn<%86cow|)DChh&N9d((m^1O-!&uIOMdVG@u9-u!D8vY2`&$P(1GwN&ov7(Rfxcq*b5>J74F-jc+Af=$666 zbK{#k@s<5FO0J3xPD>v>?b{9Nd&8oO$Sp)H+p3RtK68zc`JJyfS(#(tCjuD~HYVsy z+4uZNk7Ybk=|3>=m#dL+Lesp7d|_5!yG(uiM9Di;W@tnVuxla% zWb^a$MNG&{XNFA2#?S_W=Bs?{pd6jS$Y;sC54oOf2MOvYjGafBB0aaLz`_hQ3FcK= z@zP7gDX#>+gVQRk6k1&Sq9?k7umKt|+{d>YU9fREoLDN7*hoZSe$s;XY&_VYf?|or zV6q(+r^d1|yHSic8s*9$gC#Smp8_}?LUjGy9AyqetbMTvu3{EE?*WDrdW&AO`dS}q zf=>XLDwhq?X&!$VFz|7OaVno1)dJ+PT5{SR2JiprVmDb;foWK3=IIYv81m$f`yG#zby)NPsyFsX>0^Z6J zDSh7e#XOkdn@r?$-tCCH|Dfp#SlujX(P%%&JTD#pCV=4!-~LR^>xz;|3oCMQrUABo zb!6>bzU_QUq~^47prFjR+Zfh?pXY<5wUN1QTrVs*LBw1|Fh@bs`N#90>XMZ_iOH`l zsn|&mE7yA3r8UsdRFX@o>4VuF7)f~UQ0;i|`lzroO(Zi~51Xm2I-g%|OfWO;Uw(T? zC#az!xbAYhyy(Y&pl6DK#Zf4CUObeN^9KMVFcZKR1nrl;B`Fo8=$W6RF(xrdPk5K# zEdonfWU+?&X&?MQvf$LGn>XOk! zB(-qSaWD(DUH%j0GrTkeWkXw8O7a`gKw3vu_KaWCZZ(~}stOKF8eFi>k1~ftIu0WV z`aeiL4$Khr@E#!FEOW=Ikb&HSfKUj?4+?&xN_+DNI>{lS5R%4puGJX{yMSTr^n{Ys zIeA{wo#+U`(Z;VT*(iFsc}Z`^6G8Qxm?reLcVE^gN|R4ujZ4Pk3R?a3cL`pjPtKW{ znK=-F0H2v#85tZ+NR)0Iay*n;s!%z6M5CpB^kO=+)0Z|hBbBaeI^ZT`1r@Fr87E$Juw^u@|3I0j-jnGT&f=Qs@bOremoQM=L>_YK8s zi(Omo-DAmnIQnJX6vheiV}&~D@mP{+Kpb~YS7&GRm?^_5wNgIA%IZ$yOmo7K$s+c& zO8QaZNa22RHA*}d&L<_!~B|AXl5}HOIB!izTI-x`u{^xu_-^efyneS&4cE z-}qb|SmzaX?9NFHEfNL-b~G&6jH=E0pONtsDuv$mGe*tI5^N5tH83w{IR~8g=l>&I zqb3HY_O%W+VAK|qbSu?c_tbk_uB^2=6(pV-rN}|51`;MUg4SfX`1uN57JpYLzxe&Q z-o?Us*@V=p*kSZ#<{e<4jQlD(n-Swm2C>DSMxvfSSlyPWk!~I6QE=OjPpHSm(p@A) zCW=AdfiS85CztU=zq?p%B74S|=)EYY`o)u5*nB>i6CY5I$ek%kB)*}E@jXFrZF<2b zpzMmY8dbZ4fln&M>*{RW_B~Hsj;_iu>BA2Sz8bJ(38HcS@z*9ep1OU!+3pH607L|* z_I$*=2YYyXO(L6P3{#}LKNnq7k#vKpPV~DJQI-IZQq33p4@4$BUe5l>%ZpmMC+zTa z1@t8@k80IQUUr&8CK3%a0uUx`vx8o*wqpu{fI7kdPE(M*BFr&nW8zULt1g$&8hvTU zlV}o1D1^CDXB20w<(^|+KLR8s)CiN<_QWOR8Y}HNGe}pt#iC^@4H?Lf8%@z@p%Wkc z$Y5WHc}S1M4$|l|1p>0QVI9@#ig-9qiJqDB0fStmyKVB1ns-#2Ne(uxSg_4Njnr2n zj&CNVUG;*;IJl9HL)ghpZnkfS29b;&0R;YMCb3T;z zEQ~pFGw_PQpHnvLI6cXUlLf{Rc^Faf{uC8L@izKEB_>m-T3Q4|%8WPqB9C8OF_g}6 zic?U?YNq(NH8qJF8s=+rFH#3YT6lcY**dSxkJ5KqMMb81T<-THxOJ1QyZfAyEiePd z%sr%Y6W8NiDDB?sEoC*mLJNd@+!`O?F=*1gE$zJ&DS*^tD8sK$59mUOz?#lZJ|;>^ zxDL;^HP&Y14u#{z50ruDfYC62cQW4f3QNX)f_cI+CVwhuU^x|OS!49vthSO_K-@Fe zOH2K1SOD`?=sT2*jt24wXlVfORB1iCTKa`RO0}*2aFx8){sASAq);Sv`!hPa(YsF@ z^&NYm09+M&mM||FJh3hLp~26H(DKI??aQ)j>cpD7EX3JpzA=nZ`itKT5`uXk>+V7A z_OQUUg>rF&Mu{(HX0(}pH#V4W9oZd7_Kk8lm=;-KQ=__I!UuE!k9U97ghKw9`D-{walHK^r%OJc|Fs~c+ z;Or}$AvQ~WqS3p1x6gB_L^^hB{^53xRb=d4aBDh@E{7Aj^*`)SY6X1bmumPsK@TwI z2cP{U9AE9}w@X!heFk@rjKn!dsn=?;6!mGPjm5-GHF4xPg4NO3^TWO422^ODEAJDa zW$MDN`uRqed2ZBwSbiL5Wg#E=wR(Jc);tA~qxrp8v<`hqi!0M=~6!I)ENobDsaFLaiK7 zeobb$TA_l4e6bo1SS#Xj9fM!TYdc%rqA_&;s^~LqNUAH_e;8(|W?Q7NXyLR)kK^;O zEwot-r#j=N2Kd(q&6BYEvY^~}iC6+W0D?~NA9f#l0(ty?C~7o6q&nDyi$teK);cXn z3=^@PZv(Nh85f|PQmb|giCZ1L#Qx^2wo6BUnO;*>D7T_tXw<@t`uzURKO<4eQe68V2@A*((1xrMOoIIGvWVNDab7eL+c>fbC`|WWF|waY%(xgDYdT zjzwthsI0f=A@);qx=3xefUTx_`1aNC*fYZ4!BE3F!Z9gXA2xs60n=kXb75bpXQ#nn zOu<^Cl4OJxJEpW9^lh@A_YYyUja;2O-!yc-79?9-(Pr%U$p{%s+PlAS}MLS}&6f5)(>ek#MtWe~DRGs54gm@=!}B-@Il>j*5^v1esR; zRO)2_P3)5~-zTW?wwnR(EFnyKTCr;yDs2UW@amM)L|1ZbYBe0uhDL!UOe_=GXiaK7 zj>_6z7Cd1{IbqEZTPls}ET`D1xf~hfPKZSv`9ghJLwoy{`UWv6Lnbyiw+5F(>ZLlJ z1)oa&BJ65QX7Q16QuFqAvt>-=iqw<{IUi{4A2|^}*qYV!K?=Sv7hYT1xUyy#kWH-= z)aRXoO)z#eN5F#CsQ9OiS4BM~0neqvF~*1edy`&*-=&!!Z{T5wy4X|rmiC4fCc?zzYf(JW3`um>1l)ZXiDllf*ASomS`sM6Y&ZYNu={O@QT$i z)+BGUF#iv_E$t*mKnwa)&iL7?s;0>6Nt{it(%|-?qLGO3w=5uR!>j}WMQ5|5Ag6_B z-#E=v)%e+D$t81Ky(18Fi?1{8>;oc0u0-iwAkE-TO0keYv3fgVU7WjQa8}CN(2GHL z)hS-{S$)2^mMwd=5`gv@UcZ_hU7oM#O|&1UMu1`h=tsugnn$-g<=;C=8ccS(lllf9 zNm?9)8==KGoOyGtQm|npUMYaDkwUZaJa>OubhbSL7O+_>bqRxU=1W0W6}sFcy$>jw zPIk-KBsu-G`M`)2c4y>1gNx6;G}WJtw^r7G=B$j16ZY+$w$&0h@a6KaF-rkxbJ_{i z5^q85D}ONr_;R^3GQWM-Uu775Gt8SyJf}zdyr4S&Qk5vE^{`e&wPYV9a{;{%e$US4 z*B(a@hUSzBQ9qxDgfIUoq>lSLB5|7m)AD^aUFdREOz=WY;b6CJ8h^li21;K*;`)@; zl>)c@DsG@%ZR{ms;4^g9#cmbSyd#Ae#{+{|d|=O_30n>3S+Zn9Lq#p^3=udd7;Qn^ zwFPWNzU=qDu-0EQqv7>so_9N%J-9w)*dY0pVKTaJ;}B~D*@@W85+_yq;Xg$}+!Z49 zJZ}6Tle=j_e;@Wv7=RvG#_IM8p|im7AxydB-WZU>p3Mz}-pzO?zM%NMXHO`748CRE z3u=_bI0IsdxUsF6so`vHZ;loCAk!8>=T^aJ$jMdGWKIEU)M1<5Zz?w)dvJVh|I(}jBUA>ZQiKSY^YAZD;w<}Q z6gLffq)88+@aAvSmcp6(TnTyy??eaD2o#gDa@y-3<>e4V`lwT1mhbnZFHx6pjSGBs6LWciPf5o++!IgOjzQTAs`WCYNjy!(=j^v5Z_J)e zxmoM8eo-DALfR1U+-Ky`!rBE0_kw)?&NE(7VV);Kz!MQei&ei!E)~$3561&V+~T;W z3t2*0Bv<)*X2@U^$~W*wK^@jH?cnG>!Z~{NM!|i8RNKsg6F@X4`E-y)itY-}{wCEG~~&>T4N*wFup5c0^ySE??DW zldA^}pEQj|^;0EOI@)TfOWsLh{GuSCFIp%-DhA+??IHPX+_smw&Fjb4esT9`-S)S0 zG*WMm<0sx&JT|Gh^Z9zJff{SagwCH;oAJg!Hi^{@VYd0*rPpMmW|qgAaQT0o2SwZn zw~4N$_o%;NuA=&y>7ND`2e!=!R^}}nygnnYvc|s+KXm~&u*d?3VCA@(b_af`U<~`M z7J2x!7dT7rcZm%Z#EqV@E)0BkvgUIcP~aK8+3FU3@aENBBve(a6}YGxi}C7v)1Qkx z<;jN4o+IQiGe*tT?SrTzx30bu7<{-m^!aU#Qrr>pzk<05zCgLZSiuQ#?}!`PtgHa{ zJt5WnX~zls(|O9NK>mj}3g(lI;=jzmdtOJmzS=u&t4N#>p`MJg`2-4`z@(E7Z>3~j zX^^x^Gc9^K*Vs!v-fw6v?x{QJ%V6a*Q!V9A1zY*h(q$0iH=v?g>)DDa7odf`eAdERwF!2+oNs)J zi^sA^ob4uZDKI}qhbzrmxFxR+Eg(IMfnO)Qk-;Ksw6O&$NLz89GkusLMTe^?HvKH6 z8$cM4{Je_F-)}l20rE0L&hR~|{N)Npkr%BDLOc*w7_~>=7(Ura&UOV3;3hj#=EBUa z8Izkls_05|KC{_)>Bl#yJ*rBu=WC7BkV|AwND5!9QesCqVLmZFp_IC#kt80*p|*Ac za8OYNhI0Bp+;?Nz{jT8bv8Tn`R;DAv$uBCfTKc}beJo4tdS5jvj&>4VdW)f&`^;{Ap;LxpKi)e* zVZx8>(`4}y69GSP%(0aUT~YWIbDE>XfQP3sTI+;N#b^4%a<&PUywa#x409YJekyww z$wr&y3ScK*_LvY}q?NS)FNJ~U$#j(ggg*s0Ql2R5Qoj#>i=V?bu47gWEVyGe5(`N! zTz_c)Hj8E3tx80uM5XXRE=Bu%!U$xc$WhDkR+TKmXV6?DeGDXCxH;*cnuC~-(-<0v z@2G@lBNi6rTTil-qE=2<`#GUxUNE&JDYd6j3TrTY@jf}OsP?llL_l-eDDr7<5mX8f z;ayj!8zMQL7>YPfm+8uji}jn0I^k1SsiHa3nkh#%9<*zmR@XdZA3(<(zg;*F8m+6K9???MtJc zS|$EGDem>gaO9I1i=^1kb)do63SZfqRm*B9@B?}Q!Pu?=K8Ob!Et1>mC3*=@;4N-^H$zo-yvb**e>#gd7f2LF}(TSqx{fwsYVkvEiiRZwFb+a z{)D0qtbVf@lGAP#12!G^l0p3D)135!)3e%3#GqxP;)g%?oPP9Hmu#_r`L*U!l_6?c z#U~8L>7EbVIV=2Y{bWDHGgeiRm=3QsBiBGEEbmyko|DU3T<0@>vDmxoTsAi&hHP>9OG69x#u8ocDA+QH_jCm~LP!p7t%|XOwTEfiP_hH?g{J@l|N)VssdoI-N zp}v@RvtN43cAg)+c!`<35Ehy9_=4D{=E3}U*6_{XZH6^q9W z-g3zqY}=Auu~~24hp*CSyHXU0rli;Usll)VGio4lK`>6AB?i!t?d`#c0YQ`$eTh8@ zg?^$PQWqrcDT*A!8ee1%yLJ3*`HaC=cY)+6qbUNH3rE&omlKerPi)>dB-9u)qLB9! zz!9G%l?Q_?T>LfZ2k7n>*3!et-)}G_#JKF0?fqn?N+$aM0{_wZc^H#H*a z2ZsG-XZjm+jhn~qJkeh=^YS2ziJ6%Jn1$^?$1UiIhqxjkTdsJLmm!MEz z0BnPbm$MKMd_mPa799ulS7oy()u008{+UX6e6h|hK(jq&S+afl6NEPsjjOFG;p1gC z+S8HZd-|bS*cbv~OFtf*3M_{vP2z^{g|$k&0dCxK)OHd6eKK62_Cq_qD9xGkV$zrW z1^5L&kg-(cpyy3YrpHf%%1UNp+9V+SVc6F!M!L=g7cW^qjs27iegNZj8FeNYp)51I zV7JKSPT3QDo*FCD0mFDX20~`%gxkU6-0{&9bhSE3o9!S?icI{aaCYj;iG2B+gr}DT zqB_U8PXEy`Y5GBeS2}B+ylq$1f=MSgp`4TwF&x`5M)|?<-j0eyqZpwd7G0qt*@Byx ze6^Kyfu7i?BF@9_I6OJUu+{C3*JL#5IH|#Li6(C&Mr&HStGHL9~cV-p{+N#f-I6A4!Gn%R&}?#fq)dk!jbW05+ab1eDFaNYfV&KskA%cT z6vkgPt&KKE$XCdxD|R<$GByNuYK3=98D8UWrmu_Md&N~WI}6>;V;d;b<0mHB7oV`?mR~AtvBZhVUzqLgi0xtzq_lCV zO2oru<{`vG`4y_QnfUSokKuvn)9G zt+2~l!*>56NEg3^{f!2UF}Mfwa^C0R1Vj9ZEBeocDG?Fi(K82(U~236r{0ti#7v?A z&T|%X8{|1J^xjGohIY~ZFJhuinei8TQw z0%*gc_O@Fnj)?g5V(Aoz>qcKj!-B4m%bVUzA$Q8a(vCXl>Ana9&qD`Y>RJ%~0XVf@ z00F8|lp$f_y6`}0Vw_B6hw0%kA8Png=W;uM%1_$W{yx@8SKi*|2oJ=N|;Sca*S~W^3zJIM=l~8tw8Z+5HcJ9dk>wf=MQh&$2A2QbHD_ zwpU`BZ7K-G7!MUANi3`*I`L5vk_6S{B>B(A?PmDp%7O>Vj5v?yMAuiL#sYys%be#5 zrP-b{UROumI-y+IlT*q3VT^)r3^^>%po2K-+3o!S<3%hu{d0(vH5J`hIe#(T2RH=Q6i=IAiv=)glqD2*$^Uq0(YnvLPhjI>PgTx8-^g|EYj z5E+0neT%lUX46R^?&sLwe?uTqdP*sbD*NJU$@>oSG$z?6l>rO_hcVpq;NEz|bSR>y zGBXJY`WOWaYLY!r2kf`yfXtB~aVGH6E##E-6lL+2qu%8@7}ew&_c7}ho~xsS_)zj_ zfmzt=ARBP6O~&s6e$WkFD5lml!jsIZ2xj032TZ zJoJ|K1h~-aH9N|1flYs?f#?lspw??%ZoD9h-o0CBIAxBECbMoNe*($Da95kNyQkQ; zZ*sNaANfW;UcC1@!{|Xb9#`}Gz|}e(#2jRWL?Sn0+Wm%saMi;1tkJ#I2AKVC>gXQ*HJ|>9Q4B((}z-7P)UF~-5Uot^sT0A z93~Y-^h)gWsiheb!t`6iUo=QvSuWGv-T<_dLz*jEVQw$?OX_nb3O-Ls!u9w*_)>in zlz8CS-tf9P|IQQ2(Bz}mo_(uSG_k@%sKZ`FguoEcq{~OQqNoCj7aAJ(f)VG#k^NRU zWEcUXBJpM*tItgb!GK-A@rQ&Ob_8+ab!J9#cm%hjXwHZnbh0RtoCozzH~IGQN~OqD z5m){7_hM;1hkk;_Q=SD*>2B=#lFjD7Q30^ZnfYPuh2LUvIkc0P^Z5SGrkxB`6Ap#_ zG8w=fht*DnPIMiRpp-~d6!#E7C6SD1T@VFcP~I|24DUItxDoC&DaBrrL@F)9qT;k} zU9QDA>)8C18bSpfr=zuG5jiRtRXVr=gb&TN(Scs^i1QRj$X43?CGa8 zbYgPPem9r4?r!vhnxXiVAN_@VzLJfD=WbCGF835#Pmlp3WDiCp-xm~~M3pu2e{uZx zwq$O?NZIbND0WVXuD~}S|CzO2)F3BtF=})j`1vvS#{zM_^~IpmtS^WAOI-B> zzm1#4zLTJPp;0VRfq4ug95;m6N2xbb0TsUdi>>bdFP?usU*dQ7C~sM~4zvnGD!?qMxKkK61XKm`f6Xv1}oB7*npg$$?nIIP;eJKz%u^(HU0 zVAh1kq3NgK{F+#^T*>TeD_?bJ`G?_FH*uU6GY>hH5{Pd3vRX!?L`=@ok1?Z2AQ8mv zr2A;&*Qy=$;;b(En&RhjsLNr9?d%uW)pxr?xj#P$1qC1(^emp`;K~@8B?mnDJPbMN z_u)rnK=4c)M16dmwwh52`h_I!sjcnXzZNAtUCG%&2IV_%hgC%-vEA9?Zvz*FTzA_O zwpPm%n8|p~pgir{KIcYv3vYe5ptYDH#``O}_ul{WL&|t_zr{A3z$8ip9vCA_F#33j}ONU2<^R%hf^6Q-|-QW*0ky5!%(_ z$H#O<`C=M8w)iFeuL3tDC_@MHYC>SNKB5csP_M6f!7KF*6?Xx=OB$8n5yRBQz{Nuo zmYfDCkn(uA3o46lO({}^R=&z_grhgOf)eTYTqB$G>8e_SRk9iZ~hf%3n7B3`V zDRtI-VmIY-6)I);D=Dl`|4sx2x-#KD!(zy^I@tbHX@td9;<-BR z3^)Cfz@5^A@;NYh{?lU86Nu<4WA;OCHlpaBZfugiQ1{t(35Yxvs1jT{1#&#HL`6mM zG$!edw^N75JY&Qj=9O{d3Xq}Ml>hwsGa#o$qH~XvU4Dd{v!hBEY^#R zU?Uiu$~XMHsm$d_htTp#_yNRt=FWA7-I#O_6Ut095gI9$WS{NK3-KQ&(93mqCFB!d zq|AUE*D2Vnr4!D@lVXtDQA>*2MC+D#bE3oxMo%*>IedGpQrpe;)bgC<|4iLDme|6f z4XzPJr(En}TwD^vK{s1?YVx}eJ&jIFa-9vU!T$=0vI9Vt6DwG?o~>L{4ORB=pe^Vj zqaPw>UQR#6msk(NqoB#RcV3nSC%O|s6NZ0unF z(^g}Nv`z+w8!xk)G)G*ZxnVun_Rn&WNB|3GVM9iKXdCmc>Eeh&g-!`|OC=k&-R0g) zzz&09BrMLMi|HXg4?|WQ;|BYFvp;w%0fT=*-ZRLyvnOt4$cg!+&p4Qxc^*R$fB^qx z220^IVpXVhKNT^6&?2640j;6RT?93^BUTLk1xQ;wQbysk|)lv92a_jJ1?WJ*xGreQ7 zX#AtZhi$O)LT{||V466Jvw>Z3jqhWF!#iO+?sUlu(@3LiIPUTy1SEgW@Y7!@P{kwi ztuCxR&n^kiS;UnxFkM)6*HE%^|H4GNT?Y>Vur=aPAg~+eTxd18>RC4@b;Lzq`i}nD zzFYpq_dr=ov@Z7)C!|#1>HLa+Vs-x+a_!|9uy zk&4-unKfqL4#K$?p0XiI#`FJc#-8**g?f<2?fS38<*Q#|%iSk36{GE*>40!Y3VLq_ z9>*KB6LRLb!r1dE;MwuX-Ba3i7ZtMY$-Qp`13&5Jp^kXnHGj&HEnf3f_MmJ=BbuE%}4V1`unyo#;g=9sD5 z5#4*~IosCsWb=Im!nu{be%T0x#D5fed7Zm=i5hg0dG5OGov;sG@kN6>Q1x3C1d4xS zuv2n=!+Sbs{)r3wbeWJjX01X@fEOwnQ1-AR2%z}RB3I#Qb--jYFhpyLbk|ZWkOatd z&fJN~Q9|oEh4O7l0_+^G=EDg}nK6}s-5dVIU69vZyITBBL2eM)rY;m(TDJAPL?RKV_q|eF_J1);J>yZHxjSv4 z|4r8mu8(OyYbPn$@WSc7Uil*kkw?jgli+K@aUXf^Znp~mGeR*DJ}E&SxR1N(R8hEC zrp68R1{3|F&*ueiCM_c}Jww#lzpJ!SpXvRMKN5$>3{HE#-dXSuQ)d0Q13w{;Ka|r> z@9<=``;ag3u%elR{Yp0YXqnqk7YOY5%`MkHevkL?#lf}jv z#exhJ!m#rzhqL(+gn-Q)5vNAtmn_@CWmhzmOxY*sp!6xMf*k_Qy~6!wVqgQHHt?{GA|R0{zZ=LQryCzY)&-+54NLN7a`Yhs?T zJcr*F3n-L*LXNBrO>`;#WJnQm6rP=D1J+NOua7@v!7@B*x2BJfq^JF_|Ci$<^CXKMnBI%Z_79b8VjbU4!Tne5sfdI5x~~2TA089> zf8-*U`{b-NnILH>kMUQ@l1AewN|iy^Fwy6-4%J=RU_)wm1R*o z_EZJu9&m-MeOVps53TV}#`6!*-obp7`rx{Zf34Ii1Rvp#a-o%Zrzw>yo9i85SZ~eV zIXFbl0U!3bh})L0acl67i3LTE!$Tz{Kf&LJw>q;4ZoM4i?qo}0jmbdvr31g01~7So zF^h3qcxOUKI8~z}UqgK~q4{e*_I)f`Ai)d1&7^GzQ*J5$w&GJhBZpitG$5d#3zlZ7 z(vTqStb>V4@6$yU<-rh~fT*=Zvj}c4(N#AAK5n#pHmnitINEQ|r{(gRdv?orElf4bjl_Xh4?>DHAgn}V_e%R`r zdU(y8)&bubwW#h9M?{9R?9Z3&yTT8BpImC}INavZ@*Xz0dT+~m0;8@1o#45qjE2uW zm+I{^QtMQyT~(=|l1HX?XsJCm!3g#B;-yuG6oA!2)oPu~`2^<`{|U@wT)cKqK0wm< z^AuoldK7|DI%(jWNQwP@u|lovUg0jaR&XjXED~{b&vhv7j1S1PYv0zf*KP!dek14? zM0e3P#=UY~yU^`__ABBH_0fUx`8FkRd}JcLhTnOL1`gh<050%ewyXQQA^z^$#^I^c zHwCU~LoKCh3P6ZcpJ-6ep(o)z%8*WWNwRf4>#nyG0L0wMkn#LK=8kM5{k*_!$B+H4S8JseRhV6gh#&qJ~4ryZD$i( z9a}soQ;@L{-$xH3Vf20znc&0@SJu!#cOc+)6qw0R`^RRKyjCavC0|OTbZa($@cvy3 z2>ZQCeTa^IVZE_-yw=q>9@fjr_H2qAr|scfOCAb`m19`t4qCN-Af}W& z>VWcTzi@h729a;ju1*S9u{5m1zXfCb7J04u>7gY&=+dhVS_Ccm@gpH9 z|1}W&Qvl(iqEZ$5Wil>F>18-H*qMP?81FcUAtqdy`|rzk*ZrS6BFC+cI2|`jd65Zh zM(4XR6IM+o!=8|PHEK|g1)m-%grVCP7H6xQ)of`^>1Qg16!{8s@LST);6s|Zl9VA- zp*dzQ7TmC`b%@yYUIo3stM?_Nd#2{iV8}!p=OHK4*|H)N`xM^}<=tHot;Kw#7u8V| zf^;Z7&u$ZFmP}>AXpxZ%^~3DnCelne{7{kyluGV4FmhizA-a}@<}w>F0(`RzhiBd9 zTGt6<&+U-5&vQ)Jy!Zq7;FSwXiekWm;qG7{p`BDMMMxnr0-4=2MVYwIcvaRpeRqC8=FYWE`hpYRw+g?3B-gsJtJ(CQ{5;W`ov%6qZVD2~ zn^fkx(bA54HY$d=@&YHFxjsZ~9J;%vKz&@nrx#3lCRU9;u#vqeNMS=t5< zZ)|KLWc@+|BwBKOog5t>=-47W;f~ET>Vna>DDUBg`1u(W@p@BEeBM#ubJ{{>Orv-! zxB;Itd43dd=nx9c6^l|38d}A)!LK?`Z{s|UDquGD@CZXCs={Q(M#c|-B~R)zfm#&Y z<;fxwDv@2JM7|))iLwoEkHux^yFN-(e-6z!&)(TDONBt}2cH>hk1al|Us~{5`}USU zVOnhERcfgf2F1Tvj)o0`w^aj{lr?`6-Ve?#VUFXSk4*)jAj->E%>A4EPxy58%?8s; z2R);F;v!06(-2Cx3=k3*xY0A(u0O#_uNL4PdqE77=W9@$yhI;b?$X|bgA0J12u>R% zJw>nB3l1Cx{bYSBa5jWc^=&Uvh5nvaASyTb`z+NMp~fpJdfx-EZUovB-gQ9@f{V4LDcrhqpq6Y#=0?-5 z@PBu%FO=!5jM>fnr~lQa4~#q~s_|Per}d^71|$CLQ1Fa=5pSU2xT@9Jtn0`^rJhNL zH-AVgF?zoknY2#+gCSP_X&)udxLUeA1CESTH!MjySHZvXChazWD=F2f7|?uu(!YZ^ z_)3`>yp(W#Rr8-`)jSjB7h>tZLmg_2T?y!DL4MjBcnE>48xPHY)APBzQW&?xK$?Ti zpp<_M`CoFR$K4?MQ+Cj9vVzaN4ataC%1e5)(<;i1A8O&94xMSwCrfieb3(E7tsxv- z8u@B?a_j}W_J%lHy#~L;MskfTS8CfZjJqSbWEqJ!-xBsbQv*Wxf*m^k% zUOc^H`H(22pJvVQY|F(SjV%r2#I1nOFC6nW9!D=JgT^$FGPthV5X4pR%B&(R1g@I= zW4C(-W*wD;;uwU65~ocRIQXn>1@UM6zF3J3e*Bv0hTc1ye70Q;U-Z@M_Rsyb35rv) z2Ea@J)v*;WS4Iux2|${@tdJ5hm)M%L!&tb5-mQUiHIIZ<>Q$mAc&WY_;v$?IWFaPIxCE8|0rROVgdUNotU>8aizXm%3{Juz}$!cn7+6~@2D;ef=T5m2m zpMr$El+%;}qy$RjE45=LC0lTg>kRs2%f!cuQ;$<1UYCJL)#(3S*AwU7xV8+z0?Rd` z-3{y<*pgS}8#1ejnJKL_sfJK5UH9 zI2=!>O=<;~0Z(=W<{gnOQLWgsW$EN)hoG?Cmu=7kXKOwslSO-DQSConf5@6Lx6mv3 z!kf=gI-+-8 zh$oUz9uHA>V`S<@HlOIkt zhwXRol|~1@E8ZJWt80q=#>yt(qstWyWExy`-w1|ZAvk6v7eh>GW&=T&Jt~zi%-3%x zs`31B?u?yY+Wr`SHg~t1L!TF-39sST{TH{XZi`rRl^?d zkdW?(gEn;JD8+I8Tp%Rcb#lpfknRj**HlM5jAE|&3nYs65;E7-#vq+_C&|*bjwQ9ZmMV-p3vJHb;#3S-;mCv-x7lfw%od<2J`_y4WE<)El#VbRx9q-u!5M!j>oHZ{NZ`qvCIcFj@+lKx4C<`GAsHkH&oMk*bo&ix}-G zDiM;MtFF;R&WW@%1QqK#0FlG>au$F|R2AwC=(b6JTU`#N zT#By`%a!VX1a)YGRY^tzrPzYK(XLtN=ZS=|(k8SLv5|3@2i?A81bEM3x1$z^OidMp zbgcH#RldgIjm{J@!zW6iw6uyIB;_SP%N+x?g#rF#ojCu)O zU0o|c=nDHc^PXx3701FlX2L>!M+Y+sa!l&7J9szMw;)d@Hy=;M`0w20k}{$?cpAr; z^^UF7jXmN?S0|_?wCqL7m27{KMXfl4SR4I8)pV)o`{s3WQ*ub^niD3ml}eVCb#dKKB$ z&7$*fowHg6F{kBV6YI}g9W$XIzVuD zciDcw-KyRFJJs`Js=BA|=iKL9r;@YKA4;%r8}psn zOa&Df_$d)!_SN)&zg75VaP-j^>{_F`-+%Vv?zB$MXcq`&4bP8G;*E#rB_%|nCg#$8 z5adFelk6mk@$;{I@=a1r?7M8#?lcezVC7|J|5Il<9xpMTMM&739h7`Y$l86a+jbwS zYCy7L@D`VJ7_B>YQ))|g_=L_mh`CefIEZ)B;G@u``505>Eq%ZIQ)NW|K)p!u>qFc` z+ymzWTSo|35X>fvsqUUgp;t$k6t-Xr8ONxea_)AUH%&xj>xu>(^WVTt5^!|;w?|~c z)(u$GGPd0j;Kpi;-6Pak&#Nx}5hYkt?G2hJg3O-4Ze%?iFInxfVrK*4nD$7YQnT;f z+lDM<4!Vc7^Vd!H0Q%0D^VB`U^ZeweAd!<^O69gk@fVjDhP}>*bnql7S493bn^zc1 zc_WL>`N{Nb@62r(=7J^5z`7{~K&QG1`p?tvhHnP^r5;V?tzKpB>#m5IaTGVd>o5vR=hFoXLV;9dVkyoB1)>@KSwph`vII}-G&_=0tYXXP!oEnmt&|2qM2 zM~BH|%sx7~0N!NVXL&!N?akmyVLH*xctgSUs~vKMLr}ouztkAVh?T^0X~qaz4kk zM_kK$*G_|HI+>-wxy~ruNo*&AphNPBRwl{>}l5Q?0CBtI_eQxpo|zUSF&>ZRD_Srs|XVU0Jtt9JbcuGYuH>4LfB ztMz4k0!<&$-h+$Fot7Qm@%NSAXgvb*rup*G8fQN&^&s0ENhK39rQMWM5G0Q0qvB#M=Bqsy(m2{S>5UpN;>4Uw#A^1kcA3~KIG?pd7$ zMJ)8j(Q6=ujY@+W9rsJyCrIH!m ziO2n*dg`^@MR@U*y7oM|^90}P?eGWhV&+N!FWI6ktSjM{%PX%-+!67IHrEAwi_092 z>LujAWL8*B*FFiP{)t<3lYEc+eye>`F3ph6l2rr#gLlzVV?>KKh&$g`&^?x()#Lm% z>-l6kbIiq69=$p&`5#&55K)16Qo{}pdg1CASxcnZ4TTv}3%34)@W{o~qP`rf(yRPk z4`-6Ou2sFAdVapHBgYI(FSrd-S>VrwgnlUHl z?Z$|;krt}XoZl4`!L=}PxkXzuiC^YR3L5qbjUm+gPp5V~jLQ7`Y_?dHRmEC=EogMZ z?fB_x71hgLNLX)CB^Y5^#a!GWiqN0=NYVUg@nWu1gRD9rCd;fLafzRK((`{8C`<(v zgO0c>$8Si}aQ(IcCPqPV*sPhLIkbpI_!EBls77Scq*viI9hXM_1NEZa%Fi7L-|3ZPaLxsn7|7<(>i?6M@tL|DhnZ=yJ6)crjU*Zk-8Puw5Gk-^ zxmlf^2UCHl?zK(>)vcP>Ub%9ylbCy`0}{{IgZ!{4#;u6h7wEp{4t>hf*gS!2ZvhR= zzpaKjZEqn_U%yU?TujE}h*qfRJY|;<#IiVbSp}uS(wF$D+-PFp59V21DkS*V#2J%i zKH_?Qx39K^k7rcaLoicmh?O$@5>jMJ|JD%YQE35OrRZyvH-K|3TOcH|#?8Z~6DBbH z<+Dm9XX@TT6t2kSr5t`ZawPxOT2!-qU zMd99GW_syaD~jYDU)K>;@_46eIs=~-xGWV0fZ|az2PVLUNZ>JjDM{PwLww)-s?w3x zwe8iMmk}oeW9u6#F*z^IukICBjZ~O#reMnj4>G=Yr4#5>%qx5c9`g$}GkEW-A2A;g zw{)yrzQ$k*Fc@MtK6hzZ&CA-<&cg-n4x3Rp)SIqszdDm zHz03s$505<=7}xwj5-;;YSb9e*dY!v*Q8#0>;ejr&~iI@oVon2go+qu6qM%R-~t}m z_v`L_5trpgekC~fXz5g?$zIV`tMeT!!(;E=EnCTq`;$K4UcR`=K$yaJ==RP50Zf&k zJby+Y%v-YlbdU7=W?=ewsi|62O)c<%DBsGu7HK@!pqQzxDAex36>uBx(>1^1aeUWN4_LaQwynC847r zNgRKYN4_p8nya08EWEy5NL3aWqUFny%ju2A-kdjvG}b~0Ii?D=!1zeo{X$0%Ht@f| z49JMUNv?|+`UqwajB`65mxj^`>s3g*{aUIv_|+vuBd9n&`1uz`2MVAVK2bnqA6yDE z8`cUOqUO75nmca!if_qjMd4W%8@tvw2hMK(3Fmc|{g-xMr5~pAmEDBJD-8@dji&>x)t+RZbfZ%- zNFw)irjarG8lEdX$#J6f!rE%#yo*v%u7 z0WgTZojxZuokLjB&C#r3WbrI9L>rSN6V37QgnZq{W3h((`YU=(djXd<83p+zur<4E z2qEux|9vi}28BYYXlM|3$^mflm!IlEbg)9(fLcYiz~rcRrLv9b?K?PIk9qxw29FeA z)E&0Na$BT!U6c$|=wttI-$)uj?~fBH5RSq3a3Nj4?5I9`Z-Gui&m%+_ zV87WPBVnuXYfUCF0M6U>lyx{9lQc~0rd6$_we|7wQwRX-O!9M62^7L47oa_12~0jX z=ngQXu2I#S^1F})Xf@t4$+PPF&t;ItTR`UQyU#KdYx5Xu`Vvti-blDR0N7ChMmqGR zGY$gl?5xLx48?6>S2Fvi$od?GXZM zldJ5Y9>>E#QiC^rf3)X`Fn-EoCu&#L`r`X{+%J}jT|kr~gOcf~51{e01O${o0h|Y` z{LZs@SZze|C+k14R}?p>VCcP$4Il`~lmjLkzC)t5FGk$<Zk4Xn4wZ2#A!agIl9FlRf29K<^1w*R8pI;IE3H zntG3!=6f)%*lj;~{LbY}Zh z!@`0K`93~23S_m|f|@4;DxgqXHpwL9GF-7 z-p55@QhhwWeO0_ajeuwafPdnue%(wIwiCWHcnGWociHQu=$<~+)$N9m-EZZR`o`z; zyO_5!c|nP`Bn#3635m8J9prUg?Uxl6@(TyzdbBgERwkcZpL$X2?O)5QwM%X&0-3lw zYgk&tZ5Q)okx{9(H>9{JS9;KDQ^>sRWa!J&rrL&TGv^&W!Os9PqZ7>Iu*f_o1as%) z;R*Zp?b|}<*H+_TEkVDlGi+B^S6V8%Nw>vD3^uEmcE#U8{omenYi#y7+>rdjbN=8o zuA*?l3mKf^iSZ9BZ)xCD__*RlDeaa956Wr^sk)}0JdGG#;#kuJB^s={lfRb^Ae7Dy zU439NGi^*T`vlAGM1ykcg#t*XXbDe?@x1V?CWm!8VcytYSibBg@CGzB=%%+=O1Cp> zOo3S_-MkguDf_JTcM{L0Ec;$Ct;iE83UDVSc?rkglI*_8CP2ZniX&tA3Or|!<>-Oo z3f(4l%P}%k2<#UzCK+EKAeOB;n^4m$Wig5t+a2U4!PzwK`3Nqpw+F zk^E1q!_P8&0hA1yaEu?*k*TpE+N0jW?S(Q>qpNHh@V7Lfpi|^^N}R0wAukFt6z+Jz zVb>P@+Wn7VLdPCmiiG#V*?D44=lfEV`J>Nk&;FJyuj?V>zC*>n-=hCny4F0-%2^fM z8_vDN_PpCE1EcX5PX*E@@}7qU<5@YjUOnF%K=B(Q#msK;MsXpqF2p(2r+S``nD4Yw zdQfJduteE!w_TE6WLt>bDMn;ajtutAuzdBDl-pp4<5=+`@dslkS&WIbT;P9BS`l7( z9BZ8I+|N_y=6O+El}i*(a-gm`z1BzFWqR!rgu4^k)=c&yF|H=Hd>P9itiMY#yyUKF z?ib}4qh$My&nEMs^GWEKphQK7^|!Q}l%ymoM0=5V{K)eq^J@2Dgqr&~$sd2b%200p zPH=_8I{R^?z609XtBj3Z18}OpYH|9>21GyH{jgS{gsGgF`)&12jbA6!xmJ|Mky+K0 z#^oJa)_}ziXb?fKUn!#*CR>#sM(*Vwv(7`G>9)`G-_5>ezHmNs!}t0=W)6rnm6$y& zzWB2O55f$fpjL2FZMUzYsA}B5h45RGG2-NSf3oT7`bziCgl(&g6c!a=Fqv?MAED!Vid0gxa3Aicq4S%lpZjwI+v<6Va_?gzVG@j+If>)VPJe< z0PI|gFzQ{YppS%E&iF%X>T1CaFzYQL-)~UTCgWzavd`7!0H*Gm(Zx)}lX*DC^*Uel z+UxRI;MjaHRv>(9f{18TAMTARd-=uA5)3WAuVk3wHi&~1)OMeGz;&h!lotTTR8=oj zD!N%sY6+PJ>o31Jcx85`X`x_GBsB?|k4zx36y3{c1SqPi2A^zR9xrC6x#Ld`!oTDCU-@CGm$D7d5OOK9K*I;9X`ayk z%0^a-oBN9Yf<5&?(4xgGB*~Zw4kDcC3hJC+s8zBcLfg_ zLgta}#LLLs zO2A?S=eY%l0ABB~?3=UD)@`i6S<@kM{Djv`@qr~Zd9WlXE4ga2LB6XSwU=~4q-p5+ zxxv$L&E)+g#;fQi?qWdVOQ?wG>$1ay-95tEN@aiWhV(k-obGeEtbzHLQPv?j26#Z}>+rc1pzwWCQ z5BKX|05kE^5t*rs%}6Rs=(G%iYA$|%b5_<8Ap+?3i6`E9i%3u4D6IQV(sRLHY+#4w z&1iz~&-BGw9QB$uPct zv0ge$pn2~ijiBHR9cHb4)3=U@@*zyQf6N;*&$M!HQOwyIlbi!#(g}xZnt>fo-$!0) z;={~M>G9*&zqH*RNw~io?3n-+&IT)crNyv*I&RpfJ{c~`*Tjc5Z&300oXMT~7U#44Msl3ZuefW3S~&C)NTp>hpzrt1p~2&O{FUSJTNl#g~-U_Gg;6A7Y4 ziJde1MKZ7rPd+;p3CGP6M_Vv=ZYz)`Ic1p{3ptGV7l7B}BZr2XquWTJqL!h=JV`t$ zwJ15;BIDtyBG@8qIhNBmI5{QTk_QKpk=-U=Y6u?qL1UuO4+Ldq;(u(^uf?i&a#NW{ zYgE*@j2i$|7OG>W-s4u7yZ2$+$Gbc5FJmsq<4|aLq=W63 zSdv%BM(`1sqQ-q_NpCYxKk&DvxK@*&)eD9_=5}~uOWn4KUL2B4c1XE8V88aZYgR&?w4q|2Yhl%ofPI_2>C1M> zCt;b3$cI;fVM?}ciSz8EM)f!(Av*pn-wOn11R4nDbo(7#gyg~L>a4#(x*57;0)8y9|%|&+2UpiO7TUME&|O+ls$Q@DRGCao1tyk1qT$ zR^Ns1TFum?abn*A6M$ac@YJ9?N1?NM0oxIf8lV!`_nnx8W^CZ8q*#Bc@y@~Lbk3uB z_QC{ihxZJHOy#8!r+WSKf6Fl0qClF7!LaN%5VR<9X$bmXLgtrVr4d@e(QilfjJ72p zCNx2=>k~g?!%7Zq(2*iMl$%}h;5mGd)@NvHS$v@c0W#!H0z0)05VU3^%!-T_X%#Tb zsw~;f%1w%4u08){`%5L|p)0ygnn{C-Uzi-G-Vtl-yy99RIzAq8m$5|{c2upUWph|S z0($|(xNgU8ZrX(7yiIKMELbK2gsJKm5+c|w1*>m;bcyu1m!1-=0Gz+ZmF_lL9Qft{ z`X&Bg0!x!`Kbg=q*1%mSsU^Tm%~&yR?z_Dsl7G9F|s%v{N?GDp6ztWv`GUz{?99CjEnApCxd>a^J+ zY%8+`y}8n?ZMBKBC()Eqf$v2p1*_dNXxfq`5ft6BEjJKGs_=h}G-bwWqx60e&@Sqfk$5Wx`@Zo$_ zSGUP0WQ>DotLd3_Z`%^SQniCs5j4DKKnbWa?3B>xXIgH~j4BKl=kWhUpq`Rds1(Da zm0v?vBJ?fZb6ud4VdW2O0SP{PMzMhDbrEug#pcZYf{vTL6QZ3DxYV520 zMazPGv+oNDwYZ6K%@o1$(v{4YlpP{YM|yX@mQB573B8tN@jNU?`U9nX{s&C`&hX3g zTWn%)M46kME^jnLA{PGGqDw4MT~jQAQFm;w^KAXsuXA$?F?5$V;?dxe@+AOygX?P7 ziuc@F@n@zctISsR#3`J4r_kIGxr&j&0xzkD@kG>a1r(} z>?i*kVb!gEuZ&Z*ejhwgU85!O+yB$Y#GF5k@q81Z^M!XtL4x?TMt zF}-uPya#c=a_c=3{Pv-CG_PH!fdv`rD#-?}j@hdoXAz*q3mv~)bL&>TD_tO@mx3}Q zsOkWG-y72JHm$L14Xaj1Q@TO@pKO57D4sE>=wPTkW)hK~+QOBMj4gocX?4{Xf;&;5 zWP)O35laB1m5+_%k9;R&dD(}o4h4fyr3i^Hm_`-3Z>@I3SmB6k4G`+OzJ0KK-{i(C z?V1|M|IgnJOJw)oM}@Xf_O$0crX_U2z~|qbfASnYuc1(x1F?CLy(o_>rPf6tH(ERz z!sd4|u?}&)?ILAdZS5yfEF&lTB568jyWHVP%%(QIF6r*u{%{>F1xoDx25L!h!hGNU-#c*Uu;T|f|79&5Sp5trw(Ja92NWU0xaekhVL>8zHRxJ zp8%w=YMJ;OqH<-?DHW_XjE=ysY13NmSA4}vj{Siv1Xl`flXdN^GmlvkE>HAdm0|Bj zQDc+ILslI+`wS#cguaCY4>;Msa%96?04T%X%0fQH&S*fM;Z@F#hr?)d?*&U3Aa0!o zaC5Qx9&#&9e zh|(R==RJqhV${|e#mZ#432nyg=3%$mDr4clse>*8nBIpx&mIXXz7^fmVETScSCN4~ z-ga}|Dk?`w>6!@tD06!h?Gpa4_sQq4cVRr6P?bUs2cl-xGtg#5SA>NPa&ZZ*QXHRn zpR&Cjie+=@Y>L;b-z}VH^fl3T9jXJOyB+>ajkJ%~Ar_%trN}fOKpLje;^CE02 zSJKC?%i8!vJjuDT=T9NIE|OdD?NGNK|107hDWUCa8BJc-W5`9uj=$8rrJSe{-b%FB?x4+qiCB*K5lO=`qQu=LQxVtq_}@ zQKVdtn*aPy()p@^X`go4V@HhWJi@EHjd-FJi&|;2O?KHWxJ*WHfTMgXkHV<=Q~`w4(S zLbB!E_9Rrp?nt|xplaN(?@N z)Z6I^e7J;kmH%2Yf-6XSI2?YWgrGS7Iw?Hpout5QQT04MR`Q7g3;{Q+>)*u4mvftsSt4E#fQ zV=IlFyzWniSHMuY77cJ$uEOw4p=U9b4mSe!H9Xu3oo~>Dpe9BJl@i{<^Ky>(R4W`#E z6b-$kOhUU-HS#{}_WJCFB3BcP2Hgt&!p$uivbA$fvNo}ZK`nmKXG%e|>Jb=Ub1di) z_6TxVM~M|Cjr&0(^Om#*Whgsvllyhbm*ikS1}scRYGhPW8L{ja(SNGw>EMooqEd$; zkm>qdm&W=|O45*rTHppuU5w=LZYjM|qQa@q%%b>eIc8}(H&P^OUcc_xK zqh@fa{j;1Y8lnxiOTHxX=0De=!gU>`h}7`054%Az%9}))S(~}{Hrg#!hLL_P0<@P* zfL+x_RB5SclWsJti4q*xFRlovO68b0sy-|6Ldrrrkwd}ZvH;;()0tnCuEGZwm$f(DNaYGb?P0*dZpA^k=O5tg8FClOekra z8ypEoV(?JgWjuyC2l4fLn~1{u0Ljyi#9#Jv2>z+=)H;^S1cVBEbmV&m$uRo7IfwT+ zgDYDCx#bm;L0I!8t3R=bLeOOXo!=~fA#2o&Bj8p7()?E+=SsAyoMD|5J6(NX<05Hp7S#RH9m2*t0x>QvL~u?HQ+6IZF#^6 z2a$vYYwwF%7qtmx6-U&+YiRbfT1HTCTX0?n^|=a8J;wL^d}zdQ%CLlt5B4ab$Nq2t z_}pL0Zi>NYO0V}%Us4dZ3Cm~Cp0e}4`8I#1G+>Ziv82(cxO#bg17&8$gD2RrVZ{@g z*85EcPOy~hOt&Ppw>7O=Pq#EV^Z_)Ryp6sz<=x8$D3q{@fTQWPxuN62*~s_?Vh()y1RT%5e>wt zKAG+y(BB-NdK_tqxEa_co-vHI7?OKy_{jagHAkyYtZNmNzEy0;o4Y}wPaqbO0Da2) zWX;I|ar>T<{W$Qn$nt9U3?SHDl*||+2l^|NMBJ2It=`^}I~+f7``HDEq`d$NBepU# zJx!qrEH9*HOSJz>S1?0!zzKEz&M2X@y8h2`Y&3sd2rNH=FQfPm0|J(;*gP@UI%2%4 z3+jbX@cGvO?D$${YhGJ8A4`U{RM-nh##h2n|Tcw0o{mS=nP+HTy7LSj)iN+1thlKDTa-Plm@-)xX1WZ2~ zWL3EYhI^_CSavL1J{K@_Qxc9HkTpX$YikY1+4^EcCskv_^FTnKzU#P(_H8GwvzahyI8l!}$|HWW!>HX0$(3 zKm44j`ZSVCb9rPA?avM0r?!JPQa4Abyx}yPiseo>PhT6jM|THVnycu)U)J8+E4gV($j>*gpU*N<~+0rFWsV3xPF#%Thj)i3TagNC36 zYVBe+9`g~kM~v^^dn2PM5kb57D5^k3@A`@Mnh6zAJ_AqTW!UouKZp8o+ax&X;B4oH z&kEPaN~z$MN@xY@Zy;UwKaRW~Y>0$!1p;uN>pB$;`IJDH8`ODY14`zdEaBDTz9MAk zH(lo2Vv@%Kkw9jx9_L@y`ujDaj`>g4Xow&Qp&E~Cith)O;ouxQqoM}#xb}Z#tp8pK z%-u1geQJWg%)KmOUolgkohVQ(+hLjRl#U`mtXgdeDe^9m?L#I{Td=N3AC4{Wd$iBO zU%-anUrx`%J1s zD4Tyl0V*dgw{QYOfeAD|*IgOG11g^T^lfC>lPxJodSsL3Y$j#dIdukEO+V_;SpA6sbhAsaCdv z<$ZFm%y+B7G82l8?EFv%k?fl@g5xVeS9Dw`Tdy{ekExr?H z#;3f?cPMXulrUdx<#z>RW0TVXq9Dlw_+m?RLz^!cCsHZ!(*7zj2*<07`|l{CXKK_R znt@4O_f_~{VSWNrjOMo~)VmOTslPYE>c!QPhLOgaGyV#pEAZVzUgun@lO z>lfqsKX4;sCcwoD!`A)ph|byZu}=(6;gf`y8pA~dbIa_GJSk}f>GF8D@7_!-6saRX zWXFfu*gv10xsu7`CMy-2Pn1_Bp^fHvVEgUyg}J8vDbN>m3mZ=`6}6@>@Iz!*N3K4a zf)e}woiCgadB;JT!~Udcm86w6mb316_wilQH1^?U+&Ut1)Wz5pg(ta?f-C2Hc~|Mr z{L`n)4NO3E4`AYEN5%zUr8C1rzeo2#NDB2<&eI6a7B*=6%>aizk(8+t98hT+7M`Zw zDJdxjfRJwCAsJ42D=wKmY-ib`r}qPwaJvOwf&a;XW-h4XAgN3F^Cy3x3@vy!mYSsV z)BM?Plc?b!LvFZVZ$D-1!rv_SzAZ&R+McFyH~ADga9ELa(T=btVS_sL)#En4@yys4G!0@1st;&L^!Zz0hnytoUS4@-PAU-mr&r2;K8-dvz&85t2GeZI3bJ`}|ipuc@Kq)S<8T|fp@l>RIKvbxt)jb4K zMZ;V}7VB?V>WI;y$uKL)@WT>7BQyn+!8wh=!4WBMdrS?NEm`YAB&Z(E@LeXq4BroM zB%;FtMXS=y(r7EYrC`hfLDO`|vxS+$wq&@c@oysz)xxoNkIpf%mDRi{QNzjuG+aFJ zsq)u@U^;z@w*DO^h832g4Q}Bo&d(G=3rJuaNog zGdka|6?{rHp>f@Qho3L#f=LlBMRV&*%|nuruQ6*~`j>P@(hL0pr_!kKTyCcLA!Qgy zo`NXJ&&7clOhiF^SvjjtyRB>QJXorz@dxnA6$L}6jPq#ou1^n8tFeU zC#xXW4QZ?(atKiCOhI-b8svaPM!*V0EY%Hd_8s6sL=)HBI*&EJ=N+B2qz7an=Tkisy7h$9{dS^xW54%itUn;% z@^rQBkGYPUYSkQt+|A5`A2NKAM!2!iL<{zvHEmaMBH&K>dZi6SUGKa??gpqQ7)XLj zK3?vMr1v0fV&gig)EfuSx?dgh2VKG3_vWm~m^Dwut6%YZr9^u7tC!xQHa|%5Pw-;D zl(5nW-3d?#uhobm3ujy25_h6wqyIB62NfHPl77<+aTBgJsV={>H`ZCYS5U{E2I8GHL3n^aI{5L4~2KfEEwl`uRf)a$WL z#MAyyeo#a_xzX;3|Bn4X$@@|X^M%ta=FAFmm zIO)dpqvUF&rs1~L^8)m%=K)Vqo*9#vmZ^R#Z-S-KxwYBQ!)7PO_jRQhzJtb>(lJgG zi%Q>9q8XHppW=;i{wwFHtjBp#frmmZbs6JTE!f_Kg#VB+L_OoKxAEvb5uty&J;`b; zcxJ=lGkse7(Y$vO+@xv089yq`UA6jIGFB~*51zxx>D$;mbVF1QZ0mks>g4IWNBcei zmyK1pC$!XOp^gCwmw~jv;Gg|hjVdOBINi4%x`e&Q!jW~4%kOKR-#+`n>7wfy{i?x` zFX4}hhPiBR?2+Qj5NRKBRc zpadlwkA+LMS9a=1*d!vJzofgy+|vq{p0J&+2FUMxk|buoF!%h(soaMu@=<2mgx%bJ z!V3PK7vYF$(1BAbJlpFb=VQ}7)nEu5lAU(&j~qH}HK90SE@TxIoQT6Z(P6cci82>U z4#PMKPQ2Z3JzrlG2WrS$Cw{`%?$!q=+1(zv_1fL9PvKRkglx?1VzQrn*KMXZ{e*yw zlYYAZkFL&-wMn&QK@a#$E=$?k-?FAa!g{Hx+5eEfcs!FXkV#y_VJWt0T-E8=6@5oJ zPxg8wWetUL2Q-HQBn5jb5s4FM$1B$jE?QIh_~rw=1qFfnEzuAdCJYWYg9x&p$%M8|M_q zp=)*w-Y$YwMk-gxUI?eq^gOJ8qT^T)iM{0LRzwt7N-wYYkg@sE&{CPtTAQ3^jLl?t z;=PYuPDff`O*u|ei4U(?afU9p(fA%@Rs4FBUjmRl0X2(UFkZ%+8Zjt!{~#3;s#guS zJ)9sq6wLG6hxF_5+A8{_l%w~>>$JY9q z!L%}up7^-lCKXB?DmaDYoG8Kn!8^2XvKm`^W|iVRw{dpT9(E2hCmNM7c^w~cnWh|K zCXECmg0QOoMb{u6O|&Lq%vswFB&nUKwwn96$qhQ5%u^z%uJG@NeTvTR^SkpOsJNBo z)=qVnw5y@G6Jb>D^C#ONHwSxC?f23xJ9`ECJf1|f3x--o#QEwIT;BsPcyCrpMjsZk zCgr2vT2z|PFX4%g+(f;7wWl6I$#s@VLexHiTazCc5@m+0nu!P^&uOHi?(_WyFilpm ze0)qdH~T0^0L5t*8D7^TQLi&-;@D?Wrm@M)Xw^SLNUBX~G~~96W^egKQ_QmdK`UDk z0+?jD0~+k-HU3(v4U}%mdEyy}$oA*5#NM?ynSRLHi6f2^TnX~T_CSqArY|km!N=cb zG%b5^hL;=eBG8tW zZBVbXn|7ZGD)OM9Xhl-)UIQQ&{kau~rXVESNPDr^K%zNQXM-FT3-A-ze-@gdx$iIH zci01sY{x|FglAxH*Hr?$_ZEs5BO*G1sK5x;>ME1H$!Z*23%J5nk^qoF|ISy9*2)F> zPr7~x_79r!NBSU@ z+5s-5x1-4_JYKQohuEuH?Ao5OR-XGe{sfJ1=T!D1V?Zxx(+Rel(CFL`iC}u_#4>^X z5W1TPrZL>BFxK@jR3E;m|FEGEq4@;dKdP!*`GB3r*c%f}4iYa{&i) z{&dA08q5Pl8iNd_Y}O-LAY^^d5SUB@w06J>?%5VP9k5pLI;5tU{_qQ7*X6WTZ+Oyv zvuB9BCP*FOi@02&{;48)0OOqzJ}{p9 zq9iG&$}>o1vbn=Ale=|s{vRLnlQ}l1>=7SED7m}yBu2(2l%e7Q`y5(H>;%$S2S$W zHTHLzZ2w5T#J0n`L2F`bkj{chlbVI@O~(Fyb-sY!*q_0aqmYsdbVkb}l@#79RX??# zd2-yGk%l(REK)6Ss+s9+Y)$nwVI-g|z*+u)OUX~YT>$I@Hg5j#5>TycGaKT|zmhD7AuGuc-k&$x*0*ubm7hwJ?2iD2?s5mLQ|qxs&~ENk=}%A}ODsmOEa3UH^r&XdTC(WyZGc_y8s7`HeKTTZSl$f_5p?!G4Wkv{mZ z$DQ{Q;9bSGYdj@QWEUX;XMH{s7-)o{FG}E*B{~EBshJ`&O@+ddV@|xa|2pJ*Hn$xz zt6m!|ToS`qy?UGZlT$?1vD_GWLe|8Wi~p8ZlQj}DVlG<|z-kFse%oPve>+s^9~9R@ z#{DRaOV^{2U5ElG#qG0lSBO@2h%WLu52IxJ&)YqvFo7*P+{^`x%;UF+@wF0!z12ya z8)Uw7utJ;Zo$h-82U3GGF*z`RqHj)W5{6Fv?V~|yQO9HMg&424nLMkA;`XwCY}kSX zfvmG*Yiz`Fn|}R(!$10~uXEyXpWeiC>gc?Ep{1sq{&5i)zXtfMd5*E|Pmw+gBHA|Q zYhG#;`2LCSdW%+aE`5wjE6{EpsNg;p78;8B;CrDyDeltg|NNdrVf<15gSfc(gbecc zqotoLK%^Asxo)G*LEcJ51rC$}E=mOIY^l;02O`A+i=?5@^Y_;QNBSsQUu33VI=OLU z+UK=!V=})o*UW2~Mz`O7!+4`EiT5UfKEeoIx7Xk^c040FeAl;jj|78?1<%(E{#^t{ zX~miJUO&$D-?z)ctv)wLN68*xg~)2F-w+Tc#h&eK4hpfZ0U&3?znVe>KHJ$^I$ypd z+R+c=Vhm&IvUZIRFDRb6i$i1=dhS1~0wG?p?KAEMnF)!x#ys(fJ1f&BAbnpVK|Y||J=DK9A`9Ui9l_M_YAL(r3^~;F8xoav3m<99MV_noT}h=h0o9E6=FM0zJ4b@ z=C5;TB_4dH>(DO%83i_i*-Gfp`y8)#JN(=lmn`vDgrD=sm{$n>Jc&73lgFU%&Ae6@0*kOze95F$O;`ekQUDx zzDwHpf+?Ct9p&l@}O z4P#R)eLLiwitg2n5m+z0f+}=#%QmRL%qVYoGA@>HA^VM{iS-(?4FMJ5f06SUbN{Ajl{{ z8WpQ~lG{m_boab@`~E)Zam+MJ-f!nYJ+W0mmLkd9+n>3rR%qnn_QI;}bD?;VWp0ic z@aHw+Cr?BC_wTa8j_!YqpeM3Buk@^}-I>EfniCD?DJ$U2F*30TlH_cM1MS~iPPjAx z--&I45rfKdz6&*7!Pu!k z_0aWM*gCxMuLh$A!oAg4$-&+h97uBu=t?+^v03^jY1#8YK8FSp9k%j90E(DNqf96H z|DevbalDU^J3ctceClk!W!Vo8=&@K&m}- zZ{jZkd6sU08XD6O?S(S^So74+AmSK1><5p!@WOv!y%xt*>0C(il>*iLt)j_mv1P_J zAH0t~Prh4uae<80LN!OD{lBmhWoHCJGvQHh7Vn>`8m#n#7sPpypDYI~0rDQEGqSm0 z{+PpaA9&y*a66>TB+s}NV+0E(D%U8J@YK`Dc6pxEx6|*Ovl95j*?;GM(R7tTZFON9 zhXTdjwUk0}cPS2~gcd2Tp}4yicXx;2?(SCH-QC@N@3%Yq4`wo%ft;NCzK>p4x80$_ zODFSF8$BYc@!K(xJ^F8-NP{AXDimI?Z*jL}dvX(vDi+DZLS;*v2Pvb> zF!cQPUCAH$i-a-NxXm))q6ZN`pbi~m2o0~#e!%|UQ%^=%Jh~<+5+MmPcP$Dd7oH=c z36E|Y&uh!Dqa2{Ff_j(4z(&?+e+K&0)(FB;C8i=07ZVMFg~U-<3gv_v2%5Dx%1&_4 zwICoe`0NV^3QorD#UArs!yTWffzM7(O5tc~_IFRTRGvajpzo&9#8>cTlyu>Q9 zd zX>n6Dq^b}0Ql}6uj{oBOYx?#wDr2-sp@pT|jvHQDz3B_rxKgR!fw+h0Z*##xD zsXmLlo4pl6OJ6L(q~-2A2Y>zz{fQ+iM)bodv09dc!LY!6TWb(IBO6cDZGhbXue9;k zNnAE(Uki(u#|n`4Q+|W!Ijr>Hdp@gmJ}bg3%lSlhhu*PC#>1O{qPy0aCt&lr&H7U5 zD;mqn|9!K1+Nk$TAlU7#R`QYP?JW~IV?+TJB7iSu_771M4UgbLZW#YAett;$@QjHI zZ%y^V^$y?lY3GBZ`FaGo=Ywdc&55x6(+xiqXzGoj`3F1uWvK2uQX3(=;k(g0SxcUr z&HI|*V!uX>@)&X9c*Bxmem0tNwLR(OKbGFoM{=#%_ zb7^rgI5K6AmkkhF>?Q5T%@bAd0vHt02m)woX{HobyQbCAW%c6s9_Of8>ik0lDgVxh z&BMQFFgwU{;R1LZm|q(c+n&Wli-NT^h_KUBoh=b+EkX*{6GdQTwe#<9Z#*H7E&7SG zl3}L?%RTP^6 zrs41{s~$&@>!K3t=rP=sbDfKZ&GuIMD7IA9^Zu>FlD-P?zl!>W@2yXk7^B$NAj@m5 zu)))G>(h5Wr}Sx2C+(G?MPk620f7^E(ZB=55Xv1aW_;SZjn{ zpMBG=rj0ybflaJG>4IH{-I^Y5Z#KV977|Bit*X-RvC)i|k{qhu?cI;H$S{v&|6!2< z1JN{PxKm3YuU$k;awZ+VL_`A!}52Q%9RaXY_b)YqodXPc@eD3n9G> zmj{CM9}_Az#^Gwl>1y*-8Mcnx44;3kBuU;zx(WU@K5;bEHKil&NspK8t$c}^=^IUcFGP$c?iF?+qS*ggnU$HO8djNFjEyz`>vOZGI;2?N7t#Aq0Om+}^lG zsB=<4HQzBQL4A>vZ4BBT$n{&4lp+@w6U!mtdy|!sk?FiNzyx&Qec94hy(>KV1PLas z>WXh;m;IA9jefCoXq%lrKS+47!W$c%d8Ir4$jHg%I8qsfMblucHhTUH8yzCRzB(Cb zp<(S7%Bz}CQ;ubhrLb1(cYe6QWy*Fgk8c)sv1}%6!CQ?voBNiE1)4Scl9JC&8P(jFV8ii2srLlk=d4nR3h0LI>in&$LzQFGwid+tkm66t zhoqr6(SPdU(^xkR%l=d>BHLUsOU1qt5iEZ47@AZh_z}K?DX4m;J?~P?aHUn^Hjzb6 z3f~_YKLN=MFSdZ1`ZJb|P#2be`469p_>)h{4$)-x7&dF7NrL9J-<~#0iT3jIr3;++ z-^j`lEniT{fkCeXJv5A*&w6uVT)gP&q->0tZwQI!7rMB4{({jP+P`BNmFcP?*2 z)+^ee#yYb76lOmdRz1;8Lc1uM_#~j}gn-4YDS0$YtY*T4Py6^pC85h>@Cl1qOXBD@ zl_kAHgo@l2&Y)*e&T*)WSfG*oUTK}{BHD_vh8BH*&J;&2RsRiy5tCd$v*oMknGhxiA(PGX4^EwT~C1KauMN`NnxZQ#i=(2HXY%5nY$l z1v~bY^Q#}jf5CnRpKpf+YMvkM24`w;ByzcNm<9VDb5S!~!L67lz*Ox=0=3QIb-?!>bQajqiDwM=_$)au1{^=e+fK6c0V0TDmn9SIsH#5WyJR>@`^l$ z$?Ec)Z)d$|+!;3!dB&Y?^JKN4<@1?x!M}p7Q4+U8Om36E!|m6imp<5PDxUBBd040z zia=V=Hp7RTa)}AG@)lkyyf>QA2Mm($o_!PYujY#PyCX50Jky5D-;sl=wAv6Zbm}Fa z4m;dGE)@|nF9X7CFQ?zshfWwGUdz)Rc^OZ;`?6-Kd)HZB6i@H@-}raWIc5s1bVz=r zoBS<|B>maBgCZi!X=ebW#NEg8qUJXlR?^=$;f^LZr00eS8r}w++)|6k>gfCl#Jx&C zB((Mj%}nhK-HXd~D6GgNcxkj6?I`)E7~2OWc+5hKXs-mFJo>xVdw>joV@%NR5izS| z>H9RFaA(gLw7S$dh5IWWtIBnXov@KfoT z@c6a*NAy86=7&U(aM{P2+*qr<~Ts2^Q37`Ozc z)=SFWDkpnC)JT@&1Qw3wf9GlbQ}8W*Hw#YDKXLkYWSq0ZNXT_Y86L#92Q>Y0h3Nfi zpIFpW3I>6T4f;``<=<#2fCzkR4wmlp4e4H21l_r;O+c5U53jbsB--!$93-dU z(>|#QFD(Q13Jso)A}bs+@39*+N0BjZ!3}&ER%O+YQZz&y^ZS>KHGQ@!AyC(&#QWdG z&;yBPj1{>JK-mMZ_!zK!T|QO(Q!D`nLJuo89Kqn?J$}qdc2Oq3irfxUId}FGPK-i~ zbVM4r9$N^An0BFafGq>LGEV+$({61WO@WQzuqTbLb;2M#4S$|yi_Z?je5GzZbV%$- zALjP{G`L)@$(6Jp!7k2~Dwo$T>Ra_MH5q_UEglqE9Au|fj9_UQ4+X!Q3&$@NfDOJo>5x;5R6;Q!Yy z+BviUH%POc7kvWenn%i$i~FXRvc5Re;Oy83V*pu3a6R1K33``g5Mbd@{yg$$eW^ObU>-2cRGa2kX;*awvKa?T-+{{l7}o zQd={F*xkml2l6-sE^y^<*+{&Oc=*`ty+QrSuM0@YiCqU;SU|U)z9paq8Ro|L4!*yr zWJwctinznzQhI&ez1*g2ls6j_7C?4;6~naO;TRhzcx}6uyf@a}D&vo|*)v6@%0Z(J z8;b>xr{Q%z2L4c+YsSM4?eq=&vnGaNeE>JMCL8^@<>I!EX_!p#FS+yD@&3b@RwOm= zX`$fhsRB+|KI!eC8oHtZ^s_O`tEF`lLVm8+JAtK z!5;6j-!zY7`lUH$`ucQ>&p(rz5Vym>(7;V}ZG09mheUTEzW|e)?8cJ$R#wsWae9DX z?`XjxiOaKX;z6%u@?6#JL&AJp`p1A<^(M57Ts|fp?AT>qH8fmC()D+(WO= zP7NY8nYBviEjA|eKgoNZnS1QZzD}gJYQ23Y?%-9NB5MeKI`OL#pX>Qyg?M+$>wWVx zLVNrrZa?M`cKP!=+E(2VEo?>x@x}Fc-LCpvxiU6?dPDL0>uvWH$?%^=k)aRR*2!!B z&*>#$Nn6bJ?p?8wmj-s%-PkYYa_NQx}-C0Xjt)Ss&9`ljw zi3qko49=t}R7Msro6a<{YC7>L@1Ikx2>-AL3vkCoPnjn3^Z?gL1~SBa22M{-HMo4p zVpE^v?aXab=JwAkqKNF6^dWJ81N%PkEIWB4E;n}&&A*4{IV5gFf-wFfN?3B0{tzVc zWzZMcgAEA>rHh7qFI(DZ>=3|<|DeGy&{4M2mSOsp6t{A0V6}yf%gbw?%`G43G>6Mr z#UCAW_X`#+nrw8EmNs~=Y~#w;#-kf`&$xv2ZLPN6acy4WGgT&wb?I97IT^)rQWe?%lHj&r3-EhlC_7(RcfItb0FKV_I08OcNUj7$s{Y zq;nkz5~HGEA*Daa>W32mkGoW(B-@OkAgQ|yn+9i$hl;{nk9eeRnnuG%YJPj%0ZnKS z5o1)H?T8KaQ=K`3x&+bOBQ%pEgOO#9Q?tHvMEcJ>0B0hwuHNswa41BnO1n*)W>rn`>b zQbn7M(KOkH0GL~4sBXcGfc+QB`~Bkk=JEJD`nA+uZ`+22edWgjU(QJv_?IqqdT^w6 za8=tWOLk_PVP6W@&TQ`@Zru~ZJiD`gL7yaGywYN0l6+~`66zqd5WhIGK>A(xOC%Z9 z+TB?g2mbZ)KAE zGN)~LQ85+!p3_$20Is{fUxB~+Wj#=oE|B}I)1g5S8R^^f+qK6FbJW45)}NDS>jCbc zBINt?+EOET)3O^XZ%nRM^wu5iO-@B<&CBV;wjaHzlhBG(x=FYFq$6$cpZS=kp=MLWf-*Rp+a?B%t>Qs}cA-oy z;yIFRYiN{sB1PcCWBUu%Y{{>lB~CL4Gr&@C$wPzY%0kQdosiC+NBpk|Dqxs7?YeH{ zC5P6zbH&-=YbW0Z5ReSp72F!;b7)QO+N#GLt)?{D811+=SM3y(I&3-Nr578>zxxHq z)DI{Oll~}i{pcQjjuMu^LWWl81TjQP#Fpzc~ zZDS?; zA6K2!I&7%PCI!;-Z&?i=tPju8?Hw1Z68c471y9KRwC(dZYlb6lkJ>mX^2iZ)J@N(q zp4w`}l@~s!-daAwez(kS>L5X-!FX@Sqj+|DXg=Mt>UOs`C+C+ZtYuVOdlXQhCF6@W z#2C96asT`1-_fJ;jrTO_Bu>u-P-f&uarVlQHc-XAWh`!n-9T+|j-dbgp}*$N&J1#) z_Zk~5cvzo7yO!O%mjjo^w=a)sq9{I(@>Goy+GyL~8EFr_s9bC0bHjkJie7imc_tW^ zNfrZ51V^zWBP}wDJj5I5EfbcOo@=n`Rsw4N+7dp1$ zBLs5qHGFs49T}0%7wk#$E!8kNfM&Y!1lY^$RnEVNI%f<^A2yO%%)T}lH0WUFJWW*! zOJLs(>S@0M zEw##8Q$I9?Gb5g!mURWd?C?}_x8`FCp4)>s|E3Xdb!lEHx7-R{t@ z;?IQioFYT42Eevo_S<@?Rpwz*=4hQ_Pl`kF*3=ylZ00s-PEu#V?TRJ5^~_~4g_A+U zzjdbt=k}ZIH^lJ!Tnrdhj>+L=Y6X#j@66ymX%rr@2&0U<A2)X9{~*2a45IMBr@Mj^OST_ z`u6fj{P)%MZ>C6{fsT6jai1OJiCo4cXl-;&wo>3yy}waL^X{o|oz3me zq3_`v-Q`)OY>z`hI~q6%DU$xc9|oEDT%}wSkx1*A=S?A6Gf63uQJn)yoE ze4xN2bBhr0&X$AOI}hn1x@WMy7eqgQ1#cd@MF@2WFEzZwyr8dq6H!CuIz<|o*tGjm zKBD+pIfp3#Ee&noLNrJS$JZdxic;VP3k^=knbsd6Ln>-r-48CU=Q5W&D(>RWJl4G( z9L{4#$h%>(MZIfvb&O$QQcAe9zCPj{7OsJApw=-9aM2kZ=z-tqf%7?SqAN(L78k3NgKF~o}CFRHaXjkJ; zzy@Ky0W0`)tTPETI{CIgruIMoBxLrbkCZ^{nwo;^3Ha#W{$~F0j2N`>mcM&*7^~L0 z03#lF;3zd##VYFKo}>0vCQu0ah_&tXTWX%Y*Z@=nFl&DVGR)zc91-Rtt?#_eIj##$I@jTD>_Y!nA8Dp958E zs|*XS&Gsn{Uj5p~s2IPY0!3?1COl>1gCdb3tpDn^y|)cJNbV~JHlCulsl0a!genan z=}quwF(OXeJAX{f6n?TP#&m*9gz=ps)4IbuS>(xukm1!PWpCw-i8jUnJnj%5nY0)T zMZ-_ZL`fM?6SlI_q*%@Gu3!M}NeWNqwVd`a_@3_1^dTw@H>XvSV&`dkKdV6SqYnNT zKU;~A5X>{K58oT=TMQ)EyK-I_rS9ueI1wArGeyk*iH?v6eovzsw&hqLeEP>@uXzMk z5=8lBG#uySKM7-~*&e~uT=6#Z=kw1>ilMkK@S!f((VmAyvza|7fB0naV)gs<%K1?a zrM^=My|5UYDM;!ap~F6m^vjPBOUra?F0H*vTi^L@Zbb6}RW#Vu(6O4C>8pOne>8*L zTJ5S+SpbQp8f2obsHIin&$Fb6?As|BInDW9zk9f^WiXbS$n(#u#ZYO=-&y?FeW5s_ zRWY=>hRqm*PL|ZWXBGr@dsv)3kja-vX<3eTBczME#E3h1TTT{ zr2x1{2WVV1gP|g7y2eR)HuDH(2x>cfW#v@F$cErqM&wv;m~$E5hGlG)=z6n1Nm=}S%gWuEXAt>myokKf>9>uCc;MtoT*&fdBiYF?>% zUcC?u{dyEZX;)Ub_?a^Xfyi?&WiMZ*$jg}lOjfHxD-HWUa&?l)2;8c)x~t5rFe890 z`C%Av)@PeuRS3!nItkWtyNNN;Dy=7ZDqCKSL)5|iT&dc@ z#o28aSS%7yq?^|9M|o>#wU|YQE?5o=5-Wg-I+>Ec!O}NMuzV)-o3q7YM1lg&K+1Q8 zRBGo}yrp}l&eW&rVjq~JmO0JPyi60H4?FCf>J5G@_bY6?KO1kH z;KK1(0M|jo%=-$CXNMJxi4gkPg72F-B=_!-A9#|mX$~F{;$ZznBaJ_>Wge9tyq!_a z=-GfpoG3EGhnIe|!*;fE%C9P*@Y~D67L;pmrTP{E|PIK@8Um4@XtZG%ah zX9=}_`T|>>AqB0V88pb04a8-Fgzk#KVugV0W~6#62IIR~nZ~n@ z;V6g2B*|Gu#?&~{@6=V3aNB2vqc^06crz37jsT>T*fUe%R1PbI1`jI$oBr4QhKSi@ zPU_0#2_JP8NM2>^!9-znM7u@D!8`(vyna@2YYLdZ(%~9oRm^hIaX!6%?&^rD9{2|i zv#Y%`VH)E*|2qkXSZikL-_@}cvOR{PPL-^4r8(K;_ee`jC9Y!S%dRzyd9=6*e*JqJ zvkFQ}PI4-?V|a;O=6k}Few6GLh$Zz6$_SX|m4N@jR$-J&ClzkwyT1)v+yA)aC3~ah zh`~oJHZFic$Hm+;p@UPm`(PUfZ5QM~*Vv@UK)JTOZLnZ7Eca0dc zPLZvMyZhK*x$CJ3QIEl~@*vg`IXwbNhEyyT6l_tTY9$B=uX;UC@OFxRsiP0W+7TO zqJI02;4Vhl*JQ9MmF@2@gMU8J6d1wMBce)C-O?IWFyn#9W$_pp2^ncI#s+JoX&)!- zBPeBKNYvu?#-hr>E_YmmO2Y+XQKgJcnWTu?)l|H^yfj#UX!es8GBU7t0`}N5pktlR zyVDHI%z@J;<(YAqe6LqEqXG@`jAnTFIM|y2Fb0f+A&j}>MC@c$(0c!}sx+qBhNkQo z9%faOWZ1MBwY-*Rb)Z3by9c@OtOjX#mZVwiXOqg68Gk4cW-Cze|E`&P^wRWhkNG}V z)+@6qRx^n@9Z2{7cy>a|^b2pN9GXsQ|3nD7Z+L5LT7-AHdlCflb-YtG?zWh2?LG|d z1OK(B!&hzKW6cgEu4=GmX2*79P|X7U!j)cZLoc^l4Rs?Et(;HEh>gAi+RvG4qLnWdFH%dm3pU~L{SU3O^V#lhh-Y7j2ehPnJMCL>?0q& z@5XxDBx$Ut7vp&a#`(iIj>EtA&2C2=pvg*33gk#JbN`Xe5ab=VlIYe;jIQ6^ zn7s%l{8|>QAL(-4UH{#&Y=iWZ`iY5qMTdbq@JIz#T&ARoKr#O~T?0Y4J1q4Vg<)UB zNb6l&EGjYPuJwJKzpoL1t&3n%$?rjm5(o?MX~#qY0?$lDXzKY+@h5gFEJ|6kQUw{q zow|lkp|re$+EvTZFFaAco%+F(_GMqhUfd%2x1UkX6i(qh3YGccDLm;H-8%Zg>vT^R zYFs6f=4ea434u5EJrMLL_ig-lqDGztB7xa_x8l>q(etOhq}Fy&mD4!iOv!z^!0-`g zvEKDw_|JDoS+1xnVqEE>JpW;PgtqqwAaJf))~M?KaDu-ZEwjODB_`_=NozjRFs`qZ z>>VsLsQPQ@4tM=w5^*ZUwrd!i*kme~0!+$J$TbgG7oUPQ-K);?)ZabRL(-twr;b5o zOUd@j7@1Iro_L^aKnEW#0|0DyAbqP?3KIH?FLA29r2~mZm zchdVgP#(i8(@AJHziA`O_uY@Y*IUQ~m%?b3ymI*ubv2eUX4AUP-`rrPXooNcla+xn zYU@#iTIJgYl7$H0PMgb{%LH!^&GqXBO~4$hI%BpXou-HAa1a(dX6fv{Qwz6QHmEMq z{s3bj8P?lJJrG5hiG--ZZ?p9pvM19bMZQA8dl;bsRVo!LQ1IU&V)h9INegjmH~xTW z02=&OzS?FVdh~0MULL(eWzDMg{T2t7eo*y3vpPzq=$S!%IlM4dFkkgra z0|2ff$-6NlMzW_ChKEgv2i<=3S=-pmfh|#Ms)m7#jP%Bv&1Z4iQHVl2yI)AD8<;{Z&$yz(&e{Bt9&)WbR(9<7&PD5?9*YjLi zsUFsC|9!XW<;hNq#4)Ey7#~R!oqFwC>)*9w9!2~QG@b4$gTb*}Hg1iP=<7y}?lJ8r(&5{CHbqq36Qgz-i|2Wfo84Kk> z(-#XwbcGH+YtiaLMf!JzqtKQ8U5xu>tx+ulEy;EOa(9?nZ~G7hYC~VY7cJzsbZHFI zirEjgh`*-e(NBK+{h^&AOW}-q{rvIueqDNe5rEP*$MS90Iu?Bqf4t3YnZ%y#Kij>&_(3x zyuW>kVpEkI3PQ5adrcgF`s!s#CHgao8~(&WYI*Ogq1AzOHAotQW%3LxH8d?5e0Gv| zyn{eo(x>)^6T2{SKGa02$c?UWHO2ZHw;P9*D(>LF+~(qepF+YG${mQ| zn$M_RzI@c?Pn%5JQ*h?Iypz_6iI*N*YO`TKny-jdd^`6dm}qV@baBU%eoTf#1n zOcp}iC}iiSqc$3E*C%c{CPO0rdP)MQL`N9;Yfwi&NC9{lCcJdhIgsjP8hZ+KT&VbL zgWLqd6ubSYCkYg;j`w?0O{3kJ0lKt7v2^t>w1p?;VsuwlO9CVH<0A>xpU9)N>bi@3 zV};Z%GxT)3>2st`0A%0yGzPF~77QtIX|7x|&4%-D)V~~0rpsY-8d^4FZq&^=Ya~fy zG}Px=%|}eq+U%8}8qNN!B1K)rDrV0%@t04yYzqyxm@|~BmHJ_kI2Lp1D`rUa=b5o^ zrv-xS6Sg~BB%ctZDYY}~ABpzHl7gwso$}97QBmJWqOLljKUCfff-^xn46$i77?HV( z)IwWCDqs17e73bF3=SMo$=h(1KbiZ~&*8~?oY&&=DLW#E6F>6v)g=d>m!L_N4T(=y zq<0`nD!bg%PqW$(mX@}{r;L!dz3 zCik>Jj0y-dC{09ou~VVE3FZ*?QjFHD%8&9GdC}_dFCSSU;pkx2VAbIJ#12lD+P|_ zYmGkieA9hZXQ^8i(b2%Bw^8dUcor+-nhpoD;ETHEEB#r7b&|k@+ebj`SnJ^MpLCzM zak=`3n8jKaSHV3oqdEak-%HFOAF{4(BBDLu%LvaC8wBy*q5?d%NVP!Rdp?$Vp@+&@ z^_D{7l2<=w;_)J;st&mhK7E+2e`vSsiXkm^UJar#Dnao#o3Bb(DzW*STpwhrn1qse zgK}H&MAWe|7-cSoE|4Wp$gD<=glXtn2Dke3S&TQ*h3a&I{7_VwG}P261izBnT-t2y zs5h#y#(jbwbszZ#aYLY7o5imQj1b#|(aGf)&0TDi0fu49CUy|0ttBNI1eE~%__S{b znE|9epOx&fWFAt7zt^KeAg-}W*il)|?T3`>z%WVH6zuPZ9i6>! zgQWs=zjZmtfpRE(*iW#ZVwFKrF)7Ff*aoa7z-07KI_|XSrwIkd?T!D}2OTtvY$13x20pyLw0sHs)A6M*c&WH@;O`boHSfAw4VyAw4ceRsf)}bLEI27iQ{MZE<2I;s*4tg04spVaJ5_ z`K)1g2WzB=J=6!KFL0JW*CD|$V}{%6-_&>t?PB07gHyVyiC5X(ANdU7$pcz;L4#cX zPVLR6{vOTCt_#RpOq{fw<4U0er1NJN;LIUNFV-=j`ArH*`cp@6TTO~B>E&WE^fzW| z|6H#&l51+WD;PuCM2(suXST8$%=vele#8w5a~2KUP_UZ{A(d}zw^4>$u8_o=eO6n_ zbvPx5WWq)IBw08?h1|@ zGd0)0!*kb{X^_8hG5ADLBW1nSTV)w4nLR6uKP=k5>XF#5c)y+U{S&xwBHD83CNyni z(T#cTy=8_6)tl1Z0-G6xR%c3}q(DO+7#q5+P#thi;tDzK_rT zPfn@No`a`fK_7@UE#Rw$FOx6>@`>@!^R8Qt{S%zIy6ozN6wvd_iA%WuG*9zuv9|>8 zP-_cvSXfZlH}2mIn(I5uzpXV4sqL^tkK5b~@?JlE)#w~G$kcrQ4OC*U>s18fR>S0C z$eiB1G(DyoLFE6%N3(_8QLhaobGWPYAYLt@yq)&ib+(4T+0v*v≥HPIfHn0mUt> zB188h)S2&JGZkl^3^W`=Fl$xah*`U@#KT}6tjY`8t(6|z8(QOPe5HoKr z?OogBMf4hQIkIKG7Vv%~%?En~ICl*~r7bnX7&O;Qyp0QsH_5I?y%+~BJDml+^n1(L zIKME63;}gs2OHk}_MaldoBY+;!6D}TU^^7PTy_(7CQ7m=`?+`V&f5J1?KWbtZ<9RuyWkyqu^6<^1=sS{#2Z2Ux@1R zN0GskrFEfa6(X}cbmjUDNSvfkl6u*&5mV`ZSHsB$ZJ($?VgwSvlClZYcW0`{D8ZuE z(0~j|oHmvOP=l7og!Oc6j%yCA6Gmo4X<2dDlEkdMMv1N4V2~nMUA65;GZw&g%lHO2 z5z5BVr&AY1lMJDa!$4k|F+vhPM>JiBM@idt8nAP!?lkA<>;`UjZb~mG>|3Qw3$TL{ zzQ8iXG^nG+Z*Vi#OxkViv%0OV-~&SW5o2A7arX3#694eq8n$I7zKR%4GhR>RAv^|3 z1f{VbL)GpGgIkQtHDTj?-pM!a28XU^sk44X#Z`4s#uK*<2T#k|U6p&TF%s&D6e2@B zoYcD@jY$cd7b7UOXTze|Y6X-cL+!qhjNDyY zkG_~ZJK&mXQ?;Zp5;ZwK+>#toV0~NusuLmmt7M|(2EKMtt+nUleXF>hunZldbkX&^ zPh6>@ej65eL0@qCixapSJZ}!)K2tTU_OdpTR=u;2aWdi9zNr855@Ru}jJ;ASH zFS&C^QB-oSu(4e$v^!^f|NBy-ySu{I*ZY4u8)o+B)8v-Wh;VRUQZ@$82{ELv`vX+k z?{^{mEIQ3FoM!V9(60DTQ!et`agR>;+EypMcgn9%4>5O9I?av)-_eDkvuxK1XOi|G z)+jH3@4EprdGFNh@g?jaoQ}sWttYLz#Mm+Uu-n&O{x^0B(kriez}eLsJ5W@dP9Jda z3X94a2jEmpOgdqI$8Ih^gBmH)pF>1dRTa~&*J=%o1all0KM_jZ+(#%vgT81|(H;sg zj_l`v@@yaej`4~Ip!P*mOXZCN?`kC2HBHxW`GD!GXV*Wpq1NY_6jVc}*fsKxaCK=( z*SRBpp=pu4VS2%_#Qohp^BtLfV+FC%>z+@gqMB0s_3PVxhhnee2(;yTD^Sw7n&-0P zd9~mi=Cc0m5v8B&F0v}?cf1yEvMM`y&tbkmpwVD~{W`S#*?ms$@hd;|?!#rH`(yP2 z73T&jTHzBakMGzSqej(gz%DX0sQHQBNAG}SjEnTTPlcum9c02yJ<(O?^x#Rw zc#g+@lByq*d;P6&V4|RXO+Du4whUpJD?yO#8a4kwG++GnQ~(9y&P2T%knX&L@8eLj zCxbx<=<5!?CUdag2X+nWzJ&s|2|VLvtYP0G8jX~suUN!s-t5wna!kzJZ8V@Cx1K_i933XU${lrtKd%y09O{#UB;;><4 z$>6#qk{WNKsyeyucrXRul@0JDisOLjNYE{0EW%-L%*`=ZJ2zkpmA~+pTbky8x&#%R z$nP3WcpDMYTux|wW*w{ot-#rmUZ&A2(T`@GnI1yVtSySXN z!hhlp0B6gItDbi10=PW?Fj-hw;12wW310a0W&});lD7NBhfmJZc6OH2Okq3b=_fp+ zBCTwZ=r7)86&D6&P_UXx+Pn4B9%N`C<} zY4JKn$g$~ED^y8om{lp-cB?iF1N zT&0K%tw56Lo<_V05t6Uez!9318|a6jOLr(8uMM%1bh4R*H(kk}nfbNwD1v}Yh4pvh zPIAOmpUSqOb%x2CfNEjP%JF4-LKDAJ21i4Q23Z!HD`XqU4tU=dkt z><@)n#k!P}t5qFv$1^uP7rg1*+T0{iQ13S~-$Z{BGN=?W@-bY>G~2@eHVqg&nk@+p zdxwdt{!VJX+WgDg3OtrJh%m9c25xaUPDsy=eN+r&O3!P5MHl|ywe>nbJVZA7vju!_ z{1x+XgT~$MUW{R;;*wN|Qivx@YaoqL_32uvgya(ZpAl?G4j=w!4~6hu0~W<@9DSqb zYx4uw;=)1@S&GBV2?`E5`Ma$4D-}zJg;`meaM^o)r zVUFkW`U}$x-%d@g5wwq9l9j8bMfWsoGe7IdQZ{ z{ps#hZp9nPG42afKI5LbfBs%%AJtF#{g`GYK7;$R&#ja>OZ9S#Rz8VCosC(^HgHDcP6V)U(IOrVRJ8U)lkgRyk1CKD8!QnyF5zBM&9Vbmdj)a&_936PU{ zqARldlfy6b<@7|#Vmvse^HH(zsO?311f_6Wm0uj4tzs4p$+p~|NqC@ji_fE*ETYlP z?Lk9Mrbzd>&+!NojkJI+t4v+OBqP1Onh`6`dcnP(k;p}>Ilt2^8bsF1ePKW;8c-zo z^ci65X6>9g)3F~~J6og2(cL39gsz(Yj^hKTFg8+BODb)s5$*8hvOdR0D5%%^FY(+J`UA7S}3gK%OO+~3wRMEE|Q zAkQ}hF*Xj?`m%SXyfS1|;EJvPgVM~kf=00ODO`zQ$tfO+et+Cfs<%q5O8M&E3+Hnp zbZ`h)TK)QTB8%(PPaF#MEV#1x#iyDb`FqN^|7>bkczY)n7~=&9X+-urGzbv{;qE$~ z{#Uz0AznxwcK?ZJ0KDk`F$~8u`~FB%!@i?g27}Qr0Ch5$`m_$sDF5pW=&EaRESK=j zJd$2728`anN>tvBDDp~5h&@$4Qkx@b8e0XpIW7Df+rw=g&@-^`dv>ar;udgf{l#8+As9nI`?yAkbYUmiQZI`~ zBIK?9TYYLX^Z90fIJ=OVfWw-k{h^d`D6SCxRHg=V2R{S_%(OKUY{z5ELKKKj=3!-j za`B<*zQ_Pvskf?PZ+=fK^bVmJN+^as9qdO!N7I9y$k17v z#6z)W9$SL?@`k55OnC;6KmG3+v=12Tai9H-Y+nI!{PF8&`nPjokH5z@RWM10qIICh ztIC?%?$w8HD?DD%OXjuoYCq7<>Pdpb{o;u=v{=*O(qTxd%H`D=C#MI}C&U{M38B&{ zscCjw0U0uP6i8_#p+LMbd{%$uyR_Iy-|)ojoC+IIJ&I_?Znv`eB$t?7keh1=q0#&2 z`Xs-C#{XBNR>e4sh+#gFL4`yGwGwaul;W^Iqhs#NMobvU+@{S^IkxZ{-xB(XJ+_Of z6D9Uhu^aaGQ=d)Y9vmP1=a)1>3F1 z^IeXO({@j0Q2KB$uvbthsl=F;US>X3J|h6fl#Y`Fnaj0CDfupGW&Dhgf|j^^5i=33 zBQ3AjdFv+xj`W+YF3(M3Z^3VKJkuM+Ub+zM+;(lPxLAK4CTuilu#I6ZHV)BpqB4jpo<1 zLWS+m0XZDZ0Vj>ai=0~SdVFbfl(#iV9$=njs1K?Y)Tb(pBZb0wYJ>0b$Pmc!w2AhJ zWQ1y(Z76=v$)hCiqJjzY7p=Wh82Y+Tirp?)#Z3ZvoX#Fz6yGfugAIrqC|$47NLE{w zQA5)dE@vFUy)LKmg%a|+)!_ytrnD80ydpu|6+q#!iqx$apY1!mKk>rumXQ|FP%(OW;vC7D zjmOV1P6{gkJdAV`Fag_ss}q7HkS6>Z(#eeoI+LJQ37cA5D*2`HZIVC3;Re;6bS_(& zot7#+;0-6LTJ?XJdgti4-aq;`X>7Hz*)+D%#ZCSEd*v{qzC=!$Hv`Y2`*N@g1Re3ts%lMoQiqb?@yb-0~-!5KWa z$~F@VhuKtL7WS9*&~Qski;R1L3@4zd{91(F*9HGD2g$NMv0DagtH|EPWQRP~|1~c* z%{f~vYFjiD$qtImHfkO~r^ycVHjmzDgURi(HLnuAKNYKDVFH@dUi(-90~!s` z_wV!GaqsLlbPs;_EW`otp0$v-zd8k{eti8QI6f=yTwq3gKb0|%IUMEnh*rOalI^Ro zY9TSR9!W+}vh@>|uVVV-p6&?bnZ8PFy0K8QYA0CwBw%g*lrIOL|y>3ulb{q6~kX_dApmp8JZL)*c7&vmzHjpD~~ybKTd zm$Nw;9*TN*XrA7ykLgbT$JfrMqYCi>xtmobe&t^((<2X=UH^4fvnscZ$Je7y4QROPJR}S$v=T+ z3Blak^`gov5E}U{e-yxi3o&8_Pu?6DUxEQr|0AAOn60ySo-KmA!@ma z6UG!j|2Ad~=W#=OStvOiwWdUf_oYF0NUbqsA7jkQ&S4?Ru(BZI zBmQ#ze*(7&@d?HV)1JAm=ajoMdO>~8I^nZpI7*YWlTi9&J`;c~vU3o`q_(_)XiIV|ig+-^1OSQZf7|tc{ZC;LuFsu&8cWcr z=iC@jmm(__=@qw%7&RP{VAo`HW>pmbkf79Lw2s1t!!hQ{HRhex9n+xY$}C=7xX*g0 z7y~K!8u7X(`E%f4bIs@@Csb<-{fJI4tW4GCqWfG<;G&E-W&&4C;8j(G(w){Z4;YMM z9n-gHUy%e12yg6`_~>8y?Rnl{Q}D3!hdE|&-2uh1nK0qKKTgzWr|bdv)x#`a!-#{4 zQMtZ*n3EPCQc6Vd3+ko|Z@0J7J-!&2!<4(*Lvu6tVo^V{KEaLSD(xhg{WLP{rlq;9 zp3Dd5ZzqXyRu#3dOr(~=)f=?(r+VI;VrRUWdLe)U?hslNVIB3CrLMIaSfv>QKQ{w{-%#fe#YaB7-A&fZ z;2oV1gZ|VR+mDEV5-VU?2ajtE0Hc&gPi*e56l=-@m{8%H+YdO!+715RcU& zM24P_S#RBq{px_N+fAZ#>3MT`fyKbI}%L%bMl=k=+#Ts#VVlX(GNXQpljAsQFygxpTwWAjjp@r z(o5y)mDZlge(o!9!X_l>D3dft-}Izcm~9XLy;bkDZvi?cAKd&;tM$K`k6mzgV%*-_ zMYhVsbmjPieP+*QaN_HQ0$g>~6WOm6{yu<15H0chDD7a!Y>+NZht&V*xD7^A-uN3v`D z31nOTW&-wCx8Ut-o%T#?z!XIdUpqz0 zw2Cu0caYEL5X{y)uaAy!Dp{C>kP>`)@|<3Sd?6f$cu>=`(&rY@1-!MCR+r^HGH51- zB8QfS>q%kisb%X?Cfq0!8{=^uzFw2W&k~g;+e|d3bJC#@n{bWI_kp;ldCq}v&$SmF z*Ia4zq$8v%-W~jHk9c&SxqAgFBXMn*j?OXrS7+{wMX>QX+j$XtrP-~?YzbKn3UfvJ zrlS|?dXCyTlZaezakYLCRTBMmR&-`s2W@{ZwDfw+{$m5~-uFqtpC*voWoS(20tkT7 zlxtLr#`F&vQN>BjOc|7CllpnbqpXsvZLAOl@WhW8$lTz-^crMl|E?xP7ypoIb5^mA z!H)_fc$7vuBt5@j8_ed4)le#>_Jm5Pvc{tC@3LZQb-m-HjKwMClufPiSGkqG0_Z?o zZhBE~RR9>qO6^a;6f^?h9gM-FuqpyF#%#fnsiBiHcgITD{FaN6g5zp{b}kb-aZqLc zqy(YC@qYAT1Q;=X-L?-+Y6@ln(Yu}_{jJ8%ui_pD?e#;~Y%R8iZ5!x!=j((6+|7ea zP~76BK5}QIBX3_fkk-DkxiT$X181$}{Dugh7(b^C8x(fznpA0ZMEWdda}8J1%4Ldd z1IdRfYKT<0(YW79)l%$mU_lLBj?mK3q}>smG?-?PMiI`dzteo^BdV1krnj%_Z4(+~ z<~ipf*0(#n5v-k;dw+Q~MFlok=QwRrY=w6x)|e1b>&!HZ8L`Q45P?%S+yhLEZI6OW z4}8t{bEs`*i(Y1&L|%v-w`PQjo_95jQ=z~94%S|ih!0rrR4|E=TNu%;8zb>2Xw>(P ze0r}8V2Y+9v%X&bn{%+ZGgC_AQj!#`r0ChKI6&fH26^cgSeYJ@QQ6!}J3?W6r=h0Z zO93a#E%~UXq$X|@<{!cFeqhE2eK;=ujC7Vl=LJ-;0RsMIFeMoUglu`Y&mJYLc1!qX zIl`5rdZ_8WZ^HA@W(fgl%f+vyaDLy~Po=!z&pc6=;k zg1&(jNY2%jf)kFx*f29`92U&w*qq)CD-HvrPSkzLRNy4d-Cj^C-9<>|sXazG)xI{i z;OKt80{4Bev)!0_Wsr@ z1$Y?V7@5KFJo#WX43wHrBfc|A-GpRUy!hWgkE)^hZA5uTCLFSjLCEX1-_BKDonVkn ztug`MhAI$g31pZNAM0%2<&c~W4A*RRKgVT0ezqTG2Bb>Oxg3514nrdcs$1~N^Auof zRMQ>M7se!h^*`>zYRBQf?0Cm}%k|=WG@+hl0|92s%S_2+T?laxRX~f4A^M>_jQkGe ze)271;K6FHLV=|&uv?0F|NZrGd$$w92f&jCzQPBxc7&HtrnVZnPrk( z?sRThxgVe2C?WZAT}F2FUGytqSI+cFQvqnF?0mbNWS}f>Qi_YL_<2zUJu1tC?3VbT z+~az>^LwuW&0AP1bIopL3c7mob1A&}WHZrb9v9CE6xONCZp8pjjto^zLc{N;o7t5? zqOh971_*`r9z5UN9$o8bpCb-L;wc32^mD91*J*@BWHhsqe-09q$9mSaLyK^3fnj>L zS)Xe*u|Gcl&72iF%?Q9A>!S4w7`bMluNk@$2ly4pT&plN>%Y93SNqH?K3HcS?Z@!SQAf2x{Q7v#MkNkYB>p5HjO0OSl^ITd^z%K2;mX z67msV|3ni<;z3L-Ur@+07K^VCi}nLN{?%0=ho5m5uQz)>uKgY!o;+gDq24Sdm0@YiXdGR3A@}np^b+A_W;m_AMeJk^@bQ zOP-x7BoNe$1*5h~tpKCSP6vFNQO>bs_8Pm98i_52{b@3@xE&hIin5fuJ(ro3(OXdx zd+b79yX=!oF2Bd{8Pae)4K|~?(wIzmN~~-!=hIuE+G~C;P|dmnc9w@H8Fl^bFQshx zSGWApVY8922yOn`{!XwDer&;v<;ySU0tj-s4fglk5Lh!TD-*)GTS3}gWk{b0SIC`^ zhh0?qo%%#CE?P0wHrB?3OCN;bC<6Ol9Jx*&&3*q4e2}~QmV=i#p$eAm?qt7}N8+kv z=;zlV8QRrtK3p;op#w#trTA&d=e?mbdV$aAJa0=KD55dgF$8NZ;Tumz9-qMv>#_Sn z)F&*@+vC+b9dotu1z?}usxD)*Px)Zfk7q9gGQO%&-?n_zl$cpRREUc^Uilm4vqLM? zUZH8!)O{HRB~c#f%ojA7R+X!@Me5l;aEOazV>vWi6o%Eie=*VOnMPHXa{RT^aQf(+ zyV6Od-22BDM6ePloBcKPbKXQ!zdW0bAO__BayU^O$-(H>Om^~Dao*oboVgiW9y~MfZe7 zppr|ROtgm0t|$y0Of-x4d?3Y~&LNW>5wYOSnj?2;;ZU^bCMTn~4?P@;JK!Q=g|~ny zG!XrTGoysozNx>>n7+)Kfz`0HC!g^ja!vFWH8)H6=Ex>ZOSAKDRFZXVb^#wwYK?A! z+x<-kz|g-fCcjFusdzHPk?Nq$t9@Y_NJi|VRuu*QdeZo0|3BcDP2z=7f4|eA zco5Str^a6hQK|-Xlf)&2k5KjOJ1k`J@z$d7(6Q?aVrjk2Pr?J_-J#LvNxNrH)tvbr zfiKj0fyzddfjk#5(4;1MN#FIjq%iSV?Jzz^5#a?@A*XO?tWhxD7oyTHfoj-gsAy$I zJ}p*1CNFpSDUU0tbT}t3~k_>QfHU z)@X?OPLy7s-^Jfq+OlmITkPeCjx$G6&O!cT)y}fWYqik_2VZzqD={I+m)$JpaA6ib zq4(KpwB%Ng=L>m$k(K8<>oMr%0>*DkQz-_&9$>IpwMOjDP?bFR)qNqW(ejV~BX^T+ zZi4D8*px!+$ZzUSZ=pL7j} zKeSrGf=;4j>5>Jh>F`(smQU_`K{T+SdW>uf*|zSXN0(&tYakZY?)M~ml+jJj+2S)&d#lob%-wqZ%etiS%BIiJsw&ej4x70zgqynaL@^;Bp@QRbAEA_g zy8|=h+a@Ij(Z!rUkKX~E`EO0=lorR%b>c{C?gMsy^@zTJ7oPNRO$gfcs>dFFo`9r7 zZ#s)9MHXh|2-N0bItnafT-f95GDY-Uq6a44nK=p?#OCemc&NA^w0QY5omeTK*lEh} zjCX?H#GEzErzOH+XF(@G%j)L0$O<{S+=J-uSHpNDMAOYY^Hh(@b$buE4(m>|`V5~l z+M9+laV{VuLDFIkrGQE_yhX1SVQubT0~=J z6K=(V7?R^{g~?1-m4N+Pr?T*F@G;-iIN_T#v_JeXkxCGf*fipb{-^Hh zY4V&&@W)EI;$gC(Lb$#xdF~7O0-Hr@bp#@Z4f#l?|iuljF`xAO>AjP?+ zez7HBaay{XDm~-XRK$_FrXqm+b?S%(w#D@fW5fUcsr)-o{b%8GH0NU!KxThZdOUmS%vldUK)4t!k9lubN zm=-`7=#1WNL3_&!O1d)Zn?bl@YmO@%NYUhVRCm4f(y%H<{qz%vW5!`QNY4|$PMB}m zI?6&ttA@ON>OeS!#a!s-6=tV?6Nnu`XcAfKBsoy@k>ldb566iP^m#ns46@kS`uhiHn1~Ai4to7TeKfaD;Z? zT_48ocVT9{{vQw!9T8?6v#NE9v>S8v&RzNUrx#D7PhWv7KCcTo&^13Raj`#U1NznW zri^wcYP3I?9^9(u*;9DSzkhvBgCNqCv5@e8J|FId)Fiv3pIbBW+JSzkDb6NJKfMJ5-CF7 z+SA#$TKrJ;wOle!ZThTC-0uKswX<0N+~lq|z%%pthATMUR#GPQ_!{-X;ykOLWl%c& zL|)mT7lW%(5zcZJ6kljh@xsY$gt6V&4=%8%8X#^Z?w_QpDlP%oWSDfm#KUt2{^Q3; zkW;c7B>uQjIhsjJ|4-`4qjL-dqxJ&M5X}374q1ts{-}(Uh(tn> zI~b1WBARbW^akAf*+y*;UKBtWn=M^f&axus9qxB(3F7^J<(;dJ{^Mq|{VCtRqAC;;Il|L+JZNQL|%R0@Un~Ub(frcnx ztKqU1>?x@dCIZzyTLB%DkHSHz3^pd^SD+>sx=iZ-L4e?UnQ2~VwXIX~4W=$d9zmBa zo1B=AU~=e6wL=a_mVU3XEuNC{lb-1;4 z02~G7EZBI)FdOOAcExu1g%{etmQY^&_EHV?^l^n=qGv~1**cM@*0p1W2T0&1&e%z2 zfP-1jID)l{xTW*l+i6K5R5B!b`^8uL`8r&fk_^C+>}HKJ!OwVBk1K2Z88h%mUyW#t zk&)Liz+O|5VHnNvNfO)d%HXI~lRYeI9LZ$SesFxFQ3=TIdSCm`J{y?VlzBwJ9jgDb zmS49kwVZQ6F`IqBNfQF817y2BuRx_#<^J?97YcBgBH>_0zaK?R3?%`$*#LY z2U!@-)?()dF#x!D@eg*o#@@ZMMEIJE6$-qpx;0yhdRg7CaprCr7~p28GuMv!kKgy` zzjSp{q|wgEgcAAws=bHjT5zY=3@F(G?z|E@w5DA*-g~6bhJ5N1m1;^}^I3mzP^Txv zl+4r#TT&j(-pI~w^2C=~2YY8gja~FRw^*rcNG2Y`^S zk&_C+)8;_Jid=A<&B~tR7TgehH@~p-F&12r-}eqxEtcTdtSF588oglS0(#Nwd0to4 z!}o*IjI-&^)LZ_XDXH|Qts3G3JZm>{-M-GCzEA~Ocp_tYf!pZLr+p$c2uiL|YtHL5 zzDSOK%NNTXF2sLkIT=`&-oK$2?%&UtN?Nj?SRC|Kz_~30zB8hjY`O&VZvmHWE5&Xg zuckFtFqWRf71w#C(=F;wuQSur)v5P%0c}Keci9Q)!`+Dw{5`!@6)rudTw)>lw_g#@ z3~79fP)~X`A4cEgk!+`VnFo0A>!ztFz&sO9(ctD^h`)o$apIZKzZ&J(yeowR4Rc=K zi1&}>J;T503^ipkrSaOdlk>V$3h_ZuQ^_d$C;hE3xNmoHPrLtmXx@Xv<#~4s6n7B{ zFB5J6??bsK4}&k5A<+l&nkqgQJnR-Bs0SKi)4e`p@T|`{56N<2YLDlELSiwUxZ>qw z;#>w_=+TIL1ifZ%{#F17DO*sam#rUIQPI0HxME4azdI+@+jps(_vZv{4sZPpiHQ->j&MUqaQpLjTJ2viJziK$R~*W%P#-94UT z30tj4;9Eo6%75M_zR3AMQN1;2Mc)X8?Dlx;4vh3168kJ}2oTcmiglceixU=2dQ@gV0I;d>~MeUulT$%7v|?5`-4-44i%1w3Y%n#n(m z7VwRL?367Hbfaa>=wE^NAf3z>Vj4Cfu*8jy19;Ev+L#Br-JLbp1>aI*w!gqASE7Ws zJ@WT9){f<6m}sZ%QH#$}6czz)2$k~^=;hUOv0O)tSpwy?DfEb+FrKz+Y4q#g2{mDU z%`MHn;l1&(h1T3SNUw>ZGV!JSOwF zF{e)t$YAZCi+y;UxGor>{WYY#|45M`v(>&o^;@BRQvTS5N1n%iJm-Uc9qQiQ5^yL= zG%hpt-)~$lnYwX1X_ZOib;Y3k5Xq1}XEIl`x)VjbI1jTuPsx*_vAR2NM>GogsP-1{ zMWCE}zj@)q2$U_gw86k-GXIwG&I+M2jwpJXTdLo7PES5a^Az0E;Vllh<_0KvA$M80 z@XVn}V{-gcf!=0`<_>R(v7pbo&d*<77b7?MU+>es?Sl!Lwf@X8`M#9XvvblZ{Z2Yl zjZY~>JJ3n^(&^=2Nd;%W(Sc^O`du{2^WL}Llg;Hxk2)tu_h}zXRoEw>-KBfrL}lqm zT*An!8<<$~b$#FLniCJVn zyFs@>|6%CV)1zK;O^;7h*;0UyFcr5B{+3;bv_Ro_HxSUtY;VmeE4Pb&n3B-CloI!sfC43g-ii zva0_@B`JRbLMV_=`it_cq5eY&bE0GlkT_e*iB{Xiy4{#hWIay@^Dgskp}NC% ze-`0Ss!&E-YL*hl@up$NWHFr)?JE$GueMhJ#>Zl)hHhR42fYRfFS&&|nzCsTh)uo# z*OgS+p$lpm$U51^x;57h$!1|{D#gpbN0E_OKg=pbDA7-)ay8{%poO_(5ls>@Gs6I= zZE6W~BYz4rdDTnzYEdt68EU*+cBT7$Vk_4|xP}J{y?@P{|`f~FnE}=o=;8PQl!e}8_TtZgV(U25? zJf*UJW+}M#1we_Hc*;I9;7}iHejt6Otm#9vUe_{x|M|;;>4Zh>$G@`R@?#5Nz$I+~ zE;^k!I^fI~?MG5YLkJ_r`tOXEm!FWz%qBjHQg0vF2-A0?(~UZx32TOmCg2ieF1t#?bBbi zdmM1GzSGPeqBo9Mi;k42ny<}DZ(v{+s*oWxk{dGizdWC!9qt!=$Jc-xl~huN?MALs zObHkGgke_fzS4S$`5j+LPOzi{E?R%1493#tH?iDsquliYBv!tWZ;Nw)OV*R7uo&rp z+~YS(jSNR8yAN_J{e7?mC9$wrEf>X4Kw&uFa3l^_sjet?T9ry=XU@9(;KkD`Hm9bL zWHP>o2*uFO%w4qto}3iALHETf1B{@xn*X|?*0D5c{wF8cc6pNW@@l^v>>U3#t@`Sb zN25a|x=eP2%1e7>ts*w24B9_5<*fCtTJ*{Q6?n+}Efao+`}_STAmN|QLy`QLWpd-2 z`N;@@TmFf!k=no{=`#`oiws}z!5bV~!=Txs<{v|F$|f9F1%c{~&7bmMuJa00e%U*& z_Koql}beb&b!3}0;syMg?d+@8A1~U3$Q-g z>(U+I(G$VtuK)tpgJ$WiTPN;%KnG#JU96`hw2dqO?pDl+3Dum59+>FOTiLQ7Ai@Ns z`Tf}zcg5Wmgi;Hi(cN;CEvObiE_Cq}XLCKcfA#y)B}2TwtM(t>r?{~2EoJ5axGT!C zKy<^>Uo|eEfP4~H%2cZ_`kEbT?Zh1B+B!ryjtH|-MU;}o^$bZFP zgA4aFqt>b^0A(W~wf=uGA4z7sF}qW zk1(M?<~Qo^o%r{aJpRm()lRpW*HrpO9lj}E+Su5db~N zitxE|{;>*($l|f-0+Xm8kcB%&@xnI%ui1XsSth(+M7~jS+OZFu#TNYZDq_>=G?M#Dyjo2|vi2{4Oh`cP8M{V)swJ0239aG^ zzktUn?TqZ!DWorRTIvlT@zskMoz13%5vw$G{b=oOH8F{cV~}lu04)#e1BJ5Q?^BDa zQ|Vm#|EVXgQVQ|^oR)%XWrJMEfYHniVE*5QY%v^iRIcjBF}~Sp0TZ$p4NCf6As-<0zcHy0wsgiP3m5 z3%9o6W1Rheg_z9WN$fPsyB(`8`&k=1sSj60PPZdBzW*;?nGU#aMUo34#2jA9yl$qV zT=u&j`TxX}bv-bD2ltmCuHj5Bl23)PaZex&JiI4%z34lwo*b2kXem7iN-Whk{Rgyt z?YyfR9-8{C%XZ|vzem2m(HYqD#LC9qbc8rFu9;2X)b8GJsGcV+c zZ$RNy0*(5Tz81>b>D*;^ZUA>r=C=aBJFR;3s=vLC z*?1g2Y;p7UBqyqsQ8P!h+|G;QSzK+_7SIEObCh0gl}(d&~>!+?HN~H z6rIxue6910+YH}phvv^{)%dg;MU(cRGh)9}OsJS}e=>6#t;lEbx=4EStl+c&Raglm zPSPWK4h{>p#xEg#KfOv;Dm3U7{^ek=w|QYLN!d_Le47ZS^`nY=OWi$SsHdH1q0P-m zpdDrAo(O4xMlNkCSP2GQc*icmPw*#pyoWGYAph}sq*JZZiVVH8CWk;W(;iC&vTC@r zy@~$f_=RSxH6nNpAvP=j%v#G{CXSBG5sCQUM2Jncx@9H_8ocq z4XYM>Gr!eIXPAAgN74M8eS_&!oceA$W({f%FD}@#DS6WDjNoook4lNAor?&w@z0dW zjyq~ln-lxPErA^Os7%pTgIo5nd$D1t_tq5Mw1VfRY5g^>42+%&^};|7f304rKDg@# znF%|Sd`M5>Ox8{$_>XnD>GrW+g}T$5$I4KjkCso-`6J=u+l_aUFJNtF&NwZuISF}= zpsml-UZM*y2!W@|WOL7--#$A3OB!)5ke>Hgi%npNgUT^}tq_WOp|6>dG7iIBa zNrZ>*QW+W>llN_?A6l52Qnqs}^;zDvJd=KDC=Nca)7hwH z(BdY(L(TL#C>JbQYR8-u~~&{pj=* z*oHXn3yZlwZt7^4zI(#MbTG&Zf)OM7dx-O1eK`jec08cUAF_02G-x-Seh0ChE!d0FrY6x-6ST&oq27zFN zAXh%qNo?&-cZF$spfL$ZINR8)>+2k5^AwCMAL$|~zcaipUvY1rvdzQ;y&O7~+ zaCOHzJ!fpyWwq$|`6mzE_P^cTZ;4N0fpkaNhfoFTsC7a1aLequVt+<{@|u7ZTRKhN zK7K;)x-n!e!S#evg)?j#`GDI${4ZC8^K6k@C7<8l0EFLgsMTsPQ75<(K%z1D&o4kd zE}f3o4;*Z*r9Tivt9l-}NVP7gTWFhy+<-$H_3y~APdrjanA|kg(chZOOmJ$aTT3yR zy7O8(bI+QOFoy?Jt`P;h7~|KOu9=%|s}|?-CjrVqs@~pS!8an0T+9xdF?Cu2M&g{u z+wsOv?n>=&>2{RM$IeTH|I8ire*E{5&k(n8Kp<#K|D(@4$V4Co{JDG5ENzQ84WO_P zA=Q}TFf($BAH;gFO{4({vJ8|I5e-V<(R}_N3>Z@*#Y8j3h9zAM;}cLZ_ztNTN)i6A z<^WhuDETMf(w>=b%?=hOYtroy;^y}$fM5KoRrkj|0X`aHI~StWe>c2JsQ~AF&r|( zAxj;y)tD7XsfKO14lot>WrMo$6($5&V6?6|7UEKAPPgb$lfS)8TR!jn%L4Z zpLtj32UvB9DAnHuCHL(Ywjm%Dn{5iQnXtvFVVZ!s-@gG60|EDVexdC~hI-fdK_G;p z@2}8u&8_8-!o43{*gY0-NZ~+z@18<|x{LRx4#s4*O+@W>V5|KLsVoss-~dc)w;H$a z6XbMmw?BrMY`?`hrt>8;Dn+DEWP8(^{wBUwP-xCj@ohP$8#mfWjJDX_j@rVQ5r@Nv zHLol|Y~QAiLK>3`!73Y@V8aW`?0c%nU@bu&n>QULqE@7XkebnY+@siDXtly+7qrmp zN}-jOQKK~{hE3+=&rAA9CR0Wq0Yiv*jA4}5>}o%@YjERHJ7nxRKeow(%YnK9$;A~G zGr0st7R4k9z@)Dp4nuyYLtO0i~EA&-~u<0qir-S5j(;Q`3Snu^1u~ zcb0?Ue+WueY5xzry-Pvya$>{bH#n=#sbun#Jx!tO{)xJE?}RGe<4(L5xG~_SmoQUW z2~z#F7C#Vmx_}}4UP;)`N0i@5%6eg^Qb|EW$^^!cgu+>FsCQyf@nKdb=DM`Q(!X9d z-^139e}f=G%^)bD#E%L6-7UpV$_b|b7?e~+0v%DPmqgHk@kV;t8-weJ61=raFW_xHc()iVM9?t;bYrs{03qbZLG0R&F4TOx$@;M(HCh){Hz=i;WGSddl zzAJRX!UE&gpzxS;zu@@utrIx4j3YBpAC>ryOdR~8&ug0ic$cd=GHm>dq}1X)Rl?tt z0tl>*Upxwj$;LP!sqZoYI90y!&-R?|%#K;?@T|TB$gSW=I)wzt_5$)w9As=t!8v90 z6KOH4eC7Cp?~1jkh$GU1{U7p0bGM9{yfT1mKhL^%4!r#QKf6cx>UWvt29`!JY7C5c zStFJf_o=9)#s`+8XN{Qju==w~sdHeCQC?9Iot9?R7%MOobB=bj>cDTQj2lVm;>=hU zNzwR1H}7k3P9yV5xe2f^(`o;6ee*46G7TX1I#%t)>&dVFk%O{z~@=vU(i zzRg&l{0JwdS!RyAfA)IE3jbCmrcFU+l3@l}ZQJgTa9T~W^bE-y__ogzj{7R7I-COw zHXRI{Z;LnG7r!fMKMWFfy%L|)7R(y|kLLS$_LHos8|$wa0Uv2VT}_VwcR3h4QWpy` zh1nnLIqfL1jf^JYrO*MptYKvSFl)CMt4y{_Mzsfky4 zryHv0KTIg>bDU=yWxdgia2{EnU%Z{IvfXNUrXA)_R=+h{;2A>XRgi$ z1(6wtLvXVxlj1fPwLNM!24Q~tyT+O4BxHgF8#g~ME7*{aukuNyDW$yCsiWooLj8zT z*tD>(2E_=f^l%#EEib=Zt;$>k*3Bi~1^}hhk!UC_IL+v1C zIWMymz3p8e5DAp_Pl1_Ws5uWv;EruxR`{twC8<|-G)h)+ud>xG%>=%qbjjuhFf^( z4+K2}{t0i<0Wm=3&`nzQy`vAmoO+A?xOom}aA9tB7M>}#Zvq8TogEEqE3(V;ZwXwm zd_Dliz$Sl2J*XmHiDjonchyQ}zk|`1*#3`g-A^{dLaJNE-Inye4|JDz(rXQNZ|1m! zXNCfuB&(a3cY)v7;vVclOTu8Xwi+>L1X{Z+o;6ZQ)Cf`u3KX?dRa$9GMj_a(IpB)J zn*oH&4SN#D%<9(ls4WsX60Ocqkx>VQ_}Oh9HFL8Ff|3xxq;bq0lN`brQgoIp-MD#& zydmCNH6(CX`mcy*9O;C_6%|!G zCZ! z_0NQhX5ACR53FQTl?6)pCkn2psH5;QrJeAI&XCyY_D(5%h?`G7)+SQ! zGobMz-s(!wvIhz?j>P?&ENzSXM>(IgQW}foSrl>JaL+*WRw=_+0JthdOu5^>`ljcj z@CaHlE0c#Zhp=0?ltVKni}um&VDXfNQZ@`RpV2&5TC9u$>vU%LI7Kn6I&Gp2D5D22_C0t#tk6PNX{8bPn{sB zfwk(f&by88ho2H!uFnUKCkj4d&nVe;t$Ag!$!bh>J^th&C@5{(=3&tWY1}Uf4 zXR}=WtGo|R$A41|ZJm(?06d|rnzIzJUe5Lq09F-16^iZx>}q#Pcf=aI178%YfhnM~ zsP#tvZ73A5&4|qSBMod%xi5WbFI)aUurCjv6W#%<#FuuXOkA@!ug&QP{v(A08D3BO z#FsveuX@f+2Id7FoqxO#|$^G`XvhW2}rb9GzZ-fajoSeHeU z;n*vs#!{1>{jSxIC`F4=$-k4vS=^gDpVgl=p@rK6DP}yQRH0w)+83QI9fAiFJwgqy~Ik~7sCm1 z9H1}CvK*L?_@@1GgfyEj)2u_sx8P?koZ0Ri@r~M@!~rlc8r9Nt*H2f}Su4j8;LhC% zv}%j78>bwkH1u%sy4^>c3hAW>G8qy4YRrnB?)llcan%E{Z4KHW@dvaeg9qy^aD_G3 zz2iD3`{rh$E;}nAs{Q&fFjfo8D=Q8p!V}i%1;_Q;cXuy&;*JSnL&Letq#Y;Kpn5`@ zKcv^irF*l1?w~GjoN?7ZVg2L)%LFGX==_3|!RbmhMGA<9Ir7fCz7oeQjngLVxK|}n zY0Rkl(O-xVjIdn_0&e)RUjSIatiNNetwN2_@r~0v=MrJDS`jIe&iX27X|Rs(bvBm8 zUjc|SSD;{(%8W+Hi8lJ7hCC8|x1xD;I$i4#AdSIKdF-R&M5X)d@2iv9j7m^$T-4Ys zCgfW1affk-$BR88o13uVHxWZn_AaCYXE|SbS_AudMVazwnhy7YDxNOtg|~G?O;E64 z8wZ98mvt9N>7=H}WOVM;t-z|9O6nrN@eKFSSBt3_{t%!NXYoum9hSdikGV_&_sIr52V}i(P5e52*lDd+2H` z|0JN4maL2C5Vg~kjJu4z{y~SEOdezO@3NY;4lmJ$*PS5w`Ghy~T9=z0fZ~1F!Z@6c zaIPEkpt5{_g6MwQqQc&&#-OiU3kiJ4|LJNmMMm85Ztk(+ZbAJ3?_%O@y+xWonCbC<2sg7LOND_`` zL12Yu!B_m!qn&&+SbEO$!6Vt8T?Ay$UQppw)peTtNchGpW1bbqvDf<_wpy=EQezJy z!GH6Ak2Y(=SKi$xb-m2_%0Y!|Eh-)^iKeidtw@|sP&pO8VoGeB!m-WgXiYvSIXSIh zW_VsZe>+tjZ%>eD@Z}S8mWfP@CXtG?JF$UU5)l&aOvWqH-_uN$N-bK=(#(YxIb;}S z?atcPfgJ#0>|jOWC@Rzc?JQ8Wt^SDAL#F$`P#t23y&!}phk$a$Zvs^*3;aU|_fQ1S zCR?i8Yooy2T7z3t4LLhS0E^^$4)4eI-Okm3*o1owY5BN|PiRYIf#(Ntf6Ihaa4sRK zVR!ycxL-&rvqilqx?BY4kd&VZr~w@u{E%cH=k+in9Q01DITUPa_Ov2b|6w+cNYOp! zD>L~CwDsPyA0(gIEdaS~IMKW@!?ER?$Dhu8pOn_;u4BWK49qaxz z??xH@t|D}{=Onw+kkypPqRXrgm=UKxU5P1fmo3LNAx z!UU(Dvdnlsp*@v|DX8$$nW6ONKUAXKH!bnBc%x)`+rqv_0r1(}Iezub%}=@?wVzYW zia+?t&v^P@wMR;((E35I<(odotya@$lfD;UZcT4mZHDpZ7gC?)egHH?(Jb1&(;Kpl00Rx#bIh zH2t{9_D#+1tcA?5+8J(ftd&&S+9iV{Jj{xU_#L)dW7pL#06owGvBR7;3yo)90CmGp#oH>w=asKh zI>|aa{=sUtr-|7Nch?_I$nQ9+5E)N+*i}bA9-^p-MfdKvJ<#9fNyWth7guj1mQ~y^ zK~^JQnOz-w;_NyGlqg3&9VTOx+6&MFgYdDRIu=o$i6!FD*ND442cow_TWR|ih1ZF3 z=;*;k+kt63t_9@O1_Juij6O6kMFj~yfeCox&pOABJSryS3Lq1JKh=+avd;kspgZJZFq^ITtGKwG!T?p!<$uo=CN9pJvwdI zZ_c4HJ!us*JCQY)TZKLk*ZQ_Q1LUVlykj6LJsk8GH49tW2+<4WGbgEPvsu+G+zet9dX zb{jr70acee7=fI2n;rq!?QZaHw6QnK&SyjuxzztZRt`{*^XVfvP8K?iN~RM5?GjR; zTeWkvwSf)IovCjK1d{SE|a57Z3?|E2j4W-m^|*_ooz~ELtKXQO!msLmu8@y zoZa;E^YNmPw2%KEp3W*Pt}e>9I0+sI?ykYz30644-5r8UkV1pIy95XXcMtCFQfP2@ z_j~@nU-vUSP(Yp9d#yRgSYAuJC#W~NGf11bjoXMKHI6Mp4f$mPv9MCBB6KZg?ui)} zzPOGGjP-_Fb%*c06-o#lg>Gp|)#Xir*hy6x4$hLd@g2>dg_K}bRGZ4-!T7LrO&*sQ z-mXiB{?UwI$tiGzc&06j1YS&y4uMRM5glKCa7snt0m{EJ^?oGGd=j8F$jIYhl+f7t zK}3BdsW+kp*-_*PrSc)z=xS;+AF5oh6eY#BES*+{EN!(QR-(o@w8h-@Plw+tP9+In z4E;837{--CxQZ4HK9jg1TH#A2P1e|9)8NFGR~NJuIx#sCt9w*E2ge}Lb9LHYk^#I_ z63`lda9BR-#++>d7@aAu_FR z!BI&%vyR?|WG3z>LH3>9|4qNV$_Ev?iBn$zA?xyc##3)h^TLyhqrefbJ-npRK-y)( zR;OOgR&@sJ?fid{nr{bXFED9!X#%A9`z(}aOY{ecWFr%q=Df$l2jL)(Yw@f)+W+cI zfX`6;*88;&KPmew_3=l>EXd>Ch+AK7J5-Q0e|{EDvEBs8^O+m7?~xl9(DpiWu*VHS z$xVQ?%kg9}zhrR{mh7{TU*33*&Ce$e`BNWu&@8ut_*{ufB)Ab=BNR zJdJe$oc`!U-}S*$A<$a-3O3+1O}S~pv*cuBlQNOw|JZzAJ+;h$2!c4!VM2Z__Tv`y zS`*_iMN!Pf{92c%%HoTykJL1_;KluIrT_WYb8Q~3(rNLu3wx#z;_pLG9A+K3I$+Z5 z;`+4K#!3s_%K35XKj}5c2dDZD_W!0_GhXmfT z)9sIByaY=<4!ep!%h%yIc69*}yv5l$Yi2D{h$%6v<(MoqUv>7@ z<<);D^AI$s43wkYCmEGo5~V(l`Xc~ltE)IWeaOJ1heAtN^x<2jqYiNKTY-Q$1rfy8 zuN=RjL)$y|<9(9KHK1ug>cW@|jOO86p|) zf54!7q*NwtKp~17d=k_JHJ{dUpZk4GP-dIg4+{OOzEQCd5;oF)s!)x1s^Odw246S<{SldcosuL6p(z>)^P6c=;7tDmGG~_2|43! zPIUQD>h!0yih!$7&|yXiK4n|u_fS>@oOM}$ME#BOUkTwga&33Kc?;h5>qT?|EghbW zKkb)7%CT_&?N;M_@l(k^bEA2rFuO(rG*Le)3}RDIlic~gfiF_BZ*ug5?g?n?x9Grg zq!T@OKCEA#03o`9)Cf)m#*X~)g$9LBRS>e%iw*bE+Dz!Qx3+_h%i#}8?YO7v zq80$Y|KGm6H~c*5&5hW54$`04&RON$m~1Db$huWV(C2{>z>462o(n~tV66;9bJRFQ z8%sB!gv+wyBo`59v)pXvL-u|i@c}J*`u1K7lRC_70y;1j*>5PO3sVV3zyA3i(K}z0|3I)5wpxAxzlkFy*OI?;JW%T_IGB#vK5a@1=KT2*d%-Bcx2U{ zq>m8cYnn(EtuQry6a>#BelPj)%XPX4MRZM~XWS76WytjYeW8b>kJ1_JJ=6*v;`}e_nIL^Mld~xhJ zUUe4q&<+PL9a@^CP3YO8Uzf<4GK9s6w3@%5wnG)}9BfD;71#k?PF7Zl0X}t$OhNT5 z?U6Wnb2bOz85Vs>qG6;u^#YT_T5}0*P_g?~V#0sbYJqALWA0HXYfBc2w+HKZwg}}7 zEgJ63(xCckN)Q=j#_{IiB#*afE(WesMj<|r7l1b{c1ul_umyPODgxnZskMXB zzz9nSmS$fuBcku37Ze>8a>ywZuM|1s5rS1rM!xmIUOIQcnG$R6&x@VJl`J6EiP+;# z_f2)*p&GL|C~zHCfw2Ql6K#Srjd!@AM>AAG*hSvs3)7OTB`!BFmEAp7&?9lHBOyxQ zjQ8e$K|^6c>84#9^Cc|zzT8PC>~}+=)6HilOMR7Keo%ZB+H(sjSJQ@O2jr4JqzwlqL5+FUv~4N$||WM)7;- zdJrN7?^D{tuCwt&z`(_$aM&gk0oLqoR9l+#Iq2}}_u=0+KRm%^7R4OFeBWmrq_wa~ zGQT1pIF+}SD}WGH^SAVW=Tj{Sb*HtN_$#oFsAe9iR5c}Rtf%KtgzOJ7hr`?*h{<4&U3Eh|(> z3F9;*_cGOEZ#(@uG^K=D3}T`P;Wh6M=k{vvw9WPqngB=-_^u$bwAW_sZws8wwwH*e zM?D~UbhE$U0ESJTz_2MFHHM#ev&4b7anb;ggA)lMSu$r07SP7loZW8#+-e(Z87Msj zO5X2F7dHQq?LO*_bUVloC`s8&iG9Q=6#*KLY`YOPy~P=PK>*bLX~;A8v@b^PG#)?q z=XGd|(Oldw;Lo_?P-DHzAQ+=G5I*IIf?=t}HJUuHVn)m#JcPP3-EK~ZZ+M+c&^78r z3^YGP|2>UcElOO@A6%$X0-GRFDnZ;YVzcKRKt@)`i-Lg;PHC3?-(PDEAbv(GIxa@s z`fo>3Q&89yQxW-#a-9vAkK&{yN=0(yu?Lxp;S>-Z&;~AZxR1kLEw3)XK`w$x!0rSf zu_XXwC5U$(+{_ol8W_6@oNbbda9i3*qpLKh2X;eYwF1kUqkA{tryntoA`+1Dr)aQU z=(8|jJZy4e+9G?pRVNB2^wmUW)^H!<_d%N0^)TfPa<$Ih_qB&96fB3KhlQQ0jmDI( zvVAth*xY4Q>}4k8{e5X9T-95Q>c(FB!hk10Tw zbD>3P{p$2+3W$m5Eee$WwD&P~9b!lh;+8-OuZJrpoI3c#7RZ;+&iMF>n-EhTE2Dc- zcy^W@P~tn1i$-lU$sr7Cexv$?IAD6E+ky>{V{yTBL8R$`AS#4j;w3daAfvTc;{#Np z7)=&_8CaDOT9&=pbj#lyrqaxa29{R(MvGzNb!JS~t_}qw-q#RPwS>#XZ;V>A77rwY zz7e{I<)jBBy{!BPP&*AP%}MM|7`6}nRQFmBXRok^8}9wqt=4Hc9a=k)RJGsf*>J1$ zn+HJ!A-{sav3_=P{Ilc0<+WpzOV=dIMBcIY?P*Z2bZmz{uwLOB0n(38od&LBsq@4?jNsya${|K(lopjZcj2oT$nm*E{!gp$02()ruHH1l|2wG*lzP z(DXTmm~ow*Mu$6w)-?ZyBV+=*t<^C~F%;sbi^N-NB7^Sx1CNKTCD1f69ok(Y?vjh zNU329f%_yEkOFbHoocT!dH#NA-&?i0TJ?;a@|+z;Uz(fd>rO0$nG!}Z@ED*gSsIl zrMU{`j5R6*veKCrU+av`JCP17>2J=w5kxJ!Fm`B#$Dqg;B;VNLt~f(bh#733L(gqZ z2U~C1V|t;x59W6@(_=~fN@G!V@j#CjyYOVYRh>PC`nr(dfTUrz`c&x|VJUC7%J##G zYgJShbKf}JSRefvDD-erj;=V^K6XDdgAu`7&yGj-t*&}$p7YNalq*`%4Mf38F~_DX z&}eC??C9nu27HB?6%U7wU9JdfS8l5F=X|}KiF;mqgF?&NkxCdrgKWHorlV_$&fk+N=7x*dA@e7~`bS1J9Ja;Dh z?G=f@7S82Fuvj}(X*3FIaPY=V-0$Cc8ShjHUE*JcE%ymD*{$3G&)Lg@gQAP0+{~^) z&!&qae}LRrhVXp0)CeHhmw4ve62~ACw}6oxe4tfNH12V>{_0G&+XR$C9yY0${UGV*nRiFW<7%M;OAe_yxLu*w|OBh9_A?r%N#rEykKFJ&rBA8{jApZcXG-qFrm z_Gcop-7$Qa6U$sy~_4LP5$AA3||&9p3w zqkm+iMf`b%K7dGG@>nl7vk7{fVQTX)^&%5L$cx{e61+uqaS_H#TH;nE+fM)OU?*ME zZIax=U>7&`qUw-BW zadrMSR*{UaFCZYq(9&x$oB&`DN~kn9Mo|ly$e=M)?jI<+Ay`kaNjvl~g*#A^X93L} z{qU-7jLuxwtkSvy&}#;~uAd7+V=>mX%6udbS4_7y{~HRy(Uqzbww0g8yX~M*$h-km zs_du+?FxQ~un;g5y8a*Rw|eG(!=Pro=#C2dPIEs>G^E>~dk)82zyF9I2L)ZAJpV?X z;6Lr6++Z!A&kQ=MuS|EaK_v=gTn}hgnw(uXB4J3OkE}X;Jf7wcyA|<|s-|QuzD7FM zi@;_?pbX*zwYbB|XjU3mzS&*2?7BpE$Hg}{Oy>R^m-zQ4r)=bzv>%Yf2n5M*VlWAJ zZzpLD88smLh!;mJcWt3_`31z%aZde8s9fWII$f9QnO#K7=H^=_Z&a{Yz- z90CMt#oCveHN%P&4&)b8Tch^E>NqmtW;S3~{hp-7YP_qP*{resSe!LtgC>c1^ znK7E1gMnb7<%n%mF5Aj29O#k9pB`UgI7uQ>xXMx6q15nQ>&@$z(7oxSa>SFoaPxmB zpmSK29DXM(4dk_{ifrtRH&)UL!iN>9UStJ9`e^ZoL+^x<8`*CqI#5)Z~MIw%*PdLi}A{|1+JM zauy7PkChMv@xVa(BQ;H}>I!%}++3P)UEx^MNwH;9dI0qkfP|t|82mnI)Tg}BY~Y`y z=AiI(k~o$OP`G;82%NwTPsBB~2RcPA=l+7B(FWD#AHQROT1JuUo=~O8y^69fyLv{D z@U0KSBBQATqUv%!OQwI7Gy+~7I3R3gI~o!s-H{fbu$;c;_Xnns$q>yVB?TlWC+?#s z2>8kX$&Q7Eg-UK*9F(|tFCb>KWRp>lG%?AavlQ6PNF)2t&EopH`Y1)GQTx;E1KY3t zg^7I>Ix02bidE=Ui4`z9^kG;=M#Vw? zl}Rj+zR6r7ke+Zrb?r47C?erXd^_6s3x6Fiu?>=uO?kWKZO(4F-Xo7+HZI-_#@VCO zZj9o^B1FThG%Iwy4LS_Fq?6oc{Cdw__689Nhw8fT+MLy!d+YGA@SES5Jnk>f z3KnSj8NoqXnwQ6@q+sAMn-!qddVad%VWjZYJ=mf27gqk(`%H_ochB#%@ zY=f=l&)|?igpcSR9-OvCS^aIUhk>x<6}rG;7eRz_&X=n;5UqQ3#329T z@-`b%-RAQJ!x_#XGW8o6Tyj3wOdpt95%1;Dn$sN!?50_dXm2+xJ6Uwf@Yx025B&3> zbR6bLNi)PzyJ~x5Q8AkPpg#!18D?Tzsa6H3Ov-Avsn5Lm>LS*w2V|cTa!UDU7tB4g zxQ$gh+^bSP$O(jQI<&)_&iU2`EN|p4Ag=LrO`@N!Fh-0weqZT{ed@k;WEF$~Sa_+A zJLjBp#ctk2S~ZGi*b?_gd3P1JET@~>=d_b_VSCL58TbkNU7>q)j62n1sFU$?s)m2R zoJu$mm^2Y&6VOk#ny+~!cw$0-Q`yjN2i{*9QAu(x=7ohVH#bG?(Xv`vX@YVcIk{g% zycL8*yeolS{QULu55QW!kWthzJgM+c$~I}ADscI5A_UH+_@n-0o`G)>FmLU*KK=dw z^-Y(@mW4a_cCn)*B_ggnbWdn_QA#4Cq5KnE{T1jT*sVSV+JMQ z4~V_~FBk-%TiQc`7oV-O=>lYe5|~WPuS=tnYNlovrN#IsQyEo0M-D1=e?P~dYCavz zzf6=Y+HRK;pM;`6Yy!GJn6zjy5#NpN71?zOwhj!QY{2R4=0;-dqWp`41K}uLwNRsQ zd#+$FFaE9V?c5Se?LhO0ANnNuxOT^^7-z2s03oQO3?AYe}6tK%Nw|6*eYyZB?U1 zSqFv(C)8*oOaiH%hN1|jNvKinAZfCc<>3pYkX?!I!0*OyFK<(25?rUkq2w%d{K5Vd z5Vg%UIrrm((hXga%5{y1VUG#4_PbgU7sUFeZp+K6(^=Ko)qTg)8E!2<8eL7aduy54 zW+uj~NF(w9jm*M{uOM>7lD0Gg* z{}3={>uoX8=y(q)P1&cf7_eJ^&|@+GIM{hV*-lU>kFNZ~2 zTgh5~wdk^c_<{X=<3|(J$ZAr_Q~_d($VS3_@Vl^Qn*8ytaBYqSJ)kNR&)o>CeUN6@ zWoY7HH&U=9ZlvEUxbAzH5OVa4jPxgl-2H)~?+jGz>~__-!}QLg&i(~aqyg^aSimF% zi8tnZ%pTRV`P3tK%EYY~Y)AxZwTTRB9Q$X@#)58#1tkU!I4&Den0_obo%=Xl{^E~cumURuNwzyh3^bqx=C{Eo_7sUI{Z zjqdc;10O}eB|DrYc6C*xka_VijFrXfh6Ede)=irWj(AcpHNA&H#y2c1z-r~OrQfzS z(41#e0q0c&9VoTrmV2N!>Y~CC2NtJ)$?z?M0g^RhbDO>S93Ja+gtr7-N#ztlIt_!v zZYvi{?_^mhIC(VVSg-ZxCYmwI$GO`q$$%l1C>|wHCOU?TbA)4=Ax6HafyS z#Kw8_4z3`6(XEers_cAY5IcB?Hr5Ro2pOotdsCdD5tAF=4H$+X8)LgSXUcWlp?$b{PtuH&tzGZ)Rc%eNO zGyE?ZLxtb|T^jykqr^b`CzBhTm7zON!@wk$O~l_Z?aFn206eC1V^_YBC13@Ya5wWd z`d7zC8Yyfd@l}W2-ZBhLLrLj;+&`(W3?AUwW^nim`37>#X`=cw-wTg&{~^Pk!y&>9 zL?cdmH1gKZ%ixN8eP3GWe=dijr=;9H>ET|rBijXLNn+kF+tRT$)}uIcrMl8xktimA zt37#0o`QRwT04N#rLyR-^Y5cmyOR_oIv~0C8j2*MxQ6>7aaxDYpqVuS3$V?VT-flR zSBrc+rUTFwa~dxNl~@i~xr{cM=bNCv=j4}N$eHf@0M~7yzo2JyfBq1Pd75BF47$C9$pLQt! z{#cS(rs4sR$(IGgmmw|7VbNcR>HncZZo6%DfJW4SXT^2KzFj%dRNDUbAgNy;0>7z{ zr?{Vr{X0IHc`Eb8y(-L>1ulXL%{tke7gSgtSoWG^|2D_(&yD2|daUb)S>Yd;9ZG>M zE>qBZ;4#>A+`YZn7EcTNk#P~1_e{^45EhQO1W&GK`EUpHPb)^bo4*Co8pScIc8r=% zko$zih*pgjfxu+Rl{DH=M*aPVO1tKpeByZes*Sgm1BHMjo=Vdz&hI|jWFn=>3_sO#}6NXMpNbaQVr$TxmV!1-C<`) zd}qu1S@M~sp)>K0WxeVOPQ`{@C5A9mjxz_bPbpYavy|$u*VD~mSc{w7z%IyHVLXE? z7h+kK^o3S9_mGOTreemZi#7f?93XteK3^g51Dr-UEbxe@=|fbHuN1==roN~2wFKvj zOl@q2MsHm{f#w2xgkvIUv8*D8khtB@dUF^s%A%I^eu;N z3on|=4Zn!AXKl}A!*$%X)={#i;;`b5Ro|rGK~MC3hcD+Jl71)<`%> z$e>$~niKaTYMqIAMf7>cI#O7|j&zLLfib?+#!1usF3xV#95 zQ!ZV#lm0vS#By_1ArZl-U5dw4fio>8aBkJ%S48yWcUK8pURq%NZYaaXcDJsJIm;A2 zux_ew(tcn0M+>SOh9WQ!zQ*HQd6@sq=fn9x6KKhAUgyL~_qpjVVro$tc-yIFr28$4 zyIDeQ-gjpwNgjJw*irPrQKKsM`v74)R;vG0W)qv`9@8)tq*|8CF0<|fq>=f9kPm?i zBu}bm5y<$LrbZ=26PO(DeHl`eALz|%OXKkX7>-ApB~M4dS2F(ntHmA?KBt-1nR{Z? zW*BGgdkFVs> z&<%9eG?ako_as~E)&i19LVvuIch1AWGahSy<|Ts$;%5A z9wl`W6gs8zSAv}$fd0B2$0ekMG{eEO0;?RV041jmb-rDVKOoz3f`xJpQD+N;tyz`4 z0z-6`*X9Fg!&{BiJ5Ssr;4#V#pI)OCA6t$sOaS*$N_`415dOzVX$=g(JohZc~ z2w;gQ^a=S;U0YQIo0yxbe8uP| z16SGB_WKK|1^P)~sD^-l=$U91I@q8GEBD%Q&6?Z@mU}Py)f1}-4WdFse7hJ%*`h$u ztex}|c_70$b5EG9kL}0i#PBRk+P@Q37Rnf^LD$5}*qS<(uFvIpfYV&M+_ZNs6O&QH z5SOTXu_Pz;(1KA`YXWUJ-mr-Yf^7NZH-s-orC%*Y62ubmx&3AM1~}CF<{W7Qwgf(& zv`#sc?97yt_@R38lu}Di;rsIa=T}W?ZjDlkd7;Z1Tq8v3KyyhZ>BdN5Pt^_=@UX4i z_hY{Rm@F%>-q(&))N-C9lb5{Q=@J**@;Tig#9!!=#$GQEPW5(>Dinq9pVDh2F!hNwj9 zP_lm#lC`T0FjE>s2hVyjTULFKD~o|^niVAkb8`pvxq*;6l49}RB9u^F$Wr&@W!?i| zm}s&zLj>|z`A}nuE2e&D&*U0_pL^z49(8Pq@h@P50X)N?;6-njeD`+Xy_vQ$1n zNVhR?)Qh8ai4ZrcF;CY8zHce$h^1nKVbYD8U6KdesP;Mb^xxPw-4f#c@7~kd9A+xu zruFuJCt}Z>$#ORkar3;>h4waHHK$FcNJ^>bgvXsu?CB}RwSO(1xQ^Y3m4uDIEPnaKUlcg>15|+O>aIs+NsiyCUp3*>_v=AH@k;)rh^4}6JVZD9s9fyp%g52H^ zDv<%vId}B!K*TEV?`)$;ec*Tv7M;9I=y|^!=4Hx?wYcqU#sYKG_VIZrv^c`H;rm-E zZ$UN}gyHpGz=sG{zzw_qC3fyjd;WG3mOc^%4`t{?MB>|$pK28*T1A?IVANeP-d5c- z3CwCFuVrvhDO2A<@qLv|T)~bDAKQ*~yW|m9QQx7&##_DR_MTRq`dV zL*&jm$*SfsCMOJ-SY?HoOzNf5)sBVk^3ONCC%UE&bcrEX8s;^4K!qS%{3~Y8X8rN- zA*+!;a`msElbsnXnZW8_sKU9qE=$+-O7#v3?l_-hd+@jX;L2=vITXE@OqP5g9`A~S zOJ-i7gGnT5)>tTEWyK=haV@##H0Y<{0K;py*Xql}(cadPl$MG8KfTX%_**S(eW`YW+ zsC{uKsRUTay$uL|x)lYO>Y_8F@VwBi=XeU>BdM}7=F zn8louV%}wAVbia~GlZZm<*-ebUzG(DU3$~%; z9B70Ie2Twg9&+qNNraaJLQ1*G!B0M1602xZQWBipM}&E3w{=Xz5s^4$loSlI`Mb_V zBPL|KU7H_7?1SV5cmNhz36k*c7?aCB#fv*eZN5oOzm?EY&E-o$Y#yND>LJpL+w~?c zl1nWxm_K^>x%XZ8wTO55stSgF|BF-*1!4`P?($;q`|z?!V>ce^(E_&VO;(G`#f34Q zv&&Vr-}$Hdhf1vWRH=hC4|aEA$DjN2cn|P2J@Y&;69(uT#GWF79->)-E6d~@tttz%~-%1c3+Kpl8;P7mQr z2NbZB8JzZ_mc;y|=y)G=HI=3bYTYwY(;(LWMU6CT=rvb6RoH?#5Klbq&?zrhds>O}kmHXR?I z6zf72Arh#;4INk5+_a9~*=f7MooGxCqYY-86nbx(P5i2kAZx=R!bp%l9S>?J3`knp z1hrtr%>Da7@q~h;Dttabc4~k*BR&)FD*b3;^h6j~2e!e{{f27Z@z;(KFPoSTvT2DH;unR2_wNxhN zZ^H!^T=LlI!Qc6h=Tc=u9<6vtnR3|mCpO*6)Pm^|y?(nmAH;K{&3pL!^JMzWfA&Tv z&F9ey%Zu~I6LHE1w!Tc7v_QuOIv@a#-!3g*?&(rmtIXB7oW&eW>(Hd%>K;5x-EaMt z-=3}o}=EwP*31{5G{|mqt7di&th{p(BazO`1ph> zI>PT}RPWoqw3#qF147{Z0jzCy`pi81`VvqE@E`anJAj@LP`oU>{;!qDLI8e*cR$+* zU8Rk6y6=XS&*4{xR`GpJhMCB_ghyEG9=hBHA}9L_#>1`6U2PWv7U6GeUPBN3j(bsD z19Ywzfum0q2L_+J&O%8y2SiCMCqULJ6qLJA4{gnxGAgAEqv|eBbi_dO!9IsCG|-=n zXpZOWY)EvWh-zrGL|7uSvjd#zU@4cCa#HgrYByw@M?_K=}q9S#f1 ziDUMJ7nnQ&KXL~3KmH8ZjADM9CWQ|hqEVrwDbuTt7n#cqHpYqF{ATeecLz@jW7+)w zJ)4hRQ!i(1MTu$p4skuQ^-D$FP~D*;){Rj z^T)Nv52P_I5~z09OS_IX&^dz@3R01bIR1Uw7|DY-~NAF1k#0Km?V*STd<-N}I`Z z&eX9R*H6Q7*(?He#hLYte_KIRTicAq;~GEQ#tHH%%{#*u5mYdh#){in4oEJKWD%tpKr zaKm}^zDcTSba;xsuwkv@=9G**|D0(#Ng^U6#X1pYA^o>x4ZY$gPYvOkZ6*LbDENgNKtCL=IAj9t}iXn`}*ccr`jqHhWJD#pq)K4dDkc`A2!45{5 zkheFvdIA=n(Pl9mU>JaCtBQ3$ya;?(izjt5>TEW}EMe;H z=C9kE@(zNej^ zZq?Gd6DjhyL014@Zsl1Gkk-#i3W1Dls3+U521(`-6t7-$Y z=FF6R)>ci!&EG(5(w6$U>b;>1kJlu^&oUzD_TI{OS^R}U)mdWz zZG%(whuaF;#kP?oT2A$xc4SJlFc1$FxtIQ@E$}(c&Zc7m&+yZR5ya{0;j-C#m%!N+ zt9sT9i(tM?=|wF$o}C>toezT9oTrh!{BSa>^oz}s#02)7jPF7ChO@peMJN5V$_1AU zvQMxDa-U1%$J*7ZtkfW;Ay^(lX^yUz%c*aQmQF_(mJsJ}J6UbQ^}Mk^`{aNL#5Iem zj(C2ybR!&^=FNLXojgbOlpSX0tXoMr-wHiwXGy&?1Iyi$**aU1iuvaY0bc~lCps5Z ziG=v8;*RFVV^-o1VB-phm(L(b4I@k=X_u)tx|UVtK+`QDJy5Xc5kxL52UazH_)*H& z97DqU46RsqZ8&7R7i|5F(?G8@f7x=ke}D;}Uc5KX@txc?#X5|IeI*LeK1W`w`8Ld+m?T4 zCt8h0KwE#_NUwC2(T@M=!TkCMud>PQ1~Ri*u9GWo?doZ zZ|-mSJ$%q`S6p)2fm7tu``Q&m*EjX^lHc^g+S+zAw$RT%>D&;AGv!`&e4ZEZJRccO zES~xsytTSgCviE*Nc7uT1E=s@6{oNjjm^PpS zg?Xa7e1GjYOT?AV`4)ISOjR%$e2BhiqCTE&;QiN=v%lU3>L}j<+P!C>`ONr;lhE})*iN8%uzKf?(i1~ zt;soFg~#DChaT{{2V^H;9xKCQ(O*vFAL6Ums|+m|&!8z+TW)@d$+owsRnw3T< zA5lrcFKh(U8IYnn0=~r{46kWomjOkLUR81a2Zzjp7`sfl4?=2~AnjxNxT|KaJv1Vk z5eE0Pl~xu)SZz7umOT4=4Z;JAI%&8HQ|~$7Zz3PQea9KFjRS2ELHw^ zTs$Gx+EDia^F$3Glru)wQ6u9_4}Pz)8=^~kRtCcGwI8iL`NCvmLIz5cSzzeD5a;pP z2#%yaN(|fAnqaGllTh4QU~u9xsbx8rfP>&8JNTv&3KVE&ifq^zuxI3RS^I6P#CY1_&xV|0_TwvGs57RoI^ zsLJBQ4L8t?+W}*@Qbk4deyK=KK9Y+Q-B#=X<9bD=%7XtxZJR`sVE%cfI=auel}hkT zz`o??M8B-;0MUr~p*E-{S6OyZtr7a&3n!2Y26jxyQeRW)R|$a|KeP+RB3$FrLygKN z=QTLPn_ywaCFG#N+H`tVWWX5B17Q0ak~h$8XMh{$JJCn&Ea!$)N*ZnrfMAJFuh>E* zVLQbiP97i-y`K9?2=K-qzJ8gV5_Oe#EfF0#utHENioAAj(BV?v>wFJQhi%<@J0unj zBgEK)httRC)l3`5XWPr>;!cfH;3gcGJiM1(_JO-Al9z^`ss_T#cw;NnNFWXQTBleJ zrDUlWo|qpa5egG;L*G=XbqESXa0aLNAQcZK6*kFlVba2mklX3{$cKV z=ZepHGD2r%Z8*buTQeQwp5ci8-d-pO+op3ZUsY>hLFnayS1HZu_y0DrIxLDO;I+_^ z{9F@|)8IKwk}eniV{j2i==f3iU0o(Bne%S6amdL@EPosuT6rM*qv(~Vp_$$VhAAzf z(C*W_IR-UWr>@szEO>G==T&BjNa({grSw0SM+WC>g&RGf|1`9Cx1!h`D`uMDvup35%#VX5YP_gcH}7X zLSzB*1kqc(b8V)U&BXN>@CDm3;DdbUsYA(Er(1O>K!3nA0)RF-^d_`srx`ygrf3{2 zYY!Vi>LB+foI7_ccwAl_)B+cvX z14+|=PZM*v(i&6(&pqF_(Qb-b61|Qhdp|A@nqY0(gVEaC=uOWhPM37E=qk^9rz1)_ zwqw5+cCgYwlc-H1i1;YMY3r+Rx;2pRRQ4Bpa)+tD_OnoxUvng`XhdWqQ4@bLr_a086mFo33#4mW>!a zvXfC04)WDe-@j*isXK{!bDt{J=d$IZQu})MiurrCez}8ZWL; zXOobUWQ=s`By#7DRfW$Uuk{ZQ5%>Yc(eW1AX*E77epN*Mxd-_%y$ZRI$u}ii@R;N@ z*}g4yGiln`PF?62Y8*C*huR8SBodY<>EzrnT^3I$J+){yr>{$9;x5Yw3~ycUVQ%Q0 zJXC7}wR`-Y>iMM#(LlVOL}j&N6TC;qght$j50DNbjM}jr&)0><(mAAedJW-KYO|!A zjk10VEhuKc0^1{CC`K~?8Z}Rk4%#@#Bg%fXoq}w{nfa?F5Sy&}YVTOI@wNiA8f|K$v`r^y;+KoOjOx-du9#87h)ye}ZFs3p! zD(!HwP+Ocol#p}&t#)SdP%~NtTK2AlXfK)W`*_i-nTX({KcHK6(84DGd&v`EHjxa# z?snNI*5=FP5LJNhgx#FF1unHV>Q0>c4QjCA_atP8Z{i0wUsAalz8PvxsEY@lb5T7S zhB6O-qbQyxsvv7&+?){V|JN*!rT*u9HD)5eKdh6O39MhIC%rQjaiYiNMX@FGW}dEU z$gU0y9gCy0sm`M}a?^DH!ci$oVOCIIV!a|0u`z4OXR=*S-0}k3!kqQ8z4Df@%Z|Xg zG9Ke*Em$rF&G`F@UyT@SO@VQDWGGT6Kjdmj1z5fiawAOBPEw99;7f7rB}1viBpS)A z@}QLhDr}MNgiofQBx^;2Jfe%olgs~@m%R4dAY*t6e84x3XI+?;{+{v*a@Wk|LX79J zr`$G%lV4C4s%Wdd(Yw7&#OUsl+c;kOQyIWtZ{LzFIhsO2!7W8p%Wcmgz9xkVY{1`t zeGu`&NpEBZ;uDqcNlyhppI22to2t!TyHT(9hi}h+cyLOlixl3EnFM(GB!u&aO`L`b zr@*e{QEn=aVEB*uh|&5(_>Y5=fO7v8)>#AUr@+|5mb(4%fuJdjoqeJ1!|lq0Sq0SF zGE|97oNHFMEoP=gUS#4gx>n45LFSQ4o#M4W8$%idy{CPUQ4Y!?t1_b`x0l7ID%QS^ zrPoF-JZK*rFPS74VQVne`EY${=wol#))KtOTd1rLXdg!{Ec!df`QY^%!*i(-Fn(~A zez9$z?0R#RxTO!VsUD~u5`AP2L(P?hHZ^s=Hg29zT95)C>UMSrZRW$Ezd(ZBHgy%6 z@(hU0*L|&4NcJ@L`wxZ*Zm0+(tOtfg5`MfrKu`)fm+Dcuj_A_eR#@?Z%$og1+P-k! z`(@@6Tx~S|@b)(D4tzXV{w-u7Pa29GL#xisg|JTDVPyNfeF#v9&sST5=F2qmrDK`# zglsSriB_VcIMFah1l+G&CVg@Ln;Y`kMu!h0+#FtGA)uZFt+mNx;JSpqsU&Ui6UUOk zVVw&HR8}k+gL21tYbQTi4?Cb0`siUq)1vU2Rd33LzmrgJV0*8h*9jDx6&dZXpB_xN zF)q8?ebj&L|8X%C`_@DBbCIzk9rx*CDKhH^3QBE$p=WN z#7l`!EbsG&PYbTmZheHR4-n8#ZApq58WmUs5cV$7O*o2}iauv2H?waaNNt-W*i@W+K2A}10e+I-kV@Z3rd%nWyb-w9I z$r5IWFPr-KL{`VM;v76b=}kO&6V&CN%{pvJsb zAt`9CBQD-~!(azzXWJh1fkr7kABrA8sKis!{kVbyriM3G{hNtT#-2NLNU9wF_K%nY zo_LqELs_sLrG6FQ>T#zD0oBW9aeqOWLc6DEfMPq1^)|?Kx#PvE_IE|Xdw%ypaZQHi3 z#Bn?|U%)7;A zaqc(qc-xjVkv(kEroW4Jex~{h>}9h)J;3F0hHV)qOTcf98Ds6U0D< z{5I$|g^xw8*D*sdMrDniy;t0sR&9Ds1SV1|_xOP;Gm~W(EdXufU}Z`1d(^he0!x$L zj};e()xBR8Omh-Xk8YEvnpk&gv*pL+!2n5IgS|5xv$#b~4z|yhqD3vG2ovR5LY1NW zdtHcQDq?uPd?P*UmS0aCVy-ODCB2B2ILv6zp0t*xnWi@w-Cd1H4)wc)r%5_mQ#_#i zp%4q*U98DJgdh|0cK7&ha3o-}Dq5{dC3sb9*MV6oza3S8(VC(d+DN!LB?1o{tm~7h zQ4vW`7Ifv-egKIpMRJxayagbt-rC_Fh?b)~c1A?*&aRb;wz}#My*0Z?8SW6Tmzkoy zU^tUm47dezUt<4r<%O{-y0uG4NUwUoSL(#PFU>AOf0Hu$|<1Gn&(5t6YV=l=GY%7D8_nC)UiVhlHM}a3|9tI<1Q=Qui2%Mo$}9W3-S?9QEU3#G5^nb==QF9N3#R?wYn{< zc+LZye>b_pta?^J))4}Dt1zGVMdO*BAYzKS8x!8Se|J|BU=uw#8ErFnW586(*6{h; zKfOk@a*5+iy)+`QTr{6YL9-TTn}ua~c;aWRWF0Zm^`;tt{gkb@-!(Fj5F@`)Ma7y( zkB}E+^m{?Vr@^Hq#@_nKlT6O}#icua{~!Jypk2`PC!B)a;BP&fY-FP$f76W^x{E}U zH@c$iLKq~5x#xxh#zB^m-g)8i1o6T? z@y`6mFr`n*LzGl{GqD9^e0D~?5%^p{HmH`)IDcN4=sc|l2FP<#zLGAhk8yT?pb&(o zKh7DmDxx#dK`TC-Ksn1_e5Gi1U5g|%)Ww~zp?_D6+=aVti!WgyOZigYA4fz#mcI_cLgFRec!Fd0(=qNqjo-y3qhmMpCcVs&br87mPse`u%13 z&+p**pzYu`cU^Rs_$mb*3%x;vBx##z8%ed|hz!tMarkne6N5VR2*~xR{=ef57v;(| z3B;>ZVB7I&)Ql+RZ*PPh$sz`+gS_i!UD}wK8$;nyYaEa%9V;b_wl{qK8LZG;2OUX) z;4e-ShT7d>G;-9V^RU1D2FaHjepMzO<55&`|D2Wv(OT53^r+8o$0ft+B(b3cmd#2H zq6%$ItAEV&AdMuo=WuyOLQ?-}DV6!_-$ayD4*XlhqW&4x44-6OCHs zFQ{K*?5LP_y0-3s3##e(HDT>;jr`-pIqu{03&;b$m!1w7-8UC1qVm#P=2oy#%Jh9H%d5BE)vVg~T9|Ai;je`+ zB!MU44v!JOfeT%hqX%<-6m4q*XSXyVK4f4ajU)k?SK2_2dP=4_b>vBMtcs`0Cj6L) z95&$#Me7&fhN&H@Jqs{Ih9CNjl zwUd1}JpOevE<8ReYYOzdt~m-a4XV^m5Ve?N!q-2Hd}JUuL>X{xlZs>VvX_vK%&)B5 zLv#bu@o;^O+w(DDAUPM1cSv6xIIps?7zzFR`cDx?Nzc*l-8UER)vWJbf)+k6*m(2S z;r9ThGA2)@SXxI1%+6l-M*t;G_!uSE+fA6AaFtRKG~&`R39WBl$IZWjIeUHSj8~!1 zV5qXp3~;6?dmMgsDNWrvqi^Nf<|L7k)@52PZkYPia@~>+PnKfeHyfd3V(e$|a~x1J z*j%_rJ?_x(Vo_@%{E6i@CxZ@J`~q_jA*f`4|F4zkec$znBr!s_9sQE-Rc~KhV=|Wk zMZ+!2iCUE}pfTc$08C?xHy-3!z2qvmJokmb$XL#m5$mv3bc5Nt-f!pGs))(ukw0$d zbs-g1%OWG}u}S+jkkE=I^<(I6_sk9R`9JVrBY zBjEZ42_!Eiu56HxlPX9q;!Ehj^Hl<%ei|nZgLo{$fv21m;Q%ccIRwkK?ez4FDi|$& zcQhm_6vz~10&Q0zb5JD%(#dx8hm;5dTyyH`DvkV-y(*I5NL}>z^Px;z|HWds;;U=w zV%4(3FP{|ThHo;gid4lw^0}M_7k}yKw2W1S>U&UzMMfo84kT*^~Wz2yd%p()N7>XGj5he;h5oVBIt}U|x1EHl4IP8AOGcZd#eLaby>KOV% zQjkMxHeZ~>z0ar_m|Sd&mBHME)pJBM~ z?pqHv=UWUeuyzoYyuq_UdHJ@316uNHTx4MU#qn8)?EHEzAhIe)b8`xb_68Kz<{xx}vYak1Sq0b2d0Q8ldsJfN)Q=@kQk;<~dM)ufDEwPzY0$0SUET5C+`0~rOCZ_*% zEIvLyhu`~54Sn}SL`1WZ9eL!uj;C}02}u#L?W3CO-(R`ShUk}#inU(LTSk^AUK~pi zV-=&}4WUNAv|}rbR-M4S7~0n2FNqyq7it8yi`Rd@0)ZVcD({z&NuTm3URAlzbyi-=uq{eE;V;&C05AumOy;$E!QZDJ~SdoT6+gV9(~-kQkpR$KV^Cmz7rvDEO)r&Of_rJ#d&Qx!J< zRrMweu7(}_J#|GU060`NZ8PbZ5VUR1eh`wxh4%*+2hfwNBlj657w1xiw56AGMN#w4 zXVW4l2*g6ZL2Z05rL4j?ZMAhp@8P4G6QGjPLylqd7z9a!Ga;91K*rjkUoPhm$yvjE zvJ&zEvy2}OvnxLLjb@6@txU%-E^2Uvx{T#(a zBob6ihv}@)MQ~?7B%7MUgLR@N+G2S7i}VLE*Z4}u^zCj5RLhP&^;>5`gDN6;2=UD7 z``aAq`Wjs%Q^BF(_OC#x521+92A}u>{;;mPI&|Z7BYhgHcm=RP4|()^4Y1e3Szs=w$j)U(4BvLa@-WuRL2qV!D~BR>w0DHaqdL$YER5Q)wZWJuM)VID0z zj!c=V5sNF$*PT`C5WCW)KeE+`QiS%W|?No-hsiD%;6tkeaoW;J3~ z*%y#F1ppV_kfT^(7#PHbMoiu#;hJwU{;%UFKNBo5-Bpot40rbaO%Ru43|CSgw1~A5 zZs3@>GUllaJ;r@PhmT;2RAZs5l;CPdJK{fsc$4q6IHedTTLfsrDKW8`W9HQs0XMZ& z6Xn!X{WH$7N2a@yAR;*f!DuoqBpRm2MbC?D1LeG#oMsF|}|RWgBzh z@wy(2?)^fzw4bz7*r~5wKYk)TTWhLMo-O}`6Q0j~USbw%|6%C8t-F^6#%>V3y#}FJ z%g=8x%#63$6&$qG8+cD2lR6Ie-PPk#@aU9Hcy!1b8-zqgQ{Tpe4Mo;?!5Y=>!A%{+~Z>p7T!q0A;?6#Hd&<*YI>onS$43)lZC@I`aM3 z-F5?h+F{I3lLy$nsb1=UDvF=rrP3C%462pt5qJyc+jFdj%$7Ge|Jo;eT9QwVci;e3 z==EwXcxX6v z0o9HG;>+V0Mb!pmC3KvJgt4K@^kkIa(-*cEltDjzI+FeNfns^%Q~5E^KyjI*VcgVn z3gA^KVdp#yLO|ui3z=$U27?{in%m+GPuhNyNh}X{GjdiIuu0<5X7HoA{llyCBBIb> zPGf&p1T)?{B&VT)-5rVo2LAeLRO4kIA0GfGvPhAqsF#6#%HbM?Mp7_}3p73%I~?ag zemlK=kGfiIRddiR-vw42ActwbRJALmM;ZyF3@(fE0?=>ftP+h+T@6 z($Rko+vhGMb7f96U`sR(Gcbt5y#@5-ixoe>Vf(Mc9XpO%-U~jToeP^d4Cr_YC*V=E zeX>|lbodt_pV=*sNf0qajZ2HfHP)=%c=rAA5>wl7O4V|!(GjJ>kG@*dI@D>CZWm`c z2jR_`p#Q6}Zu+kptZ&P>i3j?3Pqxjjr&twgluYeSEqU`VQKkRX~+#yq&Z~wWV zPQ>dfw@0my>?68skVd%nv;)e-)<`^%02pgoCv^;R_I(fShGPw4MrGPL=$tdH<91iw zd0!io`CPaK3!SH%RV~jHmtxCtdV=Kj6ti@JHPTOlJGUZe2;0-vvxz5gPW|J@t6iCs zrJlG)u=fe#U`F<+)32Kjq|;dl!8Md%02jWJVX_hG8}H?nTW7Go4`k~ma6!*Zh?Z+; z8wBx}(x{d+v=pGdkzXDvuH+@*{I+3#qOW~8f=@XB(K$bRo0eceW=5AxTw@J+D&VMk6fDR}%cC(PHTyx|ssQ1)1f$4l72eUGc4L2w zq4T31s8@45?fd(5UU=Z( zw0zbF#FLd4KJZ74V9~CY13C|tGDg9-C-MP*XQXL3H@=g_&i?ZMI%;s(rYuW0JXZy z#USFGpA)OgBX1y|cw^>*%`bpKxC(4M=`?pM7X|#0U|?8oJ^zv)db0AFp-R;-pF#%- zv+Cm7?@thhj-@nzz+&in>yLqzJRo_q)?{iWm%$%`_3hX2FI)k)`rfjVkLj5_6KUgO z6cbC9Y%2ekB=;T!zWKyly@eB?_`)*=Qi^sqqLUMN7=Pg(O3~1;W7HBmzgpF2u3A~F z7|wCD&zgj0E0hNeIQ2#{P>Qp7hYgeFnM*+O^o=WZ$30ak zt4t^m=wx`d9K-t4b+*=ynZ9HR<^k@Ttla9eMiQ7xaNmUheD>~Gbw(e0_#*#k?)j9S zmG$9L{lGd0PDnS-2Q}hfg_23CIk?U2K-g<4<5$x)&gg=6pLT+r#4S&2G!CHf^c zZoP6b`k{bWzW3$cH0fx)S|Il2j-Sc!C2PMxmTr@vk(NqbcR=*k9hT2cjg_CRS<&Tu zGcc*tB2w1Z>P}Owb{cx4)6bO6c%)OYNRH5Aqx~7^bZo#|?f`++!Vr_WZWVR@mt5T| z0H^hS0#h}f9R4^Jt<{eq9$;&KnWelY+;#&~Y^4`zwLCwYZj6Hmr1tkQKIHBH^cf0z zj>GZ4!1ak6tjXkaf_mk=J4f{CCanrM>}-NHd(Xr3P&8qTEDQ)suL`+pSs-|V0s0K% zWtYWT`0np&dbMnied%J2%D>Ca%Tt``d*lr{XAtF2h?m*VTb_b@!IXs$fTz4G1jWP8 zk8b7qU?Q!TTHVQ@)AnSZcEx)|HxC%)D+$jg=h{eDh9U+x>#BB|W;--wnk`mISqt8w zl^mWTA2bdY&iVSRmq@R}YUVk~V4KWKD`BtTR2BCc1Tgw(c zIwWnVF-{E0H5i)ozXkioBVg0C|FW<PBAgR0R^J-~?!;fDinEa6lj1 zTfJN>%o?9#(A&f_yyz78oFWs1PU|oJd1X`4B=cGVO#3`?7TFf~+FbgTPp&e5F&;=8 zJAEMOC1)n8BLlxym{jNl(D0suLZ}6gJPB=Xpb7XXgZW|5z8er+4;f$M6X^gRs)-Yh zemFu;jaxM*g8uHsbA$FQ?>iHV2 zm%&7*b%gc-HENu8u}R-CNO84UI?n(PAA(8rtG&(9u%RqL12%-a72tPiylTgz6&95^ zZl}}NN26hmJYd)rNN3xK8=pYQ6So%^y4MeyWEQfQJImoW_6`)N!2zY*LZ(1{excnA z`fk4azwW8fwl;jDsZ1$+8*|xAc66shhUg^G@7aIfy=P$WVdDN^j0tb=syDZ-{Ml$f zMt3!z%^%sRpMY7~RPyj>rwp|WLbhbK`lq0-N%-B#i2FTY==CC^ipF4CoA>i}q4pL9|9tu0&)4HJHu+oK z3B_>5`I27F6(AdNq4wbhhqu}Tl-t`TxAc3ny=@?J?Zw z)*};JsM5K;2RbgxAnS1@EP=0n<^V2LBTzmzs8LIAQ#dMLl8JJWc2F7?M}lC1aQp&{ zITygI*yI&GGw?X7ZA-9NJ-|`7_n?9E?$1QX?Nybs9x-i*<4iTw<1P66ncZvLhN;Q0 zK}}9_a%%h-3kU5Nc5pNZ2A)zKWeA^-XfBLZgfd@qUry8yOx8DN1&Y3*4v*O%&cfeK6Z00${FhsIkg}Q!Ejx zp&gmlYETUKSvYp{!@Z5(Ig3ztS2OvM914G@6>`*M#-T_g4pJttG}En3Q?7u2$E1%T z!18^9LCNVbprup%9!)L&$G!{Fm}2w?T+VeRNiJf5epwy{ZS3qfcZM?e&wKmxEj^*P_JzK|#L1cN|>g z#H~1uhkjHV>oe6YV~K9Idz?aWF0ZSGy#PusyBaB)E_4mEw~2FQPv${cIC69Pb6~*& z{Jc(rMueq*ou-NokvueiQ=H<~TlYUM)@e+qL1#aH0qj2eVq9G>Aarea3XY6B*0cD9cxQMqZxZXq>l`Wsm3P2=kwc3kj>PPnGZ9)+pY{C1<)Q> z^ZxN&{H7`Wp4}6w7n(u!fl5*U&pcvzf4L4LD0jCeCFd70U|at#Vmtj*;Qc9t3>vu? zaPRTU)J%?ndaYZ`;Df_`?2)-4bibLhdR%E% zq**j+)0ndfCGd#u`JiCfezuq=84@1vRbc#IY5g$~bMU<5oE(dmooCYJuU^}Aqo16u zc-Ep-mzaIP{I+B*zD+3voJ|l6<#->@z+j+V6>X_;3!6q;%9IY}s?~U$Tio4qqDnjU ziy{@L+;C8IiSJav2>ITYp`GfhZdb2roxg7q!PC?Wbcjy!js}1wzALBDL4jN^(#w%_ z$gL6c2>^d9=QBEI86xFu_GO_q&U9%-c?u`RX*_&>K8IK08u0lyyApnRzq-5tm?EF9 zSRmXzIgh^^*q;Y0-9!8(!OopP+p@Wbi*&Qa~SaS)odP~!tCtF|fP z8^ghVsrzSb{!Sf1u@6$3tJNgP`6fPmilCn4MLBy%xPQscVWms@PF?rq2ex=nhxo2c zR9r`{fu1M<-_Kg#TQxStSdW41#%4C;s-zf2QHXSbPO1^*z%oZEqcFu0i?D)Di2!Nl z^o}nj6z2WQEwId09x3wiTU>yG&gKDx?@}os{(Iwq0Zp|E8X^`~k(CWKDIM~Bp$w=U z9U*(6*sWpsDu1c!ej+=NOT^nZl!GqNL^p!vc8U}uc21FB@FyUX zkq)TL3fLnSh;56Nx{z)r4o#5ZP$&T((Pt<+K288MF70o~as+pQbxNV>60HB|zQt|= zu1Fwm&N!k?z@ZJk9iAHOALj((HAGpTiBkwTp_|=QEnkrQ-bzOfKV}Wo-(}|X`C6Kk zOHnLUkUp2vjR*m!(paq`0jJt_E-!B*Ga2A;5NpxuwT({E`b09YP7HWLbKLgnVo8@Y$_d49C6YPDd`4O zzVZO*!TfS%ak6oy6n3PacN-LAlf4ByZ-8KhT|$2I0b$Tj*`2(4I3DNG@n6DmqfP$) znwc~unr$?mGDRt4HH!N(9Px2%x%qbqpx_$}h&y9yaWTh^WJBK#HP)9bTe_($1 z8aeD3$6}soTqBKIBbe6-50+7z<+d#)-UnCQ)&((~@ulQtI3t=XO#e(>oSri+4g!|7 za9*J0&+R&B905Bzeu4OG25%=lZSK>4$q3vq;x*@Z*jlFxui($Gelng&O<$azaiez&0D*JebpnVnz8J9UuPn~J<`KJ&(0 z;X!jiT2t7)+LH?Z0xOe89U$E(@sXKC6oANkS@;i5@@B#&{~uZI<<#^!GsUKt*d}>2 zZfx+|6#;*U+xIM};r6V6`ZiM#0@cn`lERCCnLPbsk3|I{nbk-y4GBcn}^f+tY;ePC0-vgw;Yo#5jGpR-(Svt}}XK5_sf-pp`0Q zoz)4+UvrKjzyR+U7*lBRfQnhULtG-|;rm)sGBT~+5Nog(S}cAOHB#R)%zqim99EY+ z^sx2$(d0lv>BqLbeS3t4F>Rfkp(GiJ>*xJ>xM}iy?p)1p0~=r~b!Fcg{2?x~^2-C| zRr~d)%ORK+@~>=nO>v=rag#M)(njm$8NzyHCnRa^PGSy|%A94m&=+?B3w{?d8DH`aL#0ekgJ~&1iF5=ri|z zzJt0wDifRtJ!+TX*G&E80A*-rDyNQ4VnHI{wk_PFxxkh`LY?So^y5EvKFwYpcvBa0 zym+7LzT-Q_)%^W)dOqpce?@gzqx^y=+V^_D7q(?e{=T|yT;H(gvl-E9Oq z$>|rUakPcJY3HzV5IoZ0H_WMQlKeU;ui7G%x%?U_r(~n;jq{{3Pj*|)7qO>T~4RmVb~XU)Cn{i=t1;XW{BH)$Rp1HUIGCN>2eZZzIRX`q#+n zyq-`X>6rzl>P;wcR2ICMG}@SPW*BsubY{ErWIL{14SSEz8S5DU_IHx*bq)a+Fw|Ao zFA4A-euHRUK<#R&NB#RI+dtv1nw_q;tE&60)E<7|8qwDmd24(9+|!#Q^${` z)dq3PApziNQln_=jCMBq6!rvMW#8-{fz#>RGi+GCJ=sK@4;y#%YLQ_Mi?^>qu#rH; zh8-d05!khP$8%V;$20Vwjw)gO0|Kb@pEa>ShAaK6kr(tWG$Q8aH!uKyG1T+*5jkrx zzLSo`aQ%f@w-FpjAjAH%g(c@J^@%6A+q#Ouc5!q+Sa3&{F0{c09P%@re&Z1a`TPbV z?(3e*=gVPE6Tg6CQ0vs@2An+Eu8rA}PW{sfK2UY3$8WXKMlaHYoWTtYmL*;%-8rx| zZNXZpb%gW|Gu5h=K#cO34b^L(m-RbQuevd&nQ8^y$IoB9y#1}{(Wx8Ek*lXv5lJxhK ztiB$E!Mino$6IHqE7b@4*5-Bahr+3wS^NI6lq6R9M?RbH9w`P|{8R@e{GE>x$w8%0 zlFbY#QZuFr`5^Gzl|4Fx|^vyx~SC2G+3o94CQ*I2?3 z;&B{S@W&}#vB~{3=DBH+cQX!?E{3pxumx{IkQBeg7$@7cWQ2^2Z{mhoe3c?DhY&r= zF5t(oeE{!`QV;2}0-~}x&~}9U0AixU3y3W%0$p_%-$gvot=!yX4*q1S0nRK5p!wiL z1(ASN>ziB#B`zOE zV&U4%%~%!iw|9p~udJ%C$n9fmy3A$}iKp-5+U|STJFtc+d8`iG2Y`}{IC9KapXfYw z_|;vdQSQMLm&I(J?K1q5aLF6BQe)OS`C!Ct&8q%Gb>tsUy&5rOdd6-;ezX&FY`C;5oTIq3MH36?;M!>wGVEl2G=oqwK?{GntzGOXr{ zO6$czSxnHgE%AURC^cv_ef1UF`zhsIyvjOl%*C9`Ec|}$5lAjy8bFGgHYN+jlCcpZ z4D4eh=B~VZwoWyv$j$N;6~JRG=N~UEJ8c29)Rr#9NCr!-0yG#Z!!}iQX(C1!|39}_ z*dr3A27CT~l8n3m0@|*+FoR&=c!Ki=S3U-(Tst#zdBTd!rA2GrR_q6jdm&yQx5KC+ z3@1CySKwjfGBNqcrf{cvZuMWtX+w)mCeceEroKle+z6J}b!p}_T+6%ahMr`!J5w?+ zU_3Y(+t_r`T1*o4cKjyZJ7kS!jd4nCRzx!MreN`jMbby~LU4D1h(zY(mIc3Qu|Kr^ z{P5fC2oaMA?3tOtgF&$vSYd-f^B9sz@QoXKh5q?t6CD$aX4mt37TPwK?RGDZ$cX0J z@(v^6;8XTTAtfyf($bsXw!;R#j+Iw1d=UilvR;fx1fsH`=w%y)S0VV8Y%mI$NG~)D z%EdqmThVbhuIznRRem8=H1m#sA57Ese274^?K;#-N-3xz;PNBGZc*N=C?IMV75^)w z8a508&mZJ5Hq~aw(+6wtq0Vxx7F*HTci?n%vdpPQ$n$UkD_{q058N>$?HDMm*jD_{ ziq-vRd%Q2gQ^=IrvqjnP*?@Ixv0t^asPA5sv%AE5Yp|-IW)wvA}Sh{P0UicdVte*mWq>Ck*F!)G_F=#{q z!D-Ifg2s%a09?jwshG6)$BUn2Fk&;@J#Jk;Z7rv^b-O7!Yi%7W?$?L`nIwKFMF0se zz@|i20+JZL35~Az8lcOM%f=*h!IwE4|5^lc>g33MsgDj)?6SyAja|=|R>3J{5?$RF z?ss_-(I2#s`qcG17_fQyHw*BNv*xuhqhraxsFVkvB+&Q$PQgC_*GCCZ)V`BA}D)&fhYg0rkq^2j* z{d_5nt{#nSpfCP}V~?cR{8FBCty;iIF*mY98H~~zWNGo}v3i5g9jPS)UWs;LzWCUG+tA_RUV2 zZ1PWBVhUIuI@-eq0GA*0iMQa_>juN$+lUXvNSK#vKF0-9%H-f2N2D<=|UZt2Rb#dAJAVjH3*-<<|fzd>joC+O6Q<)Z(tAKM$nw zD0L5Mn2v!@v{k3~Zgs9abOhwV4D|`gNX9Y4ib5F=j;AAeIw? z%Q#C&CYF=c3P7np1`5)s)(<3q{zW?8_?jezV=SJ%kVt6qe%};|7FYQx>KlJJ8G@d; zcCuN6;4v=$#rN^IsHe$Tq=3Ua69i26+K^%Rm}Y# z!Gy8&@WBiqp$0{QiR9S)bBEPd?jFu0l`Xxqd z(@&5|BQxQUH>)w%4eDy;eW=l9!&vTh9)kOl3%h`E$vIE{5JGaVCFy}!1f^B(#QnZs zoNK`5)P28}hTm22*;<^vUWv=aY6D8^%C~I9=}uYv@A-{30ymxoI6=o&Yfm|3bnFRI zQ+9Nv^IA1hM;g8Ta?7E-jg3m~N$cwi@TIJsU5I(0s(icwLY08Mr1o!Z{hr8W`{ftP zRc#ov%}I-^irJXyUF_?@J~t;da5xYg*QRAyXTCw-q7 zyouPj{lCdPh5`hhCyN;JiBr_u{eiEIBc89SL@uL6%EIE?YE_~Z+2Pqda2-Cdn)F9g z>j4-2uQ(W^?N^ot`3z3|JHqfip|Dey;F`J4dwL zsD&bo#qZj{EWl>2vyWMxXh z9ZJ#fl047=^dt72drr@hcMKt#l|D{gf{eW(NeD`@Qu~z*XgdvBtPq9IcwW&EOEch4 z6cIDh|FYMi5MxU5gfya-Zs^`8>TM5tq*EAp#BfnO!Ct6J#+!oZjVCCt_#geZ`g^uT*_niS1igC z8Z`1nCbmXjxi)=!Por4G+~3eP;o$d_zoSqc9zF4n5`UI`@2`2r_y z`qSPOdsHG9{1mjA<$5Q=liB;MS^I^;DKYMfx$E_MPzJf+q9A zbj(>ML554`3mJcCsF^-Fg-iUB$1izk$KdW+ruGcH_w&F!`EfZ)Vxk~K9i$OHa*uwT1@`kE2OXw zoW9i!@IL1$=G>B5RWc8pTwA~KVNd7OYP^g15FMvxtRLAE%d-5DJuB6Xo~3_D_j;Q5jJ-S4{DA84@)Jpk`pjpMmHxJ1&u3jWN4M&mKw-HCnf#E{0?VRe0dJ+RdyYT)5$w$$xL&YMOBK&%~=E(QOa z#&1nLfZ<&ne=!X}alo|_hqHVg8d3kez5Wz2AF!aH*c5Y;1Habs-f55wiQL-Kfr)*s zBIDm-oMk1-$&TLSdZbqMiDklDJmwc7 zUw$Vt`J%@`p)`hHEg|yJgc%X#!wd%Eh9i$jiWu6G{hPPwwbv90cpzy?iFDY1uKo^d zGL$~?Q$X=ZoslPR+KooyRA&tKznBY8B#E%Z99};*5Y^oF_|qb`4y2+LyT~sqP5~;Q zcUv|k{^<>pmCj$Bq6zxU zi^q#79>>#yuD0BVgCl~jc}3^*A18es>H*lyKT2x~*C$cu$kb(;m5XBeO(iW4wB4gX z!(A#VVI5?%I@3OAg8|B|p9;(elPTo2NPKdasZEfsz;(X;lAG$@4H;y5>3hl^_=kit zVq)~7{fHLAYQ5ioB+kGx!Na-_8kr8+;>hJCJomHkex}O%6F6?*pYHq)2YOLVJ-tLv zXhuO2A$Ct83$BV-WX#M+e?ZAj3;}8>7O)tRhsy7}xE{{&JSj z8ieZ;O%|{#JK`UDuZ3%?f20?=JF+g9+0S-C^f7p)@)j!fM!l7=#=L^@qvavF7}qOI z=IF&>3rhO~?a3qsB*+HU&71|-?bR={AqV3G>pEXNo3v}a;(X)PDq=iGpkjuscQ-be z0s4Xu&y3$k2CJe@!F!x1L?!pu;I;O&T2`1(6L0cK|?( zI%+L`jOx($0R)rHhOn!uk8|E$>(8GU=$m>Ui#NAGxOT958}{x-MC3c`xbs=BT9!BI zo9k%3X|v>QjO6Vdz>wkK@D~>+Wn;poD%1q1g8lmJRI(xr*T)7slI2wuT9y2CY8BuD zscCz~IQ@c%euK4!G-^N{=m~+rFFyKqw{B(?iP2xnEea5>4;RhOM;L{7$Di!v`?U!) zBwiTJx6Bew7o;T9iMpOhGWv7aE)51PWUg=y61FwYVkiqM0hakz$a3I=O?ABVP6Cz0 z!jI3y+=0~WTt$m`dE$9f*TilYMDMqS^_dwuFo<_jH3Y@l)9v zw)4=e+`(|IKA1C`U^(cObFWqX7fTbs<)kLY`x7fPk6(00K)7E4u!RtP*b89%u&BYZ zCRw@;Q&VeaE^M zM3(E$9*t(^ZL&f4pB+OGmL37Mq#%cK9gWLa?q8cmal2uS_-rrvuJu{}1X zguSI?9_F0EV4MXLplEK}`ne^89`=#KoXBTF9$cU`SXnlAPFE^N#LjCxV?5K+oz+2C zV2uI~muBG{K=945C}3IS5)}&dv)%SCA5zbJ8=zQmTWxTqWPB#R9)uEobj+x_he@v@4;xrNPc`hxj!DMXBM$pz$8u)F|k4mM!K zT&U5sOgZZ!Lvgr#CyTxrL_#DMfd71%>Y6#ZEv){dG4e^}@jxWf#ThCuS7fEV?c%%0 zo&d}eD?ve?cEw%_U0Jp(E12>o-n_0?%&GROFW&F?`tJ7w75b{Z#3)DQJxPuyaKqk% z@wCmvHV`}%uc;NAJ};$PDG1o#+&H3V#}P|bG=gP*_$FQe;+z&_etHCAY+GMc;uqkc z972eYNV+shNZKD&XgBD!YMjPt7Vh^VLDTGp&n3U|+hIzuH|kftRe+MQ>5ti`w<;J| z6FHxFT~CCzh_&Jytba~KG|0>w83zOV?H$AM4;1u{CTpq>ryuVxJyR3ff9o}W5h(p7 znobE63GwX_0W8~a9t-WTpcQ=QM*X-WV!Wsz1&81^dgTz zuZ*yHH223&^gCgD9=fklKx{Iz6Ci4`l7iu_uJ*Q>sgyH38o6nSEVqOu3t3={dR&3w zahfuKVM$McTp^+Q{V0TInrlL}ejG;3;WuVqxiPtAVVBs=ntJTRFz+j5S1?p+A2!HH{CS-GXV&yWrp?-$vcf1g7?Nk{Q zc%YKHeVKlZzXa>Kd!A?9u^k|Wkc{l^5^~`r-`_unnbvKf!t=iUChJ7}q{OBuVZ<*1 ziRK3`6IbsYb#ZHnDKj=_vLumGXRZ0>lW{PVWt5*^nZQ{&OT$^D@FkZ2AbOcW3rWr= zZw8n}PdRsXxxUjnA2fYunF%q=)_9KC+m+AJVT=rr)ke*&5piSPkvYhEDj3od(xIV-)toO{cB-hJV++Mfst~Y zGdzKeoww39x$_vGtBeuR{?BF4plEcPlZz`0xuU>w$Xb=?)}?MII`ctcFfH!hI4VgB z*IF=&D`+T9e0Sn?V%PJH8@q)^YIrnQ%t?Z~V-95cY1{dIO7L}kdiO1tWpD;ZDRfBv z3g0Jp3Y*AU&Iz3LD8ndUAln&_>i3q=XNJUxi@i)_)7G`7q5lBTXLdFAQ>}4A_b&mp zy#KFsPx$|G@r~!Jv|Z$?zwGJ>48*b(s&$Te{DNjV*3v${-W^E7o|`n;%V`(Ry{3Iv!bHNhTx+S~$_6KO#JwL3Iz%ld9z9B{ASqWfB=NxA6HSE4f&6 zofOdsaRUlu5}h~_oZ)QeJ0F^9i-q40 zU6-;1VMgY?+9$+KqO$0|h&m~2vsrS+p2xdogv=>~fF&wdak&=ath8$o z_zj?`$*YB1CkVYGwlWB3*h}PAu&MsY6tPUip58NinRJwz?^>9L=~QKvj}^|u$?~Bf zTUROj8Q`xt89p-E0Y?!}MNLf~+00Rzw?m!v2MW#*Zl1}?cp@J+R-{gGfo@u(0Uj9m z?cP%zp^Jaj1#%ym)%h__1R3zA0M)wxkEyc^%ChakHI1}@bjm|_cXvs*bUh&5T_WAx zAl)q`-O}CN4blz!_Wkzk*?)1yLFcY(t@Aj}(zel*I{=9^=^`HkO;FKYEwyM{Jzn7i zB;E+Jhs21TT6z^s4U8nF6NT+WE1FI7F08vo zu6aWM;!#sYP*1bqZ=@SP9R#8Vr7J)@E|qfY=yr}*Q*z$bD%WDJ^=^g=@IIq^jE4_M zo9sweRUk8zD!p?2{&Ek)6TY2@61yV{1!7=GYHZd!=Zbmc0&+tK@-BA4|k_tR6g7Wf4N@grD5Np#Q*qB*7@r=?b)5TJG|;h5<3bV?i6BGD5^^V9TWO8x_ugzv>%9hNTF1r)#- zPMH$?{-q=wT=BzYDQ}h!FC+J)i6QZ+!)LQcSPFk32ni=VX@PL)e!4&6W>qxoaHX=? z*#2z2f+91j3G(JVlj~gAO}%K?cBAS0^?ug$8%5bg?r>sI)drK>;V> zLI(E2y$THqpolMG0-kC-_RS^K+iL;>ldUmzzA)03idM-dYim=*iX^4~H7xSo{=M@A zDCH-H(|McthAoNLZMT7iri*FVgCjl1M=_ucbNv&iCxO!8Df~vI7t2oKSsfr#@gc6%iH1ro{RmFm@JO|tzQT6@!~jCvSacWLkBtl;>G=iIJ@^+AKV z$)RYNQ81pgN8sIlD!lMpy~0wH?V6_mko3|6o^LYyk8?l)Ux9AbZQiK)-iN5T9da=v z6wlsTG)^G~e61!4o9WuXU#|HfQsJ+ONyP+jAr8L_=f#g)Vh6Gl8EjP6S>k$2^DLv{y zP9j!_&RWA05H-}T9F~^(1u)XOBli_#9;|WM8R9la@h_@r1N~QI1fv)gnX%%m$&7lv z6T4t1l4hnMc20m-{L~V?uNJaPL5wMsUyyk>9GOVonVyskAm4g%y_ltApS~rqc{`db z#VsBB2*9e0OSr@bjri$ku&NJ)(!0rg$E`&kuWN=|nDJ7mcM6)K=NK|ni8dWKLr&$l z(If+zB>_q11HIbp<*kMRE8DQ5q6(4ZJ#KDHKKWV&AvoHok^rey^VrKP2xGuhghw9oPHe zIXg~89s#@vmOa`d6Gxi$qiCDjwZeJj1KLV*@5d~My$|n9e zl=uHX!__~3NBG-W+4M<6h`m?&`pno%d6hI?ZqaxhtJGT1qqff~J}%+<*;JR?cXQ%tN#FDIM z_#s#z;PskLiY_HJSR&?q=QP|8h&@v=Ale!-yhQJ~sP+3mXaT!t< zSC=Ng_uZDM9`AlxlakBs)&n2!IE)3g}dYVyj2sLhU5*15`E*q9m`a7 z+M>ToTnA#}qtTH-Cs7NNwi(|nDbGDyJeO5mML(n}n`Kq6Lbht1?vzL8ETH`TyY!1@ z6pg58Lsk$98aind5BNz90=*_dUM!nLO`wTY|5B4!Q&l`qpg~haIo z+5jg})LSz?OtV2;1-bNy+OZr>Ct`s-g}&(EY|jhf zZV3G6g$d%8s$!*0hy4rKCwD#IFauwUu-;VnDYid0n=8)n?DtPdz{)r!#OX0Pri?n2ngwe!ozn$v2BaC?W@nM$MXZy z&OL#Ctlj$*UY}MdgmPTUE?UpxU9zmd-ZUgsXipVGg>|muFo&8J>{@AopEPH~k|iM( zgqfqB(?Pn8)xDFp;b)cPwP(G7XW!JaAypc!QQT(M>bMs1t;GRA@y(Z%0)GA5sx+hu zDsjLc)xL7=97!*$C`T-%Tt_ol>9kbRr$Mr{9Vi%e77$N=t^#}IL|jw!za4Ip3d8Ojynb!M@g4Av zdL+1R*{0WQvP1YA0Qs>(>P^Wk$IKrFnnJ6Z9#H**N3$ZBdF@OwgjhfOs+H^OdEZS9 zPLk^Pc2HC-%n=Bk(NuG4MeHV;PT|QV)4_ogcjwrfu7e{#<&;Y{d^0(S-|LIAlFq*gkkK{g&zPH`K)80CnxK?d@$cryeIzn-1(i zgHsLD{v5QgHQGB7JO$ruX%bw#0N@^VcHI&Vfn|peIgFeJEK_@@%r5_7Nf^d^BXpg>!SEI`=$^IO z>?h?4XA8=k&>E(Z7aU*mMQ@Eh0R@QtnWnZ=#nL-5?5u25&dWuMX^CmgjRZ4?)0b+*15P~N=}#yXB#yhPk(XhaEyBvr*dbk(3K zbZW>>+5jy`FI@Tn!rg%NjU{tnxI!|NZPJ9n7`Th;niQ>gd@~+2X4sv+7L$(7c^V;&i%FlaWb*BV#c6YJs)m(x zJ7>I#gP;L;Tsn8;_mMYTZs&jXwO1#`LZ6z(_@-2`nA^N^*6nj2H}%pyTtWa%mf+L< z1=CZF=<*0i5zfiy|S4pWow|?ijxYP&S;%#+GVBhkU;Ifl?xn@; zd?ab?+5EgG{6%h0UM@Lyt~Hy`k?HKUXm{p(Q(?>5qtOTs(`{_zgHVhInST^(L=uQ_NWp)P<4s=QA@%& zwcucX27mnE@~ZX%jW!0!p?{9ZL@KIBid>$9EY~h$Sw)!@A@(Yzdl<44IQ_G&g`XCD z`cal==V+=3snS6YD#V{#Y2DdkvBD4xX9eaZRR>~hd|w?4FxJ<@OO|j9wtueknm+4G zrM^;>L=ngQljV7m``c*$CQMsj_-K1U2CP&Avg#SFb@B>l`I-&47Q@uufw5eN7Vhd3eu$cywF*w zCd5p3-5sXs;Z&COMUfGJUZ4U8^=f746X)Ald~6zYd%(gj>hUe7KAX9VNg{8UQpD<( zR#`z4^tm4xv3$ZI>ErPb1`r!HYE9I+MPY6SI6!cS?l-OAA9VVYR(N=bjpD?Vzj%X$ zO@=j6t?=#8K|{vPkKBnpyFcARxW{bBZ6P%u6PU~<{;?nrmNKM3m_s%X)Y6&=oN)MY+O0yMovwPWy{ z--W4S;65|R8eij}MfQIcFEG8jny#^i=z>)}D z%@egpY0a6Mcc!`V=N5QwSK`r3WO@DLvJ}+?_=Z10)A(7#$LPT;AG%@QWi;l`G>z>& z2|_=~VM{O)T2>|uLzcSb2-;t(K%}AKF#dGHp~&;N=uo>jqu6nDb`rr!3TR$%+vuIM zpxfL<8Fa~*D%6%My?FGoYPM!h@T3a-a`@D+?LS)&jBU6liR0pekT?&3#4Gzcv6`O@ zR_=V7VC(HU#e$pN65{yE-SovK=h@e13Nhd(R&N5C3o}kkPn!TQXi)^E;(8JsF@N(_ zS|RWvNDi09J#>`ny)rcTZ5AmTp1~ppA^1el>BhQz)F_2Sr`Lf`fR6M-l^0sRCLe{P z(ZR)68K12N(&jUs$aR~<6F#@o4=8(6SCO0u}0KZ49J^)$e96tnMW@?-4q4HBLv?yB^ba z8AO=t7_llqnuv+@3F~r7^HOJDdlY=ZO}0EPOBOOg)S%8P{0|qbPL>=^c=UWTJ;>aF z3rRfOzd`+1#i%KlpE0Ni2r`w(!Lz!|^YX}%gpV&T`yeQcB*E+EY)F0HKLDn>H=!5F z;xUP>W#g_#T1a%RL;?(W#P8qE{1Zpz!Zuh9qIi6uKR*+P?I>M&EFbU~TSL6gKc-Kg zzZ(<*K>ZYQfm$T3#GWS5XA+}M%@iljHL5A~d(f^mlFAWqv9b$yo~9|t;{VoEg;vnMFFQeUyJca zNq~ptCCu|(Z=5wqkBo3J&V~9A=K#UEDtZVaSAD-N$)Z)$4BKVQN}~HXp`cbuqb25~0$`*ZB3-$JZBl;2^mtbN zS9`fYe}pNT%>8dfw#uZ3Hs3(-x?a2ItvITpyqpB$&^8>omu9sp!Jg3eCRcbM#>o6h z(U`I+rzc4|Il&;e7uno3A~FuW2uivgq>4i7cU&)=0tXnM=uGjzz7|l_ zeC$+DVTsI12xP3BR3w%^1)ocG4ku0nty&Lw_JAS+2Xy=fT`<_!ood>q<8n+bJlqXJ zmpP&C9l#qPkC-pI6Usj*i^=#Q?P(kQY?fNW5a&6bncrcLP9{oB3KB#ou(Vmotp(&e zoA%;bT5@n{Q8Nd*w*A8oiB$&8hLAlMYf|Q-WSnu{`c1Ax0AvAXoC_hPV?p>T&k1FJfL29}Ecdt5C3oj*PN06#NVd-66%IvdKM<|I`>7DHTWfMrPDIWa-vZl{e$4v<#06aBohyd9VR0^!@iSX0CO-DEI& z=W4Hf`{PT|o)5IjwhW;F7vxgf)`zyOpqb+6i0kx_kYetMP6s2nFEc71wf$Qe?`y=s zR7E#g;T~e+gj|=$eu_64=6EZy|bU*l|{F?g1hxiK0F9e*x^Gz%mwEH5ltKS|(v|K** z)0`pkaTl{>EHmiu2^U3v=Ow<+e~gF>VD=iTT1ZAD%{sos(kxKQhp%;uOxK1Q=r>%7f5Ri4ekLPgxgE1oo-d^=kh zbud9bA6N!8?C^JT@)8|F~wYz|}47&p$|KFIZs#iEaS*0z1QT zxS&z%l2p(g2#*8L-oCs2-L?!lvVFzHzxB~U$0@gFtpnbsBiASBVVQK>PP0l6%lBp< zkEV$Z5BRM(%%RAbJW1E6AS98n^WmZG* zN^z#w0{6IZP8xc*bou(U)A@_acO?&&ULhwQw3FrK#$qyG0c9sc>b&^LBRRio0`HEv83ibzj~T}*?6dril5E*Su!^W;>hfO*934C*Avmt1(5 zR$bgKoe(2@aix1w=_~acsG&^5XSkdRy_%R$1X2YDbI4-%jebbT$=RGW{eJF3+4cMv7qUK8o)CVK{;CIw`j! zL@ukRFUsv}wFwsY`51>T!VBkrg^YrzX5VPORrjYD3<1(EQ)=7ao|9<;*ddL!Vye8Y zA7UL(-G4mjiP(xGyIM1gi19`^yw6z>ZaLrmX?DaMkYiU)aH(_VoXT?;oLXYwco1wn zJjyp}2SXnr#5&&Fnwr-OX(D4Y=!Gi|Crbbjnqg536wmCsPisV~$aVL@Fw^0wqhUSG zRd1gCN5*93QO2_*o5<;uTyv9wp@5v zTw-9P_b_RVr8E34uZKmHI`DC3CG^x859UFJuP{1ELN)YG|5g>mQHlf$>`^?hGrMOZr2k zvTyGKRDC>|{o{MjyHD9f^ARyIcfW{w~%uJF9+H}D9K}~_ki*Sx_mSzCPs)RaG>GD@cy{r*ZaBz^BGqH95S}=&6oO% zUF-3>e86}%Iu5?qFz`j8OHMk}JvZ@%dR?aLau&SqWCfYY0DnIYtUivi0h2jZPG;FjgUIe<4S`52^Q@iEH-fPOe(Rz%B z0i)te=)L7mq0S%DCA!sqq;ES^GL!yr>?C~73uO260zY(}2WR8*C0wm_S{YFpr$hn* zscg>$zfYAR4>3pw3pBiwW{VH@Zfudk-JJn&bVh^!TBCsTcsqR4tJGwD!?qPjST^Y* zru|yN`LL&s^71&xvwLD4A&7iOiPfUzwX_xVMhD)-gm14K??266fv}4% zS`a(fH^Vje{a%*YcRbbRLfi}IU-IiH(vjhBu&#;EIib#b36u&dNwoh;(~oLxt!zMs z{T_AMi7Y<(i1erUalLimBY-CZYmWQ@vcz>0*Dj*eZ`Gn}_#Dc~^JCkkY#+~D@GKW> zjn-7YsF}R$@pe`aa>2@IC`fbfe8_T0peT)ippp6_{ApUO{1qEKh z-gKzevX^Z{3^qUX;X8t`3NQaDA#?1wjraMt^`9&XRk}dJ9kqE@6YEch;85p)7aA#R zt1$n|(mCdsYff9w!cUBaeGIb{+rdZmVa8APL^Hhw^36|rg&S`Ug!MK4LhU4XpZ!RN zSD;iR&1mn~J5m(c+mf4o-#-f>ADM*PR-)AC_9Ct?pr;~z&GXpl1quj zWf~edHAoP4Au`7FCizPwsKQ$9QheQV(}9UByhGaAK#7ePNmR`1 zXMUtt_Aw&&0R~$)mv86sQdIe&?z%i4*d~5>q#@gq&L7qr4FNqrzj+i7VL;-sw$`#_ zkK)}}>j#(1zST=ipl6T1KrENp;-GUgp{Hm=xPhz+R6#j zHGx4hkSIK{v!9$rUWy?@cfIAEEgI{hx~cGNure9JhgRkcHfa6)ZvfZMNbu`szU&yf z)_&gFdl>zMRx_yr8TcJs^vULvDMYFi#?lu{No+uRFE+zRtx1w2@Dyq5O{c) z(6PN1k2 z>7VRNrvU>Cc#}2ACK>%KjY^DF>RW3;I_sBH&Lx}k(;$A@DGrP0eCzYDm~jGvYO3b6 zOJC^EC_o>;#OTGkXIR_v8S;II8*mdeOauuvEfQ8ixI~!k)y8{mu<JqWbBGhZXC%rbBLC1PTky@&cqY-)Z>nDJLJq4LMlFv0R&9 zA22I$S%?wXQ8~X?<+`XR4N#>il%QSuF2s6Me6+%*mz)bvQmPe&wP4bTeBir}^}c|- z;Yi8HZy3AI`*K0S$bwFDqmMFQi7D|0NO==Km4c}buh<#KJidjtd%&08i`FX0!OzLm zm>*bv!AQr0c=QTL908(fYGn%?%;IT?vTzG5LN3Ca%50m-NFR3eN<)Jx_DKqW7i?cA@prr`61;!g3n}*Me4SZ5t1XOhL5|(`=~+lvR@t;2qQDfE z`n8HDe16Xc&~i-SXq3E58@KQ5g%Ri{6zZLkf|Ok5;mH%jq3E&NU|idD7?30sI~`+~EKM>_ zJP})H^`bkoFKEaMU4<%8lbCh+$#bL&Q**ikSliuoqtB}jr(1ypDn?ask=hZgRN$8h zC>p%O!%cus0D5s;PhnETu)EISn`;g}{(ha*fmFFW3zVaU0A4ccaaOHvhaV1Re!cC) zZ+Z{l1I2_%PqsI@kSe^-Tk)5iH%ewh_RI~#wX31#X00?PJoTi)G-owPT%3nHsT?F%Zq}IO z@Q=K9O&R-}6$4~JS{TxVLyqr1k&wV%mcs_`B;g{FAgxvJ$P?kyw9eMa3YT5jwRvly zPqo-!I0^(|?j3)-i7(E&udi{%zNdO>cSF%2`fF|_1YVc&xO|!%j0F9G)_v|NzAvn?(%^@+Rm~&8C zh|V|8+?h8a&qaX1*u|glNPj&#?f%Wu*|xq1{CJ(({W+C!jc%M{By0j0y(LvAi+U@@ znM5z+vLR@6wIz2NEO!qW;1lrOG5hWL)_+cTCpitBtOx&)0{k?1p^s>>R~&~|JV7xS zwU-HOf=*pf<4W<~<0L_X?7nZ$22+RsZV>0}w+e5b*)k0x0*wk$&p%>)Yls6n3^ucQ zY`nlI?GQLPT>;@-1h!b$0dy8@&PiNdC}|m1eOf&dOcJy)EW)gYJc!%*F@qm!@nPX+ zoXLCAlI4uB9S>UPg9;cQS~YfV1-$neuOHd&f!r@Nw`#YAdUIJuO1 zr3$Q-bg+@s;=_ttZhnWjDM|9)qBiHyH7myI>){K(R2AT@^z;@O5=0QWuZgc_GJs5y z8NeVl(8vvTgEK@gIMlljA4b1lej2MRrbCN>H zi()7ZVK$}U=f^|FnNK0J;p7JusRC#S>zc8r1f&5TxP~;4cFvlh?A_nw@vZX}T%vP2 z91>MCpIaEScg0Xo^M#Cf%aR$W{N>LIM?Si7!5qbq8Jhos%;B?EF_Y27sB zr{P&*{&@jVS0)yyM1flIrP_`9T$Yq&swCpTP*N~>AH=~<7+kKAFL`@REybEWkm(VM z&>Zw@^O>0pubHxv$aP`u zmM7BJD->L&uhBYlRzFV%&49VKvrjMsU(|${O-IKnSgH691Z@}5TOF1y_-&zrLZR(A zq4;yp8V=sVg<<|3?QE`#T5>jUmWx>-y>6r999O|4`T$LdGkv?|?6V(5YCWw_GoXOV zS;%R`I`>R^BFWsS#79^W?#K3x&HOVx=|}AtGNa$)(sf>S`e0ylpUS;zp5+vygojUn6@fK|TpqM28M@zFTH=Fv z?x&|Wsno1Ikm}}c&l`6vacsi zf5h{J&fuz)n8;q6D%Z5LW?7@<-Q;4pAc|G_{=GJU#NB34U<^hf{i}R$4q#;@zQMkTCH6vD;;DDlSe&N2%f;oOaVrE}$U& zlSV=8xL1n1!$~OEnZ!Ris$(#d#c9i795ZHVgfe45Se}}Sve-Gi@V<|7NPb&cWNNX) z7XGR6B7|{_Pef6Vz^(07=?}zg;wMuniO$K84OzS`aid|dhBf)sbbh!^l0>&Y)`~qG zOEI^*`=asqYN^FWtw2%is!R7HS!ec!W;mM)EqJ>Ln0fcGLk`wfg0s?p!w84DI`?j~ zVD7%?Hi5r`ICR!VZzs#t(KP*Yq3mi_XOhMlrO(N*S$K*1Te&Eb# z+!`#}0G_nyAi(S=7m(;7Av{b8TsGE_{`)F^sOc$C1*QbM-vr2mfCU=<0>eGhpA#5xNaaRCo%)j z1fM<{%$34lCp}UB?TGHmPsUx!s@Hv$tMk($t`#HN#m!u!p%yKnDCsV+ao?}Zb|O2rU{WeECGOG^)Q6Y)(YW*J#l z$`c7eeqN{oaWO*jaoUJLqrO~ig(wlQz-=8c%KGE)1j#}WCJ#19MoVSV;?lwUnze$H zj^}<>7l$MQK-3SxKGw$fcx#Oe)3h%U9C3S?{!*^vseIO-1dG6&MQ*iLE3UhRMW6>y zQpzX-CZEUs@@faucS!#6KYcDjena>}<2jWb7Kn7cU#M@8#Jl2DQ~zE=K-wn)q}|~% z7IwfZ1jPG|jJSx}Hn18a$;=8qd2X;o%MsXjte*QFWpVqM52T z3yhCyjQ-xKF2}_yYLZW96Jo$QsF)$T~+=Lg|0dq(cK$S5e2m3me$ zt3|MP-^N9T`!T~i5(6h**Sbk0`tC&GD5k+33q0u{D@Y%1yQVJ5Ti^sfF7KRo?iKxc z;+l}05Bo#`$a?)<1ZpQAi7jJX$_Q_gZ~sUD+(PSp2T(U^lmXM2!7};@+X_u)v-KHF z?{Ls(xmv@0iwsLB5N0Knxap35P(fZ|J@l5$n=O>E82!g{ynH@hf^KRbu_p#Ltes`A ztr~(3i9ZX*lxE>wPdHJY0s!%V{|!B?NnaUHN)RAXQ+sAwiCjIiL@6}uy6dC)^_ZJ< zx{$edJUqAYpPq^98j;OW#evq@}Hl+TLzPAOkc+5h~6uCynB?QQ+7;Qj0waw?v`CICR zHRfS|+{cTe-U)9T#V^6u2&`3FW^wXv+XxoF2%T7dJ6yqG7DN~eR8337l5w6#~lwvc(h3yO? z33=t8*6XyLw9-k`-8rJ72LG7YyxXuX+O3OpwtTf;@_-Of6EXH`w1jV33n^HS-Y;6? z+6ZfpKC^!#&UTN_4pWt4nEXBtfxL^iR*zSw>wRE zs#O#iJZF`M4DXe}MY8247AwNPx;P2+)Va6m>=cuZcVm^Nc-KhiVWQ+99Ww$AGxcWs z`=qRl*b&L}afbG{Rto`3o+i9ssWt^K5AalrhJQcix#|&4PKkB6LD-Vi_blc-N&98^ zY+z6+WC3p0C5({6H9#{qzrqY0QHkH0K4m|}Ujb7uHHn?nq=YY4b5LSW_hQB7Uuune zlwgR8TRMFqw=b@fy?x%mVKzm53Am|$1i6$CDq)m{v?+L=LGUn}r{miPs-~I@+a}h5 zI|g%DB6|?-BU-@NY1oJT0bhs zQ^I%cX8ZN~K^@Aq&vxOcSQxPv57}7v*RF(7p|lipeP^7Gryupo*m}=y+qn`qKwHXX zuTr7z68J6ys1;<5bzJB7*4;N@FM4(3y3FT~|MI%~6) z7Djp~Kwa&*I%Y=V=A;znXy1P!Gb(%J1d=9X6F3WgoslVLgL*Me*Fy??%h1z_k2?CP1aMilec}A7Y&J6^L9S^QsI89 zH>VTqy*)7*#8%xcDj?gPCz9VI<-d6;YB=89oW=sKQXg#GwaQ{sY1^qAtIXvZSjDRK zV4>FmcvqEywdes$w{+83fPKzt!Vwl)aJ!hpWXvWeQ(ZRr9g0d$cPTj1z4dyRfGk_r zwD&ZIu`1=xahWfSBp0VWrdidm>2iYP?r^m`)J))>@RSyuRrED1hEuIhY9ceJ=4b04 za$i%?9g~bp@aaNnAx*bE!1}NhYvnK`4MAlZ+~DxUpTEpd1h=Hkkg=m+ez(HL@mgyLj#Xv3$3C=eq zK5R|StMbG7B0j*p7Cgs z9O~Q8(7^@#C8h4FCKxUV zV&iSn_`%*!H?7wY%fGFr((d{pU;Vlvx4)c5yeWFz2l*<#C*Bv9tPgo9!rq^9SB0^-_l@ETAIOm2F^fI8pp!76cPAN4 z&H0JHv*N@^Lq9s0FjzY4T5+s>Vc!P4P;&CWf0urWBs2fA<6~KIwV`!&sD`UZ&$Y=| zb;=!DyXF-ZSNE@~qfu#xCRPR3-&(64yD_dN(FbS{q_Spl`}?639Kur%I}&?#1AOjq zS_KEfqmuHmAsL~Oh}d+be{en>Z8DoNH!Ug#y(r_(&d&D9)YxY6F8*@JS0TZU2Gnqu zmMs%XO)6LpltAbTl>|g{aH3Wj{9jpMx8CtwNMyVYs>*N5)-NUM_@Gpf=Zu z9qZT&R|@XGPyuo^+W3_QOlh!qhAojZFZHUnkBH2fyabj9dLNwzAn{EoWwDWmqK5^s(iVY$ugJo7f78MKn zFze=QcA})=Bpk8I^8!5Sx(@%odIc?siAwPvs)g#J3*8j)U+GU>%J;O&Ihs{dVq^j# zImPA0bmN`C_Gnx>EpJ#_n+R*fI~;3PG1@Ufe8-5?LLHw^NGt@9?;FIIDq5AGZHIm> ztQ&jm$9+2Nuz?4$B%_5Df5GqmbnF*zNI`cAUHVKKgwP3BrR)e)@A?L zZ-OlF76h4L0f;$`pRnZchC~IS8qEiucv=DkCjpy{(5pjYWmo+MOo@Y|+YlD3W}4qk zdNJdbQIq96a4cjU;ZI4V*vr3PEPg}_;f6yc<`1(>szTsc+nZ9*5s+$kdmiLOCU!R56lo(mzax_w>B%(!%Ol0LmROFiomAViHUe!6J4L~ zj-b)p+&&5VB71!+#+DMMVY9U%(#(F6Vl>@*iC# zKvAB~y}&o8TjRiH?Rcs>TUJpod%pbz>2jX`^c3Q@Sq!gYP`j;M?lQYpP~OyAGz_*y zt|N68PNMHbJP%GaqpGUvuoTM1)u^90Dh>OiE>p|Tl(G)CF_Z~rsc_*N4K6#b&ed5<~x_;WcI{|=&0!QV2A z6we1A&d-;qb?D$H?KU9)JQenC^lH7;;vPrFY0`cHJ|UiQ*i`V}6XLEKLLZB1K@>uq9pFpJP7c4MMw5R9 zJ5cJg1e@~5?`fG&gVJKna$w>E!ZVW>{{lB96)Vn&K7C;AHTj4s;osn_ILy+lxt$`F zHSkrFy0ee5H&lE{&hK(fIfB)BS=aEq4(Zo)juKHvbRn<)<{@cAkJD9(!?;t;AX5c6 zE8vB>`#Z%PKy0G-=E|IXB;sMUeNA{6viARsjw0vyy*;vBDEw=L&z5%Tx&Gs?o^6+X zUkvn+)!JUkdkDQ`a|c?;(+^qGObl6*XY@}l%Yc?9LXRVWfA?4(s6`M<@@Cy?zV!N& zw1=$2vA&Q~2S!8kK!@q9ySVl;Xl)#2zzJK$M;%g*AYbun8k4KWp zfO5T)3Daxy*&>$ODp8+9YVp6f(Zq|~ih9oY6)T2B@F(o7M5_{m%1z%~99Rvc|4mN* z5pVx;Na-rooYuc)!8{&DNO}e_PuD|Wv)rPp=$gOwEZG^hY+?fAaCtGNQE?9=3VgHN`X$l%7T%}zh#){?8q&-txHyZ1ycy8o9f z0zUl1q36+ryhA{Kw6Uzo*+ZAD_4e=CB>NK?-vKxCN3%ok;-W?fG!ntQ&US5d(!IZN z4;Owy!o9&RMs-^g&?>S(ts?CHqz6mt_)2<-a(npbYbZLXU*_?PoQNc3Jajy|D=qS8 zFHw891-;c3H?(`SAEe7<$Z=A=n;l2^8eez<41T8@I+L)SDm4vO3Ur4G5C>5Iro5Si z=66T=-T4kF>bil$6H(7uBoz6PPfs~m5)wC=v>%olhlgitvBgTD<3Xi1>E?8e0EdZ3 z?tP=6kD^Z`V|*6t$on-1?JY-^$ai-t2@Sw#Ub)L%TOWix z6s)@j>T!Nj!V58c)qn&qPU?K-(MwrC9BQYhJ4xUlb`@&utwsGwKV(jyZeC5;Eam_h&tjIoO-eveFnwysxbaot?;*^$ySb254ZGZy4?5Nvp zUS8g6I2A^1vNx{N`+Bn(N>f#W(QETk|G1v=FsgkaM&Ze|R$L*Y@4)xm_S~%7e%8NI zwKu#fcC}`#euHi^ z5-5>(f91_exH9xT{MhmBDG8W6UYV|fvSU-S0<&7(07`ya>e@mGh0i=new%`_FDCpZ z2;YS2bMiRjkaN*g2JhGJ3o?^|H1*c{#promXO=$nDsH> zW*HA30>eUr33){MuMwc^*88Nl@mAf40}JQyf;49q-6OrRw^*(hJx~`ZbxeCr2}*&+ zW1gAC%9S6k2EM>`aU|&1Xg9NTm{>^hPX_b;veC($J`TfmPl(ku`gu&(n=osSv z@EKdUP_`IvNL38x7yyKAvZ zps;hy_koy70SK3CRtv%c1Y>}hR9KE`dt&T|AU`;mDdo)Zfl!I_$Q81^6EZi|Xa z=9CanxeHXS9?rOwtz!t;U(4z`-_ODxF|T>I<4&7;1E9ED$*-jv!Ggbv*brBs(iX5_ zp*JtMPSH)kL1#M8z%apABr_`>2oAq7E?fz`_-&^lr?RW8F!%}pk}T}66wlmPE1YQ; z$pcbp>tOw1S5Y!l?ygOl{Tx6BarkTosfoRWC)a*|AqrLCoaAI(GUscdNF1c2PA62q z!R%*5N-+{0LoCp<+TxUid>chz!WFo4xJn`q-j(Tt2Ch+l`y1-4jd1_O-jI=w=`wXX zty({*GiXBoLXt~z+fLO%1$*a$dy&0D;bEtPedBl>x^Gjo(aHO*Gy)$KvG4}=mNf_rgyFYXQv1a~X$#jT~dySux)-t&HU+;PXqpX5g}&dEM|uQlgf zbCOXVw;WyeKeC?oYUTArMn?mh9i}uGEF<`=4^qUW;m1Ce2`Kwq^Ev)QKF=%e3I*u+ zLA7ik?$MTnH)b5b3Pmi(w?3sC+7llSzAWAtt;I&5DYY&549nOsfgUoq4BF?eKEUx4WOde{pTv)-W%kdsM`9Y zJvH_B^3On$v862~p)9Ps);~3yznuLg>DP=Y*j@rK7`L08KFX_+enKCS2AWpTdVLj$7%B}9BWIkd$*+R@n(Pz z*j|gn+}x z&NI6Pxxzu3z_~IZQ5I9s-RqE1o0MAH54`m138O*V&(^D&JyT`pyS(iKq5!PB>h#X2 z`RXSnAJgLR!1;EHFvtj&oF;qq{NC?gJJI(8W*=Y!YM0bq*`gA)GS(YD?@XC=0@$+> z6FA7Tv`@$RJxMxMFy8U_ZErs_bahw* zMemWFjA|5bG;OpTT{c;m=(WK6fI~}L2Dh{WZ*3ApwvFa&y2EERdq4Uf+OtjP)T8B^ zH0Y1dZ;Fu7{TfZ5z+iyWugs|Z{6A67|L@ohxU9wkLxCR>Z&93kzh#TOf!tHZF39EF ztV;eg07gM#*E`x+e_kjMP!JtO_ytpa_V|eN_LD`F9g#*Df*_r2!EQIM$nL(=D*Jh| z3QYIXDHj%xA1sT>$xb4HE$KguTzI;^evv|e5m;6PutH|!0@O*gp|p?Jv=4OKJ=@u2 zTu8zDU6xo-zfd;J`^|`by{O|0Ea8V!By*Kg?s_KZTe?_nqY*MdBlJzqdUGg#R~N(5 z=YtcKa=}MPQ`I{_$It53c@4}`7+q4SV3%74fU(><2$l}epCjt zp@gXL);1grNu_P`lKJ;QxU$=4;GY6RG!kx`ZX zJk(3NQ+hcfq1wR|g{%M=dJN>BE;ZWBHR-dzlngqSD2gQxt>OFz7^`_qF202l+WmCR zm8t@!;bcKG_PC!$v|!&(dHH$Y4dNE(=>%UCY32ZfZz^x8xgh9FlAGm91@xcse)=7p z#3p|t8B1puXD3rDyw~D!^9R3)sB;VcMUQ}P2I15wkHppzsRk1I3fkY{3?wDNAE2ZJ z(T$-7V>4qa?9d6P>s>}s_Bztj4MZFMA~j0?UzYbDHU32a+6n&uLf;VsHk6mY8h&ua z<>tat7QXw{T`Qj9 z;oq>hZb-Oh=B$p|ZkYp$=Bjgx@I5QT!md^#K@kzOkkhu0v}Z3IPRtTi;ndU*lD8f+ zk)ET=wJm0&Bkcm0Blaq?H$^R4${OlL zgQ zIj`y_3u+)uhMag52pZvUuX}Fs1p2Dw8nY<{QJ6VUGE*C}_Me|L$!u_){4E%~@ZF`@ z%_#>Zu<`}HeqkL`U+4|}<;D8A@gH47TKk|gXXmyUrL3^KiJh|}{-EB;-2z_E@vFBQ z9mjpVP={ksnX{EArH&h3H??QOW=G1I(%k~+@ZmLG_cQF!I=eO0e?^pK6 zcM|tzmBT6LV|I{9pDxqy#X%+_IIy@K!chrZwEx?|yxP#|_&`)iEiFcWL<^qK!r`*5 z`G-P9BsVofvkm6s2zbRAPr?sESHV3ICVO*bC_UGEVO9$V?gz3qhJj=^AGN0*Us+6H zwNE?@4;PS!N(QTWztC;Q{&I*iV!D<_a#Aa^%3#e)=|}Z_Iv!3_Mwg z-`r>b{p-^&2W*mFmN1F{Bs`YLB()pY7sxC7$#~&QeS8q=O|GYa4Qz7OwLLrCOKD^W z#wwLrQ?|m};1|@dl;4ekcpJHW+)~o`B%rxf2olijPq8oy#CD?T(rF7m`*e%$vds-; zBE~^GVl;b=`~VIPhp*fOnFM+iyE8x2!JxsD^~ZDmaGL$FnAqMPrnK%-o=Pqc%`iA+ zt@7mLyFnCKjWvM^6>&{4>jz2B@ZZjVK6ZXTqH2-8ww$Yx(@rm>1xve7fv5~JhBg^mi1?Er<`Q(Ed5$}(lxj$kL!lmxY;Cncu?;L5^xLw2I-TFvtmmxC@S{J$ zYMkj9^?oB8a8ehuIm}ht5S7%%#f8HWLn*F?RPzb71_>llJ1b=Syuu-LLH#V%_I;3` zbS`izj0s3W3QKj?CkH%H$hV2vprHrFsuc(kwdWH+Sd-6I=vAZpQBHMv_Xm6DRgZt) z$oEp;Ph{8{j@GC;W>R3#A?-@!J7Y^~T3_i!9qc+~i5gjjFXXn!es!T&#mJ+IfoLW} zQi?#(9gKk$(=UbG_5#3rctT{M#G-hcS}s%Ak@$-9M!d~0t12X@+PC)M^w}?J8{?ad z?9W(6XZ+!(FI$?-8|)vEqfW75>>PNS^LB1gE4Sd9%{HkL=8lGN)ETW(P^s5!(c2i( zMT#G^RJd%19Uu39y{wm^)6IVdeT^k)Ht4ykE@>N*Oy+Y+L~qgKX=<#Z$n}RRY!Bd6 znZ)yBZa(i3B@){uL7H5Lp$p!)j*lTCzFk(ZasQy2{NwTekX_nqH3ezV{7y}XHDgKlwY_W=2_ji zJIC@>n5lJm5RuC_&PdC_0gVtD^k-wx-p}uTgvC)nh40hA12g5{&B1eNai6ka+YF58wDT~SYmITD_=$w*j|MTd5yOqkfp1NcDyJGeYsrLn2$O}JagE;_(Lw83r z7|5V8q)1tz?Z0`3dtjTr<9^Ww7S^UwB$03M(2Rw@{5{w8JQJtbPT4XchhoNjFYD1z ziexpqr>6&Yi0U8)T+CwM?lGmih@0!_xuzpw-Fy=faeHUV9cDeso~mohsi2i#<$a%# z|B55w$v(Ki4bOl`V|kl}5HmNg^>RDPabe+K*D_)7o>`OE?Gu+z&#Gq5N20N)!c9vv zf8Q>vjI8}|KKlH~5OhjmWZ<|XxKIZjG2*)j>Mbbk^Eks#a^26J=Rr*fgI@`rNWZJn zAr^7@h)Pr(1|%f>WnonWIg{{uA-f#Rs)L}I%qZkbnFkI?i%At=)lP+ij)#wc%8p`T zn|fopt;+U9Y+SbnaTfKU6n4jp3=>AH_F4zQDUWEt(y2h&+}{9sou2e|#B(YkBJOly zzzw1Xl)HYo;~(A;qZS63Ic;3|2lmB8{Id~|{7lNJ5e~W6#lk1p#Z5C+1&z;`S1)@VWsR5Z^wNQCPv(-!0HOIuJ+QEM|9bG9eoi^uyfjhuyj*yh;mGp;cpsSYHdT76-o*}DHwYUT*aXLivw>UpDXmf>d#x5%5+vb1DSN3irkd~7AluTjPT$h{NVoG$wtt}iza zfX$qyrddlZ$=RO8502p!U4r`36865MxfCO+7VNvD}aJ@V%P%rtWAt>n$MJ_V8Vd20!e-&rCLayQAtvqx}vI#@d+kSIRKsX;ucHyNvn{AO@Ixj6>)LDn zmDxD4fRWTr7suPMEQjVP=0j(eV;On*<a z(Kj&cU)e!QEzbq_4vjggrat5aPoZ5l?&x>nU$AxottbdCkAd^ZxdwVrL zAu`S7dT}I8^$*ua5|fj}eB>C7jjueMHggcxKgj?hA6reaygs+De3!WOXIDiy!#KVp zo`~JNZA!O8%2}XWCh_MVN&_VrWYqZL)s6*=j?cYE8kMr?2l>JZs%88y1`Il&d2>U9 zW(i3^WU+TxbU_#)^W1lTaBYm^K3_R?gwt)(K&HAwrY$$_*ii**?R%F0nX#2?n1Unkk%Qr#;mn zqu!WRaM2Q*-Qh`^$^$*B`gU{wekG^{zn0zArVArZibRf3=mQ#o%;ep^#i?kURvoYx zD;JXA-RS1S1Q)7p+c1SvkNMRryo5m8C%O@3G045G5+5ses*QCrBpM%Jfeh5~vc@!s z(S*K*yh42x&=`_(bh-|kpuwr6C8W3Z9pC+4GFk>|?MCYbj*K%VfExf5_Xf@{$73tr z>n5gDbLWi5x~XF;!MnqaQt@YgJEzL2y^I|f;c(7TL@_Z+cHXRvsWRP9RK+YZro;br zwIM;ieEw@%Us_U-xAj%FVXy;h++=~=TC=hL5SE|J9Vx#~KJOI3S5>vn_Sm&)E1@@%s zjX0q{JxZTek2q9iedCH>Xs5lgsrE%+n)|7RUc=HTk{f}F;Drz5LzIe2Q(9FMi6W}= z?D(+6va{%X;(`aSYhqgr2^TPEh8$tPK@7GjPNXD!z zyv=ShCIBK+TQPTmN+nY;oJLa<*;Il16CO4?-R%*^X%RVnfQc{+DtVqtD3)!!UQ^(n z0`d47Id^!3r=JT<3d|MPy)E|fM{e^ViYy#PrvR(5*CZu($mN&mp)SxPE{DzGGin!5 zk5iREJ?oh@0*sTi!>U|*F6bteA}a9mbP}LE7o9F;_`)9w+8L?4T9{I;U|V>85IfSc z^oBPD#rg>hDqW^rE|fxNl2gZz@3-GAa5L#dfEPq1_&ma(Ri7E62a5+#TEPN8kPYH+ zhP*`E{u9SSi48=*9Mg|-nHR0wP%ofzGzx&#frZBL1*DL}arXHvn6x79h}?eKPZ9}C zalXUG^KrdCoC+QZk%Qz{M_*pY?+%8p8)mnNp`K4A@eWueR;mmXpIRj`OQw%fbcvk( zTQFhRTezT=T#I_ow!+)WB1qRP_Db*ea_DFKAYqY%}yG z0j$Q(}L zA$@s!RWT8P;r{sH%7uG#C{@CquMrp$#UoqUw@um9Ra6;xOHL|!k+aUEy#40f#cAd3 z7OGJYA;F*Z2B(eZXbO3P6G1AZWZ%R}W$snaZ`jeqx^XygipL{xWz6E4RhF)Xplud9 zkZ9Rt8WMMZdhMLCu=R{T?@6=3wHlE5^>?*XKY0)V5p8IJmwCwr+2fKyF{$^h2KgLo zPN2}tx&Psf#MR>AmA3xF3%Lm)7D=9)G~2x!2Lpp0-GH>3WsMleMGa4Gr91iGIXS_9 zrVH8(p*3F5zE`5$N#SsLb-4I!6;g?i#D9jBWk=)3ZsG7_74QJ}R|lh0j4iF^Ki{vZ ze?tkTTiHMbFpP!Y>ViqAdskRX^7`P4TXCI9KEL|tjwJELmp~*OpiLUoB{8^g35Tnk z$lh)nTN^NEPBgYEw8YpOZl~Q+B=5b1d=cS{|1sy(l2;l5v9OJi&%o*2k^xKOkjLY+ z-;{_Ob67Drt4V|$P5%-)ip~7xBNWiIqU5ZEf#>OZ{6PRQwNlA}Rm2xXccB>WpWz$8 zM0BFq)Ezu{SKKCsa5Nq~aR0F9uA#@>MkA6R62%>UGT z)jLzX;fu6D3->d|i~w-8UmwTYQXDm=>tygW_Vp7UmSve(4YN0n;T?m6;+|I_SV9zc zf+5S*9D6zJPg}HUJ2&+cZUT6_2%v2R-S6_JdPCj_J`Yb#my-9A*!V zyv*}R$n5y7SvPG2PHE3o)V*e7x?rr&SN_2zBbLjf-QLE&&x@2DA@g*AG~P5^JR^)MX!N$%)fyEE)?Fr+EynHU|_#oLIl*VXSL zW6)?qMWQ<;9#e`@?({pPKEwS*!>gi(7I);gW;Ai8z(FpsnyAD$*8 zU@e|znidSPs1IGCQ`4(SH%Un?3>zA>R_R)W38J#Mf+FPF@m^<=Pgpuk9QVAN6K}}x zKhBC7-M(_~(h1SkGRm>J;cie8eW{*^j}D(GKR%m+t!VNPBDKGC&{{3;ExWLbGRM=M z@*&WTx2gyxovgG)(kcIX;)BJV6CID{Z5mcPIAMSXP6_`z9V5?=J2GVi+Nn+iRR*=r zMAcH5EN^J_d<5U6V#LjXs`==MymAmk%G0aojqF&dPCEj3rAEQ`dVye8BBNT5NZ4K( zes_^vhNj>AjxyhRx;ef2u49ii4uj#p0aXZDE4KKpYF5h|ll>pAjG>Yjjo(pD<<))Nr6gokOv1fKCz)(N{DY~Bikd9b9z(Krhz2^b3QPotDadp;mur8- zpz8&xoh8%#?velRy`{*iHV}Oc{NEIrtn&|ipA?mX4>^%Z*@aEgoBuQ7qOaK`eu(DR zC6%+0J#R)^=F&13ovQ_4D2Y)qPc{RY&Q`(pv>m3nb6o3sAY-nGR4NvsDuvbFq|==k zX1on22>b0Ojv3vb_+CaSxF|+mnrWgyHEt&P>W~F07RlLzEWS% zg})PduyDo0@9RNo(Ma;o3VlKIcVY@eWY<6kB%gJ=3m(Yn0Bt>Sw9n;Lj>K|IKNwN4!p`{J(|yD3UTNb zek686qK5%UAdn7mP{rfX?8B@}>OT2t-#=$;xlv-|Q+xJJR2Hiq=+{=kD?cm_%SL>~ ze8aT5lQHupEN>{Q4DO|!viK(jr?3pnNZ+>4=Dyt3@G6@B^z(%lcI0jsS2B2N)9l#& zMx3CUm(+VajeY8sU%o>joQrR|$?q7x$Fh#F`fL zW~KCnMWcK&1!ax6ec+yWbYj6q)KzYkn?fPa+mLJhFbILf;}F$g;(EM-Nc@j)QAe=? zZg)?iyPpre^7JkLr49HJ!Y&3Je%lqMTX5MdJiSVS2P~X^%DQw^hrt_KDN_-%sOl`2 zwMO!JQDAYSYv$I?&U?s_Rw|j9ZyB(Zfqj1C2ai=TIvvWSzIN^V@>t&*nzGvUWOEGoyI913^btao;1 zJb-yNIE)G~5e{k}m{0l1@!`u)2TV{wa0Fz3E6lcpV~B)F>)*?o!=FhcYJQ}#igPr_ z9$x!DZ`|huKs0pkljJkSI0(_WX}@y);5=FB2sOJRj>&Qd4p0&H+8TRN1vHm~85|=G za(wd@Rf{idB@Z~_)+rBWM6$ZLCr4tCyG!5&waD<5D9zU}Tty@g|QC<%g{p!g`U`O01u8 zw*lATbQ0zV=HBKpkuV6K#|^QUTG_h+oL5XjtPt`Yaqxi1NEwZZVO~QDIu|d9>X3FG z_BHEY`+gXlM_xzqsz!$<>-FOKlbNv0&XDgehQh~&Tirg{2xITO!kly$N9^*SwP@(B z>zU}ZS#t~0&gHu!qOXy=*}jRdUtn?l1iwj~49${`%Hn1Z)oGyIiU8jr@@%o1dVWOU zjD`(@)&#O@DZg-nOy+Bfz!w&iiA;ugf6c07rU2G&A6lf@j^Mn*(v9Vb2J_%R`rM6| zZSsYzPbVAGKKJ_EsG3mz#t~P5Wt{&)?)%~;{Yo^4(C~F$5DT=!Ir{%m#wPJ zHsC~r&NGRG)9gsjye-EO>zN@JYvMKrLOI7l^1e=_NX~-OP-Jp_Cn@P*rN~C4+4sr?_q8IYN$-VxeBHsBrav4FgDz{(qYFanG;WZ&?5<<&_TV}nViWl? z9|l*i&j2T4^(P2=W(zjm=L!x#KI*~?81aBxHbYm#crAiUmLiofDh$q7xmb;cc!U99 zckt=IP|O_QS#f?Qz^Q)aF7Nm!8G}wkD-D)L)b!1Ygv{@|0ZXiG#OcR(+mQMU{()xp zB5}MWAjgfbqQSwTjXQPbIhFNKAwGu#!imrstS_KfKBKE4y5rw&(DJWaBEa^#r_a9e z?Xm=eVw%f;`U!9Vg#pO$(E)7TJ)lC4+vnH*4a3HaG4FU&#H0n1w97q-J%f7EVSk6&92nw?1bIhFW??g~hkY>r`R$q3JrW@G6yb``!` zKXcAp9k7N5<`T9+Mw&gp(O3w`C+~5u)GTxL>Ie-#3Ej@JAya$6zikWPGKzD}OeZL% zmw%Ix>@Wo`VA>!jx%W#1vhoa$PI(NYq=I0sr@~2I=kzMi-(n6k;IXbD zVqi|ruMqa_fn2ZfI7K4i59@g5Ld|$@z+Hh~TRu@!Sr2|Wl!)1R7D=?X6s26-doO9D zn~L=jR)bM@-C%NnIh{(O{!NcFXt$l>OwgV{m}VKno(})N2Mpv^;t;>Vzm=2*x+0d|PgzZLSh zxg+QM)0NBo?(5B0fyng&n^va9IHh+Dj&UrziBPf^wR}V|M}xv<T4{uwI`-gtX9W19f{-5SXmW2*8%2Hjp=B)7D-`Z0$rYne0VxlOl5PMiRE(r z=zO!+#At;4ijQ9mC@fLpuNHrfp%3Y^cKAV>tdgMe*~a8HHPY~h0}s%4_n`)-dl0RR z75dp?lP3>U8H!Fw3{oNmv-KdsWc~6lI=;dj(*Wk^ErcBEh#fy+Qsr`BO-Zy!z7au? z7)!{KIbD)i>c<4c57e%Q!KnctoI6U@Qbj#Cjgk@+cc3pt78Na;Qq%*{Iq|ZcE{m{&i+A+1xvpy2!inV?Z%bz z+3wKnOzN;&R_p>w02p2{W#F$@0bC}dw!-3{Qf_(7)c7yBWKZztypf#MiNB52F}w$V z5l-GloajtJA-!7mB!I=?q-BQWHX5@__BA0P^RBbJi>2XqeLwp&n~*|Ge)tNM9Eab` zCzZ~%cz}$n#$$m99RW0*#j3A19Txc@=$DYv0Vl5bh2*84Yiw%Sc2}@82gmQzTyWIU zWG-sDvk#VGPXCVX-dI)m6a`os&#bu6eyd*{04_|zN0wE=13Ts4JG5gTTo8A(Z?iuU zZ76z-wtIg!vttR-+L_8^E(uE#@_8YspW%ku8ra5UsT?1T=OJ0!*m#J4ma(#OR`rlQ zUHKghP7Dg9DRUzgoh8+l_GrK@-+TR0;wW@4lH^1wbC~f6{E+wF%fDD@k5Q7U+#gLo zKEhsW{hNPQx3_b2oBvAPvVQkPbZz;PjkKIL7z`=qhwKPnAI&Qd2q(XfNz!J1MqqUS5A~d3mQSQ;@j}g1YX# z!NSt&rR9_HAZ^ObYGNBk0Wv91Wt?Jmp)GbDf#<(6E*N!gNWOI>N;^-wW(fQZJ6Jb+ z2F^oOKK)UnZl}~rUu6us4!Z?x$(Stc;93o&;JdZ(cye|d7SLk&%3;$wYNMLVH;HMC;=Uk{M^DE@4#`~WMK2Eix&=_aw5!h< zIcWRTSn0=vr^96`*e!;wG1xEu1zGq~@pBk>zaLluZIvDUVeqI)^NwNZifAGpX#zll za(^ENhl!PvOV?7C8(EvY!1$(hEQ6}QmsDJZLV$=_i+~EW&&SC z;!HzuIq95cQ?VzHaLV*VS8crV>A8!zuJoglgOHq@WhQZhaFSt1R#`y9e3%aXyHBO}ozaQZ;#6(fL#Y(8U} z=IxSTV4Umljh36pBuRUGOt8XA-1O+)mPulia5u+?n)_Im8$Is5z3FdOj=>tYv1z= z3ynsFb=jmbV;`PF0jPbK@mt2n1h9p$+g}8}S1AR#KmZdeQf{fI)$ffZ3SHETz)YHa z6wUXF55HyJA9ch?gOx@te)4uF;;Z}$JH2ZJh-4p#FC609$|E(sean5WDV8Pdtyp7> z(%EZczy4&G8ww4x@ZcZzrNN_y3g2A*$qk-V`gNsFt6fiw%c6IY1avgEOI>&8o89Ey zw{q>>BpK1ak**8v8hxcC>Nm3nfMZ3@G;>V&g8Vp(OR-dq%S-+-i_NH~bF%ik$eH>? zUT-X`=L#{LPW=Oy)#QrZ09tU7 z%;AaYbyAJR#+@c5ZtLp&KX}2>093F(9ltlB$=6{$U&A$$DL}K!?8~=3wzD3MK}UlJ zg37A`w9oR+z7w|Yl8G{7j`!Ir2nq#)iy-qgRG(2l2W9VU>;(yza5OUOHuudlW!;`P z>Elg%n4IF;td)7bYl_Kithb=i;xVT+YZsS{0SNR=kxF#B{dS|?DF4xAPxl8(Ao`U= zA}|CMXo6P#bF+qjJN|X3tGmnb3G@=|N~x{_Ac9ghwJDHJ&s+>DL0yjmPKG}AdKL~v z&sr%^(`)16&j#@F5giHgWCv})=0 zXzQ3UHObPvrjIdS0~YZMOEE?wO+jeu96e>S#AS*b4A%G}sNqL@i9557oyHsPNvyLK z_cAcs{V1|dX{V5=KS`XS2kPZ9fsyK<$eqH|@W|x3(k!w3GG(+v^>Xq00tQ=B!SMC3 z&5++Ci8hOW{3!g+iJM(yW)6WB$yuqBk?~(7+R!IH%%jBy7M=U044*+4d)=omUrz6^ zY)OR!t+n0KH4B1!xGVu08sp!3=k=4Gp_s{c-ZijQHDFSaLe@&QkGY#p)amqKJ@@v zP!p`%zsGYP3)n^NyO&d)HutY__fhfeheVU*#~2R%+E>v)lmf+cr&3c$Kk4hW)0oI0 zATdO$|85*R0uuOG$sil`qzfvLUhVnOK1&Vt$%}ySxez{)3X6TyB6J3rw#O_lfFtkl zx*51Af`AGJzJWnd+d03jpm*S)V59yE6HJUo)25GODQ&c?)D}A|fdF(Gi`#b~2r}91 z;q`prtf|e6J8F&GpZwJ(n{T~};#!vUy94EM8R^o+X8G|dq+EK`+|rgB{GJ4j-0Y{| zAXU@9Epb3rGaGv}g|pI;(ZeBwmk#1vDpLCz{=n6w;48@Qp=lhrl8aNMUY9C1g3pin z_kkKxYu_1@@=38=Rpv@IfqmRUq{G?4d3v*#w830+g+5r-9b?=m{=854arT@o z{pb#$+xYRLZ(yL4g1SW^Q%4l=sFV(O?B0rkQQ&CGv8(Fqi|E_+Jn)>9{^Te+O}9Qi z9(&%+GO^Z>w5&`Or^ekeFPtc@v7O)iGH=`infqC%Srr7>|F-~yr?SkF=T8S0*$nuz`Q)u{DOa3J%PKlqllTcz4GZlX;*J8 zR-y<5)OK#re(yHh-w->RuPj}i{UJ;6sfK{4XkxaGwi%p|8%V<9b>>G);?U_Vd~oIR zhvKZ<^=rh{@mxCYakIRiFuu9kgc9|-BDf_(q%N)yVbK1vO`{C5{#xTSE8g05-fyop zpAcJ)(UJC!tgoXn>F@w`Y5l2z04cRy1mm_R_H_Fznx;w8KYj_<01~eU@_^Ah&`UE? zCg1o<;P&1lv&>}}{1)J8-yOt%%e4%494+VZh2%dS^Jw~ddwYKZbTr^19qM( zoo5FBjCC;pbwICR3-ZdQ({qPRe*X3B-# zl+`2&#tnpr+$b{DG0rXZ+|c+q3{32(`$*gfERq@2uNqZ4eYQT%9dsR^vAw3MT)z^l z>(e-{pY%t9Xf#MrV2;bUTj;n~bH1{1C{)38;(CHREQS6{Bf;Ik=^;<@e5Hmd}Bq2^iO%bl`j+|((R^fP2YeV9`7L@%44 z&Zi7$BUXUs(e^9+>F5(9fgmOZUNp?wIB?inAAnQW_anxZsxr@9K!#WwIn}o1b4!UV zdI*-{lH^Z<pu78$BTv3@HS%4H5v%Pbv!=f1yz?L3 zU5ApDnL<<>o{w40>wE7J$)Oh(Yyy_vN^aMMDdF&|MPNNSx17fI*Y4zWsTU~v?+gy$ z489j>J%)z{0wiT`nf9r^{Yff;p$d$Aa|HyO7`bHj$zUbW_tK2o(NZldblF3MT^v(t zIf>-EQ59$Q3nb5-!J~UN{g%wP1dT3q-(|LEyWihB@@AR>$lnsNnrYh`XS!!5Dg_^3 z6f(Sn)5SrLXH-dB?ntHu0lR392jVVI&&nA-#`@)9)#D~$iW8U+p_~Z=`C|UGH$ahWdX&6gL zj0=I_+DLLwmgrHCJbN&a zBt?YdT^%z0r-DN#pxSUZkzx7A+Vsq<}#isDrOQTR_|9fG9+nJY_Ek(4yN(G(hc>?%x zPQ1n^U>(102R``%1w@p29Zsuy`KG31oa~s9Nz6Eg#x6LG9!wxA{m~E2Dx(wIgH&C< zbpxuDA0?zAydd+yR_k>!Ix_`J?OjH(nhYY)&{37wlQEoLDejGjgmp^CyQ3zmiO#nS zJ#u2jhTYhp?UAn#X@z)me9YybXvb2O9#e!ODTN7)gk--2tJ!Q$8)gP9#d%I!dd%x# z43~BOsc$jj1SR^pX+xTRU@JWEr1x~M!hZw^%n3!AsGl!DAPjLQohZlROfOIYIgwQw z9y*;&62|7DJK`rw#T7Ou;RBV5ho#z5g;8BePa@2J6c~8KALUAvk>jUATn-2~_7etY z?LAUzX!WZ>GniEon|)M3F3li`W3ldbM1tQ=-+5SzalqZ6sRoaaW&2+yqT3!QpJehB zp%4mAL=spN!Mv2mR@7QL4lJr!*`^8z(qFl#<;0`vurQC7t9@<|!Y4o&a*az%Z&B1n z=2&gM&?nH#Wmlw&<>#ZC89K6aD|zZgLi#c2N>wmoWf}08#VCbdDGyE)xvZ2545_MU zgoN)#XrSx{aXT2EnwrAC&rMs=ZAP& zn$L65^AxwznZnkwUOW;Bg{TFu%9(tIXln8+EJ$VYp`$1co3M7_lZ=j_MKfmU_J8+B z5=sFVZe>MNnm;sh_1OO!?FV_YE;&g1q?y~$+Vsax^?y`2(O*w@o73)UY<1Ffd6Twj z8BrL6E0!9?bAdium9y)$Wyh>8xYC9eAx9E>*q76hmp;6<{T&rgUH#ppUH}$p{>6U` zME}lmMlS(y`nRzORe6p$zuUiPWjxs7K4PE^zxnmC+eet=oFci;x!y?~pzlZe*dOKQ zAJQ{B9)jb4mG<^n;P3I7#a6TD=0d%Y`C?TyP+}ohoJdplt5ZXv`b|DYSXjSDIj;7` zu|`Zekua6N%br3!Rp0dq+bsOsUqd0RY+*I1bcpu-`Qzd0lqFxNLLWK)D}ZDOdtV4` z)v#B_OKbKj;T+E^GfvyNZkPOgU(l+mJ$LvO2r~`_vQPFdyq)My?`8DESNi&w20rRu zw3gf)(UFYvY0k}W&?NX{d1}{j71U7*Atp{`RxG#3c(|2}h9v0YGFPoMBk8JsRKE%7 zeH~>0kf)~q4dWCG3pkxO01bNW2Pv4ZnWhYFZ=@$ra4i+ay| zaa#bCBBgx3gcRFiKPC{fQjQZ_^HtNK#LjOi$e1@ZHBaF-%Vfkkn{5ODXPx{}0Pi@U z1^Aw>ezG3Z9{2&+XurvQZ}qMmVoMUI8Lup7zYT&|NMA%&n2knO%H^xjaV|d1A%vKX zNC_-6mb3Y_T|A#sP+d?G(T-oAOlA59e(3j{O|9q{n4N6&n42>iV;S%UkeAP)F&T;& zbaVwl2x#}Bi94rq2Y79>uM(OJzO_pR;^~6(_xv@9sNR&)`AxLUZp^|#?UauiGhbdj zLU~!g$8E_zV9%Gt)jsvV?hffq@-=Tw9~Xi%AN0rZQU-i?2els3OjrizAMu#i7eJ>;)bxcGJt&yrQp4TQ1 zKEsvtGF3RThIA=qZ7*o62b{#+xW^a+2!c>0{@I0_oScFevMyTDUwZ4rypY zYN=AHy^={MXPW7dcy2U9?$B}0x8(fKb}d^H;Sg?Ko`H{U0EPFEFAq$!cs=FL_g4;WmCDQn5ZZgrMy6-yjrD4VR`8Z`C#Lx2gT zn-8h#oGf>rzwbxjAQ%3CBDdJh&PQ9;S?+k+p;B099ynty;#G=X4>&(3byv9qBS9Hl z;F6p=S{uHP(o&r#k-Z9HwECw<;TbaOxgT^#-wjHL`{D$cq=vVlGhTuen2kHP-c!)6 zcE20!#Goq{T%LN%FPmJZ^1i!R=n^Sb^lZKq(qS7Z#DSNMyPT8&L|_h!qZ^F>Z=z_v zj;M~W^V6}weBKKn5xBrnimJ$JF=j)c=!Cr-(K%m#$(CQ{&-DTn)yDy0@Uo|HlhN`N z^ln`x>0Dn1j$$T8CL*P&n5m@LnAPwu&tI|+G<=d=wwi*ruN4y~-RV5GviY(ep}kBp zT(%CJw&SON8tJ-p33(m_QWm;wyx7i3Zp0tlF#BU}sf(MRR(=V%wN}Y_V*Qf;8hNe7 zuy$Y#;^(3!0P)oSj5*F4eSHUGCtG8?x^f1v9&k~4I+D}mB}8WZ2d|c)&i`pUfMJ`c zs7T5upEsM-F@LV+^9+oCyi=$lp6-_0A5xOeL&D*7bp5Q9UTwzbf5!{M0Q(&6+Q+sDy4N65#UvEv7iq~G1|csa(_48Q-sV9v3?pN$C| zboao7c7Jfu*2T(Kfq(Z)CR@UvyXu}p$C}S?--8DP+{{Pb?y~51-VUd3DASV)m?L|Y zeLdqO!(T299cZ6q8y8#n_vT%Thv7*+9p-qo-ZkOaqz=oihx;;S{9cOJ zw_{9z1Nvm>zxF(aN6{Od5C$72;tF~B`>zi*#;*lN_wc%;JhH_9+Y)s$-V7$QY3$km zW|_Z~c#aJQ)y89W3hCFf0Y+#a{+mT3W+NsEB61gG)@=$f6)6FD(w7H7Z}j{>JbuG) zis=90>aBv}>bf@C1W14chv2RuxVu~9p>auY3+_%5AUF+;yA#~q-Q6{KmocH`xE1WBRPwSY-f1HKwJ4vN~)x zT4@P8#~RcfT%~*?w2JcGed~9W%4WVI*=wI{4*)L1Q7-?`+JeRnDS?r?eUt0A)bCj# z*4T(Et8OUW%WlBow9eu!Jmd=&HaZKDS2)@I;jrY8@AHP-R$wrGVn%Z?Kr+dBtYvt0 zTsP4>7Ot!>$ktfT>Gip|mkT%e%;GQJK0BB#+j71%{hr~g8E3I?G?FZ5PFeZ{y=%?k zd#8)uu|$Ft8MBGeRU(hf0mMEml@+Jt2&C-@D<5;$258PGv^jh_;k*I1M*0QFOs`8Q zznV2CQgn|=kBKh+)~orAmwjb7yq0t`HzPt=jSi4X=$z)H{8QenLA58+D0>CG*Nmx2 z`1{*EWB9A&(2E`i@98sLDUC=%_@6R7CU=vh3RYA>*dnOA^A4DF`s5BZq)YwJhp&jV;5f+8c}|3(r7u?W9DHJKEmT>=lE8o*At<2-+w5L8Uyin==sVJ^IQ?k(r z_zn;c9^hEmERdTQr{3E!hlLIccrlj_9Q^bH$yNnr2p!%kEFvS&=q3~W^C}3A74qs@ z)lQP2jmOQN-@164*4(v~D(rY7+?DsO37E6Q&py9jKXGvqq*`<~Iz;0v0SJrPE5Ro{ zJ8}+QV#K;*3_r9Crm<5I9E$uh^0*t?Wx8>!psPF!YiiFcU?Zg`F+y5Iib}o4@zd@= zqv7b1xST9Ul^Fh@%^%QxW0Z$Wy#*B&E6xiuX`--a$;hmog&-tNh-ohE_W3!${t8*B znyk$-_+yjjK$eHo^a8QJ=T)@%TI>zroyqWAY>IWks2R_a1KkK|Y+Fh)o~bp0gFjzE zII|pfJcTjnU;lfYo~)8gbL5l<5QYFyM&L1kD`ozF^?yBN!*avv{L*ITEV7*!tBmHGd}e>-J_t((KQfJEuq$q>kQCj%nH^u49G@Xn z4Mfolj=gU0JGW^*3uit1rpgdb$g5RhT~3&On9NalC3>187P0Hmw4B!4U; zW-k&8>eyk>S(o%3J+s~w{s6i%5J~E@Q#26wv^OaZ>^Oba42k`S_kp=oH;?d&bB-dc zZwFc#Ct2|l-X}^4P8X238Br(30q9Pka~xw^c&vc+JS-`fV`Y)&*wuX_{XGE%s(86` zG?!)}zBP`p;($#j7jP;<4L%vC0{cQ}sO_l6h$t2(KBUkou#kgoS47V^2r4->IV~bJ z18>}6VR65fFn|JN+U42ecm@q_KBj}cpcgXy&l7ql*zy5a<5oRPtxn#h~-;s>+C<%I*M?tX> ze}$EL^u9_ab2hsHxDf(g#Qr%l|MoNy_Gb?0J#WlS;~=Oh;VPf++?EpSgqnO(0JAVs z^_)}IwEl``is^KDp}~i!Z#403t)i~s$w>&PC|=pd*fLsb;$}OJ{W*~1weA-v3DPa| zjm`7yXAo%6swS+Mh;zQQe_?PstIOv!=tp{q5S`5=!(KWeh2uRt2!ki)5}!w^2{Q^Bbwm2<#mQDc!2xkNM)TR>YevSq<8*9LlW5 z8+~fl?t)3G}{57e+9fe+Vo;IzXqsVEl*G-Tf61~*!N3ALaA$J2i-4VlLLISa-q z6EI_n+SR{XoGq6f2ib68{cFY8b;M4dNpQ>?&J=uh{kQTi*zH_0^-{4UiBFSl1qPx^ z;iiN<;AfaD3|2!W=Q(10v6z+Sf(Jb+W3cLy8B5* zq#Cund-c#VePPQll*B7h-dkvO%-@-zavr40DI9pWmy}?$m=@#)WcSK^R%5=SVHZs# zQYq3m&myBMboVKrB0PG!=SSOcvwv+DXyb8bbOf&oOlI}sQYc;gF200bibruSdr5-` zJnkRLrdj8O;1A)=X~c0~UDt-Fu)cw<>PY9tX8d=q;I$N!M7Yd}JDRjTC$dN6lxPD{ z_UcG{_-(o;NCl)e1`#Xf9>*zrZ<4d|uXE}g0_21Pm=yF3P4`f-XE}U)6;=yJ5Q}-j z16Iy^`f!owg(R~GoUY@E2mVH-4%AeOl`-4~q1({E&Z8UKOA`QH z=iRptaF5TA-BMCBoOP^tQdISYc8^N-!VYLh1S49vJT-Pj7=%f&yUuYtl3JV!O6gg* z(GN=3SNAYHUVc76%m@XWTFlg=6JOvYX~gfv=AYS2litWE%RieTLNcqru;*ehmg9rl zvkiTB;F@YQP?SAy_E81mZq=OR<C<1pL#F<0=mnj4gf7@Et7` z+^yZib6dQ45+?-)fo|ioFZ4o*Nw@QAMw?ua5bgc^M01Lx=o)m#Z~vtm9JzH~y*FK! zLtNu}R~YtLfsb#Rc)uIURG2C>N0q zZ;#n z@=Bz!6=b8ccUbOc!#8yLYU|OM+NZ%#@JsrwGPU`dwstX)1l^Aeif{ioQdfr7It21S zO*TV084!C7-|7z!O};k0g<_a6Nv#GZrFKG54-&Z@1UK4DE9$E8&?ie4481=$oSb6N zANruSx)4DRJd|&CT4Y#ywIFFLU(*Hy_y|%;zpA$Qy&3{|4s|#z+sGJrpab50!Ny(8 z{R@$7eo;u$VZ-yl%o z_h3+?Y{e&Isyl){OMsYD8DbRk;;vKB&_L`GFY zc}w96_(Gf_^T)EZ0_n>F>QOpww2wKDe}&Qd00N)goR4y3^LIC<>%$jtxsG9fEMLL* zzp3tRdJ2iGYA(-Xs3~7_q*3{n4UDrUsslmIwqa=j<5n6a0a*IJA%7GSw;ic;kCJ;4 zLnwPhieW>X2D>qfr?t3IArU7pkUwvO+EGbQ;&G<xsvU<+0!AEr^kYadWij9zj>CXFYI__RlLbuvpdrola+DBE58 z5wCYK0fRmWy`RbM6BPW)-u7C_C!BZK|FS|PY>s+1Gl(rSGnXk=O9*&ik2!$V6dnH_ z2f`!z&*2fzC1PlSm;!LHD1|61VVMi+=mJgOeg)h#{TUkL5_~=ldj+m8WT5yhm0T*| zSDtKq5`}4`pi}NEw0FEHe=FM|q0FL?eVY(rNW^7Z0?3SRJRgNSKuf#8{PsKip4|#W zZ+_Wc#Pj{LfGf0GnA?%-UoDVVb-2D_I$P!Oh8#||d^&PF!V7Yy)mff^^r;5N5<{nA zsK7g$Q?Pb?XqDD?$)T^g>}XWP8OpiTf}>3$u6<3AGiGeWKcGQR^<)uf08awC%8s1N zoWQi*bdE9RRR^Av*=S!v0Q5k!1mHIniPycZ1Zf@BFbRn$z-4;=)*N&z!uD|80xrNI zD55>6bF6ifck*!MQ}`(lMuztj9JIgYJJcPVjvehz{dv z`d#tywl_r2uabz}+6LwwdkuB8`OaKac1r{FwRBGY{nB6h!e7+oakk!Rmk#o{Kxn%! zgGvUauG+CavFOVLcBAew>-=8whFq!3GU_y4xdq222P-N>RZBwj)XI#c9PC^FYcuOM zl5aL~#Xs?D1RrrNl3Fag3T9pNbUc!pDJ4_(43rabc5E2;pKJ*0Kj9Phpw^IzGK<960kQ}UgK~w#ee5CEMBn(S-zaE zm0_<$PJZ9*6WX&|^WTYRi{bJyNjNzZcKD2A&sxGw?Do%YUE7FViCEY#a0ZPwOktk~$u}VaD(jUD z&!CxO>mscC1b2yuDl?E&3~DuFdh95&mQlT6C{RrLZNWfC&F3y^o|LSYaZAi6FFDlv zV^fg%D4PuzmE_N6O@gss6!UC`*k#9B2hKDi3bgTI)NKtQsGmsgWE?|WqRc+D#m(Ii#qeKzDjm8qFqMeO=Sb(7Dz8njPh zDelEr1{ilP>`dl#tI(pi7lDdDgIRo7`aFnP?~_N8^$ulKY+6;H-Zc&!%`n(C3N1cg zYWBR%loov9<+cWyE0FkaH+HDc!@1MpC<8VCa~RPFMk zEbfQ&wtC&-Xe(;%FWa?$q7$5^Qw)3+A~T_;7SlA3L=ZC6UHwDVPd?J~e!qtKuvEuC z&?Phq zZT{!B|C+nwP26og-z$_8X8R9GhsXw=@CZuIQ%~l6l*u(YN{oq6%~#IB9DLhn6>dqe z%-&~Oq8ph`B?C%d{#gJRaJGja`;J<(oO2LrG*MP7vjWfe1e@CO)nfYNg66X3V4T*@x4V8O2#W>afx*DaE|9^IX*#n zL+I7=x^uvaoM@&t0vs~}#X2$UV<%F>)epWs$IpIO5*u#9Z@#zx3*1to<2|ttm=|La z6u!mJ(iEo&(nAwFgRP5<buim}@_O|AWF!q{(Nx#@!?EYsoLef($QPKiM@DkrQ z2tZhJzXl&~4VkYOio*F&APJBKPB_)@@hK7|W0zwVH3yf>5Gc4znbEe7WtTrM-zOH? z$yi>R;0FgZQ;3tpDh*(aGBEFCpa^yKb%^sO>FPTyDu6N8vD(RU6$Xm0SZzyFXNnrlMwcnTCzE2p+EuxE4ny|w^&4O??=3{MCoN@En4J9P%X^Kb=^gSvzcd2c z=;Kj|n53cVR&yO1+--_V(vt27@hrN`nW1s+y4-fsj+8bX777}SnnSM>pA&`)(^QBH z9cu&M%n#|u_5BT*hPsb_P)Se(&e!_537=^dkQBn{Sn&vGR1|nGjA)vCyK|F3taVc1 z&^SpU!)|qmV59E^3iVeZEGYO>X)Y!9e@m=epj=p=PsyX6u%K0*sCy&2ev(BT5Z`h> z!{jJ}Vb$h&%*4&LJ^~#>)OqceT=CqMink63oLhyjRy?+uRYOEINi4qg7R{Y%uQ{>FjIUTz7@I!577mX4%mGBTQjY2BgFCUN{&a0A4 za*S!i9TAlB1eGY$wx!b5=D*5tMjTqnwmy z8e&^j1YkqP%_Cu8=jRJdV+=!sK$GP1|C%9r9%|Xn^a>d~=)#dtad;yBbGMI5zYe)N zU|(9>PaCWDZrREFE(|TQ;58svgCC?cG^(c9BYC?*K^j_)W7|hP9|Rtr6|sAC3A^lf z=|d8G+mDxA89XP=*T()hCWt4!jLRvt)oJ$Ih=1OnaSS7#A^j@gmuaOL>#wZ=x!7Q+ z&$1sAIASVNJ_X}CZgcTj!~C@%q6F?!%7WdFCPPnFt8}J+>$A59oSQ9yFeBoLairtmanDyJj$*#d zv8z)@OlyA>J2<_T*I!s(o_?Vt+WBjS)e0>?U96@%IQ z&9$C`t7|UsMO4;0;r~@8^5wfv^K?Ec(Zhl}C|4H3I|%#tK`MP)`9&l_1P|)C>wE9> zz46m?2CC)?8gYMcnUD$bU31IGWzQhAFSM^`tdmse;VS-uIpXK9#v9UuN5zGGV##rh z585?O(>ttdWnp?nG5vx4prIrH>!J4nIvOXlx`jc5MSuG^e^xt5daUY7RU9o8AS=`# z#d{Lp0_i9dPNWM-(+dLjN0{hqV{q*8a(Ck4hNHRp)w5J#{TzqMYk$hy0W ziJbhuGRIz8N2Y7q_QR=eO~;06K2SG>M&i5+z3{sTW?N>7x7G(^p~OA z*0-)pTD%%~xQ}D&g&eb^j`7PHT78aY@PA(cidNgVXaIU-lSc9hA>ET&PMMqBb4T*o zYb`v`cIxsft2TMNbo?GZk*k5n(7*ibx*oOCe9gDh<&VP>00qpIeQeDuj{0%(*~@7) z=kj~n?GYA~okqJtJKgUHosGy)klDQCYlAoTKOQy;OciG#W0XF^%_nJfQpNe=a-BH+ zw%@JLVx*XZg99mSdH@O}{8X$VwrE6?$!11rQ~*K17O*DQ>*0;1(k)Vbo(w1l)|9xtuyYou95$M37Mm$r z*e!wu7&+3%OF*qyeS5GS7y94H;Lx81+?Ygl zGoqiYFC01K&-_iMDUaiZQ7dpM;Psx^;$$5YDcci(5aYgvKXAECiX(spra9O%o9)OB zW>lndiC`-vpjfML8qIrh# zl?5%>bIR=}UFF6n)w_Gr5=8a%{qD!x3iZP({8NBa44^qi^kC#$(qXJE-n)7E_aq2) z6li-adt1un-8Xv+y!zC^8SE*8tRZaDeX-X^c3U38GP#_*zsJ&ItGjWTbT*C_>w)Gl z6c2jAV4;v0s%1>nquRKWyN~tUhSjQ?k_0Fc<*?Zvynvd~-8q2zIvA%4xezWUX`f}^ z3pn@K1H;klde)VSZ(}>a{Y@&PE)>3wS%fp_&&~IaJ`xRp1RQo-0|x1DpEr(9XqE4l zt`99rDa%ku1o7(yZOQ2l>BlleB2QCzfcxt|&#v7bDZyITZfM?t#n(ncVHOP(j-62{ zQ4lM8VOqa(dI!2<%8<_`yYWV8fZOKf8D0zrl4^XugArX}cx5({RVlszratD$)_|4( z5=-u6Tl)DMB4`z9{VLCz=;?r=iLh5-(2H*(r)ibZ*gO4GUURvxQ8`0J!jS8 zgWv^L4_Yk6V@ykn-!Q5^AP`xqt&n$Pnd0EUz`+gW`-rzbjMb~s4pt}D%MN7Jb-PRF z>gZ{M$DuU+_rP1)h`Bg3zuZ4=>|Reg^|E7W(vwAiVWxwAe3yzigZ@{NH{AWxoiE|J^?$!7$lJHiTiIX{u z5F$Q^rmO#S{FrPvnQDktozItwe%cY+>C=nUc-F*j(D8=1fHHX`jyfFZ>LD- zl`#CevXwz#-bd$MCaL3#Pe7NcDi0|PDE8IX0-~JKcEVx}3U2n$xix%;s_$h+?roLJN{gZZuSG{dTZ53_9nZi}{u>b>q z&I{9Wr_X<;utu?;$GDtyjpJ4i0~ubODchGE(Qf$~c2Q0yfZ2*2BhuoZ$zo=`MILZk zltkvE`-p~~1G__ZJzAmP_4Ae<-5v5)y7$^fc_{-q_KAq)GD?nBK1pmuX(SQy=7#O>)d6BVhh~%|%nv?H zGjvqDlBKQZX(IsP*CFFurcB!K@AD6~J@Puwe3 z{GIG0v3OLZ>df><3R^t`m=FCHZ)8Pe2H4;M0Jl{d0}$g9htCq{9k#|0d1IlMLHoi1 zE?r5z{W@zvC1jxIy9ut$1JlZBlgoj)`7SecZ!Z-;CESlA>N-8`O8pfjUctDz#VGlC zwhUHy<9>eq`TpPCK}Z6^fAl+y6|x?8u;~kh#w}9uha(6DG=SLAwWUYW6;aupSUBQ$ zTI@g!aC1+wUZt^O5cs!$?mv{|QJ!uz93y|x8@sKNZi6|@7H=+CHV#NzPAZ>_`35ec zV3hUdSc8K>;UtBrmCVMgMlvMBDGd(j#RW_xcD7tHmy=VH!F5}?orK>SzvTqORg!ru zU4&&U+kLg-^0V-xyD24XLcdp5y{eLld-|mmYeRf>+;Ymj0>Z=1j7w-W|AYo*kGs(+ z|Lg6P`+V4uB>@=eeoUmaf=mRSD5E~zB48>zV#-x;Kha}AlOC7&qLVx65`f<@9#}v9 zrrj{=e!Z*6=#u3nIFsWOjZ1<*+uNhMBfW_m`RSy+dRv}+zxooCB(ok_VPyzv$_OyG z^iEe?o=?@=Hi5M`j*Sk*D|=o?NpHo1GAfmS6~yWV&{ zdA_y@pYu_|Mw{{Z(r+GWg32^%Ka@%w0_~qQa5mSigr{coK~jqoJu~wMH{0fp&KE*K zI~_{)RBoCQi@^{~*m~H9#Gm{em6&`g+2mmn=l$PKQ;Zh89wGJkNzY90(DMTB@QbEV zAqd&Fo{3=znj!D+>mG(b$nD!vZyJ+L(=K8&&*-z1F9(N)#!HmXEAmmRaA0FckzzvB zGbXG0 zf;HshFiVGhEyc=hBh{HBIb}E{hA$H_#e0hK*zU5xLAx%LZu{3<^pZNQt<4Mh2053e z{U*xw=!_MP71qQhjL*mJ*nD@6Z~Vp>e6|Ks=-+0EXR6g%{mF6uLh)I3%HKlOhv+1| z?5xR)2wJJ)JgT4Atxdf_7W8K9duYAvEstn!iQcECkMSGGHhS>tFaU?RXmv_hA9N8w zNT2Fo(SHws9DteDrU{S$6yBQ!$2PlB9n12I7mWQGj^6~}-AfdQLOm2`67bqd+y zYQ=im*)q8S0aQ<&RE*ymzP1dgGiZ`7IpI?D&Y@!Vacgn^5NtErT0WSS3`rvX1P+kT) ziy^xtkoNmSvk@`D*?ySS-;vk?8sb@#(x&&DaQ>KNIDWOoGjSj~gr>WC2UpwRd{>ZU zOqFRKNwS(U(TNwUKI@icbJ=0pi_h*_w{*tcQhzcpA^deodVp1{{^o!8M%fs~wPim$7$ zD@>k*Lzwq{kKl|(%D>2g+8~3t#1zpYe2=CNpJ1vXJxO1F}OU19&3*tEf zPoaA;s`Qtye9ELH{?JypQ1;lk1n<3}*9?IlEH}&|jL`ZM{RV2e>E>PLjhm#fY~pJ{ z7fiQteenesctHmPILg}BZ?x(c6vg>j?ltn94%k?*94hJ@7LSh`5@<$tlTRk>rYh~P zEIZBIn{T|K7;OTw3Z9AnTd%ajVkb&R?uhJy9UfGIqBRiJ(2 zJQMWHJ2QEgK0Ge5Tq>8dr3il;&~U8!teaUz0C)v{I$sd?(Ru0tF{}Gm-`pW9+CGb7 zu;2CREh69*HJln)z&}K3&y<(?Iu3jC z`Of!R=0#+h+FWOW%J5Hu^XEy|@t$`0U1LHx{C@}w8ZiQ$r)y0ord?x6!}bNU;?IEl zbpI>n)u4Z!TYIuLn-^n1jY030=RA5i{lT+V<776eZ{g@eYYb-~TAvvJvkVJ7&q!r^ zJ8pvFtY>;*Bt)-oR3TTOYu+kNAJ2I2iM1>r#6-O|@oVbt#S!uBu#4;C{UrR934E~8 ze_G>cM1ZF1t zfSfM74JcHr3--lyj@#x~gce-I*5?NUan(ro{8t{o(_AcmwE@BlLHcqncOJuF=I3ccu^LK1b$LWE`Il@W+Iio z^riFvjZKO~T`Wfv!w|YOE4i5`QiXLZ)IGYOhh}3^f?GVy8FF_K6^VY+Gw*WPG<2JR z`ZY&ewpY1!R$xQFm}8_%01TlWvu-+75WaE;Ex6vkr)iL*mt38A)mkfZp(Xfp3U@Y~ zKugx+4@O-Ge&6q`4Xt({R>2}=kmr>%-sbJm3TlKl!$)^In(?Mr*MF zWS`G-6fss{>6KoQMo~DB={kCz0NKa8VYau9i1 zTjUsDVRFwNpyCDQNH=I6vt^qCj`lfy6eA;Og)=e`6ji{o7@O-+=MMW2AT347#Q_hL z42P25d+qCA9cl$6FHw%da9y#`$_J%)dP5C2_Rf(u9CvjWYSoz9y@eA}mMQ)nb31Dk z+6!$N^EPzT27FW`!jQ{`Qjo{=50^kHEr1< zZ+Cj%u^~<=6MUfm&^*)Ipc=gr4F1KwvhW6xPup`fxIf zb*sEj33&0iY6gNmq*6n@Z%=QP0dE%(I|km?$5NMJl`=j0#bQ)~?9@NcanwF>V>{Pz zxT;UBcpKRWP3kX^soq2MUjt{2hGkgzdciqa9(@)8W5@6RXV!`cpTiTAm z+h`^}6@O1(I5eK=XVeSVj~RSA<@9WB`J7iBqqOTa3b0Z}*ihc^mu-}#=6+94s+Tz- z3uZmW#5}Cy{>28Cd%}&TkMor#XCMGXzXa&whXV=SEqhOE!Q zgH31PZ7Wb?Znx583n5-oPMe?Yz6Bhp)5^k~3oq~+PXFMPPwzGdniMU*=KKX3-zUUN zc!A^`j|7km{(E-7h}t)&UX1y391mGIGPBnD-A03>-(w9fV|>A@N?E!Vn@{|nFtBsr zLLHRj1Fndp+JO+~NajBMeR~BViA%a;<;93GQ_w^aKAh_>OyhT#el)ZDNEA-Y`jzeO zsf_Ka@VT+=w|%=c=+wxKARmoMr|aqr+2PE}>p-xna4f>hc@N>S8gKs|v3tAT*y7gT zu}r{zz`(s=Y-A0f`Rz$FP%F^tI1M#w`7SYi9)&kgMS7a@YJ?~C3|qGXrR1S|9(d3( zo(k^^=h$5SqWL1g5L;b^fkPU|_i<-yc(Yd$(bN9f%tQ#~S9zQeEgBJUgt9D4U2P*; zYy%eBm;`RLUnN|EfYhjDHCT^>&iz;faxQX9pA2dQwv#%AramF%bj!Hwj znI#E~2e+rjZG#VX$viv?mW(H`IS|K;;oli>IyMsYOJ^Jf8$ z6bMn+BXQ|x9z;Moi3MK5tJzT`V~2Y&;~qG>_*tUlX&z)ARK?;W6XmzX@S z;Aw%7e!~$mxP_z{cA3bC)axP!aj#LH003VRXht`qz_ zoT>X}q4sJ8$W=TRK!Q~@GIKs6d!_$=dCnI7Z$F8w4~UAd*7&yU^*uEDhLg0O*OSwJ ze<)5|a%i&Qu_MU!ppn<%gprWj5-1`ObE5eE!IGo-dv>Al;1d!3bw@<)rL!qiCt@ z6=GK37_I&FF_6<8N5^y>J8){@% z4@$m8=6a!H`W!L?t!o*}Xc@ro-gEP*ILdPwk;0WZQN*l85+9cmHrX9O;32Kstd2rjsdeZm=#)SpVwSW?=b1EvzN-V4E_Mt(@_3vT5I`@*$y;Fk{sIg0Z5P$=+m7W zXt~ATxZg_-A#a`kw}s}%vLRt4KuLuI9$j39x3!(^l&O86++>y5p%?07k>K7 z)ztqPp2mj#`gnxB#PTylDh!X3nlqryLpOv!H#wuFZO0Phu7@XZIU`*r_sMNA_6R?I zxsz^jz86yL*UP@Vb(sxU*S*d)~{==Vw#9YB$?ucq_UE98in{Kw&HMP_= z54a5;QWYNu_f0bY9o&&(xiYoSbsv%wJ3q( zc%)tWBjO-bg}IiFJ+NbLC=Lj$mTP(oIHKPy0Ze@Fv@(p{w9;dhlDg4->TxqPPEC0q zi$*1>&mrdF2lbRsPKyuH1T#jSCQXq!_H0Zd6tcHXT~N*fd^$wbv!i?VQpBF;&p*o_ z8)j2rV`3MiCqANQRhlG8q7;HegL4vr3a^ac?{}^vsVo7DUE^iKz;>4kZUE`f0$a@{APNe48`s7TVeSi$VezqtBNEN$lf) zR{EiD3&linL`f-GX+s=V?PzHmju$O6b}gs>!*S3aUsmA90&ArINOc2%NzH3z9whz;m6IpUaK{rtrR| zUEGQS5UD&6^K)cD5B6v4(T~&v6etv4awXG`WR1=8L#-`sjU$*nhB~;94ZKn#gy9Sv zj&OXzP%JM_o3}s~wOujiIL+*AGo^NLx?0O%eb=VqP|@c6?wi2po-tbzfx*|yq5D_8 zv9;Z}Wj$`uTO~QKrn=KN#g_@W&$iNk@h5*~{x67HOdJYHCn1YnCJ_lEEvj?L;vZ!> z2%DNeIpDf_l4YAaSg9i!VbPPebx!^4@8zQUu+ZospGd+hZhQyotI{OOBZZt;${BY8 z@XnQWLyPgSMmFbI9=8BtQl>IGjgDE6nMjw(8bx9MeGlK2W&TyGPr?}MSeV-WPGDP+ zC!kIFK{+lS9P1w|TxWFwAAYkpnCc+pBDRAuo(EXjHzX zF(Mcol8diq)Q@mY$MP6{<(cG*SmT5kjSaMlHxMtHCk%`;P!})0+0T5yv>2U=;ZM{W z%vE|Z;cNae^mcFZmPh&f*KB1c0b3R3B0D>Q>L(LEx%)zYn(V97^~nwLbLm9;v^hgf zLgkkiA9ivhN*bcwf7O3(_gnFoziIam#g_jXT~e@B04%rczEs}5Dlho$e`I7nS}Fo# z&9zr2N>iJ?QGJM77YNfFrPBLs05~ik|BL_)Dmlz(W^o!~IQVk>WW)N`f&tq@tKF|g zs*VE_pfgEjH{)fK2w9MhOA>Us#}#RG29Bom90FG~&C=+-2fsyb8yrU?1tU1lIr`O= z-uuxK55JKAQ{&2pv8uyuRBCm(-}6Q+RYT6y1r6hQGh`-AjHkqTBiM9O88$e(;$gr- zo#^VogUB523!#OLh;^PK8K-k_&#Di(bn_uDlhnJeQd4BNGFm}g`K|b<^!H3%-##J9 zu$@Pf-1P9O2&uh3{E`0(O{d#ZLG8+8!z-iOs7m5}DI5q;_!09^1q-HSOv1wX@UL`v zBGdPIH$4r5zTQScPaFQD@!m>{2MW^>fpMxcqd zxzB+qjn97V!0KvICUGqn2lE6#vg=%755F{i{sNU^S~;))o?^=H3EfrDN2Ja%mqV49 z0~VDQURs;X_nuvJh%x*KHE zCEX>k>FzG+?k=SpHYwdD-QC?O-QC^!t>^vvr!zPM%wCK8KCkOM4k;~cem0{(O@-HI zN=Jf7zI7f>Ca9zcdb6nV&OC{@tQyax80z)FUeirgc6N11%k_{dbfCG)zOdFxo1y)9 zlQ9$zWUrZ{1h{bn^sKwo2=u3w(lR>Z=MCnzfgA=+$cO7PWISuBc}G{CX*kDIshRlj zMq;5#(>YF7@1i~saW2Cr&VRalhNEe0S`i7l0#jSvCYVhGk+DzrC#SdPBB0$RHYxK7 z6`?0ivq^of{(QO(JQg=VjAdcEl3h z+IlH?OoME? z|8Tx!F2W{sloRoY!WYuqtR@r0VbnW=-1af_Lej-a$uza^*{>cz!k(-BiVC^PX6T=4 z-$Mtm7MjlXE@Ko%Jf2<05AF!3vnu1UoX!55eNtAa$k_N=H-|G~{RL9n1(h4uU@c^I zb4RdbAj-Vw#s|*z3;A(_F`236Tn7ra+HJW;=Mw@4?N&RVRV**PLL&Zr08hp8g+HU= zf|Vat%;`Q?u+8Hk?fzel3FsRYY_f*N6@o5MRpa+LZtdHeWbNwztT|(n%Nr@;-W{gN z$_}?RNeN4f#efPD16)s4hBGF4B7NT$2CyCB#4da~*5+@s2NXmc94{CdKg5|-ckS<+ zDd%FznwJi+6lz`YJHwH-+;tATKf{h`)&Am-6a&`rR|wN5^+Y&NJ9iLzEC;<~;j|p# zos`lI?lv5Co&@5aq;C)>%j>r2%)e#+?l1=w?(}nA)z?aO_Hn4zHVoS}QR%R#-;Kot z@aN1)n0V13G%$_iQt>g4fjR+g)8^&Sfza4Evh!u({>{%Z39(=G&RhO)`n1;O+vkdM zdOuKA$0^w_j}i6&)v19@AHT#~y#LgA_9uJJ8_@mtRDR-%`8?Y9gbD73?IJM1v`CxZ z(An88?{ehV$4D`$C>o)8}W?plLpxw6yqqvV^tO=Kxb) zZ?hTMa~84OQK^?RhgwMrE&i{c#h|q$&()gH$Pd$L!UN&4L6i5{4)pIQ_Rwd*hSVS3 zu$dMHfp=)yene_;UaeBZR5wFy~K96g^ zlw%o#=K$z&ZXN?4B|akCy|3||ER}>8jA2f9$?3Fo$6hc};(K~JoxZ7s#%}`)CZM?9 zKWUdTJ+^^)oZeex59>|g5eshic`7ZUh!}-+j#Ja*Tby*e65RFEPg;R<)66HVk#p-{|M-OOeZ; z56zNn1iI~ba)h>9U0hHR$BWpR(;iC!OEgot5(N)#tNl0BN+R|9WmRd<&QEYI9qCz3 zPQ-V?vN^$$VsmZIS_{=ZbNKiA&HLY$IF!WgUYDJIJ+Ji)vbhGe_lvStDCs##lls15 zt`Tuu&{2ObL?RRfJe;}w)d0uH6hJ6-`iI6{gWV299RPeo?c3NOmO<@+7VC`~&{Si$gij>( zzl(k|E!Tfy@Hf(XMC1!MwB*<-KDJ)z&<|mv3oc$4m?YZnPOcf0@bybAx4~g`tBep+ z@|dGk1u)RdgngTxGoTYmL-UI9sdRcBb3)V6t`HXN;0Y#3b>L_=zf3pfAO$naN<5iytsPEKm(``MF3qh z@*Ea)>X}jkv$onFQ6+zgrL$gsz@Z9evU3 zRA3LOZ^Wzw)QgD649&qSp{m4(&7kvNmnf{1DBKeO%o^P$&pgyi7<#>3QvO-9MfraU zZCZg#Tgul`ba~D!C$UwW|7NvHgM#XG|BI=R(nUrfMu!n*hWJI1>166*^M|KPOX88? zVj-CVW!sMO5q2Jg-C{6EuN2TC9UI@Illi0u{SeK0qe?1zSoXCVr522p?=yt3jGF92 z=k<;L1K+`e87^; zh2T6R7!f_6OWtyRiN>eGOgOc7T(du(EfGiY5MX!I2#0>rc@9CbLnh_}Bv-8lpxrD8tbp~8V`>9M0MxDU z^Cd<4VU`jl3MN`AUhxclf~3t|O1yqwBu^TfPzopXpWWOk#;((?3bR8AOWk`cKH2|X1e(|4wT8DW(F)rb60yqIvhl60B$@{S*`9bo^V22IkU z!p*os(nJfZbI(pg5q zMYcVmK#Nj3ulBCd|E(wfKEwI-sATs4TwgN1_`mQiO}#Mm2nFHT45IoifAHHxc+CCT?N%+GZF zahDNG7p$+ugUaVMqx+eKyh^GkBW>uLXBI(KhCw+_hl&q(%c2YI-oaL*dOSeT9J^N> zhdtm;k@X@6WF)FT@^&1SCLOqfd)|S6M?`eSCeX@POPgPUYrLPdFMr|tEJ8~KMI;;APMbQwpT%`gU7#577@R1TlYX7)hIOXxsX2Ur~rF|8Abu!+TnFjL^ss##_^ zH8~W$sF;7GkbsuYK^U!a*x`&X@ZdxPp}(MQqoZJ=eymHNO*o)nZMOoa{7H*AXjCsM z>4b+$MFfslU4wW`U$B5dnu%LcC836Y}hE81wTARGOCsmxQKqmCS z3Jnbn36D(JrwwX!>TtH42W50B5$v)7oX2uSk$8qn%(fQ-?_+8Yrt?rFgby3b5rk1r{$a7d+0dxz6}If)j8Kh=$VbOf6tRg zoC725g&zgPnz(B=-QI4!{@}aaew{w4kWiLZwQ#X5q=(QiS`yKMTk03XWN&BpRc|f@yFi+mhEa#9J0}C^k?zjQUt9#e(CEg?`yL{MF&QRqU|FcYq<(0 zvG)sHP{ac!hwUob?)L6Tl5Zv;n8+tELfnLsr`hjef`amYfhq^4TX;H3?(FZxUutcT z8@$CJmab4i#|_ys{y_lb_5h`^_-K?=+Ck?(6uY-A@ETQ`0m{Q8^>zk^d%{pAv(9+DYRLf6aZFY`( z-%q%HZ%XT!plztC9##`_Xu>3RGcoNM>%$}!jfk<|IKR4@{Jy%8=LvqY5wkXOpiE+c ziJtdImAzL-Gg#HVi8dC6cfYC)yo?L8d)Drw44vN@R<|alwl@-J;JKJogWi< z4Z{Z|q@H!TOk-n138vG*8RQLR!aWp>i>*>e_G^x?-kMZXoXayf_^DGVB8UGKpO(57 z7SxxvxAqE9s0CDczv5Z1kT~`yJPkO8>;o(1G6&u{H!T3tGYzwDC4;?8t0!hHP8(Mk z8$q6sqM_ibM6F`@7GOq3Pdy#m+N((6H`NneEchb$FS*1&`^cUDXD3@9Ep;Q)NI5p4 zgAmV8@Q08HoTKCtyP$mw5_At6;Qd7Lp1H#^qEfc#@sK~_B8}6Ck6oYk!w>V+QTeNU zV$Dxh@caG~SNBe{#_+9D&_SpAel55iq21-P^oEi9utUA&av8`pIco@52s(e6YTiO1 zNzWD7uPZEiYdsykQraayoY7wSf_-_Nu4z%*6cZ7+E`ok z{xjV`8|Hfl_xIo5NWvE9nAjAW2kW6&^2f$ATM+=`k5=6864Gcy=XnFDm8OZ_fSwAQ zvU<6)c{dKrXG_LJLvk`tlZsyG5x|xzuKueE))1|qB0>SDWCxu(JVG3|5TvN8%((nu z{(na&%7AQWxE;EgJ#i_w$>a8#lb!<0KAx>9gEx&mqmsCe<*b=)4(&TyH(8x8qQM|% zxBq4Q{1n@+RJ`&9{%hj#Uh`a;MlNS7pa3&@>HjKbQG&HeMFKFh9d5wA+I2CWrJ2m| zn8|KK&?8lGs6FGJ2xXOmcB^VsQqILnd@n1C2e=1EM>&H2Uncl?NED~9jS+_2oMsBt zI<1t)C+I_u;zQ!uFmlrYAqxnoU>dNnPn%e&V*QtqR44*R9_31c%#U=2g`BK<3$WUr z!zW%9b-=E6126<+6>~4YO4|(y)*g3EoFKwt&mu{}3H!Jhg@uK}Vy+Ap|4O9J zr%k^?uF?#$L*i*byD<=EeN>?nzxSBCn1Q56KRFGa3VH+r+v-Mmm^ePE zQ!AwM_IYpe_BVE9jKQwoE4qZt{vnU&|FiaJ~F$Mw7iek3^XRjW-DJ1o>f3f%y7#6 zr?`{TJ5PY^KxXlArw%$qqKRu8KA`><-mR7boTz4mBDK!`!tvydIVS z$YkIGT5ej%?yB+oQiCnI=LUq-H_+dIYxMY55$Tp8atUAf_S$i0U*o3jJu$}9d|v57`2I{lSWl1X?0JN`5Czab?y&)3<-Y3al!jbC5~GH1-xFw#6fUD!{bK@b zgH?fLta%5Xf!S~J8?8CSxzZj~YUg+0K7q1L0erC7jyFo5JdBpzJC7&L5v(h4dg?9K zk%IoR(76!J1*iY^z7Zgi)igVY)UcFTdj_fxnL4)lK7JmLiW(gA2(t`2`5?yyj}LG= zYzVmn37X$p`@eX}x!uf62=u5ocTD64yQQQ@k`6?Dy)!Ue!(}n~_Ki_K#J^P7Scit; z+P%pmP;x=Ck$J6Ch@i+KGTra0Pb!CZyNf=xuh>l4DuUAyDD!LrZx0txa3cT z-zbfvJw{9|1PnXsTUtlj=p6AH0;bjci-UGHh>^^E2Y6Yvg(=Xi^>(Mz;}0wc7lO{5y0iR zw}UTBY_#z3Bcl8mrG)i6#4T0~*$+i%!RBxSLZwz3z`3a-&dJW` zm!5y^9B=!)xe0MpXSIX2P%aG!a{g<0yb>Bx!&w+ZwK%l%zjd3>pxq!Yn7)t4U|^i= z6b__yh2O(SIVnWP397_G;<;>;k$DQ9fh7YjPi^cRvF5sT@Z=WD}MFx^LzvXd$#{}hDyuJ#9 zjFkZ7<=pzSYwIQJD_j&L?Pc%y3y7m|BJJaQ=LaL+F~767S0Q%laioqth9BzkjEY<6 zl`2|V1c?Cg33x+aY2-lISO;j188Y3gNiTM8SixYi@EIol<1H4tbV8m>GOo`becph& zS3U5FaZn-9t^O)!y>hX!V;ph3hM(zk!<52m8CJ7DG2`=&>vgs|0EC5l6pHmgsb=n8 zqopgu*_s~XlkYvTR+r0w4>iYXEBq>*v?oB{SV~O8{4AL)U7) z{unVg1CTM`x%_vpjbg>5V+M2B?QWPUxE#o6DEO+&(3jb(5Ya|f0SNZhXN`2ZJmsMy zbV^x_!6WmT4eSf`5L%d`Hfb7EjkXvgXE&S$^A(r$)vz{%kt3k1Wo;Bl28acK#%i-l zLddy$lffzXq*lRiR$A-;9kziSh~X{*3(!0~4tm#sT9gBdS)wuohM&rtSk8#~!ot5j@z;W6NS6;-m3QW`eNpKh<&4eU=}*;doiSaInKeHbE-7 z2COvf0zU2+M*!M*>I!DRVtZ!;ZU9)6BVB0rq8unrPuYW$ZcjWw^$Vxe)ClJAJZ@~f z#H8VP(7Bxk_g><=3GH1!$tLQbfhZMmqADAc`MXnbIZ4r&cf-+SE~zf4+vDv9;b34k zsZ3ErkIV|c3sR4YteYFMZI;6adH{a>DoWWcIWc6RQfuUbbhc5tFpYzY zAI@^atKq#}@Kev}a!X_fKrGzeuK_yE(gNp$<#Ta%AXYm6@qk`#l8$g}VG&0FR1_-} z{7N+Ta~lGHj%kLL=_0$j>6;>1@)BuEW$jYYWk7ydF3pgsy}ndqsIy$D<<@O0(&q@@&5mh(uNkE~-v1+5!zaNc`L=83u-^x08 ziB9p@gF@NMLTl@n&UyTZ$}pDmZRkpzkw8h-fv~jB-%5M$C|==snpspq5o5C6DJ7M; zoP1P((ZU>!2yM=^5KKT`y~csq8{~f0X#hLNf!b7UcqgPxtAwPNKu!OLi-wK>j)`ef zvqly250i$fnw#nJUue90_0oF9FY!mT@-x*Car3M}i)f+hl)YcYsBHtRYAZV0j6WYP z_A_wrpOHi3b#>9~Xn@b>waLn#DmT0PjM3B{800O>lz9N_#dZvIqzwVAxP!x&t_2?L z%(~M*IQq$ zd5gdM{C_%PhahXo6m}(EZSPlSLV_pjU_cvXd#go)#XJ;omX01df{mQp7cG-#?R@-i zpv%AG)f$way$LWb-2eVnDFLi-Rze;$w0n&mLn8HunWK*xf~YXLmW7kqZ9mO)$}|yA z*2+ln)8=K86_P`0!o>zd<)PoO#DCF#AbE;MRDK*z9qCGfGK2b&ne+*$bXdsaSZ_7z zmAa|quwvaXeVnULx^S#OnjQeQNq%_lv*#ICJv-=HaKE;a0l|k%>Rs;`A)Cu+W(Q7D>*bz8{ zITDhxl7djm@&;5ht3WQ&fvkrl=tLT|F5ORRKy&fF<0Ht}tca>d^5QoQZ7sX4peC4A zh={jW=o2}4d(M>uCI%?Kicp9F#{ktc-CG4jUT1xY)<uwL@-FWl*Bi&@1xG-_3qdO`bFy#)I3zW_>RE|ew4 zO8<9=<9wypMFmanO3FgDAy2H566%n?lnf$4Q>A2| z4<}zkjJEx#l(b3-SQL0asFdp>rqe5WwDI z()N{@ky}KgP$QP1IQAhNkq%G;Wh#{_T~AuHBEAU`4r&!V&6)jgYvYJ;cV`P#Zh^e- z-4js>A>2!|K^K5wq=oIDs)Q37)8s$(NViWZ_CPhE2PjFzMcT|qWdv{ns6C~5BZ(PQ%R{O)qj@DBEiYl+q zKVP6?P;mTiOIYP0OMtyd)$&_VCjtJ=9`LSwD~V3^Gh^W)(`?b7iEszd?Ra8!`6DQ| zgT()}#lSy`90J3xcvZ_a$)TkvT5Z-8Ih%)Qz|+_JAvpvZ@sXv0;!O^p`Sz&*7Ktm3 zg0vga3lYQt51pF$k^1~%hRh>)RT;*gI9}8@KG~{ELp+M$^T@hld=z#=TIXa24|zA4 zShUgSy>nFRN4vY10(2aJ_xa?y8kHW7OftIgtF!&{#X|MBW-PIPTkkX86=$5}V3hWZ zP)O)pkmC9)d{U+Jo2>&Z&)@U!m)tzgAyKn@DQ^O{@!w_31iph&6dWAz*8m|Fab@~?dOJiGc< z(3;?e7<0URTk8K_xe#u4e--(={$G#lU3QnrbMgnL(ra48m~@{K-aG?X^4}&<)IG^V zVSaXc$Bio3Q|u^i|H$pEoI`kLvxq;*mi z$p9W6e}AF8T7WhGS9rgCRo_(lT*ZNA)SNg|tn%ctC%SUA8N1T%ci-OX!{M`uqdA-f%_51n={P8Zb-G(GhgPvYv*~yZdw=wzO{Ks@mVi7#1$25M*~v~V6!HT zZIrf=XkToYe=r)H&w66S}4l*EiurI)}t} z6RzRv$$4#zXaeUZmZ3#dpo=K{iRAm9Nbb(@H9{!RjpqGHHX;(mP5qqqA+|)D@;xdt z?BTr~3zoUnkDg5K@#(PVuJdezt4RQ#7&jKdq?&9*Be6o8ctq>%9Xhr{`of5ml*FYv zZHz_8LydVvW}LOWbZ4}-M)a#e#h;RfUN@?vVe33iP8uR3jq&yg@UUKUcJF>>j)wur z*zFGrMc+o1q>7bZ2WoMEM7Q^ArO_EL$%8U!YpwNiJy717D}&*?trK^?0|zwmB}S){ zp?>A^`+R<=2MEh{t%@Yo1?|I>uoBFpI{-V+5-B%n7-R5KZ!r*pEy6?lGh!J?cP|7m zeKo`kWW=S#393Rc#Or5|u*W%O+@n^@7?w(a6p>yg%7(DHb{@egDI{KC#$jXjEMoMc z_0NzIsL19&Xf+t{Z55{jVGxHkxCwB=x4XfWzB+%}Vn_u=T`g6`k;h7KbqiPmCE2tD z7GR$+l^K1v_!a2lHh!!En8|W7UEEJvc6gC}7TpR}G`t0UjZu{u;Jh?WL?!~n-eI_7 z(@_;5r76HuG9?KjEkZe4VYF=D;O??zt)KecB_0|PbQYCvc5yoo2#GoX`pgwQE5~2u zitnO4w6KO^fOu%JA5+Ve{>MM&UEnT+#)LGW(%1uVYUcm@Dcms8(fI-~M6voA>6E0k zP$NWGr@R(Q4%!X0^!<_N1c?1gsCS`)Ko{}+(~(wLJg%W>@ZJ))6DI=D(Zw&t#Zcb? zJgDwEN8i13NB;@+wZ5f2l)XZecoYmsxCRC5&zl9;H<_WXL)hqXA+UwZ?`f83{YOeJ zW+esiWpSn)m;kHDe1ip#GDFV$=`N@yyxP=zIdndqK9wU|G4N`-Uba@O)yl*ldg;V& znQPcUA*|k)W*=1^X!A2s%dZzjR%0eO^0ANC{tPBQ|FOjaKoyZ0djG^g3^Q5+KPc8RAuQp`@)|F0EiRuEsaXpxeAw^sRZ~{62MJxXT?V5ho=5}TS;<;rr zI+E7SYw?^DOSHRZaE& zo&!{m{plcFt<9YFcxBMtL7I$`&+GX#`dRExw7S>P{|q+{%cFaZ3%Bx}f31WbdqNOznQn4CiY^D*5u0>8$7 z$}2`!fa4xo6qRZY=aEXq$F|#4$RiaBvUNJ6d2hwT$&B1ok*;^zQZv-V*<;u8BnIj8 z3rImE#rGZR2ANpc#tdVnA~oALI%8PlXUQBRpEpvIfkI`Q+vn9KYSU0Yk9)ti@S2Fs z&?ej^XE!J?U@z(mX~5Yg@<3iZw6PHVQa|HUX;GF!CG#L^eP9O8WQo1h5OYfk^~VJE z_`Y;Mg_;+KL_~HubcX;}YE9sB+OG|o#Gnm&Vzb=bNVFdOir`<-#sW01eoyc}VnqI@ z)Sk$UYit5$3hdYJMkD8VJ^Jf{J5Qf`j#H@nk%=gRN&+*NE5}zint|rk?w9MamMQ9U zuQpS;M!e+V2V4Ta--%-h;^sX(Z~vpk1N!f=C00FwzR52C&7Y#4_E8V0qQ{vdgd{K$ zvvlt$OE1$rgfh%j4A(q3aSRWFd87Mja#klXW?Ny6 zmK-RK|In-cL!=+knC1%Qd9B|>`X=f~WN_-B3Xuz)Q=ik2!>DoGyog|;Hi}670eU3s zVqJSL#wnh|+{-0$nF5rGx~)`GPk(OsOgg`k=Fs z^!c*t?@^@FP@YCg4|2!f+Y62NM6Obifl{GS5yI6}Vlx>REFF>QZCUf%8*Os<4&43; zmt)creuo6O(JAEKCK)M>4Xb3;c+h7&@QeP+#W#r}tQb7fth0C9R^=s6e(W6mvi$|A ztFShM-Ta1d{OC2vN^{$bK%3Ef1={QK5gsV?5b8I`{3p+Pz4Jb}%-yo8^}J53{I@2x zE6b?Up+nGjZucaG}5SNz!1w&?~ zBCB|kH~ppWtA893R zCC~lBB+uLKzkizGsM-I_8Vfc=Yx7-vVc&3A^PV_ctf`q89l1U&KP@!=Ft);EGhAq4y`j6E{vJ8fODLD9Q^ zO+}@&pQ^sD6SW8J?!0qD6ivj89tVB6xbD*-24{lR*AUp@6pO9MR+y;Rm-x?CWwF@_ z%7S^Vl&I9Ni(kIA6~a*$FVtGM#E7MxzEch5@^*tEL~AjmkBX6Zi+2HI%pbHNy#JPLI56XLL40#MF9$8M`I-bJA@_$>A?-H!?(ySX(qSuL zf8cr5!dcY2`iIN%+o-N3wUJn9$#*0)-f8ZB>%-ZsPxzco#d!xq(!cgOm)O!d-k|YX zIfwe4gc@IwqB8WaUjEr-IbrOznbq`WGqoC^T-%H$sBs%rlw=>hRa5u%-B*t5Du&a6 z=&VLbBxi9O4$EoilwnT@Izg0K1?57eRUkNz?8Hq}r8|lw%0``iU#;rh)%+guW)>OU z1)<-uJIZkY=z6uZd((!*%Mlq4N8tYC|8n<&goSM;N4BzMW`~~(BPBTNo5vEtH>PC% zgOhIJ_~*sFHy~X?AF?oX>|Us1 zBuS(d!*I>zO4nJ)Xf=!^Kx@kcy%r1HSufFi8e=zGfqmwrmSCr3lAymoeJv|3j|X(x z&eTI-iewk-WhAwKY~`8abFPzP{;`^j(AKI#uhsXK zN+{`in$Yd91G$P@0*m`~v&N4`)?bxA3Sa~$*zIes@Ci49PCtK+s_~ul5I{1xkY7sY z1;L*!hw8($MA^TRA3hsRFg9l%8tqntO>qAl>xD?^j@Q|K!f(g#fT#2Y;n5YCGEwHW z>yD*8s3!anu(ai>)s(mR;$*wtl@fVeW%6o)v(g$AA8|i|p?;ME&9%uTZZ9X8qXR+% zrXD+K0Y>{fu7BZVB|_}Qh4Nn4$JwF(1F9-V?Gi%!_O zs;GlV^cZY!5)?VD)5Dgdxd>#>d!&c%{9dKPc9NH$5~Fp0JJ0OeY3*Z8b1mPC$@5cy zj6r4g^&cI7ESRERPBvM5^MbvjIuDAhOIWb|JKUjXHc>`HECu0XF@ub+nx? zC$v-8Ul0=pq$B%iUvAFn&&rhczc<0KSZse9?>E>H>j!l|!|fzipbY=5k4)Lvc`AFSgE(B9phk9J zeq1o*iH~_+K%PRfS*UeOjxVkjBn3D<7Qr}BhE^Fb@D@IoyUV~q%UZaM8#<<#7?_Ge zwm1FNwM?ya8Zp>Pd?WXyE0t9RUF31Li1-3uhS`d4oe^1W7tw;F6a@$b8Mq`w^Gboe zYwR-coQ`GhF}~sR>|)U?V3+UZaXX=^zB-)DOz|UZiI-0;x7DoR2fhzK=>{?JN>~5x z>4YMsT#A%Quj2ESz|mjwWKR!5zyP5*9djI5hZz+9X~KE z?J(3Kkl2sduQKjECie8cqJd`}Bz0BWPvP zfhjnrA4qH3x-;lX@G<(?p~FcSoU%LUF5Sq=<)NZ&EB?NRpfQ19Lpn1KR@|uedoX0? zuZg{_Vp>ft9^@YSA~XIY*(rKW#1T0=kKUsWv-@MPdeo_0He+^bjM&#}Q# zgjXZz!6AXjpkTOD%&Jhvb|>6dPl+h*xMDeqeEZD*HiUe>AP~_#C3Cvrtdy_(IIPkC zW08qIEPZCWb--lDl6@cf#L?k}=--~i%pDdH&zzInl>hmQg1`#@+diDrUTk~^*1a{O zTd&#Uc=$={O6EcvyKaa&I&H@*A$a!5|L&-PA>H7r-QB@6|_tfRj5Jk~HwT^b3MVSCP zYWmgw^1>rTqsBD+ai=5UA1*pYci$jJh>V|qHmc|d2o;HP)Yw}v`YwkNZ^k(SLLD0% zdN)FK3-3=_7fnb8Q3yuFL!iZj;u++es=e5~}vU zD+pxUwR@m%!(Csn;7MEdZav9NMVBO#_eU4h9QwWyf0;^Qp68eI{K=?&=t+qXdlp=i zn6j)MZrU~VNGS^miGuR;e$1VBcBmboww@$2gN94@Suk#wZba=3 zL2u;!t_CYP_1|13?F0b(R3c%JunEG)jphA^4Xh8*0zp#)ovFrKwLdC4lSr**VhyoY z=OGn5~YB9b9~wsbL1ZMPGLLJo6%e zN)vBZSnY~co}!9s5)hZncdjkMLtI8a6*r7l1ryp?&I)-yo$-AQ6Guc4#4`}Wd^|P# zfg^)6s(eox$Y`W#gQSe~7yinG?`af>+hewNLY=S~PPAGe7x+38NE4~`-;&QD=g#l! zp9PVOw|2|Nw16sf$W4}f5p#L2XQ^1DY$&058Zl`37`N8-RAhj?LTuRE7DR4XZ!#vI zTFHhlfu6xDh7}1R>`0kP`73iz51d>b^|~w1dvSP4r?dIcOOHSwfZ7i_rrrmR}n-sC=y-QN%cHt>{+^jPvEq zoKo*X0ce~bO5r{Z#XRm}{~tFIDwcf+V>J4gR-6vHUgK8qCpcf!s4{hv$(Ohk@%btW z2wUWvQ@ch*UckHRyJBgfy+HKulER&p*KGK6o?vtD`mFuFdv=FWx0N~<6j9bWJRd3$ zxv#6)*K_<7v+36S#s;QbU%#?4r~cQ;{9kLiN=r*->#|F0!Ew_$R{msx~;N3PyW8Z22(&VUXcn+O;2 z(uV!?=>-J`2W*-^20=X685t1G+o?*xqTCP>B#1@tLBZI8@qPcm{oEUF)pf4K&kiz{ zfUwTxbi3sh1dx*T68rePg@i>3+I$}<@SVT12WTV@Biq}LBht}eH@9z*6VX1RA=g8m z323;0cKhq1=zVsRQ)Gnr<1X-Mmq-Bd4>M@jfy*GXc;qf~w*+$4DFW@G003Y>ri?^N zDk4~IYL^C^3(W?k7S&@h<4u&ZX%B>;U2;Kf48Z3Sez~}xnd>=U&e)h2yj4oTYwtV| zF%)}t2!rJJEpC;7*Xm_QLP(6gbs1nmlNK_zCSm;YSn2Gt<8#H$w~^D&u_t)tcE$XC zW+I&#HDGf_H8kFbxH@uhY0cp!yUydg`RM@$I_tm~^*ay)04CKyl{7*Sw`2_JP5B!V z#NkmBwU+g@ob7H*3*@&03nJf^?6!!ITNLek5a#qE5}^202@KMtfKfl&1tK5(xUAyA zL0}fXs5-L^T6@;>>CvJ6T#kOl~yX(z^y`2-}^HNRigD11%TcWsz=r|RnqH6FK!*vY!A#q=9 zcb**ZL&v@`Q$iOkAwV{eT*0`Na{IqGlJqop) zugLv#wz_|)1hn#`MrGF5+U(Dt5aajuwnJNBu*OsWWBIwN6VJwef>V4B=<3-hcTCuu zfVpRl%C=Pt-C%KipnA}54Em{sd_a3D+`xVcL|Hy~P#ii?8yZv__#Yde#Br(9i9Zre zbaq{CJf_jSzR{_Bz!ZaLcG3;eABT`nYfJ;)C+5j|I2;pc4e^cET?6}%5Y2|G zn|=6Bw!SSYFo}mp1y=jzT-=+j@T3pChqC7|wh|WpVhUWE*`V5a9MmN5?>;vWhibqw@Huhc*rz)EnTG1Zw#AEEd z^{dNnV>Ir5b<=HU6nGAZ^()*Zp*8E2`TPXaIZ;l18O^VZ+_+mp^mBv0%ul86(2wLU zI_`__L~Lz2IEcE7O2&#Ygz0XaG}S1IN~RRjfXIiAc1KXr@MuD4)A*WsNsTq`4qCO% zs-x=MS!N5GHaqtS#2HxlQ8k0vrpix`pVq(EB8_KohOX>j^acePunfIaNZ2%(Pr@!) za^!Y)qh_m}>F-W3gUC(u;@1N~Ql`|TyMs)AKc;JxOjncz{LnnaS}WH2XCVTij|aCh zFxqR`5nC`swJ>qK-UQ<35NV%jchSM=7^+|6LN}eiSAl=soJ;uB<}f+;P79XQ zh*7C0HPL7Sz0En22ymf%M5oegUYJ}|a5>LRA-vR~S$;_dABygy>QQFNgDwNWq6Ubk zv}|VY)`C|D3!?6t!nz@>^+7AO$whaM*k%jo%JVDm?lBmEtNz9-49BBMOpXi28J0aJ5WS19-2MYcR-!}R5b@hhzdOa$HT1AhMlfe+YN*`Xt*iDP@fcjJSW@@#hG2p z@Q{>n$h>{7nwN<&HHofCb!n9VHu%+~u3?$u6k{;Z;YGTmoMB1rDiy{6znI~Elv(U8 zzR8&IZN*=>8aG7}Y8MM5UUMMVJr>@Vkz3))E5~%sgIV9)ey3te?WWmgdT{sbRYbT*@m)8e%ipQdGhw29J1tn z5b=K6h(ayH^ytrsCN`M9G2hbe{(XY0cHK0tbk1!Ondk>eQpuPHj*x4uB+UQiHjE~p zKG{rZtenC*9Yo9vy7X(c$(~i9Q@frD>2Pne6|H{=v%*Itl^%vq^he^oN@6slnL#d| zd?x+$%8L|Zv}^d3x~#-$nLZFN*wg#x?J*+0`YH88sjU+F0THmrzBgGD89Jb(C-Ct2 z2;%3LO@mo4j8BZu;q1U+VAjoItV0Pj4|IdPZIxL38f5_VsdanrpKE0CdKE>%SFru<^S z0TMyn_h9)7X)pvMwxS`Z$+)VY)d#F9@IdoG=YD&Bz3KB+d;Aj;f9YO3Zl>ATZ?s3s zNrU9`_67@wBt+9@`y#8B;M*~op{2r~2=b&L*4aG36%Ll{?LO}~|2^VCX$+QJJ7A_^ z>wbw-0byZf)pEl1izYGs41hlAcrNE~a=u+l6*o692+j0~P4n?|I*+*CX9fNf5n$Mk zlH4}V%}VG)jKJp+TG5&>U$xsCUF@Ov{UEenqEF4oIPo2L&fxV9+M7T4%k0L%Cc3QUe|?(~{41q0g4*6a7U$ z-~V|k2y21$S`9V3UM07mbNI(ug-KqXKc6YYtm~KEuE&l#H|VaO>tjGuPUc>jreGXg zTynCLegtYz0rU1YiAF)(Ljbgul5$K)eBE)FS*1pSOGu$ly^?^U=x`z?E_GZSutN3f zVJ10GoOjFu7ecmD=huDmxkz~1Lg5@uxyCRc zy!`!v(E{3tnT{aF$bncAK1o8h$@y5&dC?*-HQqQ+o5~pQ2Vp!HXWm30kWo^?SN6!( zOG~d5<_MjO&`c}Afh{a@PHp3pPPJ+=hEEZi_mz*-+^4?I7J6hW&`miVDE%6M#tbmp)b@CF}nM z>vM!=Xo+fa&%#0{8UIyIt3e5MErST&=ZfC1=cy9TX1i~mF!Qbhzc~K{o?S&x5m8AC zIZ2P`i1-ZV0pFSV1U{9zD@`~ihc~j&gTb&Vec>Kp3E?j=u5Hg?(f^G9#(&8?&;>?e z)0i3tj5-3r`V<3=#tdTPv?YNRZ)ZPgLI`&k+xY8`WR6cERgBuzitJ0@K5Qll zL-Ze%!Qq(mUc(9o0`(E`{rv~?9hna&I}&jln|q?BTR(A>GSg1MwQ?B<$jHc3|E=hZ zjX{S-CP2`AabO$bxT%~k{0@JU;w)$|Q!#Um%gl8&6&Eu$+Y6xkyd{sT#>URCthBc3 z42x5Ta{_ppF4Du%;ZyIYp2uGIsgB*QZJedL;6|U7-ptLN9cYZC&e$jF5oK!Fxaz?s z)wwwwcIj>s;FnoLN1A^Mg^*+%L;!T*38FI5qe$mY29@_3X9|f=qBB08@EYW1s{kR3 zfGiH;qv!cdS~LNZVl4~m^tdcYv^wr#5Tf`TE&V5+EAm?IjicduePrp;F?X0*Me*4m z1{usF5Uf#S61v~*OIvaxy$ix`@%M)ko%z$`&AFP(#$(Lo(Ia?F(Esv{uf?FvqeoLo zYc!iQ(%H&(2#5D%08*L5G}(hC>cE~@&z&dXzeQ=ty`J*) z@nZFW%XFAf6XGCHelto=S@j-5)wX9L78v*EI28WRq*^nvU|wxC+C+Ec+-z?sdf)%S z)>%bG`MzJ@K)O*vdMJl3>1KeTySqV=4(Tq*p^=6Gq`N!5bc3`Y-QE3v{2jbU?}@sW zYoQGD%zfSa+Mm4%dDDu(mSgbGJzvWrjd-(FHB)|l0mP9y78Beu-eW0jFw=;HFz^B$ zdT^cBfKujI$BnfMhUVB+g#NvQOoZdFYaP3d)XQ3vc+UjtJDrHw9J}r188ZsrQH_@P z%D|WDs8pC!i)oN!EA+=5>sx)J|N0;mI|3HLszVQBWb+<6{eH>cLz|46&$?9)QuKvE zZ(%5-QQ={rG_Mo3?usA^8R4+8*39pFCQSMlMMDb>G%C2v#e=tHWNQuTL938 z2P{s?5o1gLFlOUEJ72)41$h6*(?v0ji*M((Y?4=L$4*C)@lI2pE6m|?xS|>mPt$Ij z;^LssQwT|_@PmcQUM+ZO#y@5X=P_iFs00}GRm~woA#bKx>y0COv_jdiOtU1Ng&%%p zaW-=W_cf+fk|1SR4o*8Flv;pP9lFn^PD0!;A(5>lwFw zLE=MWq~(vFCAJ3zA8t^pLB64=#;nJIZ%I%#L9-K@`c36#8T){w`6)6=u#x;qP}=M=i| z5B_TRIcKqxhTG8AslMPKN)FUG2V5h5v73_Psi;!E4v%g(!@fF~2yY{HWYmlGx~Z~H zLjR2>6=sXjy=ytYRViHIq2?ln<3WkAhKLj9C@-ofmIH}3dpJNVP9)^^)#at4`HHJO zO&A*+0{H2(apFJhrE@yXqJI~<#Fh%g(*OQMlDD&z|GO%`VT|( zD|`Z`Xq7As*lRn|!V`WLx=b|bVmXkJ3VwOdho|x=%@E% z8=hqe6IxF2CWf_B6kKI?9udGiLgJ2aQIHYfztSNNXC!8b*UDG@FKz2j-_XWz-kJ2x zW7phQ<8&J@2TtrH6T762M@HFQCtm6gRJgEjN9d!!iT_JgF@vYy0&pu89yl#m@@jux zz|!X(uedGV&lx+v<{)KP91Uq4f4;IDfn<9e?bw&k}vL>K4jz> zQmK?{2UZk&2V<_*hK?=Nd>R^!A0T3{*1aJU4631Qp%Zk!f6w7_Cy~yeWjV|TW(I7S zfQo-8j9gyGk~1?%Op6qaOqxicGL{(9eqA|#`7s$8k2kU8>od5u@6)U8BrWzEKn1X2@pN>j+2c zs$&&XiHGa~uOOK)GY%L<7X|2JmG}Ia=ETX(awvfP{Wo@mR1BbrdafgF-q;9C z<)D#TYZt!!Pf%L}$3Ps7fW;#o%6m@NnAP#7QON(Qii2=b78O&-_%C*2)+FAA+le3T zy(?_2jzcOk0Bemw6uw+X{%6sfYHXb&Bsyp*91RqYWC;tpFs;IWmpV18YSxNiIlnHm z8f>=L7EOyzuc(#mAb3E>^=%~x`KPdQ%Hx^>Pc$pZa)w`_^Q^BcIcVabr&^?PWyDqh#KXgQC{$yag=kZ)aV4C>ga@Tu}*#DJ=^aVS^0f1tZ%Hd*4nj% zhlCfn2K`OKFM}D17mnVAbOta^ePZK`{Er1<@M$=!C->PvqMdT(@$NBmMUMn9;u4T zNb*gwb7YrRYwl9>#?~#iKMlypi+eev4}Nh6Q_I35N(-F2G_$;;F*EqZ zL(NQZye&mUUg0xp2aHQ|x!NrtBBFXKd#z$TC)*CN<2;8zr5y*?5~AlHNEG#Zty1N` zsjWU&&J}paFKQcL>@VsA4|f)#;!muV7L2IloSU1~2*C{La5)99#B|CqpywWyoeGa; zXpo3g5uiO*5d^;{LYs^OC-jr{B!Pgo4RtjYF_kZ<>_z$!V>Vvi3r?FuPnm+gWKHkx zfHLmR?W3h2+Zy?vzV&4-qmyuef70SL579!((5dq;k3;>D-uk!DF3jE37yoAIA`P># zN%jLxt!=CHtODtXsP9Xl0V&^FHK>ekZ1?d~*es%@8!gcnamR@tn{+SF0tf>XcTgw4 z01z%5d>IXNS05;d9&Wh5${Q6x5ZSjKi<%-8!A!=E3A6gsVQq;|Ri>Gg$&h^9w ze+onQgh{*RDMKC1hTlQbRuava@W6XO*^)+ETXXm9fV$-k4US)3N?=LS;1v79Lx&uk z`flJg($okUDnIC8C_ah|4cA;dJ3w(Z>9#&`m6tm%Uk>i2V7V+XOZw_{jQkHzLxa zl(v6b!RBx??WYaG+xD{1=JF?6JuJ zX9?m>rOhG4Kc@L|7gNyNyOoit%gI-GpXA^c^I$H@3Do}CwprfM#b4AQ)VHv@hmdf z-cx&P{22_Z2FNe&$u{o6Z*gvDl97_HGSYsO>SfnhBk|cAl~i=}?Oz>WlW7AyBOe}^ zDc0Nq>xu@L+9VV4z{H<}*2&C!^9R^DXyFkqm;}uo13Y}q?Xiz@fuP_HyhG#)kNfF| z+xKI1EJmnsl!*^`9eUX}eb&JKFx;VTnmQrjHYjp3`H`|=>AJG5Fl(uT^yya|m9~%3tmT@Gg6KFi_)biS;SIZtUc#hzU3aw=RT_sq z$=xOt8U1>%dM9-rj+|b&8RB_{XSL~>s)te($b0x6W>Als)VEB*)t2XzAE-p(3DqH& zce`N;nyijNn}m;l+*Z+O9v*PP+Nq~_mWq^JfAXXV(uLhN^QcswlQC8GJB}nntDStq zp{XQE=yN5utjph!8JJXqz6*&>%K8+@oyv-7*AH~GId1pMaB#BQ8}}TfL54Q^>z-*r zTXJuB3@421KVbSo09jqrY2@c1WN3UcL}a8Z@Z$@>mJ~-q7ixJGzAZ<4@nwe`tm08r z@%i`Glk$t`?g#)*3sM|%q$Z}c;OgRUfs#B;t{mq~89`Kk_=wI_u)*W4NU%6RSzDjX zf$~aG9|j&=r4kPedz=3}Hh(TsE_M>86^x2uyx}TCt|U#=ghU@u^=d7miGP*1TGuAg+s!j+6|aLf0tlIUFpVdtw-HHjLo;(gTMIdi#raPOE@@_;0B0S0jZ)m zb&_Uqy%wC*>tkr8vtRckP51mZ8?i_G`7dZ(_}PbaCX3BGzZ9GbPG+Q9rr5d_LFB zg(qC?=img20r%aE;LAfBoT4O*_zIzxYbIUMuO)BTcQaLs6t@z;N~npBu@_Yv5ltOy zffDyK?}j1uP+F~Hnu$R;(0L{ODgh<_l6wotDyXw|E6uL9Z;FIUAI&KK$jN`NoP2K$ z^-IhMUy$aZp~bg$K$)+|4Um-o8MfQgVx>38r2uVk>UmCd1eApPs~pHhJPBF&>jnf=YF=@Gj$l z$REMY=VWIjkd|DNVAb*v#uk)6g~Oevx4>eEXtV5`Dzs`vF0hc9DH8 zDZJscHK~1X$zRq%t5i~)FgiEqwIU}%<>0tXmMD7=2lm8H#^*Y`Fvm`##!2^_nF}I0tgKFvR8a@e)ycNRjpSUKuC~cU zY*#LDg?El;SNy4~rPKoPBYBy&YSfx~h=pN9@Vl{TC7n5Xy@=Xx4;;>PY)z_yP{|F2 zZO^HB++}Whh9+~&Q|Ww3-btZ(*i}0kxy(V$^cn2{Il+A(qz=Xwf|XoOK3#%Ef@zIr znq0TYhJNy#VMQ)z&w9wBS8zrADovGuH5bqcj0Fz$sY5d#(%l^sAdzNN>z=>~C^-Nq z$j|3!dS=?(q|18$2|7HSlC5^#-x7InXZ5U=GWQK2$M$&K_+N!PnP8HR@0!>Ubve$n zYNbxJq^4mNBGm^3(T64IH}^Pp6r=#bN`-9YioOm{*!%r)4`=7W7k9(!3#T0G$iDb} zv>@E2|EAZ@xd7W6z~}^73f|^=ul_sGyo`p6H$UUbw!4ISx6% zyxEg6(tK(w>>=9i<#P4sC+08PS&XZ92GXuI=}%T>Wh2?zhhLaL7ORgJ% zQTg4HOgZ`xBXMw1Ho!KEk$iPua-?etK#LxTmT#oxamFZt{R5n>jvOLsP~HiOlqO8$ zw4J~%mT7^J;Y4jtAa}Day-UI&0&5OXKKV1mm623^rCej^bDR;j{J2&c3!G7)d2~ZV z1vqtNznPTzmz~2nj9q@U_wQknjh_;WtJf9Q1O~glUE?OnI-QCX2e#a1EI}k?x)q9o zczJ)c{+MD0KHUIbL`eko3WiUF@u9hZ5|0Yy<&ah&$wdD9VLKY|Q5hBTIUkyT2>DN& zi^%Y}&=Q!ZXJRO{aL2ZOl^2I{_NJu77D2ui@|DyAe z-RkhmzAp@_U05GRofaDh8Wt!Dw+QMY8vX{LTxb4WL4Qnhsx;q?B2(318WEQXj;W=} z6P`~nL@NhCQ?Xf`-;(HOENS1IYCvRkN|p($n77ZD)}doxxI!x7-S%9QLH~i6I9tv9&2!jGh*fmipSMf%IP8La$he5ao3Z-F>VAB;c}W?=b2b@#Yf#V*5f$sw{r!0B zrHmGbs+6D~G_`)iVP?K#G41hJL<86TwlF;2emrRfd{6w+dQDe>~*C=?#AC=Y<~ZvbHmx5g(S|j87uuu`DG47jTqB(g*I`J9Cr5Q33Ca zD~iug@IA7GQbEM$bei;!$SH6Bvt_ao9?BNB2f(^6HtABwr4eE6)RewDc*>Emmt6%x zU!&ejshVE*7%j^$M+>$U>y)5=ZCrP+7a<(QSTwYuumrniytd(6`i{tc*>3GksqHN7 zFm$xuuC|7=X%P%LayJr9W-ThJ#G^VJ@dwNB1Qx4OTv*)6*66v0=@vcn#!FQRo~(9K zeNn)x$F)rJf1->ti@>T~QiFG<-TP;B;h|-Mf$Ou-f^OzoK!&zV*SWiRA4D|pFY+Wu zK96=ivc>&QrnlLh^1<3~;Nxo0*t!dE?Dn!mw?VHqV&V>?*weltVA3#^f?efep9T3s zCNcmQK~fTsk{8zbrK#?=*yFRa)$fmdUqY4Mllc2RU}~|NH&Yb2ocu;ZY_J1NESBTI z$FBEk0JO**wZ~Itta2kHCg=b|JONR%b92W1a)aa5L73&ZM=;dABmg={1P%;PQd0?t zNWPk=lC|`@yCcy0yrUV!-<-$FO5*Xef1>7~Ve5O?SG2wXR2k^SnfQIptHY&gc_X3n z2d-hNj77XQuS(M^otfObzjF6d2??+Rf`CtRp;`@A;R8cw^1~WDC62Fx#{+T9tRrYR z$k^!XMiO~Nl3l7J&#z>XNGXjC@IM7-HU8%FamS-wuj zI5icP*5uW}M~)c=&L+)L5h#QQwdiRi_`+(q_d_=WF+Ph#Z9ml}LWro-99j3bpciC2 zaJhCUJXA6EdY4yi)(+oamw1X#MO_uI>pKF>@t+3=PiKIE5khUu45l)7!I8UOHc)TP zZbE@KX^%LGJ57`UDiX&;1rQeW_Ba*#di6U7)22T{Iwh*&web;(%$|G}NEu&6g;Vw6E$#ilf-lS@c3Pe=sXB81&xhCqpJQ z3oqtq#Ax)#;nK8Hj@PBrs08osHfFmR)}7LgWwB+nItK3*@1K05p}_C6Usbl`v`Ml* z_jJDn_r=6I--IL6_rLh8S;=m&CfY=26}O#@@9W}#TTu83Et~76dCq`FguUstr_JzK zDQ=9D?46sovjyMh2zNZ%uJI8>iFV2fL3?QIl$I?j$lQz?)c{}gVOcvoUG(8(`&|T% ztRsEewRRNK(qyf{+nH}l%6PKYDzku*y)`3({F_9x0oouf&ll${0M=q*Y3FPr4#joQy06ec30}E&Fh5 zRSDWp|5TgEi5A5Vuyb-66*8eni_2x;fKQGaI8T)RDsiwWU7J^@eofK@`~U|Zm%HEe z($bh*aQ#!1ybW~S$x$E0alV`cBsy(}yG$4T;hqq5MvxF)JFThjX|?8nm;`5jTU;v$ zK$T%kUn}#*QANUtKMX$NmmvbD^4!G+;@(2p6JAEo<9&Ay6DTJ|-mLMC9jB+pfZ~4h z?T1db$!boH-<~{lC9MdG!sU!WG#&c2xsH1r%9ktmi?GP-r=QnH^xF3pObx5<%H~Z3 zCRA_o4gOSwf`!St02}t&M8QQEI}GrzW9@|JpZ-2;cEm$Ox(sR+EOExUFZQSuDvALx zSIDWlvD>E5-p&g(Oyzcy5XM-C?ZYRRi{4HMHY++^Fy;q691a{tt6#a%cR2kEw_~vZ z!w#wV9ex6LgzLnM(3o`zEzUPb8C5Aykthr$)TR9or4%YdZ$w%= z1%W^K%puM4CBN>LaSeJcd7a4GnS!#hw#j@qpEC6e z`YEO7Uo#)^x7Lr>Q`wZ}SCgkccR@YY(f+$4Ru0|H)9#U+SZ>GTReZ!g`Wu3QMsqm8 zmCX?pgPQe@vUibexM1}C?JwB|+X>TZil|3-zn3K(aM|9Uy!GAnfJsxpv^go&O!e12erzB}lqiComWfW~{vrINt+*nleAOp{#cK6MA9(ZR{c7ZiWB4aj zsmsn3KYw;*)DMRcu)u}Aes~je<%#O|{l0qFXQ7wT+vu_Nai*XK&9X|s@o<+P8{F|9 zfFr%pbn*i=Tl$xvtgiC9VCFj;oN;pXQ(u=EYyW9V+nhGtdZSo|mhxFH?zeg3)+6u; zqYNkGfkU5y{4VB{%(h=;TrExHD95kTsra1H)GMS#-KJR#u=~8a`j25!Yu?>g9B$_6 zmceHwa`fZj8pNzRbV>i_mi4*z0-j72yGgM&ajLag@<@Z5&!mpkT`{Kk*XvPFLUIVd z%s!+>K8=4uJIdbLwrIl3QDN8&x5UOSe3%LUs=+nIx4CcoJypmTcG4d0h?}MJWEXQ^ z(=|JmY5WM@yrC|ujR=`{RVoMt-f1#UqdMb3-73_59U=jW_+n(eJ;$!EnDy=ZaYm7N z;8vJrR|OM)zF-hD+1;i|P|kk-;Bagm<|-ozH^l{!;7UZfC`dG0a-n9mi%1i?WJ#LT$X-+v3MZW#r}Rp%a)puc@wH-DhJ>E+y@!rY>pYynC`C}6RH#Qv{k>;`f-@* z<(&TmdIz*W+&xY@{raZa&m!*I)!bBKWc)o4Q%3ocfa`C*bWnfXZAV#B!jKP3fsO>6 z+WH0u8*JAq1GfoL1(MIQFn_ISqEmAF`~WtaGp`p3SSUJb5pYn>VwalV8O{|4*IyB@ zZSF@6&?yl){Kh`;$-KV71}kR1e?`m3Cd%{R&?h7cr+$?ti}bjA&^OQNLi@$*F3}z& zQ0(!F*H}5s{SiG)vlLe$jH&5qo~_CPkU9};fsCzNHZv!L8aTveplf0fC2J#2@{GcAk~A8eY46 zslNEefg{fyf6M{%3+*N|$9eq=<+XbqhHmWHgw!j(|9(5F;K%d1C=teazs;#N#@nBKVl{SrdHq`^EFr2=(M1@L^P{fmk%3ZWv0VY4-T=`{b6rx2WhJiO zV77$oHR?eK6}X#O%sF$uF08rU+{;4&rDsm{u<*_XLXmXhIfw5~{eVO%$V2k*A#viD zvG`2h_@^?R2s2GPzHDLt{rgdnI32@9qS`eG%zmxIbzaLRVgJ5a z((bU~qb19+c)!BQw^kDuxR9Y{mnzt-J9XLgdM?3tBin#c*&WlZ&B+OMy-=R%;m-Jn zPE{^OZ}?Cvmi}-Uj649^^b7}KHr5ij^Mdd##*_*+8@;lj}YX)q!g(|Ds|w-0YUZ*ZRE;0J#MipZN+(#y#qb56o| zvTPVQ)(y-_K%E}lyvz!;JE?c z88fY(?Recw)3p|j(}zw@xfps?=o**?$RZ~nO%I`aWFc2~uQhgDtjSRWr|Z%P`Q^H8 zNcNOenwz`#PQj7!=9MJfA=7CM%0E+3EmhG(eYQM_AH5B=^d|CZ%;5IVABE-aS45xg zdzSr<>A)D}7D*{0gyBm_*ZUY3H3Y$LmPY?_(PFNJm8Tau63U(EMtt6QzQy^UI^qlT zUl}_}7+!AJ8b?WQ^r4A&`;oO7Ij)!0oH@RF^>Qf<5mhVwUO+ZyYkaOGExM9*96!fd zVGJvZTOl{NcxrYHojg-r_ZUA2Nn!s|`~BqJWgj)K?c=99hn^mwkZ4g+XKD53BnC)^ zBp^tpV5Wal_!-NDZ4EvD-SnFM{wss#$@{;hHHtR$zAq)k)E!#PQ{%qL(4u!e>>zU@ z_jC1y`z3lOgLNTcRNi6s_^3=Z+2!43(FZ(Ye!OD=iRwuzGp$Cd8~=E-M@A>Edo|K9 zvdJ0@xZuJZnPNp|XZqSy=8H=i-+To#j5xKFmIcjdI=~*b?Z~^CvPgMcP{ewwW(I%6 z1DVg2gNj`+O?kV6(*2c;>Q|{$xW3Yl-KRD+QOl@6>OD}>e&fyiv5A2)o<87Lh>&)F zm*0Ju!L+6bJf;K;J0N4ka3G)ZOW}z*V{WZoMJH|KmfD;xgl{d$ObY80lcBs;K~g8@ zgWD(2A7R2l#iy!>N>o!C%pWx;*?d#Tc?D*c#@xnfj-Y&cV}UQSYr7|hwUAo{gjVf3 zOzSZ$7~nHWOy3R7aJy#jigVDnC%m3=L+Pe|`Zm6J1jS?jh+;gOz4{g7(Gw$kM=m{ z(usoJZX!QQt|o47gxYjhEF#TJo~79tc)9|Y#iDSvkA{fG-k&`TZ0 zTHLs9pjE+Lv7IbVL|(5oUjg+lyNN{?Vny4kiwuWEK@y%v&vk(-DtH*61>mi=jJcn_ zmYIOe#dA8=DAa8jE$G(pEEV$V82QeCQDyF%#FC`EQbxPmpW@$Pr|u!GDF{|y$#Cx9 zjD74>hP93B=d_Jv0j1q)d3zbrc{S4PQ<;TS=hn2{MUu)})Pho^dY&UW%IOVy{$t`}6*i0Z<9`jUFZ6Vl5s%Zy#kIkE zZWV%x2zdLZo0G(m>@FZ#<~9D6j~i)8NDm+(;mh@>WupGGpu~swagi5wgRVuk+O<;U z?aqmMGwCxpcWhhJ7XHu!3<8hCb-?i8@ZtZ78p!lRs9z1|U4p`GFKOE);g7F~UjDgB zm}PQ3jop$uGz`dBOEz1uWZ`C0q{hDljnrPhTwnI*IQ9vRg z_P(c`>5N}s3fDFdvti}EW>~_OsW(i-bW8uaV({@t)%gn)8gW+D#&Hyg3ufpeh>0_C zr7Rv1JbaRMRbl7+%!z;!Js!=_uPB+)B^VBE${fpfzAh8BoE>%Ui^4!FJW$OR<%vBq zv)KX8AWtqRM>E!zVKVS^2^5DjrbgKwTmyvEm%)D;m*XEkuPYZ9XRAdLz9rY3fl0L2 z_ij~x3(x)@lKts;P~aiPPfkGI5qA|cTNveA5(e&8vjx64xXon_8~r`M$1)A>yi%5% z1AV-y+vpFT$!elg@H?Z3xhL|Zuz*W6L}^>12Sf`tXYG9&7>Xd%pe6AuTA+Uk{>xwIqRhyj<$>8th_f77LY9VBr*et1PJa5#VJ9u1upGE7_eFzM-_nfj>WM62 z9_>A&+>E3ITHz6I@_ZL_`eT8!ne@%|N6<@LZY~U;fFHO$9R^@I zO#mQC_gB9(+J090XYxDXvanZU-)@5O$J1B?SSk4`ayJ;-4c@wGpi<9*=7UvDT5w3C&!>g zF0bu9(7)eG1C_5{_ScMKGTIso+KHsv-eRvhkRfS;evfZF+>lS^^6RRtkKaHDb>Ft* zILj02KL9}v&6B*V!;({FY*jhzPx9*CZPjftU&8s@8kh^9^;`iFV=h)UJTY6-b(Nl5 zM4|rnXTJb=;6xUz2fhcTg$6&z`_2)kqA{GYa+e$z>}~e%`x)Hi zp|u?Oi6MN_|7mC>ka)ygua?hYe1U!}&kMUjJBhfPZh zN&z0o5ShHU8xZHu_rp_Z^BUoGgM8KBok1}RSG#FU#2@sZ>Rp3h{yWgRbD|*v_bd7Z zem*k1duI=K|M-+=R!IEWk@~P~HzW6HZfbxq@Lu+MS~{MtC;nSCCwYk5iH14+u`oOV za9jfc=hB0N10zELNl8hK?T5UM!0pm$Cuod=i&+67^`W_M&T6{&Lv0M1xTpuMnD~sHh(a=t^XuUG@l8TF_^>Qci6y>emgGm z!$Jlx)m90hIyeGvgW|0%wBBk(@{BmAi)^R8IEr7DrDQLEuqp)s28|Y1l41Qf#7;}{ z&C$kjoR>X!j$8AWtcRYVi(ZJdA;ThroV|G#nMD*o{i9cH{T@Z%r9qP+R+gd+RHL0D z_2+XZp$P?% zQcdMEB*bs0`w|On5(P>l$oGMZQjIe%7j6@{Bm3h~O}gIt<5*kmEE&~l0SbOhTG;qY z57EA-SQaa(X+OI_=fhBmzxuNEOFFA{E_DgO=GC#4aq}H4X=|BmDh(!Ej5IpfJLU<7 zp&}J9qj`)yBqSfZ@M#MD$Mn1BAMPWHzT-VQw^KA9>daywW|<^k%TkdpK$_#mr1bY3 zc~SR+gdd1D)9Lzde&ExlxyAZn(e&^f_H_LCNh@XeZa0q`0U60!Mm zW_QjL%pWBk8sZ_8=N3yptb){C z8fm!T3T>ReyVaH>;#7;2#s<&XNct1uf$@TMGz%7p#+EJjN8jY86g^rvaKVz{P5@CV&EVbL=eB!@Scl39c z;<(_Zq_P^bpT0kzi_dZ1FFKVB^{beTZ{{%o#;q4mhzyb^l3W(w+t0D8m?DHMD)p9Qbsrc9c!XDvW6RVs9yy=l3IE=!cvcY;IjR<`85*(R;VC1yd zlDqep8CZ(M+WoF)ipJF%92}CAQ>$gIt$tlO}0s`2UzcI8N}&pO_E zmA*1g-NA418on!g`vo1dRDO!J@(->fKVudR)upDBnCyX$fwh@b>@13OfrW+m458mx zWRQZ9I}OL#vKZP@dxPeWMEICc&UO~|Pt}tVC;?xF=RiFpn1FYg24r4WF`05KrLK>$ zSDO^KCzSZ!EtkyyqpJ~6NzkD*1B|4+2PkzlZ@8lt@9auzy#t{O?QKf7HIr#dDjgOt_iHKpb;9+r=s%-fb#-<@IrA)CprC|@K{yJEiA_3F2uI*7rBW%Y=WE;(9jf?y zWy5Af`CsHdWT-6(0Jow+i#g@-cSrl7=oGRAkKCia{PtU}tpb2j#DLDN6J%IXAv?`Pp@DYSy?F*`APo~;9Y35t>?50)hNho9_Od5JULDBRQjAbkyScZepg(~Z}RdfnJ zx!z*=a#PXN#anT;x}7|6sKf~v@ENmb8Z<|%Ah8f0@dx>k@OVBejkMI^riT{ejVI9)g)D-SBGCCSO8TH`KM3tZT z0dbIBI{IXqoSAM6Ek7mro(+9_Bxz>+RvK@O@nALXo``L_MID zRn>jS+K93mV?SK!Z^#8c(aAlR04rBI=IW{%agWsQ@3)o4mGyAJ;Nyj^F)~xLqn;4V z4@Ux_Hh)PTY7*ES38Q|?h@;tG222m45iTjRf5$vJBW1K^=DAP98nh z#>&etZKwn3g&EM=Gq;!3b&)o#74AG}2OVdX@BO}So5S8Cxjy4>Uq;Giw63}CgJ`E} z*6KLz=aq0oVZW7IXTM`OytE>^GdT(`k16{$WxdX5?uMwV3aG}YCbKqWB~aG9#CEY3 zd>*#9xn2W|M-+goRUv7h56(%o!bXeZS z)hv^8NYGqwurOer+&v4S9*1X4ob#k z$&_FY#ber5eFeB#B;De4cB?P>T5TV$f^Map5nG?x5XtHG!B49RINSBq=TbK#z5Wg- z;+JpZkLI{OREPOuJjJh#IsK=1h2D`-Ef-PWW-!Cw`}p#+#^xf$Eg@NN3=Z6SUtyhS>NT+{yO3c;2+4iy=#V-{c- z+8G*8UaHw`0uo)ybWkIi16B!jP!G$i^c0Nlbe!#gz3GkQ*Mo^1@iS{snZyUhQ*<5%6 zp>;~?wj+-!Qi9D4&qq`N9D?EF5?XmQXtZiZf7ZQnwo8rKzGK zGhRuUO7spYtso_)hY)quWK-IuMs?adj3o8@*tjcFRjc6;6lu##!H^NyT`kXcM_y~5 z6qOj*V=-=0?NS4g-qm1mzpRq@-;6Rww z)I!6ze$4g5M#p99puOR+goFBA`9Mh{{=eI#OA@ctFjaCL4nG-};CGMF0AXcPAh|q& zm@_#HPTN_v^oJUiX>9TjQDtddvfAaltzPRvfd6hs!xa~ZgPr=-D^Oisz&d9OBg1~-$^*RW{lHBpMJ5ZdC=7~yQIQsc`e-G?zOuKEdOE*34=zDmm z1)voRcv%;B?#C6UmX`DBdU~zdfzcWKfIlFs5R^W)7VJtkp{gP}j#*&}5{1JZ3fVG2 zB>n!%#K_B_VU0V@NOXfsfOs#ZpQ>ih=}t*u<=#+LS6BC+T*&O_^ZUu(a$42%bAalRh=>HWNxeils#56z zlhj^e=Z(R{GD1j@(ODeLxo-Z;)$WKbGQ{ScSEfjGJt}!WenDOPL7%fv(+kc{6|{*1wnMi?)QH(S7Rk zF~HtBuZ(4YGT^o=@%}N3N@eIvI3uxnZVncxJcweuxdWM*?jI_V`goQ$uJ(IX%}s}; z{4sh;5%2_$>EL78usJUCRJ~vtgJ_n5b~AwWVScJMU~9VmX6J^Luu1TD`?L4u;fnYn z!v#L0pP^AU#r1(fQ=|el63_6eOdMLyv;U`op^$3_CQ*}zpPPpkvu-rBu{LztqwP2( zm)Q!Whbl@C89jk1-1zKP%b3$uqm07E+{6L)s&_~~Es(rt{i}Rq@Q3%)NrFOyjqB3W zsY{5tgU9+vKUF)5zAAIW^yp--@qCD8op+19?(IM2Zj4A&^~Jfl-527AxqM)M(~%e9 zJIFmtzLO&K*7tZd)=4c1%OE;V-H{me82NVM`C! zf6g_b)KYJEmx;sFR9W8ht|oiA3H|mfJyA)84xUipfxV@LHf5h1MAvY%+8p9LvzQKk zS5T;|aQZLLqyoEQZM5{&+%N)-G2~X~w-LT15#!b`yVv?GyQ^>x4p)5-yv?pndAB z1~+D5(Ee3NJk z2nFn8sY?pwXb-{f;*+bTWPP+Em5EB&EzA!W>uRWDl48@*LGIeOmetLvW=k7JCE;K& zh=&QO!u-LCx4hn7Bo1Y5e*q1c-w!mpGwH$-sFzlN*j}Kor63);Q2zG#7s>nf&zU>r zM!1%;7TSL<__fIRnJP1O{`;EpdEdp5jqD~17i_IxZJWnmraJ4wNGM45+S!)g@jPJc zS|8N-KC1!v+HeSbGcw`Q>&~m*WmcefI;G~gMZ`Ne9XYIPhxZzVnNH<64)8z8^_&Yt z&!&C~C!)T|jC*uWW*3Ms5?13Crx{kSClErTLdScxQ{$1sZUZM&otyn;?^6OoJ=$x| zCZrDiZ_QeZG4sECN=8A$d0kvIKZJUx$&(k0sCb-Z+zZ)QeYGFSt=PiVafzCKmACJ2 zgZkIpo!Psm&wJZC=F+PKVH~PcO(EJJOW#EeefPg0_`_-%$JK`}9NG3Ta>n{e8yjaK zI;Gc@rh9Cq7z5IIL!QD^q^Mo-6*hI|9yxPq^j zi_fdxFL2!L4*h9yV-rd0%D0SRo@j$#0YD_XTU;FVa!W}lWgt!eU)w*;8|(kcuCtyC z1(W_K6&XtcZ*jJbsj15`$17?RnFZkJAeO&K3xz^a5Ec;c~p!v^UMch51TFGD3tSszN(6Zx?kOd zC)n^%vCfy9%ciR=26~MbB6EAbYD#s2@CSmTj}x;XNXUl1#&YYw48Nsu_6&aGhn-v# z;#k~N9TPM^N3cw8|4aA0pCQKsdtTx8ReHVgP-bH@det{HH_L=vSws%8)q9is^rlKw z0rNBRZe8&zcK@smKm zdVSWFGhc6<7p65GdB3ykseKk6hK1IDU3Lz{M+3~nSHHlo+@RsEw>`JD!aUuAXT{vijEQ(@W?e&q^ZesP|T#~AK5YsZM8;}pp~2b z72bz%`VKZVgD)MDqy%EsOztF++EN$vLf+$DfGQ{kP96X0cDPauPpG~AM=Tqu9!waU zO>oFWMF+D>xqO>f8gj07WSTns1CwzX-**9hMdAN*>>bQH*l|$@%0tnQmvM^Q-bG2Q zBc6!mkegafAYc?968s7$7RFX~252S=ro+qz1aJdWtZP5&!w!o$)t8Nvm3(-R*&C}p z&X|Y2XI@!Z!Jmft+Gw$IbA_ul{`8}16hOx>Qa&jvH1}>S`$1E6C9sdvR^yyuO2Da? zk}U0`;41B<0B=cTcR)V0))7J*CF^~K$eHu7#>k1vX@^p}o8JaVSk_PMVJl|`AZo4o zaO8-8v6;YkDOAs*6Y`yy&Wu+uVbQwv5V<|$RWoE@B;d!Qs9FUBPVM#+C*k;IorDG1 znwEhCytK7lEOHa-a$g)5kQfyq7m3czo{*4J%4xn~Ld2#b+4@KgJXI2m0O`PP)1J{5 zhD_`^tw{L!?glg#O%p+;n;)6K@068UMQYIaabpG6cIz_5gZ@zfq2;=mBH`LoL+($b zyXp^}A=DD-__eLSL2;2bga*H*R!`dTew6NU6!Z))=@M42qyi0DA2aufa{-1(W58vcY$lP)Wbd(x7sUT7_Ta7fT_8oJ!p&8%XFO!@&%-wqC{# zm+ukX4#4+BiZ>ho~K(hZMq#ex~1J-K+ZEeintUA#jHGT zgI@zyf$s{6BY^;=06-iSR^%M^tR%OdVaa)Pk)dr79Nr8r=9UpS?76i3 zm%vGLviiaU$Z(3wLSDVdT1zrnF4>;sRJvF_Ay@>cZka%PD0gJOB~@60V0+*P22H`N zp1o!B$VB7%oVJI~xb1+QUg;gwzN_TL(8~CDSXJ2H9h%7W+;Q`p^D8X(huh@)+`F8hQHF!5r?l{3s7`Y?=osxI#LpyD6>V3xGxWdfJhjCJt(Ix#G9zpafdhN1@@K2;qpLt=kEUk9q4jc^#SR z_^M+D;t}beD9ubeBj-cS=cj zNOwwigLHRymy{r#=ka~7@0{=a(_edEti9HH=9pvLBPKl6SNpq*lEy8x(xlP?rGjhJ z*a`5mTmWixKbNgMYOHds-A6f;(ZleuGfzz~OGv&Sw8gXw`_~yfxEsj@dUD!NT~-06 z|8fPe$@SKfwY5QAt-TNnO8^o3O~cHM5E`J$#RA9?3>H8{#K8B@i?*fxy8NNeL0pRqsPL4xzYF1J^&cbh2*CP6;oPjW5>gvXn-?H%a8pkVd2b?O zO=Fcv^43584=wH4ij+pNZ@_e7g%H72ru+JvAsO{-flb;-yk?hq>%86`1f7N-m5_$> z_3H`t;sEWb1NQ_~5XF-2GynSovKvV?gJg@Gq z2+?uzAdWC84`}eZP)C^cL&GoJ|9oV%S?t<>OMu34&DWE(hKsKnS-u{ccttn__%a$`ahO-a8)nvmEbaf1EBvsvCXP%B z%gV|#4oDMq{}+!L@EUIG_gmtk)Af)r+{mruDF2b?Sk!pQMY@;OcNB$Ba-u_xjd&~U ztx!&Ns}lmn)PDAR`z#^RU-RfX?oNGj6JySvNg$?rr%1Q_G69QiW{r+x1QKYOzA3-0 zi9V_H9b)a7Lnnhl%xgz-UFddmR75k$f?G{^SoA0Tj)d8;a2VOF zE>;$Z)nSO)c3WI2wI&eLD-eK0O3W5m?tw2Xe*cdApSN32V4>NQ%0jK;L$!{bTHqrt zBf9*)DbO^oQ9&rutb-@?Q5fCe?iCWX+*|zT=9}LLFk|nO=_!;kVNHn_(Vp%@HuQ8> zT1ku^KhYH}{3+)*$o1CYf)(H@qIw3DR~70`)q)!~W==3Y*^3Vq3P2XtB6u4nw_DEs zaPtbuXCV4m@?yUU+};wBbF^BPkET$2;vwCPw5COd_g~vIK3L2z;gX4;#UZkiw8Nds zxTkn>BfI{(8VP#^kd`H3UWD~zt4DO0tF2YWz@D4qX0g_Y5J`J1T(0mche)T&`qa~~ z|LOaff|(N|g3Y(AB1wA9I!yhE8<(#)DCJW(?Z}ZmtPX@DBri1+O;@KkFvz2Fy|;Xs ze0_OzDwMjABfPIe!SV99=Y;?r`FN$ycXQJ}Jf(bas4w!vdVLGYLjQW$Dqw*-?2gM} zgKVkZngK-BA-l6J15GZZDPiil_;7-zX7Z>xDH-3{>g(F1^FFDFz;4b4#G6>H7t>a% zA!&*9JHMldnp4b+s0tOx0@ka6z=`u8ubI}DG440ML){nBSR^-14i4KbCA3d zrI}=b*Dm`%KlXF=$Db+^5xxyo+|pn4n~I$f`FWiat92MOkvl5Ug=PV?SE3Na>`Fju z)R3kFIfHFtQce^6eVdFmjB>^;;$2>=nBHxA zaCm%}ddP^l9{^TFf)$pj%$F11Z;ux|c_{nNa;2a(8IuDqQMIVYL1rhFg0(WP#$p5V+%^|zV z(-4_=W-_`>_n?9`Vb~8DL|wxqQKy&o*vBl}0_GwbLf#Za#z+pdwV!++mZ%E|7tW95|Yf<;@_L#F0660TKL-~SB4m)8CFhR-G)>{Twf`g6|x zhOpu6qa5mjRv}4bxkeBltA`;4-MHjpDfgJoiZ3o@6!iF!@pDYye17Tsrw>IO++mOYfy1NpLt!ovG1+>j z>a`jR8?*Qs={=$qkH@2^uPT0x-Ynk-CVJyVs`rT$wDhto`-^|~sWf%VfZ>vL^QOiB zYp7!ZhO=B(7z&rKWI7LKc>$~3R5#6nkVCf=Ys&NrJjB!xDrd{6%6nTJXlo9CLBz4E z1t76*pCjkY<6*^q{jF!FjvxZ$)po&O7oolySQ(TxpXJgXmsq#myxv20BM1-HY6>Tu z=#yAb{)r+vXH(o+zTioYv^rpsf;N{>IVK8rM|WCrd*(+|Z-Z}K14;{_6xW+YZpm3Q z)Ye)Wz}~u5d!bJAC5yI!#7+;~j(7I-2#>XUz?Q2d|_q*igo9 zWSLBlU6~6&54*6!cJM@4-UICRb;8j?vHhzQGsdpDqvQe1BzYvVWYnJti$>3b_Z=Ve zF42&?-n6}6Xi`AwA3y3m4C#Q6eYbbqtDnYNkI_ym2KnmPYT2Gg5ch<+&)8(V)Dw4W zen3up#@gMTg$=CCyhfPmzFUX*y@M9j$2FwO@#px9`%R4Ux{dH+Z`r>b>G`MH1d}Nh zOyk8OzC1ap0R!Oe|AIUuXg65r{-EEM2}1p9xA};H9}_!!OzNjGATE4=3iOete1Cy; z7a&QL>w2W|y66#!uNC4Ere<}FEH*kF?M(<|Q&T8kxYoS;2Ymz;qR*$F40Q=Ds!G%Y)B|@Li-W-w}8oe20(Z7JgV+)gDMHA$>Df zX=ogieeM+!9~mi+$Ls8OP@~tjv`|qE0~+2ytO0e;^CQHRI*nM4$*^^&NWaBd_js{J z-6YU;KQ>*`>bq}4;^;Sm5M=^G2D4b;Hc(FIvB$ovLV)*q#I_$+5BB%bh7MV3 zxrVIMyuzp-i%#Vw)%Q`A!z-2lNi6CuiVPtU=xgqkQA%H0Mpxu2E_(vuvJ&-Zg;(^U z6pI5kZ)5DVI4z*dfVA@Phc1Vd%edRGw@Bz$>Dw}2WBb$?%PT^NX-hrVPcLP3GUKi7 zD$PVGK%d}NM#ZAX!m2dwcOGP#%Oa1oTJ*I-BkfUs(cWVaW`KTu+*;)Jh-ZKC7d;g{ zs%&}ZJqx(!uq>ivYzMBrBVElDthDQu@u*VSEncHojORQ=xm^Bo zh9;;(C}Qcn*f`}MK#UOW`upm5p;Cz!F;!U*j?+e@pb!l+jW7Gxt2#9XHgcw%b|sSJ z)2Nt(1Njq6#h`LCXi|yOVWwK)EtF{xl3R6G)NY2w7r%*d943;k*#o3c|uRHii_pnYQLf9-NPB9J&k4k6dKi9;I%kRhd63c?9R;mCanzl1;sb1EBDwVN z{Q*KEo9=f!S6I-0RrZ;S)NO1{uFrENx_jgI-ZQ_&x#whRtY8z5T+x%ytF2)e=i>(P zeJsBwnq&a>?g)w@IXwu|$O5Ha`|K+8it=v*$OH6+%`c zN}^K&e~!-@`W=CrPx;7cr2!1A@k zx!K@2;M_?5`_lxe+WdiL4|QkAp24y0VMfptk;W7eIr$s;zR!NOpd=*8!0i-M+T4rh zXuZ!hg}0sazhCJCux37ZZ`}(CUix$M69$NOUd`#s-YWezGXSIqLEG2)j(d*0c2HQR z$&_&3>$nB`A9C2ph)y|Ar@ZfSzmR^k?Af_|^R0b;bpw?e<;Pw$%4=$M6h&#vzV0r9 zIEkpYH`6{7AMvKOcFx`TE^zV;trKu}GIh?>#`oVJB@{#{RS_ zFgU5*c+edANeHIn*%P^-zg+5qF@BDokajpcS@!26HW2K%hXuJc0F_%MoHVA?3xdzb zuoCm|3qfqm@x!L2=9n5cx@sw5Yy*CzxNVceTXn|6jWK=-(E*4SY*2u~$@i-b$toLk zx8v z&iPr1b?DtGNnM&%YwbVKH{NM@ZAm1`V>r2UEPHH3Ga-&LSp;4U+HEA}AM_;c+=Ew3 zb`+!j;KAi%zzTG+(1lOWVaij`cgyp<AqP)%I_P^k~ooY`i{NjCa~vB_g| z&fCD;zEtBxsEp81a0iPJ-tRR-gIqY``}GvFyu}jb?R^_KA%w=K(F0Wm-rpF2Jq}j^ z|6y#Ahub*f`cTCN>}IUdzAi?7+7B`!nVtKJ6EMefsrlx~Y&{0FjJdVxa;=KLZwjO9J7+#AJVedjcHa*M3r2sJ;+fg#93o%%C}fW!rrl zQ2xycjVO(dMX34V`XEF?{llL7V*u%dkQB@O?!6$e4JICMyCg(jhbUMKo z=p!0=iJiKZF3pXA6`Wf05ztkx!TAJ^!RXXt?hiBPlgyGOQQwJb9mu?H?%(vgSEa{mgIDoM@`JHdJzqG+KiFHy+@+s=gGK&CHKFG*6y3~_|;JB!cR*a}Y{+*8{ z2{`2f&z|)ZQFxbT3=Q{m(&9)UU&M~^-g!j9Yl8K{Mm7kx;8hkioSlFp>slT@` z)SseKN38{&dB}?fT@z0f8>=H9up+V2SQzZ@X*cGc1$@*OG$|0vVPaHEOkmw4tv_m$ zX7ls`+xW*wQsCowddSvp7z40s5+SJ5OWZ^hXt0Q3IJNllDTemO33!GrJriuV~Ld*DvsRw8!s;j`h-vrHOlEVA17PYFU?wj(xd7 zagxuXAuF3Tf|gsIW{>7UB+oCE}Vhz@_=M@Q;{f&+=neQ!MqWr8)K zs56f+E9$=z5rHr4BG}w~J-sI_lU15Xo>DhW7FQMltoY=-aPGK_Ic8BATl7k5$&ZEq zSe*34G1j{QUNY(kghX(?iw!P}Q`?7W_!xo2+6{=0o$@&ns1{_LR47V9of-`m>_4Y( zJg3|F$MhP%b;TOJ77GB2_=%O~~h3NoHo-(&jG$V#Dj?W{-3+vF=l>6B0j2R?mBVUcyXk)r76_!jRvZ)zcw( zI61kG5f+TavM8rKQd8t#SYv#mi>NFJ4GsO(9y%9` zQIRtdc#TEv%~Z8r^`^CA1^a%M*&ogLd_fH#vYnsh>qiCwfB>Kp;U zSuKzxf7IOiOm!TyJFi|=CRpJo>8AeZnIL)i4+jet0BDa0F;iVSuS>9Z!iBmw${bLr z#-eN;qIld{xc#p=gz}IP#4%1poA2i*ikBNGO9cCe5LD3Jr(eGT=AyMmIfz+h#&14GlG#>`lS*UI9(aQQzA!5#$-# z8`m>dTehjoQe#&MhGY-f^AFShd)Xl`sxpYZ>% zA&WV3Of5F4n@H|@_uUY`(ssXDqg19=!=PKwPrSQ(1f$YPgr4KqWTHF*8#}Pf;3nK1 zsglTUkx-9*ADABd3`O0TULe&nlOo%|*g zm7YU`ItN$;E8oAVZ~t^nA^1{8;Y0y{-SHD*%+xq8>;6;d%Y^rIgBQ(;$}HvL6W*!W zCDSIHxgZGUXnqvtHKPfSzw-z`oJNy3b37!;?7S@EfW16W{ZP^-ZznFTzOs}bs<-Zl z{;=43jZe)1R+PGCBT_dkV{2033Hu3_$)YMR7Ef9x z@<)#ZGG(8qc}hb@0w^iP#KQuxj-!^spNzSoq<{(ljN*o@W_gbUU(H{3pW6P2kqZlp zR9W3!+|VfV@ETvb(mCu$wY3Me&2a-;Mv#KRp9cOvnJ*v$MgR)IC`%$DL~Tj?3jUup zL(J0G6n`2v5CG+F|C>yRl{m!5Uuf_VBw(|mebl=-S@gfmGokG5GYo3wv%vap#LEgN z{saK_iL(xSlGQ0D6U0wPv{Z{bhX>(O)du^*YN?a>O$Stbx~oiKm3t-Q;eropqC-dX zfsy|6qtIOoPy!17vM=e=W)r@9{!SF76o$GXWXc&+;w5usN;TprR{6}mK@yO#zVddP zj!IqDj6KGqRM=t28x|D1!vi2n-IDZx9 zUfw`}@0k7bXi7vqn;BNY*thnWz=5B%wVPJjWhOc{s^%jIZ}K5uXqOR0;x6n?{oa38 z;Eo6pB<_SLiPe*y4=3w!z!Sbg z)NLw1kk0GlUsEWU6CVFLEyljGvElml%!`Hi(8CR>!HCA8!BRcwq2O&sTTHh4w%ac7 zS~EVx|8dp4vdsr>yI<<>db1PZ7YmX*Z5Czu3>MYP#T+-Rq7pmtA&_i?8u2fqqjEW&mfDIUMg@Oc=|d@!4>BF&%W4xM zxkFn)x0}YckNX@v^5*MuL;s*kVYGq)1%#gH2($wz8c@v*#?h<`P?%bkhD&P7aNU`g ztx{r8+oT$IhjSNoBC0}r_RoDSPLp&Kmf<4r>*;|PTO!1#1C15I@lKRM%M>MZ6w6Z> zRWmO*&W%qO?`ywIYe54-nJ{^SYT_c077!#u!s9~_z*AXImkktQ2C0>caI7k{#<16q z>IQ2OWfuyGd?{V~Mvny7L#So+FydD9r0T?GIg1I0Mp`~#ol}eTFM~0?5A!bCqd+`mJZZwuC|39~n!VQu!sc;{(p5$T0Tg$}`9m?A0csl3@Re zB34a^k6+7_T+E@WowE^xU3K_*4il4*_dL%&nI&ahq?l<=M|Y{0Z-92Cl1Xtr;dPeY zfL(mUMUfA>&oosY<=iquZAmuHRFqW3x(nn)^}#FTh7)uKrk&y>zbhu)eppaAiCCEY z@#?8uY&gd1{?#Gd*@HO=!K5eCxpK%iZ5A)H=3?Sc=4-AQ3z9{(w)nBKrxgC>>e_TP zkV9Ku82*eiWLDlH2F(cSx}z-AQ6wyCG3U5&*(J`IcWL(K{J@M}W2^YDYSd-fnQ~%j zJjZQ5>yA+c*=D^S<-E4Nddl>~kss#r>x%qQ{7s{XMC7Eqc?5yJcjQ|viO#co^I&An z=g1;}E0hOCT$+h7_Rb(}#I1ruhzkG+neRYSKXS(^9`r1B72(@a1zcm3VldP{ro1!W zJ4!$yu@+}zOmxLR2=v?G+Uc;rHiDVF3&Wi}Y0(q*zdx;hbh5`cRG!Z2%4_b z*s=7YNDxHkz!dR=zH^#JK~YNwIk&}SQsG*Y!-82UO7Ov5e25MNRTxIV;4X+9&~hz? z;n*pviUq^t^mZNz;IT#{KK^-+o7n3r;r{kNOT%u?Y$`mKO0e#FKWKh?#{$p>Hi7Km~C; zzDtn9o|NTMjme3z$)rQjWKv`Le)N8pRXQ>u54KvV>xU1%aWHkm<)lMOn}_&+(zv*J zjX?{C*h6&rs-fE-F6qNXZp))!`kc}%(v>z``Y+{fV|R;@XEcT#Lj3NXkI{*);s6qF z*!9tz0p)lOW^X0xNu)8oIXGly%$R7QjYgKeXmybA)a;7MZbEVL7431is# zL^qbo;mY{@Uk|mtiOY#)!s*XrIA_K;bE9^K{aUTI%&^euKZE0aK52Sar{w~Yy#37( zE8f#`csx|?=fxyV@!;(vK~+e8IMLvkFi{cNmPO@&=%A9Ze)ANcDmO}-CjS?Kl>=Q$ zH|;IsDBKvRf$^b_7&fn}xAxD1-dd{Vil1oN#Kf@RN(IO~0|nptvl~iHSq#&%?t5DF zxt}5$VXO*2v0w-CYP=vAv$&%3LCtEsgL(`av-|gF_b( z<~)5Co`A=ClKUWA_#kTtXWVBV(DGVdg(^+_iS^nDSuJuJUcZW!Wt-<&_jPM_$BAKD z-)qSfkv`XzpkL;)c_wh5oAml94F*t}| zMGFn~^_*(07I9|VZxK7jQee zHO)i+5qi`EdX+;W@GXYvOI~|&%*DcckkFaLzuyM^M#Z_&X2|EWD3f?na1QAw}8XvRgR%yX;H73RDi>} zAZ$ZwDlhd=&LpSx%EyH|mMP%_#@6k}2WLK^_HE{N$*l9L;gJUnF|4hcESE7XR+==C z{=N6-cP}MeFy2pY`j=LWQExl+z87cnB3^7AU_GXrcV(!5!eg}9y}5Il39U-Rb|}5N zso_N`bbBMlGd_#6oyuv`JdpLSiF(%u50a0k&nK-{&42L}oYSFx>p6biP)`hY+HvcY z%2UZse-lT8BZ5VpEmF90InCE(!PL=KlqT6E3{jqH(oX-QkQl(v}6S^9Q7#j zU(Cq`DUddOg*4iX0&T0$J}wCFPf=kf#FLS6;UVELV2%X><0){9&VV>2D`0X7co}&1 zN7u*T+B(?x-DXmyFa5*W?k(VVl`EWY+-jbmfnBPs4=`Xo0qA(K%K5@74AtG6#%!B! zBUe!NSok-)3Hh>%XB6Qa7W#Ib2A^jI=^YGYD3d@Z#HPp(CF%YjPJ zCWef|&UOt9&KS3H;PUV5Q7OA?L8C1Sh|bnXyIjp(ymy^QfF}yXT&n&0%n*glu zBl%=Xsd;zZc7(wE!a!n&)wH-u-oJvf|B5!b{c)D@MVz_=Kg6>tAx^5oOVu=8EQ1>&`H%X!##BzG%wa`unz!=U zT#5ruBnO>ofs&h9OE*?|$CDsIU6CIyX%2GqvB*Xpqzu2~yrQ~nl8vrr^#9D_>Ps)? zzP-sBSR{l3g#ZR%(xNauJ2Uvm5_}{2lJyZ#44T0YHk@hjqHtuc@4A_Vmu&bw^}EW) zpWqx6g-KUe7x;mejqTD2l3!j9?|QtyFR_2*w&lG@dP0rm_Qi9JGP6)Vm3l81cXWF9 z2=*;JHHT)}(QBxH8uRnBin)H@+OzLrtf^zDrj9QK{s;T)5K|qVXqo*lDKL~0Q0-$g z@@GsBZ$Dwsy)?E1UCjhBj_%IIV%y+1jmv?-l7d8!+2!@`P=O5zpBH^{<#r<)ljOUu zLtl7l^n6m<;P|wJSeW`ytAy(CgbJ_rpU*-GgUUbi6^;-1QN-jy)Gkej$!yZ-Q;8Bx z83BhM2odZodO$8R-tK%J5n}I(KgM#?6H3kq;)F&BqbK22GHA5scmJ4qy}s4zdF$$C zO#R^PDejsjVz#2fAFj+3)RH+_My6cd5%i{vwJYBFI1DnpCRm_O%0*0t|NIN4;JBn4 zYSCOjna2pb)v+SC=LEr;ctW&7K3(i{ryJ;{tcZmA*HzH+6_*F=^F!?QdIF`O$XQbM zuXFO+-M9J2le0e!r$_WLWVQ{{9Gp`zn`@s@KqN=EERH5x>cK(T;vKdNUAFvc^Ow0i zI<{Ff2n{>&WPlXsfaf>h$);AGBI$qNKYQ?bA5*=5Q%Per`q#|uAOQYxG)WSS8N7&^ zPudWw^f3Gy7H3mru%L`hGqD=R`%(45SdYA9zCt3tGJm%%y>#!DSU_>y)^^}oVe~9z zcjQD94JQM!5_z^p$LBJ5#U2N@>lJP(wdoalUFz=H4w{mV7ZR7#)wk|23N|)E2bduK zAdU{gqzarj;GNCJgw7K=F7P6Iz^8H3$%8%@SScT{OMN7%p&pwV6M`iXnUgSrGuUak z9TyiZcA>7jo%QGMXI{n!&zcC%z`3ChDXGmU<{9nf2))UF(fgC8x}$zF@_(|4&HprP zLib`~LG&X!Qd;HA0dtIh|@X)rSJ)f_Qmey`X797uKmX=UmZP)fyF3au*29-aS zz1_9n`#o8H|3N|GZYWh5*E^c9vkkL2N&SILy386s<(>A-`%6-~R+_`Z!>#2Hv7@Ig zr1v6c^~}m&%rDZs;602$On8s}>}lpmb=T%yEyvIQ$^J)1qH46cQ^6u1_=_Q#b*{*g zG9oT~>4nYk5&T&q+Pn>)9%5_H`O=T0F~PWP1xG{;751LajLpEDC`n+@xEV;z&@n3&Yx)-a@kz zEAhbnB)Ju)^=jj{m1Fq(;UEHI7B5BsF8#H91XVv+(ZA8@&*ZR2zXFWiT%K(TZr8_j z*l%4FGoP5hF1hNo*drz%X8-%hz7B=FJTtloPL%Ns9%1u+9Asjiq|>iF# zcH+K7$>nvzPQzToWlY`jd2M=~BJM>HXmbM(7#nyQxAV(0L$NTxY(n`)8!PsR4#7rb zwSC6AkUPP!yF=aSQ@}_@;&MM8{JSq|G9R3TH~6YwnttrlotdaKPvcR#K-gn|iRc}y zLAMsSr`-B%vyw4}?}zzGtjU6#D#J0)88US9+9JGL|t`*B1` zm3^m&nygO{UHf3IQ5Uo~bi7@>CJAQu_{LDPhp^v|<2VkXFxC5Dgn;~YwO&qVJIdv4+ zIE+7*5Nhq_B9K!udmhFVb(%4q4h0D3D;P~Vv#;~uJ}7FnLOXSo`}U2d2&?Kofen`I z_q?NNR#wsP;he$o|LP#Z{!<6>H7!eaUG+4}LlMOsL?%YZx=89^V*Ra0mlj$)*_BUL zqCcNSw!NRr5-M9NQrM8zG{;rWHIBi-LP}U20=DA&R2JP#qoYvAlzuPExm*BKx18^^ zzPCcW5jlC-<;cMpRe4IO6BXUs(cY8nu#9*LQ$zGKI>!Z4K2!1LUxDV zL3Wo_)hbzHfTl$&@O2!|;AP2Sp>+d0a#;V{swPapDywK8j4^goiYgvKF-y$U#xK*6 zbTSOa+4)S|dBivL5+(0h#j7C+(3|-*}P^Ix&`!O4l9=A zYEZbHQ#TW_7f$iZeX=GJh0OQio;!}hz#jX|x(ys1p+q*FE+8NhXVCcY>c0Mnj6!+r zXPPAUR|1luYKf(lj;N|_N-8ByFm>+^|Ct$A*Dw2a6y-x}-2zB@0MI7PFqFNe$#FgR(YC#4`4rvS!EWV|2eWqV2Jqz<5 zu_2slQi60aCy!l0Uv2Lq{mm_8D$-U6k>oan)=8R3Qh$ZSlZ*Pfn^ITsxp309zE<2^ z9EbjF?Y#>g{`>;yzdt{-?*4DW|&7z^~ix2zXofmx2rII-h@(^urBYeZ+QZ4W9@ularr;@~4 zf_VGnGANgVCWy4BSl{QfZ+Jc<_Ms6#GA1|9+JoMVvX3{FWI*+yB}mrz1D4?3bN3d0 z6A5n^0t@uc$KU-rPk7QNe47PjDnh`S7o_<3W6dS#;eGNuxXVXW>iz@G=@h#TSGiKL zQ?plwTv**Lko$}v5M+GeQ@Wh)u+hiU?+BS1A#&xb#Ij6zIFztl8Ca1mg#yMnroc5) zwfYNQLzB<%mPiHM!i!l8!o0l=f~cuArdmN9TTtYNW7JMas2rqCITCgW3Ev;mz|k7` z=RM}AC~A3&zh>H?Pat|?|FL6>!249GW5RvE06ku@{{7-7D<11kWpw5ZTZQyR5)9EN z;nv50zcEeei^N@vuJl59a&AI-@bB_Q&=7f;vhIDYQcgl-u8TvQNQ_dAF#TM>cXQQS zJzMg}2{>s3iR?#CK<{N12p(3yK`kk!;Qat4m5srb)hdD4=vqNn0>1IS6pb50X{J{tJih9Lj%F#V4?pe za!>2W{lCJ7GF+~AgHuq_NQuBPhU)Ebi#~-AMvVolvXmR{9zF_xbi7_1a5?aWGU&VU zd?azv`nRQIrY0kXDR{>G6&DP=ViLpX?*TuO43e$C1jcLQC}z}1Mw1ev_bG1%X9sBF zc`(D4jK`>gss`O=MDu|6`12>a)ykq6;MRcoDLFbMO0dcE)`^`B9m>Wc99f#%LZ?PBelmra#(n0h^+sB) zU`;>K&91j6PMPqWDb`mQ@qt_o3R%yfkvszPL$f!pE~&zk$>d+!eyafThO*^vx4#Tb z`g^t~pxCSC0RMf-ss|qr31|*e>|gX+%7Z_*s&0b9?HNHrx(0OW@a%^{I{IHqW!n(0 zPvG5uKR7y$+nEEr^!XfG(^vXFcW>v$)50Wc;07E#!m)VcCkotN>Yu;km>D(;l_WE$$MG0+Zk{0U*)6Eqdy8nKsMBNSOzqIF zx8~$|UX{${6Who2_&Q}?Q*zsiu5ak7U%=-FJaMZIgD(W4kwsUx~E`ORWC8=*3P`ic12YZ?KBU2?nuH& zqddiZ-_s5=fQBs6SOcdvp3ZojL(Jpi7n$)PR9Xfd{Q@y2mi1p}J6oGVhG2L2Vod<* zP0%U7f#)+OIzC)O3pJjg@)Qi}ncyo9^$HjaJ6GFXp6@sLZTo^R7@fm9rtYd(NJy82 zY>Ee!pTkMQ`ulKb5kk69%2W;}n~($w&(a0I!aknyX3=s%S85W#*W#$!aiIZue5pk%tl5(&}c&ncaYsAPlXm(wa%fPSitg%|@il4LE8of=3EElNzMVu?` zt6M0GEM0IsDCa#M77F^rIY_Zx#fZsAV)!t`4oQ+j>I&}%Jry_f(PN|5(YbY9i`UCo zsQfOQeq5IS=t8lk37B*?OrSOJP0YonO>n#p*ML0{@dh`m=@&M15*f=MI|lDgewcF` zmG7vR33=7WO!3zJqeQGQeIF19^NeH8gF{W+;h{za)nWZlKkIf=FR_NZL4exNkW63& zgiIEVAlp(sys1(1vJ3Xd8;ROP?}x>o_YVn~e~q;mFIlfUi8b_ED53ck?o6qFPp|bp z^e2&b8x;D4?I_V7m#_8=c*j0P!Nl-1$t+CI3}HUO$(v%5?o{x{9lj$en4IaA%q4RnfO(9ejNch~b2=U2@*8c(oQ*Hf{1w#SSW8!pvm;+Xksz(RJ zzeO@Q1z0u7rw8FrzpLA69pR~!YKBFwJ7Ybd45v@Sp+Yh%)$>|7cW@Yl*q79o8m#^Q zUbc5+U4PW3p{Fjrl!&%woPv5ci&p$CXWB!|0}(68>sLC zyq7`Gm7k9#lcrAlh{Jab;AaF1T=el{ricq3(2PIs+lUFO&^*cxufS3%*)@tiU2To{ z%?Q}sD*WO1cq8^Xa$>bKcx+T_Yq&yEZCwAGq6DXu8WybebWVPJjQzQCPqQl`5EnX9 zeyN#y(9b)s19h;8TT)u)t>)9wObHEU&=>3V5Tbh>Hp4>{BpjqvU?hG9Xayg}nAjSL z;YS_sJl1f2!=tGUS`EDktmk*aYFN&fCLQmZ*Cu-K+I((>4Eh(rs+u*$r>`_z7r&b& z(NuPLA(8omv2I^$xEDT*L{&rt6SMI)rwKb;u&Sz5NHliIFk;K}&;Hn%MzAD@EOKG(lqK1kZ~pj*N=dtvoh&CsEyuh6S{ZsU%k` zK5_CA4<9s{HcO*2SAtaIMEWExP#W1z7<1teA~~qpFC!E1A;1YzO1SBOdnB!4W-siP zIe@KKgz{U5QJ&Vih!&dnu$%(Z6#8t6q ziV3voM=tbqvcXS``g9cegmn^yuLkjLIXJrGnT>mEj>Z1k4KGLzSd7y|F=O7TR^t6-9_UR}_ zdHLf&9UR~MVt$zfyxk|ah;uC&FQFTn)ji8yV-2%Rt?W7_Re?l^ z2Q{f~NI0^8@*=TLt1Z#Z>2C<_FF8ET*V$D&>+GktrqEPar%8`E_@^*3NEA@f5puGJ z8#wPcI&SEgnPX=5`E_O{{WjCX;Qu(pm~QNxQ>(ixyqGW$+6)ehic0YN*Cm7)46=r> zFfko4Vn}Rn8yOgXh4i^T?7g`a$b~T#AT|-0z;grFg2YlMoRMUO^R4lYRgt&%v+&)y z02Lh_Nj)09?co-}N~<@jLgoUwAZO^a@HWGf?e^{s4YR;2=X#t*PtB-Ty~I3{g1BTm z>BBNwa7}kJ48&$R#V?hvJW2Q658)(xPh5L)kXcJkf&EWETepqqNQoF>**wt{Cbgv)D(>qC&d`m4MSqV=d#7@0Ik5{1cn?)a#!X z7B&)jJI>9SUT~iRyXir^d?KwC#OQo1i;E!Lg0G7&b%zKWvb>206^G^P&0p86I8+Dz z{rUv_x>?q`$E%R2PaR}=lUz5}%f7I(-|`;R^lC_ot=A+0c>HZsgdY>#x1>joyoswr z$EWra#4nQb4r+<3sSTmmwZ9ZMKaJcBqqentX8d>|$C|fZ)%7g)o)SW3mhtGs9DAk# zNzaJ;9jglHGVIxGHdO;F$%c=wEW(P@PXp6a-!@p~-=T_%+j7mAe0Qg=d{?UDFWTHc z(%-K5W8IgUZQZHJC-ALh^u{B4vYvun^e;yhEnHxm=tbStl^l>1!6}o8ppfWkE|NPk zZ^?mdB^wN$NHqOp2sNm3C<|1h<5wAto^58Y$t4lDz^1SM$ZEQSmbaewji?uhEn75@ zn6K`f8Yj@arfw|Fp}{VtxVQZHmDtyAx+OL{_HAjDDdH!!Jb?#6;XD(u_gGv9H?lG= zz%@4&BK%mkPivc1*C)LI#0o-HkbCX)&v1%oRD5jC#T!0$;K62B-Fs~45CE)fO z+jT%PsSjsw7vnPx8ZlIqWrN~9Jsvg9xY5Tby<4A`JD(&rAQi}&m-SJ1?o7Q!zAbM! zFx!f!>%#DVV6Ln0`emq$(;4C))Oj+5G8uJO{1=aM7?0a<1g|k=(vvd3Q|AR1_A0Jg zFr1VF`osKT8+@c+;Fu}$9<%*Jw4v>ucLrSd#W5AKsRV@u?!Ud0`7YwHR|?)ze#;lq zhiL^{HVzm}Wdl=NtnNmi=J6`5zV69hv6aHBr-urFas1Ue7^0PIpgH+Ghz^p{apfPt zESN1~NOu&3khl#-w4lp7s6=&SMS@iLeUD>g4r#vJPPTrWOZxvf2ny@E90ZE~HZZAk zQ)a{*DN!p+!>UrtrjT+$;Nz^d_L-|XMB8^|6AD(Ie~Ez22N`!1O4hU7Wd%$~v28Fy6XUD3no8F~l| z@_)x4f^Tm6dN{}mFaPdo+y|I8ePm3HsfQI99msiM5Gocj+0j{IOpiC^_5*$65E4K> zl_iGQM>0|%pTilM;M)H?yv{Ft`oK=s%XZOv5L2!`|N8e?mnSYkHbw}AKYU2j>yhJwIp&+ zE_LfqWcq;jC6QFQ9#^HN-hU+m8+5vcuW?L->5FJ20+ivR2*<8Nz{2CtMkVX2C?wTo z6@^e!rb+XrDf?(P>Il{qDwvx z<60&gI0bHh>iOS)k@PxAZNNTkV2H7wCK__U4a;IhImr0LVMRT1l~g%T;oJGzJNLRx zyMa97588HfTWUSxabIMC$Mud#Gh_a zz3C$N?A3^_`9kd=7{CbNlIXV)6)(f0LpTh!l2V#0Hs5`iX6oOE8i+t{QOxovOA`hs zmCXfGC-`6T!{e>!Wu--NHnn^glbAHycV>5!-$s>Eqo$in??|VI*<#{1_qFgc(e12n%^ZW zXa8@{tpl2$Liik!RZn3*|D7-h5rq3G^9dRj=ZI{jVhYH#X8=?zno2Sre zb;maw&+PQlCZsI1`&M)FUTjfpAYw$UK%bh$lQsG=x>Ci!mq&T=%K{(He4^md7MME~VcVbbhi?~HR zjbVWSb7Wl4Ri#Ww5XNqMlSiK8&o!|T#K;&HcD^ErK5xC+d+zYVz`!V?KfB5(J%7qw zCKliJWi&slt4&99hW1Z!pK;2Ur+UURJeLOj;@;Ar@FVNC;rd> z;pr=*qH5c4MNvSyyUQV^yK6u~x+JVC>zv;VYi7^d z^X&V&ud2X7Ec7@%q54j@WJnXg)f$1E(T^WSr# zA4A_GZl6Ct0usXWXFni+09@oV`>rEO0HDbHuh$f-FV_Mla%SIL9hQHui!ATnsuze( zKlaMtgWB~ZuC1+U8v?F3%TNH@Mzhl4Ln`s@hf*;VfZeo9=P$pV<) z9$$NsFXv#V2^quY;mv~k$%IyeHay98XG#km6Yjzt<0#nbNtDV0sB-7p_Z?RJPG8SJ zv35?`jmliHB44EFni$A8ID3me!u(lp2SvcX8@|zdfL%pL2XO`Wu+kibET*6T;ggd;gm}n$ zZ!(`$`1K$1NWtlCz)9rqR$pKe6q9N0VBPlziV;HpD@Lfjg{+qQ?JfK|@{42G{D?a9 z4jdUN+YPcsWQ^^8Ksrng7t z72V1Ko!TR7$JUm532vhH@4g)7IiH$D_KxtG%#&jD6}vN8h`>ceLJ_B287J%xw?pbj zbPmlR`7I$1{h3BrozF>~(SN7pw5xcY1u*?a3TQaJTH0>=)}~tgRDrXTHw(z{(6Hm~ z{umM|10ZTHIEb>rI&q741+XGyioBj;gNo2kBHey3^90B^$+BIcUj6ZK$u9lk{`2}$ z2b53fCw7tl9j%kZF*V?#!z_ejXkd64Ib#eilUpG@B>b@NKVf0?wJM!T^(d498}#!; z7|O0=SQ^59Zl!?!`r%lq?`q<)@0Rdch)W~xVR{Oda_GQ{9Q`2mmv^#(&in(F>xpS zY&2YNM;9iDTHwd>eJonImbH2E^a>4`kD=zB`ry=BMK(LMdW1gAf1FMa$EOD!su?Wx zHK-LK=97CK2lo>8y^ev1y3O7S!{gQ6)WS^@>2HOK^};6|l%r{4pao5}ea-jFW6@aC zl9yaxF zUvF&A{yit(JOjpTr1%93Na7AE@%y#^`ti0=R+t4Qo2>pb%z#X}1}B4}m@LUfSZoDa z4ehD;u!!+uTK~F2Q>(tvgB}6o>X&@GHd4w29W?~ldsS|emcs+ofu_?4%kC*+L=LqA zzjnK@8(x=}O&-n|>@rx$i~r#UNfm)pef1b6iPw%oPNpR`OvUH(B-ZxC2@4%M>ZRZ)X3 z`GkPPfB8K)? zu6+_8MJ&E6m{ns?+mX@Y{sjXR!ks}^_aF8DJPdrAhS*fFSM=)esa5PhKH|@=yydH0 z66_@^d#Au1U)&usEB*gE{kF}N$(&vOK~pg!jz+!$X~D=6!yTD_POpjR{o6l*#r+LJ z-%P)UH#cNYJrWuo{_VT9O+&#*EziCB>0F=uk0-KM>%MX8J^bG5fu~_Rlc)g;X^^Rj zsS4%ot5Yg_)$A zybQL&fz^o5K5>s;X_7FSO4o}KE3uTQ@bFKX2US>yuQeW|-?T};Ja#n6Mz!6h5nwC) zwLD7eO))=ZuDV?ngA>D1gU40tUFEv@q>vUt1y20^UEgZTM@HH6HI;7-f#AoamWx@o z1+_Ms&kbPuuQ?rQqGFspaJ)iRKOC`aE1+bj zdW&56da*t9MiH}`|0RmbUGUecL3dW?Ha~Xd#W#-&35hT!ycES(OEc+h_ z0{exKqefu%d$^{tks`WgRczwtkN*RKD>&~Z1lM?ojmXMaq7sLE%#+K-Tbc8`wuaHs zQ-|)zrGG~ve&qG(W5`vnUCXE7rjyPPnK_nb)S#dRAyxhDK&#U%R+HV)Tp^R0JDl=k zoV;FcH{Kq4+r2gYBOzc6oT}q1J=7O1)iio57Zn-y5>NX!YyPXvH%o9pdQ|Tg4HMUA zQQSloPr@>|c5V4 zYV^a76YDQ0y52rY5Sy}7Z<+oVN|hIWymQWq3@_(xCb_!2SB8uc${`9+4n_;*vO(AeRnqFM>QV^rpfD!^VxM2o1k7V%0|> ztt0|IsSb&=zi=^{epIyVW8#*d&Hg^nU~-cd<(e|_$m}|#4|_9CbxaF{)_z_14(93_ zl&e-yBxr<_Xi4vqH$gbTh{KtpW>l)+1GtN-?aHDD@BuR79l zF}C>rXQ2e#se90#J{pbg3ohEB`Ti36Q6!7Oi(ejoyX}_iRzTl>VN>xBE+~^_kxc<5{?CWY^LqE zTJ(aV<&cKumfGKa_!L7NOV$PZ%ax7yx2~l+hkL5zkh51B{SV0 z^n7)&hJKQv9@1xG;Sf8f-|@5R#&!t~f8V?Dq02v-uesJXk06oXH8eeBDz?Yk1qflI zD{7N@RCHXaM9pb(&Uxvuo6UI8q}q+ZJXIzmDSACsL!*-?+j1wP7s&d&AGefhTgaV& zXJ zo4>X^gC1^*R#sL>h14Vbfh0eoe;!-yP6*y^dsILdrV6_Xzt1qy{|$OZ)cPrPx)fFENM(X8;3N?yCtQ zh+L1C5lxaLMCj;NH{u->AsZi@pTLVDWYA{0sx`(Az4&;%%|=O)!}OTk3~$&LAv;}w zYYxlc#3qFF|3-|pLLmmhInSrmnfD381e&N~K6eg*IP#)zncVzvPy@xKofH!8<~%zAsdQNuqbw zNJa%iGbO)>i0`D8B&%l#X(s%xkqn*klZsu@-;D`c9Wu>FvZa_7)5!PDN3ju^aP`?n ztq?3R>(`_FfHcJ;&JmXC{pxlI7y|RzN0{Ce%4=B<&dY*x+J6GQk-*MDs~Dpmhl=)A z;;#5J^)@oV%FOj!n_v?;kf>n&gn#$tjM!V+E=`G++U!AO96Xp3J^QW#*$Vg`Am@aK ztR(DjC+SJz6z3hTubQgMJx8t3$Z2gpXI9cy4Dv&svm?Q>$KpWDn$PWtYzyJW*;^{w z22~MOF6z7{KBB^?gBpubaV;=n^ElbDafWQn+hl-1XSUa_K)j3Ya z;wb*Y8+kCsBfpUBK9jk=z5r2%alXwiJ&D)zTg|)y4o~=;5^Q96n<8zpF`G1`7vcyB z3yWWFm$Askar*7O+8r|?5r&GtGC`ls`F(%U%mE0*s^|1?N4U)eY7)dOQoefkA@Zlw z{m8w&OzMTm&u1Wp?u=~iO{$Ye6F-GJo7wyxk*QN|SY+LMN+dInT0$=|IRPu8Y4 zcmwq?7TcxCMWEX~68wnDN=N=0x^U(PFXU{u>tvQ(4l6tUNI!@ywhRStTyRhuAywYP z{oQU$J3R?g&Q-4IjOV!@TzB1Jw61kl;L zdzfP&8kOP!LiJ+fnW!eP%Z+I53ZG>ZNtm;1dzoR`hY<@F(fXD55E9-%D_7R`-2#Pl zV|sywX&x<6n5lCgzTx`Bs-LIiIPv;1HQ_4+yl}^%#mWIU=G|rT%ndYNg?(Xp$vjt*(b?~{9k}Su2ajnUpMsLs7+`yD7EX?9C5`hnMLBY^3 zyHENp?m`04c*mf@v>C9Rf|#>+T0CFynTgX@)GmP8rijU(c7-@?7TzMnkYN@ybRN!q z_8s}udixSE`LLHs2sokEJfI| zWuP=Fk(}rn-z5^d6!z-SV4n`!cL~p!^XxHW(%wJ)NFU6Y9%-N#oOr0jdGnFP+?~w| zcmv*!%J5rs#`bWYoh`D@G3{y2sfdWh`h3Yi7cm{1dPQMtc61(w;7me@)a-Qzc(eyY4 z6Qm!A{k4P~z=4;E?S8TvvfL`D*O6x$>;L*Ler7ZsneF?nB&A9*qN~(yE(EMC4)X=> z;p32Z38jYOu;mub+3Cv!y|lT^$J<|gegejq=2Ut6be+%a$A`r^@D{SO+4S1p=YoS% zHMKkp7grm9ragPzDOYzRkFseK53&Kt0LslbEp(2nM2+7o5!rp?v6*Kdm?^*mDAdGy}c}j8cm-tk)2%ivl zw?w++Cg2RaGwtCVIhKN6j`<^%^uMIV=7ynB$F5dohtYqSB!pxaSw&V<`afpz$ibq< z@_kgIhX$lP_1MLGs2yX+xIlxl7Y1vg##UZfYkrT>rO?@90prK6P2h2%{n=t49fK@A ze4^4(ay3%aTJFLnyTw3iLuh~fv?JFpy~1j2ujOzNwL^EYjg2Z#?K&fGMaEM;Xhd6W zO9(dk^2B~LN4tHG?59M#Z3im$xR}L>Un%Y;rXef3eF~m}A2Q9qDkSV~KeqkY_0u|Qg>u&RBM}~4C}lO|IKmHk>M;7xZM5vOh9}6B zvYC9307Bl4u(9cm^?EybYbxT4s+Cu~E44~vZBH2y>jWZGg9D@p-~Nau3iyoya&g}4 zBzW;91f~0iwmmS$9q&Xs+R%?|2&aPYk>Kh_(`lB(;o(~NkhzqT-k^{#&naXE%ReHA z^BM|7OzsDPmHww@H8c4IFq!AEOGSi_=dPr1(RaL7~{+8>#?<@Tzy!(UT(Pe^& z4G&kCAsXm}>z*IMDayE}p@dt6=VzF@j)yv@!U4){Ok%7L$M1;>wc6iW&z8T>ouLE& zIyBjLEYB^_1j9@V^xob%9r=C{)m#~iDYEX~GQ!uN&G;(_ndEPP62(B*ZwQel(|UmnaJ{x0z^ad!=tCt70O*aK~Ox^mQGvElq9R5x&T`*+hNhTkUl6xDAU7b`m*nbsG)DSjM!1NR608oU|n z8Oog3fRu?#A9(Ub$<9j>$2JJ~zINz?G#h*MMZzGl#~4NI%WKNHFv`mx`Dz80^m=u6 zOC+RVRA^VsfOB2QWs-#W+f&vqeh*kW7I2JY`d%t4NL_yhmLIWmY=|gRU5?0| zskzae!*TK54WsQqI1hX&pPCc+_cpwawI4e~)xg z0QB7W=$UKr>R)Ysm$_7y>mheK;5ROI^&p+Pq{yt>HRj8B@m$_za%yySU!Hz7y&t(F zCq3k{dS)7w$4_mxt60hBq*7hr)2t`D<}de3n$8 f))>?%5exekx-V^SCc+GrQ=> zRp--f(}Q+pQy_VnJOTv4QHn6XTfJJjI$e>$88}|54V>xfaV=H+dHSP+@g%H+;|n8W zDi3S+mpuW?@Tk{Iey&QlNms!}@Y)S3&wp2BAT@R|&U9)2lca5fW>PPe=3d_i8%f6z zVoEct0sV7yw`sx3o`{-~X2NZB-pkC}C_bo1XyWi=1i7B7g7zIJG0W>HfQr)@76zfl zr-qKw5Brhf4OcQ-liz+&rHfgtVj=wQC=8%ekzRWbXSNXg7r1YL@{h3@H(;r@$VW6l zAxOCG3P)r@2mYSH4a?4@kQC5-TuvyweXK%Ao_pK{EE%YG5w=Rb(82OC#zqsah318n|*u3j~~+0ROJrTz%o2^zCdmFDeqGB7TqT z!?gJ?B7{mx!5YX?(W~i0CgsW(b`_1Xw?fQzy=zFgzD>SWS?i-i>I@P}0Z9L_u_1y( zfdN^mfQsJrda;h(pf6XNF2k!D&uIH%BCF&3ssy6Ssgx1!D7uNYKlx%LJ~A73V%Eod0GkiUeaMK>Wek9@kW zAk?|%17%(kNEfeWcT_^l6{nhPr`vZ)gY&7jDgw;6SHYn|Rxh0t%*fQ(mJcAJ=N?wX z`Re4G^K?;_m<+c0>nzlaj9;t~NI?wZ+lS=`1659Z_YQVjS}dhS@}`?R5@{8B+iNKS zDn;bw^7*YEJlIBKRfs?XWL%HYP)C5IplaKr4DSgUy2RcOL`mI#sy~$Pwe7VB+EOE1 zuXyY_b8qv!Ev#*%xYcKATy9kTPpel~JJVDvc(^$)Qz950hSPlTKmLF4>;Tw9j}<;n zvSR)?=P`2dAYs&G34=~DbRR2%WkIVa*R>b*fHGENsJ?gP>T_fB{G_M+w{PFVMP7I* zcMEr!wYAY5FLeZu%7VXH+lH)#GD#1cKTlR_cyJa8;H}jfgj$*0c3u=7+R&>|W!GrZ zZ;C0>uy_fWHGId7zT?m zot-(!bQM|A;CrYzOz?t7Qtl!39A&9pW1mHAF=Z%KA+9YUV7xNyls23>Rx3O4Zp17wl8)DytwIR@Y_i6n?9v z2|Rm-$9a$QcEMUYkY^Q?6}H_~26P#M*@ur3D7$UP7)*Wf=LXT-@I%W&I^ zMUJQc^Ggd>4h~&k^y1kHUG6EbJDk|sj13`SbL+K)G`WlJd3=F~7dZ@&-6V9;yB!#nbjdAuxFhh4H-3BSq`dpSQ=}ca!|QldC=P4&de5DTD9Z8jsx9`j-{|pm8MPtre6W zr?gm&(RQ57RR_OE>VX#&vQ@;c)fsck2U(Ml47MkpWp}53KkGH)Ml3)yf=Tshlb8-c z7(goWvW=Nel0p4#73Z;=k)e;*SNPoBvApTIW+cB(v3h{j`A`WI+10-+GThhtA#K zU>E(zf8S$0<+q4B6mWgN^*cT+ZN-a#w>8tHUc%ojd$O#!`I$YPvDebJ&eXwL%)wkV zuJtMjJDS#}G{7knhp8=$k2M*HHe}Od1V8ZtFD?eGi&IfLZT z8Z*@Yf>WMu>=TVj3{fluI|j_Z5C06^L^iF1-WbE)uSwP_z2Q`Q1Xh;Irh!Mx9TX}N zr7V;xNx;3wPDMMD*+DLP$qjI~bH^LD&DxYI22|QZsf{En(11RCuic>Yvt>6^euKQr zLo=Gi2a3y5IyI#!Zg=DB7vkM={0+s^I;yFZ|#osSVan3tEln6 zm~3X|L=wTWbJeInO=%y`3FMa|>`CQ6w}ufamM>H+CkKqPCq7_{8$Pq$b5tr=9&E+Zl9Jtd8Is+kPUErrUwTF+v zym^SIq&T&pMnDli+rI?X7Oi2GQ@hcK0#h$MW__;9NIqgzw8#DY-pz$tP3+d#Nd_f# z1cZS+7-A`|V|z}Yo{d1~sH@`7%K=vy4H6PG^Qfn<6%er34rjCLF~g(nTKUSlU&dH^ zL${;xrbZVmN+B$k=trlGJPaOOZ3s(AN(k#L&5-Ylxc?ViC`r6UlFBw>tmqdaS% z)tNM3r#ZqdwlWvbsDHj!vU_I#Gbg*adbgbV!Wh4WFc3F~u}k`{`%HS-whQLR@37T=~yOvg?iqTo>a=iaYP@xk7^p6fVdrxoMQLFS#D0oHFPMG77kVUS=>Kdm8 zQqGoZMd^_F$nl|S_ErlmbzA4O;fR?Golz|dDB`26&+AT;dg+1msY3jC<;%w zXN9^JJZO3utkNxfzrMS4zM2$?-A$b9&q|I(R7$e&GI((#Q{QHPK^LJH_=JaryoLjf z&yLQ9o<gAE-5Nmtq zs@u*$J8_JogUE>T^}_d)x-MgCc9-ful^hnoJ^)LyB8J&XIN|9V5-K!piSPt?xr4d# zcN}l|357KsPfLk+Vu|QK&U?ER`Ykw{E`RK5`vRx+xoG0EdGjR6KIhIrFFe!4B;I$m zWVnr|kb$%2DDZCN1c8WbRJj|2Ch$8=D!_D`_?Y%C>TM4f31IUi=Cg7Y*k@aTXjiUpey zfNl%9kP1uFN&?kRhr`{jLvJ9ULalrz>)En*)YDwx!9j6bxoXJ|#cJA8Fj$YpN4QpA zZFOhS7C#C3V+L0aJL!Nd#T3av4|tp-lBbw?)#*0n7Qk{rKFGHIT55dvKx3< z{)tjWxGf^+XJSF=RjU^f`mz$zJ~Vgs`L7pO()fG?vGo)+wjhhZ`mcV_57XV6+fRyR zucQLWNBM2v!Xz7As(HhCWf&;~!vlXlJkMc)v7Q=%TYp#E8J&cBKLk`rK}UAf(oQWke=&!W`#l=jV=RTp9-b|8J(4T{4X4`# z1dm9dv~eh7rB6~IaEzXk6oK;kZN`^%-}W@@aDno@<|0MfQW(07Rj2^*8-JC)g(5qF`N@`%Sj9N5 zsj!D#e}2E0_qO{h9B@-E0D`rupi-%SA>!6`pCa?c&#HBQcsctCybYIeO-8xM;d|Cd z{k=Khw_$rjTRL-mC3L+RmHb!9M`u_gy@C4G8?iN3``2r%NMv=LP?=u|s_vHV`hS?W zyhl@{+e#tA=wySg>O>H0P&+)M>XObGmQt&I~N6todc--rbzJ^f_uGd174GzbLjf^3$J)G z!zpx-ma`sW(}3u)67uWDxXXdW%fR8nwHKSk+!qArP#8NjQ_KJaGh_`yFf}n^$`oDt zp7&S`o^F9SMxL;{*){H53|I~W1K746&4XLcn4_YWzOOpS^27#(_a3J|q zNHcq*kITbgK2DXei@&ekMbntaF zU{zl)voS$d8Ro>Zxnx2%eC~AQeA2F@sluAy@_$7?K$wSBL@wu@Qpz>M6NrI@ydMJf zzZ~3Z#mxRtkjq4l^GpFysXQ*BCC^z+CIq>^u?tXw9f`pI>d1z@=zn9=#g4X~6$dq+ z?vb}JO3HN*i~8onXP7B4PczXFS?#3LtMr-giX_h8?pch<5x%~;wKt>q=lqfC>1SdBf-x1t(x-EGa+sLH?~MSEDzRITugw=+SfD?4pOE>q zF?sJE@t)3l8VU_N;QFEnkv%*-9#$?B8)Cp97uooPlfx}f6p0VFdAlWHt3V~?klbW$ zYt+T9tMyizq9?KG*E_BhxGL5V!;(rnbCKH-=luwRJ6@Gt zRgQ&U-_A15$!WYtza;&Ax+Szz6_tRm_dnrHr{6HymXBU&^q_aGrWr1wpzEh6KR>7p z!WMNHdahK7hUcrusnOqYA*blRc@SEk1 zW?&_ChHO%|m5@*n&yhu;A}z(>u19CVX$>hOW_A=|CglkZV)MTu@I8BZw7mI)&+E9C z1Atw3^=iNHo?Xo{$A1ILTNb;WOUG0Xc$2i%C(yb7P%bIyRejGc2J`HO$kD|!qE_92 zir!RD>P}W+>=T6wdy>MJkgkjELh;LPEw4Z9?_hwki;jsT5)nvDFkz~wRoxOqsIwL&bn297-5tqm3~s=^F$AZ?CtLt8I{e)&Ml~xu zR3r$VNFa?XtbQum$+J5dDJ zw$TvQcB8Liup=Va;yznA1?Z||mjUXV51Hss8SB-52zvaVq>sp@ZgJ^Cnu=_{?CR-F z9OM5npUxa4O!s(~rTMMJotodb2%g_e_s8if^}r=!=OnHT&E9>075XNVrsge~)QPsz z(d1OW#q~k%-!O9Hq3@K9F5PH+<;mZw9NCCw%Y)hT{6(bK{2bM=@Z)ck*m z;02&=T%@947bJhSU1M5B2zmx*#WRhHY(^v8(D0P?#GT1-QlU#xx*Dcf2V5mFjUPPM z^a{g@rG6w>l>N~Rt5J5RzaNksf=s*KeDk3ho&aJuOjDmE`e&R`u|ZPq)l7i;fXUsq z;h z5P*{Rd@J%wD>$*`qD7QC<ZTFtx!0a<%HTI`nwxg%2*Y+Rwt%VI|C0QzYZFUi54>hCA$8KRltU%M<)~ z7q}*?5iluVdF08@^SQn)JBd=8O5~SI$b9o&Tj;A%*a*kT=S*(RqXD2>CukN+sMoo3 z?l)XSv0C5;mLE-jFBQu_vRY0~Tx|vRkpxFia~1aP)8#+5ikzzTD8l6i*t+TwqZbIdl+1y75hcmvOg!{n!XX9@)4jXhPN@?K3`?O`X54RZil3AcU~_Il;n!O@{r|_ z2>;#pPTd@&d<*Y4rrU2O2e0ft0MZeGi-j8@zF0E-Dk85FxU(fc#Ng&nr|niH6;=Mb z?En#M>W;zzdMja))8dQUZaIlHYKfM-w@;ZcR^cGwl^&i@<*F)0tY~~U zV7t$Zx7oWO5}qGY27*G4C_)ZSw|<#*0~|Yag<0p@e92q8yHuyj4A-P3&2zd}irZcb z*Sp(7tS8ft(RrJ&Q`PWbfDV zxZN`mml3xO96O1=(`h5=4mt@-D*Yj*C}*yO)CbbD)5&?fk#yDwZSJVlv{cGWTnAUM zZcZII+}4dzy=xIv1Kn_hgVG=UV)Mlrn)ICCcR#M>1B~sKGXND`rR5%YP;gM2DGJFFmItE<-I27}pn}uQK<$~I z*LuT%y$@Kt6q~jgjb|YH;5va=tuOSH7f$AYUv9xPQCnNRK3??LQT~{-L6SRx_E_(`Uj}P zeUs2-`SvTf1=P|H?~9CrXd-*YJ}f_ueqn(NL`ygv!Mk_BsvXqZ=t(mfIRq@bX&^r5;)7Lpd3Vn@&!^>3#qtknfR3Kdm`|UTP+=ZZ(}L}vwyc6^ zz#j(c!MCdW)O0E_VkB+kgJ3?VW?t4Bv8dlS(mr=LxsvbdNdMEtbp@x15}IG4Y2(IC%% zH~}kKE?Mgg6ki_>xI^UD(Ab+7qhxW$6IF6xC2WXp6XN$TiJN|?J%*2s7RVzXqZ=@7 zd~LlYzapFXULZ`*lDhV#UHD}P5i(1rlC)OkodRzSpLxF z{zNHXDPK)qb6d^SYSv=eowuflr^8z8%bGth5vKbgl_a_v;*;NJ-L?FCtUp{vN@4)a z->@SN$I9i~8vdCow~gBPsDyD{ZTsqx%LbVV=;r6Id>^98E8&uC&_4k?dm(|VO1+(t zF&cWlOB!r?&9UWBF_CoR#m_GDDMjVGZxEPlUXTBKJ{>XjYJZZrS;Kp0S)Dl~YG8cj z>+PpOwY@bc{!*-4fHsTOK14x+ORHEDb;$4wEwch3MaAxpGfZ;~Wi9+-SccYGn*sVk zuQd201axOR)}>4^d`@U+Gyh4A;)wn~2cay08t-^1chpNCa7Ls}IM@wBaJuEHlqch_ zvx4h!;5#Uz7`hw~gVm{ydKS(#qq5~}wgvwoec8wAE7WT)HC@|XXR~H1knt>fQxmQJ znOFdum5`4taX3MWt6Sgp$V5H?gP2|`k_}&ApTP0MmxJIc&B+_H;lj+mL741I>Jl)< zviqynU9LH=$(|!k?V13VSEc+zRLye9IvuV*Msl%Pw5tcTQEq(Esr?42FVf)&vOko} z0af#DgQT4IsbD?MgYF<$mLPRk|8Z^-vaLv+`1zT^`;?1O4ab%lc!|R+Q1wupNt%Oa zZ5bZy?k&flp(;SE7y>RT0(r-}Hv0oUlYdXWicLhWZE2tHmnG#cQ48k^f9-K=^>;PL z$jk7gR4LcUasB7-xa8z|0SeV!T!7&Hd=&HX)Zj`)>+!+B`=n9J`MaMM17Is)Cdp7u zfjW=Gt~hxe3NIo!yn%wi3Vz=|JwS3(mXk9LOQCyiGllYW4cnC50_axg7w2cR#VZCH z8_+@EoaN~1ufn>~u*0UliM0Y#q3&P=FIPMmP?B@T zoGL*keM3zF{ZXKO&u+nLwrY@5yhi?-A$}NLPzGyl0t}~_pkf(+i^%9`<_$wBi;3pz zo6pfb!uVxTkGp&4pBt4#F@H8 zn}>9vcjcoD@`P=q^k~^W?*OE4(G+_$;%K|r7%`R`Q3kV_JKfllB)H)N)V#?|lLeO! zs0j?$aCA|xxMG2&kKU?4qm0AUFJ@LU`j}Jk^osQ1OD?-bl*gN6e4(M?PXI~%)I+up z8O-_twz7??g_%mOQOh@eRe+UhK)_ZZR&5_XNe+z*_l(&s#ib|39BRG|$)J=R3MJo+ zdyx}#zLe-(r6zcKR{HIu5}uGBuN-)tcf`bc+OEr!QSXtXv@Sfx&p-6);uAZ2yl!8Q zOQJSno{F@{^CI8=9|P44X?;WoM1D4ODlZ7R%`Xs}47ial)RrBqT%^nr5vFI%{IRc? zAP)9OdKD~x02vh@Swzh>3QLbo%!+%Z#qvV}OvZ$ugQP>QkgY%p01eES8q;oAvm!2*M zt%}N+Sy~fVWo6~76O|baXI&j|g�NU2dtPcB6HDRyLYvad)GH90#91+MhOs?3v8P zS)WpC|NQvY$yxodNzPSYj+sJ*pW<%7i3!jkf#Yo*1nW*bkPaB&WoH9~qrroO4Jl0G zeh(8|rPOB6pAf1AYGjdK9@hVXw!Df@hMVVpZ^qSYUMWVjI@EIsJ9}VFA^a3c0ehVe zaKZSRr@KPRnJHJ=wV35#%hpkb0WWCet*>|OQyrh67vh*y+nRRkL)sUR3?qgv1}{Ux zi9AN%hNHyw3Ylw-oNfASfUwN=`FGH6@)4@y0}?J-6TK2t^}g-Td=8-KKY7b!Zk1yc zo%X4x+PjxPGK)X*$|dV_Di?WOv4*PY^&JT8*!-P_UUM%t9Z-Iis_H)JOjWaEl9Cq; zSTFV*&Xv%Qb%_I2R9&@J(^)hs1|2N$-?6C7kf0aQKpwFJjT}kl?O$3Rq2RIjrOBs~ zBx4Ui(7LGby&sq2j>YkwpKNmcR?#46t}oKjVEcoIs-fPJ6X`A53e3g!P4&2bTwBd| z+wxUS!_k_`MUCL;2>i((IA)TOQY_v+o(7Uw<4jYD z*S|Za|C}#x(0xc3n0gzgaUu~ugH**Qe4%zdXmVF0=%;=Pd3I70769ECAPPAmH+Y0m z-SpyCF-K%V&GuSa=?pZ~u|dJ9;3nc`NZX;BCKgeljY~IG3;qG+(#zX!#O$#np*Q51 z?zYP?qFs7Jd9}rq~%k?Lfb!$cwA)Ax(`I7`WtYV1Y zW<5Wic}ldg9fv*RO{#5CEQN`qn{|NR3pkl!Rx?Q4AhC$JMB8A+QveU|*UTJAS{o#|A# zz2d<|@iT&1=h*a!|06wbS$xGWetidfb(5u92z}!>$)ZsM+-s3|lu4B)&aNmZ*GY&! z;{CK2`Ub_mZ6dnZOfKUL99$p9-LDIGoBU?eHG$ihsty4zt)u}>*GN3}x8#rndtWC- z{7kLJ-|A*9s%;)fi4yjQoNiB_`HCKLAB(4kTuc9Fp*Bc@rQZ?nqTh=2OA^~Z;6go4 z`wAe}+C1!KYu_LlwfJwV?w3Of4eOfsR5?wS9u=%YosDODaoXULBC?oQ@@ z(V#euLhxmFHxU)sX1Z7ah|LpJbC{_Lg%A{8o3;@+tpwC#)eZ1W-|2iVA22tcxvX^j2 z*REEmiE>gOpsn{phHm_e_TjeZod8ZRX;h>7!MB7>w@$1qc*wj&%*1{3mW{r&Q`EVb zvg6g?#z+z>M33cDGTPn{;;+S;`U64KpWQX(PU-AH#ycXxM#bc1wvcSwVjbR*qJhrpTcv%l}0f7Ye2*1Mc@ zjB!8r(5cXEe1BMLAJ&B*5e&}?Wj06EW6W&$ac07uY%n^KVddPz&MG|8ZLNY=^ z5Q`vN7andz7Deh;2J)==CIeFHpPkthvv^UC?TD70^uU#E0pEUS_Clh6y$v$!0WY2{ zhlK&J(nAhJvd}-p$ji`EC?;3K{s{jS=d?!_KiT#pk&wz<2gBPHeA@9?638jZDM9u> zDYQ4JRD$a?r2kiyx)i^(nAF?!F|1=UCJF?}h7I~(GszVnj>Z$hOKILs8!UaNL2C0A zFQDiF5PZS$&B3GFgubM{i@Soj_FJ2yTg-A<5XJbJf3_$Y#=RYa;jtkG;lJe9Lap%6cp0X zmGBmfYDkfTaw4oZIWFsw^CLX7RU&UX6-CFX{d^d?G|5B>Ij^}NUoOep8Xp7ZdnDf0 zwENMSl4{hcFDYfBl@ws9syYCF;ulgyW+n&ajhllNYX+G;EK)^>Jvu6viyN8smLIrz zClm=-2_M><*!^D;8CrZ!kVW0c6pjztdktWw=JCM1L()sg zY1K)r)7Ef*+UJuhQ%qajvMKcn&Gio|z@5OtVneZBsfE;QvI@U@Ip@b&y%E>uvO{q1 zQnUYhmS=Cc3=FKnn9J$}K0h)X%>1Q0EC#Tx-PLXUAC64EXj>H|R$h>M}yt|%tmzPlV>s`pbmHaOXKCh%Kw-U!RnEeQnG_@stR}Pl| zK=)vwVfy9u?D>`?|8N|N4)!xN>WYA<#3FoS#HSO^D2Ruea?qADJZVD zWU3SC)TuFdc+TK<*}}r?MWW-C)dD=?^cLBFObL>HUK)eB!{E#TboP` z#fe(J*SiWFUbqqJOcZ$>Ay-hp7WtGdl!fm?he*Uk2zseQT{~e(Po*7U!q&?wf->|2 z1F4l#s|+V8BVIZVIVTGwTu|*bBEpV`%Zx$AKx$-dN>^`Pz^!r69B2en$vdn7cKCB| zJngHskW^j3hU$lVS!P9$dWDS@VBWG4rcy`aGYX~Q#nbB8eusN}Il^LglJq6*555ku zFEz%q1$M`#Q=fVi{1IKXW-c&f3nR7)vMhWg3v982BP-oV_g82*4vA zxQ~5#t24{*}QaWqfwH0nWKU$l-}+XG8~U?bSSb zjTT7;4Gv6-@ixC~?;mC&35Uwd2GD5ex_QWqr5xC9t*&~$Wv^T~Ct#XQo6&SVEyF6k ziiahhuy&ipu}P(TqQ6?WVC zHu{hS!$EA$;!_Tvd>tJ~i-wzb!VJ6L{EFotl*^wR?sS1!Ak1QFdnK{|X!YW*M6lfQ zE>?&fb<++j@ag&;44macLFqvOC|_&gc~y|Sb-0}e4$uR1Oau@h8shZFxKWQxBekCz$1&-ivH+k^T8L9j(kw660&W9Iz2+ zjIrhWjQetqix9O+a?lI^U#OgfCRXAt4!Nu8qbn1)^XJ#!%}n{IPEP>o=JA4+&F~FJ zK6sWP;6Z54TC;n7)Ujexr@0-SF&>MM1%zHyGi=UWk-I@g*2KcQg+FFc(uO$zB3N0mk1@dM=ts~5hw200Mklw*L_P6)^Q+_dAwM!awB_%T7bFn^ zN8w~8l9`jhZ~D88NUt&_7~ZMfqecB~Rq-TQ5!Tj{z3cFoZ2!VB`s6>fT~Y8o&E)e|+6v&27fl!ancQ4dmtMzCp=5Wx#x* zT9dcR;(_*oDU(rSe9p4dTdj`x8|OSBdJ;(>^D)LEuaQxakmvn^czEZZfqx7L3{p^r z1wY6BUWCmWCjJb#x=-?u$JLqguP!+vaI)>|3pz9!%pIYv+Poy1hh4)7JkDu-BIO+S zV7pbB&frCcgXooL^TK?*cEr5ZGw4p9qXM6>-$u@$v^=F!T=x}#aqS}@z4IG};$k)> zyHow(l3pR|nC{!e{Z9cgVM+gHQUI;tj}TTXV4_X$R)lOj_=@h*-$kaC+Z$tNSrfkf zc8DY5xof=4%?JmV#ez1fJ92rglTt`eUb^mDKM=j`8@9S?+oHC!&bGGpJ7XKr$oGd~ zopHv--?#P9X*6PV3oT0~l}+&(*CsKQ{Hl&G|ApBBk46owzNH;0iz4`n4LLn~J}4pUN4#(4{;07;8haaW=#*2r0Asfs>O+%6V6UchmVDf6r48;w7AP z&SC>%WrmM}#J`bz%{D}z6qtnW!~rP$1M2Cymg^bH_}HTB{4f)&>y?nng>34_dGW0D z&kEVu(w3L3{T}yZ4>OoJ6X~I4n6!Bo(RdMApSj`fOKGNNbm(vUxtxKcI@6eMRFq@O z8hir4!RuT*XGckdqT9K95&_qs%6EVMWF}^s0vTlK9_3Gw5mLUl7Yr7_!OYwWSU#!Z zRIuBMw=<^Gal!P(K%~5eNVHmF^F3FoEK_+zl%v` z?8TF{QdcwT#9%SSqV`aNa2*;gHpApeLC^?hV})bGX=0{(RwC|?v=pNaR-!iRl&yz^ z1xAGwQQ<)#8W!Z#Lylro&M?*OjqP4kjmO^0^ql0xcN26Ma(b|?P1-W|)BvYyyF?0_ zfL?VbXOk|eY8sKiJG}a55p+akAAe>r4#g4n0n4X zUV^B#{<@Y3h)SEXr_VI^7(SKBa-VRT1P5u0{&*=0PkfF3I{P53c7YDanxEziUHdig7S)wDsC$(lA1-P=AvC zcm^Ax@u7zeyOxDtR0e5_E6tlLYB@1ZJgv?UM17N5R9s}@Oa@zg(lHOIK zftKLsxPZ*p08k8S<9;qz9-w6)EYNL_R>zCIJ!wUgO0+H$P;tjaCI!zVjYVCI+@K|It_t5dxXkoML-|qCT z*FL{oD_JeJpwh7JiL~W_4x28b3Y}^I;8g*(`j1|vpHu%Zt=sCobiE|ubR{@5Ag#{k zOjVX#E#Rx9Bas?TLnD!AA@Kayk!%-@GG9^?FS%M9d@c(fl$)zR=~BLblDd9zGMyAA zh-TW|)4@4Bh)`modvFHOx0S#r#ed-HaV}M-yi3SD5!?IPp_6YG-l`)ud7ul-B?g;N zs%mP$V-9V>WB^o-t3Inl=4=z6(l{U+=~S@nI?X0{L|}A;mruWFP&bw@d~@b>eDPY% zy_wiO!_*_tvJHBc-s|&@L{*$1A~hNuZ2sjJE9I}I_}cb@4tzDVI#o|J1T5>JTfE~J zhQ)J22nNQd-N_QAiq~}tEKh&kDRM5c0!=!S_~YdsNPdnGa(>*$5bdm%BGt}Id>%_b zH;DclNsU=(x#Rq(Nz7hT6A&+ntH);hae^|?^LCJOh-El3;zxLv=TuWLnxxItW^c>R zG2JK=NC!3?Pw6T|z03p_ZJ<8feYJm_Vsd)bB|R@KN1>sX?N2K21@#`6apWy6nn`y~ z`;W18xQE*Cnsv`Ngqn?5p*cQ*(7kj$dR5K#4vFIlt7;oyWzN3ABbH52kI5C1lhu;c z;M9J~*+or0(-;1xZep?GTh^x6{M+-k-t8&-9ldt=cI)=(R$1=DVzreRuuXoN&;`1D z`r(T4!gp4FQqQMXRO=DzE1JIivy=IX+~fIDG4d)8uMrP{tla4*G7%})fm*R;6{s=L zo5-X01|<^^Xi_OEwr2&Ft^Gpp^telQAyri5?p8v!WxPJD=1_2cY(uoVBNXv*ddp+3 zbNBFoZEh;;_cI&v8gZcS*F1!`=a<*j7Y&xhDZEksZHVe zFr7^6y%|f2w7VL{+Hs#D8lHfoOv}lstW!uZCVGC75s_jOTL1pgdGx_yQGZ!AuD<(5 zliJ}9M01r#G+t5y(LVVz_b#g$F}#jV7^j))#2vecwW?aXF;rE@OW{*yX?)Zq%Na@R zwGrUr{?4zvh%yv|3w#`20~7JMARrkLtpXfIOp$gIcwDB%zuYq>pXd%NCPAS`?`)jE zJWt5|O|XtTJ?jJDNpMQp40sH!b$T6`AOxqpp4ihaZDJ$$NRP);?f}_M@}2NP8&w&Z~EKy0*JOsXq~K``#y%iH^SDhi3n|e zrYDV3Nc;4TI}{IXPjX^ZM93pO;Fq!-S5za8BV`eVu6CTCUu)N1pT) z0XM3`EdMd|yoFgVQfIRRCY^w=7JQt2?N=w0?JE{w`dqVm=9(oZ(2aTg&z@jr+u%MK zU)-aGG6t@A_aIWxrN(Fb&i4}$CL^82fSK%X6$u^1TYLny?}DdXzYhl6lHQUP2EW4t z1mQn6?KW&0*3m^+wdZpxBa^@nkDD)#!jxA=iwa<0NisB^N;OT0!Gu0K9!gk+=X8y_ zVT9N$vUn}w)$?RahcV-+Gdnm)U%y{y9I2qvMbs0KdUtsR7`z7ul z9;VM<+{0s}dYhs@;w*ZTYqD!ZU`1bF0N;sghaj183@}OC>d+lWZV1N`b-s5JoK#vg z*VXc5%6g@h;LjIB65BMMH|~@KJHhfh+|)JBel%}<2->M$X&A^A4i&Y%W*(KMRE)`@ z*lEEF(o&VpiEOAp3*&Rf0_{{OyV=J%%O0=2xAq~34{K<@9+A*Yd*zSkhU6H$ce3vW zZywABsdgm=DtmdvP_iX&`mG-~z6#H9)cP)ho1?0XGHsO_5g>h|h#D5QbT^o9DhkuV=!;jyD6SkcJIrt5E0N zcY0Ber7%^ed;!|x>?bqoQB=-HTf%tzv;M-A-2Kn+DK)Mm4h?^=?Pad5L`FI6?-TWf zlgfIWmbHztyTUHET~g<4!=R>~cj~DEBG38V{4$zt4gc$Oyh~w*7rbq zgi_6bWTF)}|82t75Ov6M;EV@hkQR5E<6!E2(le{r@OUIt;2-TS7Ln0|lHcKpFU@|( zcYe|fcE@)KQ#f2$(H3m|Da7o9M@tDP=XMAetwHod_^{k|S^4qLkk%x%F1%sTYbgPOdm1N`*HI3PODbYgO=@M%Wy+jaM!(H14-K~#WpS1~ zvlc0<3G!Tjc-K{4P42|1{o!lj_t{Y9%)m?dzzJ{6F(;BCE%fqXxTdJ9>tG{gcE5ZQ zG?3r0tN6`;z(?hBpYt=@h>vmmC<0;n=M-<_9`=nNu3*rVbu~C$C}t*kS>h+r+S~$! zx^||oa68|48dI6T&e>$f(1(hJ_weE#U(sZuqP~=y$WGfH9UhR7(&9-n)lMzUVG^U> zDlz3*&dmHmAw-8nl$8yZ7bK*jAKrk*jo_Z>43>8Z|DvsNttlq#%2`0 z8qAU6=RG{x@9-es#Dt=#Kc^z?Nn}kABs5zoLO)Wj3Lo+I=<&^Yce543lTseW<)C#d zx6Tg#R;L`&u4}9=@!7d#ulyy4h0*cLyv}1gllc^MDKHO_%*X9T>=Lb@flkeiWs5_1 z86OMdVvp;q!XIqBIa6M&sC6jut3aXkM9aCl<`Q~u%=4g)&)trXX^Wgc8>lcg+&+xVHzN4V8|PQt?Z zuISp!`Djk~L6KN8AWHrCmqZgkvT$FF3LLjXk!Wo_0O3X8hBZmb;kZrKCk5S{#UIOGkc%U@~G^{u|o9B^=4-l2hQb%$RnHUGrUBK+KTv*R@g!MF%L z%JvJm_JEf@D$8jG4vv~2m))V`{sYTlVy){e@im_)?E*Ng51UghBXIabk%zAy}46% zVPjvafaSDMt#9{XpXW?hr{{&}uSw$x&F15<&Y{W;Fp{(xwTx;!+4m0oh^=Y3~3` z+CABwk51zO3rIHWwRC)lbLjbE|9$&_8Ee&N9x``G-eB*$!3W{*c?y-wiyW?`b@F;5 z2dI|gN8IWNc8K`pVTpI=ug+l5bONYc_%QvHjDd^Dv42aM}x&83UT>V4D?d zCKvu85;n?1C?OWYBj)ZQ?lGH{U*qxkx47(>Vb8d!sVS82J^E&VnUau~7RJC&Z%|2- zqg5F^8ma$g;|uYR8?_sTh0ts~?4ok=)|7gm2dDmVM*G9}2#Dg^+FB07!Tx@X4`Kid zEh-1C=r?5?yH(oZvjs-|VnYI2uC?){@jYSF_vR9u&PcV%a#Ih^Q6ipOGLd4llb{(E z`BNh8O(&&vzd`Z}VhS!vxMUPu_*=3iCV-A6L?!MWMI}m3nW7a*Jy`a{v^eF6lu08Z zCdwokj~OlygO>k zr8$_aIXuJ_;|s>`7&=2Sj)uba1eeXboDQA`#YE{&ue&T}o=mqv<@0(Etkq>Mh894> z+3?cP@?1bZb20(AXgXD@FDkf^2VK7trLU@7MvbW#X;@5tKW4R$x@Mrp!n{ljbGafQ zYsb*-AgNwsC|oDa7At2Ln*te`WbD!L;cFU&yU`q9RMGJq#fX+gJO!Q6t2OYa<|WlD z6mfcl#c?~mT7!^b8V!6EjY2=47T6a#9hG>e;j9RDAcEOw_g}?CzCaknK1y5Son5|u zI8Acvl@D<{(DqM-(s=}i`(ZAp81Y+vFV9=syTN}$W9^owYyDu0frSUWmV#htH$QJS zDI)%;#b)7N`{NyiuO%Iw(-#`8CxskczIBJr=uU5-r3DDQ%{n4b#|{o-5b`S#cs?Q= zAR`}A6F0fI99*w8>*tx|xMXb_)S^FpOwl*3Bg#^V>j65~x2{)&J)E|SmFij&1t%-w zq%eFQP)|z5{kb7e=S$_(t0g*>P=FD-Js_pv|0ufh?}$Q;1B-bZsAf+=u@>*5>gLFO z_d|}ys$$QF%lQOK%M$jajFEn>ain! zN<0+HB%PM7MXXFd^<*rIE=g@~(O0UnIq9j6|GFz?i)u2Pd*6Ob+yluJHG_?6kEv0W z+<;9qAk6+)^?=U^r+RVn@366$G4v;oMJRU+`BvH_>zxRkCd9np2NrY7b z>n!q`P@)#fv=2%r9Tyj5yy$vLWTrWl9$AVKz;44FW+c>?5C^w}!Y-K=2f77TQ{-Ma zn3$9?9GRbM{_&hsoZP&@cBol*YBL%+OoZneM~@<6QiCQzn3gPTESkX$I~e^_X*$x( zDyl+2Xspj;53P7;HEHT~x|RQq{FA@!oJF65Q&TJ`z0i|U=+)|}qEsuh;2F_!ZpSN@zZ*2< zc9JBWl4QDNDn+H;{&f{YnNbZQ3GNCGWT4DF_8b9IGS22iVZi43t{xSduE&aeh%}^z zrJLU{UEU`L6Bg7-%zQ}ZxV{M>d%6T+ksAS8U7O`U$G;Q{dQU@`A>on^3e6){WHIAA z!#*XID=PrPqRs6rDiH($Uo?spVg@I_PR*(Xq>$svWE2zunSqaa$& zVLeY(`2Rq0nFUS332l-=2e$Odbaw3ARsI{pT4Q)T?`53A^(V$br{_{IlztmLc?_Hj z4{ud?k=Rm;ItH#(K_rJpo{oZhJuS~;$F=DbmTBk`l`LOxk3TP*>lyY_vBUX)M&{6xV2cj{fcOO>z(?Q#4AUvR2~n!$AmyBb$&aYqdPBc9m3C z2mBafFCg^6CvSsxG&NeU=ne;0oV(-hriCX8`v~vYa~G`eMoVx4$`VcY_xBWn zapv@idc5oA-4bLZp08D{I7>ENu(d9I4ZS}Uj(#BjF<*OJEUj10+^i<9>p#fx1XUq} z{lTUn&uDAx8$G>|J%Gr_^D_c%W^^{K>0%Xw);25mkL*AF8t9Hr*0~%%ZV&z^+I8rE_hWEj?)i>W_kZ=27CEuxsJ>Hi7+0pi=&wkN(WwUrF*)HLpv*^c??zFC!ha&E(2xMvG&!93 z)B4vdP=@P+cJ!c%3YpD@e^Cf@8OoVS#wAam=R1*6e1(ARfIEWRbD`;nWV$Ef1KFd{ z{`x0B0eK!CS4`AU8?xUQ&TupCAPf&nLcnw4f2{G4cKRi6!(GkUpyIV7??C!IlgFQd ztNoL47$@tHO`m(+&C_~!$i3LIIXR4A=Cb8TQ?hpzp%@x;{)Ai804 zEqKS{N~~};(l0%C<4zP)=IQ{Ayq1OWbz9ntc$GNeL;YlH7*V2R;$4h3`cwX@v@A63 zo~`te<2)EVTxmryU5is;V4Trt!%Xp=xXf$ZE;%-AxI8J;syW-PAywfwh5xP7X*`o8 zE3C(kIl=}$`dP^Tr2KG^|C{oQT+L**`%r1@`L703{~jGOX8~AaQFux_b*|H_6Z9Wl zA5RQ>1*_2&p-6TvXl=+T#DGNhCRr-Cf>UDD_6NR^H`UKfr)@ynkQ(B#mBrNiVEw|0?P+ z3nSB3_u3od!85M#I(w-o)oMSuB)|9f4{|}cgKP(#@Yjj4QVX(O73@{sR(P)MkX*5( ze|bPa(GqhOGe$5NQH}6{c}P{{DZJA~&omyGV~@Ec1V}1g7vzc z*ofcki4&b>f#fxlornFDc3fhq4Kb4~Ugj35k28|+polE|d8Qp(TV=5)+1t(Rb=L

    ^|V-!6?|bcZhEN_rI!px(wAZNEX?yf2G+sz*2^F2JT6e6JoNg0G$0Txw!;79 zd(z&Sc8gEQr1`cRH*Ar_lP-E0HWHgQYtuH}(bH6Xb8ObXoGn_n{SHSiHKJ^HG{5YA z{G+ggGQ#h^zT8Fu;kY?g_M$9WJuzz=c(< z-AkTsJHL$~U9egUnS(aLAZnP+W(X}r)<^j#`hf}_Zf4YH9?x-)jEe%py!MZJ3vYE6a(m@iOU+MGYF0%*W z&S=Gxz*p$gE!WK^W8_EQr%oOv_(y2uLxv%8t{mMJUji1G*NDL!wqE&Xn6LXx(jq21 z5qm6Yd=dOB2D--HQ4;R#X!WelUs^3bD}Oi5;k7Kv^jr_=>$2^hab0K`F+E^0!$H#I zhK*Bf*kY7;?*-PxU4t~tlekLL5R1=u-d$Pj^@NH_>#3ZHpq!~pL?R|U>P*-bzAuAW z64EU#t!^rfAL3>P7-73(vII8F%x5c=@W%r-Zmrmke6R~UR>j;$* zqg%hf^svBiIA?)lzwJN8+st$lFUpzpGt#J`!zUYHC;@^+N}ZkaKMGwqB1!r_FJ z29nR)OBvwWp2KvG8tcE&J4VlA>Y)A2HU0JA)Vb>JJ1!0Rfk3&H7o`Mf+`@&3Z^z6OskW z;^wKW4t!YR(o!CZ7Z7E3L8L()Q1nG}kyGL~$f%OD@Ij!m+Ze1zrAdiNwXH|_$qfcR zwP0gMJ^)*63{uq%Y=3IyCm}H-gfpFX|97+e&C+6{oOlx5o6!>7rt~EG%Gt=IH%A5x z{@Dm`q2ij)UNCYvtA_xQM(sZA!B zHEb&2fc2FupchJ=E%f2=_#!11fAZT(fvN?jQ$+l@Os3i(BUlCfZZ!^K%KRj{vl}uJvWP@@O7abn` zZT3mU&xxvpLHRxvy|;15=CPwi&oog2yK{~~!>~@eb>e*7$w~~W5Xy?g)rW>48w?=JwAcsu~*ey)z! zD?t+sm@v7z8&1Jb*?|o)RlPRPCQdJPg+c`<8_3w5oo<@?=R08^H-OhQEpe!Tw-K!Y zT#y;T+qC@qmO3nvwvR$Qt=ahtvx>*#{nWBzVowLOweqvuqpr|~AS5_u>i24x8U?yG zRNPLv1AKHae+Y-}F&K2(pf~CTF1+L)+&#z6Oej28Dno~ZZY&{pPsBV|wE?jjJHkP^ z(XiDYW5*MKaUi1|YG;b?pZ0&*EW#g&U}?af`8(yRLuQ$i|2U0jkS6}x1+GrXg%q|+ z{!!$E>r|ZhLYK*)(}AvEs(rQJ%~0yAfT@vjy6e}DJ=rs~hL{pqO-3HN{pKyZ%{s;q z9v?q^+>CN~)MzhQ0wKu}K`1|;nl2^wgQE|DE?O-YNoUM6xvdVu^0*B#05cy>>ti}E zHs*nqUdF`B;H;^p9NX7;^xVQ~>fSFF(;Dk;j|2s1w~_XC{UJVC~w{B=L~5>ubm1Z+0pB0WnbbmIJgWXRc9LTB<* znAu>~&D8ZkE|V6>PQhpOh@JxdHqy1@O(zrj09*stS_*j{vx&5XWG`Bvaf!@*72ev9 zFQ!cK!sOrVs4*U$^d{bGB-JDufoZ$`$&DI_L#D0VyMi7#g%>3*jk%2UpqyC1uXhwV zt^eTfFO}NwKwQI(F*~cotYQqV`f@SNZDg`&3N8-{#*~zZY5couHE+kxdnSa55}nV0o8Lp*eYG z@+~5wh?wXzZ=B*BUrtpwHhSPV%$-3~?zc|>qaXGhE@*tgiF4p<{KZj!VAW=@EtXaf zTA(*MqQ@&1mm1P-ux6kR3tW-{|=_r6B6L>srx;S z>9I{!7awn6U=su8ZQMWL;~cJZm#=KzFdYt$j*7n}3^FXOo37;`pFS>=v4|Y^3R*nz zGQ!v_BK?U^sJ6JNv3k`}RhG>Ts>$x%t7Ng`3cL076Az`Zc2?VK zN4)6pA$3K--7Ni?z?dWNuHT)=%HVLaLzYox=w0WV zt#4wkXc)0I^mw1~>-A>tZ|%juS4A8~UAVC&ygge8(08fedB~@K0OQzPCkot)Rxan_PpXxLIlT?4e@i$12{4x$Fd5R>0Oa&fQ_87^ zClBS4R)u|XwdK1pG)v@-T6f2Bwvs)hE4yY2hF@pfe%w|Ik(3<;h2`ao%;hSfr7=Xz z)$2m{qPF9BpH<%;_*|MBTCH_eej+bS2gvV7B>JfVVQw|3nFk-Y{VLGC684<7ze-1O z5F-XQE$7(ctetVkmppu*FUBHIt-{pW9ClDGxbL3;ArXEv;^h6>8@RPyeJ~!YrJ1L) z!sZsc?0Y2`C^ z1mI(L)#vZzRPXU( zR~DISU&v(EsS~Ur`by-@m@CdfJ|nw{r?c;j*~^V=FfJeQu|>P}Rmk=C8;a~D`EFN8 zFRyP$o6#cpdKBspq}djkh22o6JHARMyniExBIxNOt|wiHs7RMMKX6bPnVQ|E~~cT>{?iQ~{Ny zn(GZj#X?icn=%=vUDw33ohlTEzl(AbH#um`_vaqZ==EU-~Nz`6rvWlYYM_3a=WulCa2>(D8*mM=b6TXA;0DO=GBl?X8cfk7E{vTsR~4y9oYa>%ZD=2Q z8w32HHJ`M@LmH32%e2=0jzkChDxA1}@qi5vt%-^0ilF%e)_!ZQ-%pT zTp^7NjIsMg23bycKvZ2<-+kVhX}zfqPdo{gAX67DsF<$l;u-6))%rc7Yq-23DW-cX zcB>Iz*py;MtYTyiIHBKi&=RtFE>vakOghG{k3*h!-4!4+PO&t^CP<}td)$*Z$8xZM_h)lDHVfF|{b@Je0vpHtt*Y?BgxRnxu(*R5DV26-5Sw=c)QEhH zwa?!m(Jr3$5hm%SH9{g+RY%soKGBp;s)1+Aa}`vHe6{HCVh93hGsJvN8x15HE#gnM zK*t%&D_1b986kdK^%WlX(VBe;nS#9VKa%sle@3{xXaA?yFIRh3HRc z&95`{)Y>Yh9$KF5Lm?gtLOC*)T5Gm#ay(nbr|HLk2mr--wPx|8zguz0Q zo{xVgjBiRsq~JpJRx>KOE@9lvAYSE4Bl-zPhbJSHCO3*pM2k(t;Na{~5|e0m8e zg#sivwL}ZX{SQNKz&-o+n8FL=7~OAYOwFK0pR^23wM?^=8DW21ylxV+-~C<)z?;h7 z>!kt8Y4Bf<>FOMO{W~?^uvlER^VM83#Ss0zS(^7%HrKUL(L=+AyG&P$54bP-+Om^7 zr~7o1!;_lIs;`uB4pS)83>O}C>#f+Qnhn64t+AWZtG9MxaB^R#)qzu z?E`WS@>NlSwxJ1s+W_tAl)v##TWvY-x1_`#3Y)C|iX{H^x#U&vhVpYr-rc4j5zRz4 zN3A#UwOYFV+8HFrK5vQED}%`BF$gu^`3I_hZNS5KCG=a_Jw{u+ zH6C9A&?Rs6`ru-zQy1eiL}d!IdW+qQR$N^CU24x}`C^+VadL%r^v@@xU+U+>i|!Mt zi|VV|9c7x};pc`wZT;T`AIrkZ!Lb%HR{3kfO_BowDV{ka`qljP#9+V21n(nf#9*J+ zXhJZ%K-HuYk*_Xv$KQ_C*OfmVf2)~a<&*cl*WYY-PW@^aV9ff-WOE>=PSp7wY_*?0 zyFsTR_Wl32eb7>9u*qCLw_vdsscFJzv&V-F4#iTB@w=}FT!Qs~pdM&6U=l}PLaKu0 zH49JkhL+lU%cgG4svCV$BN6lntv_Ed@>WPau1&!fx9-pnOZ1J9@1FK-iRMkM@C#@Z z;3t%b(CG&Wzb{R*;w7*4-YWSO?aowu&%TZU5``t*{P)sCP~^`xPk8rLT?f&fm81 zgCww#Az*h$6GgM|KG&*hAs<3z=B;{v=d^!6ivFcSsT@o7m_hV0x;^X`zF+9A`tG3z z+k9Hhm9+_U-nR0RENw|xqNS?z`@gCEP$NF1;1|^V|75=VAKWW8qkv=A!3CW~sbGDw zNG%n?`kAss;(Iilml%9woWl*)SIB_xERIbgo}~)XNjDUq3%^N7ZWcoOw_?s_XU2U* zs>s~RcW5jt(XB;Go=goia@FhCy9ih>Fh`RVtIGg;Mrar?N0eokTAoeGjJ-X?S*dA= zUI60h|FVO7*{otY@8{3-%)skOwq|=cius#IE9tD!DjOONvYMRcAmp!hqatOv*J#8w zJMc#ybN-T)@@N~^`WM`bEp(OmED(}r8$wo--puP%XjX)#&-#jbR4>=>lkJVF zSRnh?zA*nYz6e*&PsN3Cdb|fwKaelxKA!Q^6d~bG?+R~_R@2YR4PKs=Uz#!gxtl~GcrLhYj_-$YuOpnfSV5)i#P#;r{yx4bJ zU1OY&y!fNiVTqI4&sk=bnZ3fuTA3S-L>`W&`hES9i%pj7U%zhm+x5#KR^ZSBvp}9@ z&WGPnOlBAQr0R7>%?-6;+$yRg5CqX$qBT6;xAbl0u(n5!y-(+ceVYa?Ur+u19+TEA zHxQm``B3_tM>OAnycQX}))jcLs>-x{`*>*DvNE;W zPtjxZO6p|u3k*JP4+A5!BQ6)#rxnN&9Qi>H)HMvGLF<3#EYue_H-B?#1q!WVIZ1w? z&f@;wEmUCj{MqW3NWjO5r{jcRy4n-DwF|OR!_v9^hxzq!;q}JLuStW(S#<=ob$r)6 z-yvI(ht-#I)l2Igy-vs+LsguMZAJ(0$jS7tLlK|dP@E#?=|+~PNJvuGak=wLZUHR+ z^3cv$I-thJQ#YRP3vwisWAe%Q%1f!E;LNLcy<0;qCS!6-5&7zSJ1TZLW@x z>lu}-zZ~>uYHDWCec5&KO@Bxh=T)K~c(hnqgvI38@?c-h=(WLtVFbeajlai#>;t%c z-WRNGlr*%O)BC8-^q2{>c4>a^ov8M|a|{w->- z-#LPMfAK)VQk&(m8Cb*l;Mc;A)7IM`PlLT*6hjxcf6SN+~{l2cr~4&;r+e&J|7JG5HFz zF1qW&1;qouJUax>uipRMLR_7U`R4Aub{>DcB3eKn{SfFYOpzt{i&J1XQ3G&(s#U<@ zY)ba?j3@84CUkI9Pa$UB;8`qM-=;QhU4sfJEeBX#)@fCwGND~zxj#p6(uYkeQ}V-|Mr$}VAF;_{A20bzFv<`_^GRH zOM4sXtKdf~InBII+Nrfo!N5)55p4HXWc!^d(gw3>AyUN{9Dhr-w{aP1!8+Woxstz% z?k_rDK|mgo+J2)K(G&ZSpE}NgGahcJCR$orT)cNU$W(??!rI||pE;k2Yz}D}%j^z< z3p2yG#6vE~SZ<;5@SMi@rwQh|6MV^Is;=56w=2#2r|R6j8JyKuG?um~t9PV0W7Z-qq=hFiAg-3SERZ ze_?ZUS_5IF#m!=H=(vKVjK>VtzikjvD|+Y+G_Hw%O1m&PMCKQYro{x?va7>fxnQ-s z-$3PvcctXVhfj^_)tRrY6Vm!~pPzL`9&8H^^Bk9PygZc;6Av8vye!bI;79(=bnjJ# zjje!xu3X@v&u+m@G0WN7(BprBNtRoC1mmlumxR+8nX~UXS%-Hlhg?(%X3-l6#AhHs zSs`DK$!nCJ-)_|SRf_~&JNR$z`Dju)B;@lgEnQVzn^eLu5*qorNf9B ztHHH87_7Azfqm{izF5rebMA3nv$G!~>fwE}&vquz%oed$<=Gx%_j%(FHQBB&$O0vM z4|8va1OEgTIAD5I9$y@@RzbV3lIw#?8*7nFMhG{%Eg3a0Bo+XobEUfb3)to@YpvKd ztQ92+W`EI5;T|!n+yaae4>t*gX09&X0wm@bs1%EgKWH=@Wc0Zc7tXjsO_IbFx0>?S zI_45_1QOm|;ehJ*v(gu4<6k)VpC1rjpC?}p+?tVE8io)+i+8uQI^pD}760$&$FuBq zGU@XZxVX+FIG6Wu*}z`3)c#tTwEH1}L=uZCs}_rFcM1p)FY;;F%+G}yosWei<=Nyegt%@5#<&CZJ-S@@Dtn*pr*uRmgFcMEQf-W9>6AX^FBZA^|;0{;PcA8`1jD-wsd0FA|VadF^ndx?dsqY%Xb<&K7frEj+M=3jUTP zMuiXEP7R0;jvA`Me4r&!beQ_H55|IA7sWS%ZxQB$2vR} zFmvkFZR9fe2uX8u9gtWy`hk5TPkI`M3vGJd^qbfQ8M(+((2I*+zC zhqG-K#OjO>>1s6LhYbs*u!$C6Z0qZCRcy-yHLMVT)S}bz!NdRVAZj5>a;%=!ZsU;5 zyWBvc1wHutlo`}e!KRH=%%WE6?0s8c%!^i7;-Lm7Y`CnDzB)nxIXY^3rp~7q?5uih zfTm}#K@um?1Or)@!L(3xbAZGMCD{Dynvaf(gBMuNV`^)c1s?g02_a7#Nq4P?qpXhL z;9lZLIJSy@@>hcj9L;rD2-z~O3_aG%tHsnC>hIFQ$V#srHE;G$vj*Psp6563y5}Fb zyUQ@Xbfi3=8>BTMdiZujB#58+-TZ+c_6QUi9m-ZGkPyy|6rYF*cLp6B;_9N|A3jx(#L zo=_*6a`b34boSkK6C|ZbfLx)@BAhvXNu((=GyxLkUm6CV(^id)r|ILxoWcUhV)fSej-6T;;J zcLjwYvVbfACgu$Asc*X1{Sb~t3r_Bg?s7ho5R3v`XgA3)OQ z!hUD?0}_&bopFi%7J*Fo+i?GWPZn}Q<_r5UC7ZYrY?e6HIG7OuO)fi`>|u`lG$N`4ePpwGF=&$@dgtL%CW3 zc%a)kupOZRJ9kYy5d#ObBs`puD|(3E#*dGZdG?fj)Pobt-|~w$L!Q9X;m`OL#drgo zEeIZ`nSRqMS+blhrMW5}8@BPtF8VW3oEY6OFv+v&vh}w_p~Oa8`R5G)t8Lv%<~|tv zcW~{XHR@b1;fT(7%GJ2~!In)dLe|a;={c-*HM*=*tV?vVeEiEwYpPG zB@Xhi=Ja8H35cmhNJKD#ZXy^Lr3qu)zDSLtmJt>1a|!2fwZ#zxcZ?ePbDIlhPY=`| zRog#^N8nP2(R_n^wSPwTdbybc=M32RWCkf-{BrP@9~Y}Q#Aj}9tOAgHo$nMVs+Ucx zbzKu@LIF*?+SONU!4vYK+^3R-MdZl@?tQgx^4WTwG2^8+L)RjRGp4btE_NKiRaigm zd+5&NREP{PYD(&Q^ZLS1jJ1fl8;iU+J?H#4FID^xR3db;9DO3KWN-H{;rS+%{rb`; zMELhq9p(l zF%)@1!n85{kLzxxN(6ddZOTW?ay0z$ppfO<#cWLoqib5uao%?Fb7(0jLK{eH2kT<-sSl!=NN5fQ(*5I;;K)K8|M`O@Y^-8Y ztIK@Km+I@T|4c*iM#l~Hp>3q{G@|}6IMh?bHAQd>fvUAX&F>XX`RwTWw|vp?f#vSX3= zOkw`Z1&55EVoE0h9324$H8}+Hb%RDg9nMIVNX$jCilhp+HjogNNaEy~1ct@Er%Yrr zD!suqi^gNbWtv}@3t{>k<{w^r6p=+T-jTuF7gDJkhFFH(Tyc6n_4`@@_s?%7e7bMA zq^V3geEO)`nSEf&VJXlTb7$GezfV!y|1_I;jJiCI6Uwal;YAMk@+yKXtk&^Ga3*He zGa_yz=}im<$l+o_Y5D{Zk6S-zJu+@tlt@}crE z@o_=gS88%n-+$%6vQLteEaWyO6pi;lB=U5X2d>1R1&iN{2mJkZy!r-)Q3<-y%$nwA zi1?H^T9pfVslTRF~ozmV8n8iq{K^O{CGn5KjEXU+^F2`w zC`=9A-p5vxkQ+zQlJ5+lkyyH{3Bm9h1=2skGf`)(RhoPP$J|OlT0a$@f|q()-+)u1M=R05#Jwy#2s2x&-kk-|VsK06({sp4I@?WHZ^ymNM=$ zSGNq9afD~G3jCM6s&InTtLuNAu9Y7H4VDaACXVV~FenvQn|30(Yp7!*7``~Peb^mK zf723`_7T`Y4WI5$Bx#Us_C%imU)BqK_$>KQZ|it`>42rdQ+TNt(t zx?_tKUO(sWdl)adw8j`T?Fw#cJge!5shmz2N_{T_X!NC#t16&Y=hLev;{N+ye$3CIwKwoK7avF9s0=6=hLr>@H_Ke_th38vO3%WdhKU z_*aww^~75cNo@WS5d^J)=DGW2p3(CNnQ|$nBKkWtPYNhcg4Oy zPi~Gd24b*S9OG!UIHNZ`xX;)55~s0Qh9R5V6x`wmpvXWkckBnZ$*ATS$4_jxA8I7q z{Xn6KkB^Z}8H}+5aH$fsSC&7TKeh+WdV>OlEnh#tl8wCAlbx$bKE}@oG{o8n%-N23 zY625cJhgv~8(Ya53iP$==C3_tNFqMJjTIlPoLul>jg(D5@MY(GA>WXiD4GGs?qC;v36QnDt6VQw*f34!6;rqpLla!# zLK7nKeqBrCGn+mlh%Pq8p1;5_}D@P5|MYmSVV>H+eLg zhdw@_Poh1U$hh#AA}KuZu}Kbmhh{&oalG_=qMTz2YC3frm)0Z{NR3!QB?DGWRc~Lt z?uBTS%hZr$s1PJtw@BB6;8l>kjDe{>GsbIJbC9SMVhhh>9V;j5KiG$@_V5~%&jDK0 z9OHt2H?M`>MCwRL$`=dVR-}%Do8#-(TW+ax;Q2dLNM%>KUZJlGt-2<(zZv$QM@}<5 z9+t=ruQ>|J{zlk*sP(_v6%lSU+RVRZc&@T6FSlCniwaJvsHJe(ReJ zrG~FDQXtmq;iES(*b-JwT(RY7hyj=s-hElV+pDK=5 z4!v7`6TIlIS%%*~P!xwG^mwGeDmYx}qR?>CFVpyZmTg?Ntb{JwleE#!w@i^8dMP@I zr_fZtWraAz_BRC(F-&#Lq`kG*k- z{l7vNsSmfoHg-IPm!wVWKWqeP+bIG0`k}v4un)A?6Md&Nh4Pg3CHnO=VTe~ixEF}} zm}5^z=Bv!(spea(d5O@VU@zMP)`C9X|Hd53-Ex958Ql|^n8}8)oblELuezsfl*Th0 zqUMK<@8zW6_5BltrVto_wo&z$%a*dpN)~n{E<^m0`>3cS4bVikR19RwH0t>f2IR%6 zbLlpZ$Av7fA;C)`v6v~72S2rb59PIm(&UaPWctmUn0SUjQe4`DvjL_73w+qg@^KIu zl4N$k**T|ITnucG|Hy9B)|yh?$(?yfXR!PF@W`}EBwpPHQjP9Ada|#p6v;%}-H*LP z!eqv8uP}CZy}2NKxmbfemhSEMHvM4EwlO`xqWB8Vvh~8_k`MOe2~X=HW(YVz`{ebK zH9Y<*hJ`=Ho8aR3#C#7FVU-h5FcJB!31JDe>OlE~UNh`t_o^b=^gC5S9;+rAmTQ8@ znFV~iyzGZJ2%G6f%%-DV3Cm4Yo))ijfwb9D|4%b}q`~b%0sS%=jLw~-kj+|E4Xv+w zxmJc?N?dIU#)ao9lBZ0dc|kRqe7`+boBUihcl{* zu9zd~UyudQ(krP!CpGI1v3pWsYk%qG9Xt2u+iK$l8GWq$f?aYs$K%dPHWS)3&6EIGM` zqOdo@JLX_F8y>T@9TWqalvBRvHK;W5C4eXoO#@PsPF6;griyUFwyTN>9y`N1`iU0v zT-FTLu%AT<>-}DT!)~$-FQqrvQ*<`Ct_c{ebuf;55%AZ_E>xR!Qt)s69gGMS=>^12 zR!6MiYT-H!#M1Nzl+<#8kV<}k_a1TJJ2{#QPOXAv$uY2tF3%S9BjGpLvUZAGT1G; z-HP4=13Zf35mGIdnWSf#w2B@dK^8+YWEnwR;PdE zHI*PZ5{qK_Gf=Wjmz@qBFhs+YP9^exiJdX;*3wOzwWW>z{cNof4aCpmIL7=>S7GOOGgcu2Z4n!%vP$n@ts@6lqd|q}&!dMGJe>Ge z_$t0%ALSNshD1@?v!rloH+KMa3%O#MddhG?&z#K?|1{%SDZ4N7$XP`PUv~bd??TAX zuxb?AX#dInmR|@D7QKQYC7N}tW%x-+o`8=3_m3mQ3CaPv!!5ZvAdlKhP|%6{YIhbFhio_PN(PYm_SuYG2&x zH$!qVVyoo{aTs+;@4}kAu{8oPxtl3WnYM5L%yaby1i~{{7=T5OdV3B|yrO)T`0oC@*3#Y}1Z#591tE5Rl0dQ6cIc3vf4deyMAGay$eJ$@Ji zyNZy#@`(p6bsoqK>gPaq2goD=JL?Wzr`;wSh)0G%4!}%dOu7sujhSFs7kBar6Sylb-G*51rLn+);l)3;O?M0c9 zttLmazkK{3KaTX^>yuBAkUlvi=pv)(h!O^b*e7G4MEGg)?i4Ir#TefdfX zs)A3*Li=Y)gFHJQ;K!BKYm&IIBi{0{f4C4(EZ_wgW$QI+pZ{19BW~11?#k>%V9uf0 z?wrG)il?%kUAT^*lpdOgt8Z7W##atEFmTkc1Ubg6?l@A#Bx&mlYbYQwrJl#|Fi zGtOIUgg|f0cbGLD&Es_7Lo0m^lH_&@n16RP^RT$A_g{6znovkOc0nY8zoJw7ORYZn zF`G{K@{)ez>2cVUNKmNbFQdFa3e~`kP6rnMt+=I#st~4p8&y8M9>vvRPA8X$a>U#W zS(=eC^$WhIXYJXt6v009+aJQ$B$vS!+#qt3U9*b=Q@1yNWG0Jc zu0YAuJD8T+6ESp`An!K_SwdzwQX+vyU(OGnbE&z;HH=`s2HWRuQ7cRI;0YD+-x|44 z#ovCz^rSk*9ut07<0Llwk`i%AKws~}pMu7KLS}44VKSDbEjd2lPI!0l$|-Vc@VqVc zza)!F8*-u`G1BvEZiKTLc_$l?UF(Czw{#b<^%cA(G@@FzQEAP2d9dv3vqt(n zDMYIO{CwitXm?EU`DOlWHCnC=quU(hMc2{S8Cw?Z>11jRw0Y{@W?P7V5M*AQ%W>V7 zs|Obl`;u*?av8JOtbrh9gEeLg+g2j?wGR1s3waMCjwO2?WeUxf6X6RbEtxSbLK1#E zujsJsVoA>CI}hYWj8dZy`X53hNc3y6J_z zP2joX?Fm`HNC#gJ~6U@yLb?!lzz%&=6Gisp74?W4ywOGaF(K)foGGAzleh zX#u@6&8QHG?N+ed5fsK*-e2yTSK+dl`-dj1xiWS2`r)rvpHylM@Jx$sacrg6_ouM* z64>w}(bbRfnqs^ZUgz#BX9G{!WpIb^v|fuY&q;|Dgpq^zkHqX&YEtE9UYe^nJ`d^k ztTo~`iCI6E*f`c$mejZ*0W{Ha&_1xB?N}PQ9``yyvGm1Zz`_^hyjqIpSEzAdUl8=M zSwY%M>D_Ttcs;pIB zWU`~mHkuqiog7g}*iJpR%C2#qBom~>*T7u)e7Z~kRl=28TgT3EfUSuu`YL&zF%)yR z=qi*{{Ng2v^!h0_?lrQKKa~Bdeyec2Wa=!qZr-#K`i^m$V1-J3__kN(&l+W#NKy&F zuD1%$O}(V{4y%9UR-+x^@u7aUP0J15)a}{wO1kUp*gM)MtVWFW&vF#JD1N9Z^e55DLKxal6kmNz>eHdt*?Py+BfMCfhqv)`?66O9ErS5r8)$;zH^(B~Umrh%L5BPdZ zv6P@HB0~YfkFZ%U6)-JU>s_RWayIAnv9DFhEbHzsrVh_r4H8e zgr9p;Go`aWu*P22P=S0THqj)%ZD5ezhj>|Y8@K-*tv8l8yr1Z*n-`Mr4SP)f;quOM zsi*E*&ctjdn~q%VxMV!BF>M8P5uR@523B$3PCoL~JY?szMUGp|^4|VjQ7tpdf_htq zcuy_AG4+?1OH{3y+m!Ha-8+Jcz%%pQ3hYPh7_u)4#!~!HJUSNsMe&A$tn>g4;bydTg_$9sJDy{}qQ zxb*pKrd!(=ay}}(J0b;w~TI+x+7$>oNZFx*vsgbTEFy#Wi?tT&tATg}~Of#x(e4x2P+4 z5S2T8*|xxRaOR0bMHQ68r&d4u4x6!gr0Y^lT5sLBRJ{gnYasf2)+t|OMvbT(mZ_ze z$V7S}A7lLMhlyp&8`(u)%yPjY*@h%x351b<#)=vb&di+1X0}&TJ1`!&=U;rK!mmkR( zHl^yqam9h$C`go~TM*@{KrYz|{^r1=CXi?FCq!RcjZMk~q-1kDk+yArR2}I?BQ{FF ze>0|V%+)qGcud;0I0x-QA$hOZ5t$*EY$o=-e|3W*(p^yxT>ryk-G98?`46EilL_L0 zTAn=YdyUE4ziN$@R3~fN_nmGr**sbsOi=ZC|jE};<8k; ze+_=@Y*I?Lx!^Oi$(^V>_AbPepOkAtIt-pR(s^CR~@iu-j9O8UwfT;nr z#D7>2)O3b27}XOxDggLylT?`^2urM5_R-Uz;uT`!#ViNKe{?j%1?5M*Mi&j%yz%R z2P6fZ1)NfGu(PR?zXO=kUpJnNSoNN_xk^VBiMOvW>s`{)couDx*_*dx21vgW$5`fS`NBA*vQI5n-e z0}~{bskETjqY?L$xY4Hy_`e$Z>S?Fj3_;dWm*Ys%R}5ha|~Rp`kO`sD}+wtL;W$eL6U^qI-Q5YlHl=D+t-ZxY)8&o_4B9rL< zN*KE!QI1+m;;?YvL!p&x`M-jktnk)$Cx>vJtW4rM$0O887^NbM`z64W6yJh*Jd)He zG`6TH4pl7j=uf~<-ZdLNr$*=@J2>=pJ3q@>{oFRKkaO(eQb}GFTi(&L&!|_cg8L%y zx?SZ8uJ(17JKTye{>)GeVPhDhNq#Dp-{4(L3`#iK25^vd-F^FOO)TtV@LkN(xHXyF z@bop%3Hd4S5%ocyQBlxbJ(Wp;`vDaEjRrnyaHavHR2UP51c7dgKL6n)4mWyKf9FO> zo^!0eXE{u4H5!0HlgBX`^}i6LOlyFwdRe+DnPRza^dlm^G*gy)ZhzPo(~;b)`N1y% zZ**hx49ES)4`e&yj%*}+69G~#Y)FN+lyvKOqt3}WLS>5v%GvzJwA~**#A2fU=NQj! zV8!^-75onnDXQ-SnlXAGg^_7-=@$;#6vh@ewV3kn-YqZt61ZbCY;;FKqzHO*h)*w9 ztTw|7aQ0AWl31>Cb(`2j<*KSIxFshPnysEv8Ld|)67HJQV^I5_Xf_C7r|zg=MZF%a z?*}}<*D)C^QOG$K}Mw@#FtNmvT1Cv_+y-{{{Gedyni*0q=(Ju0Q*1LUed1NWG&h(*~+e?sFD_t?=p2TWvyu^XIK ztJ@ThZ$?z2!#z*qB&?a%wZ}<4iec6T70s6|UZPjTfh#St7k$`axvmvD{Oh{~`#-Zf zDobKLo~_8AulRLMcbbeibByMfm}9HU{!P!iTlW{qQhBlicteuv2>-)v{Z|T$}Lxf*Hh>ze%M%t2fWS&cq07z+`T#J z`tfqX=kGJ6H6z|3HBnLfk7YR($5S$|8GbSH4w3(t56;r zN;<|{xe`^esb=_f@NER~ARhK4*hy1u_@9S_3=H8efrD|ntBoOFCTOBem6|IN%-3Lp ze=EHGv*-c-s>-K(FIvFr53xZ*p?W>cGb{c!I{}9x6%j4#AbJ_i`eBtef)SCt; zxh?nVhAN>#B*Og6Of#UKx^aB&g&q3V{qn|P#r5ME;+%;$ILi||&F8gAc>L#;>3eSf z{t?UH*H6THNE>k>TP}lk4n2!SH45qH-^99q@&vGNZEp4T52Ym9AH4C!#&OQfi;{dF z-@hiJcp7kD3{RDHKfwEY^e?@Z)*}HDTN-pA#YV1W3abSVt<0S1U!l`8KcsZwOPr8X zcl91C8syW}RUL2mdU02l%*-}sqLabaz~yJ`Llkc?D3V#p!!rPlRMHnT6kP}sVw~IDeiHC?x>rw zs3VotN>-?Nh5Na@1ue5(dAr*cz?ZHq9AlOCWI2CnmXP7n%-P1fXvP^53RoA3hgVQ5 z`mErA(wIjabs?J2p8fdt=mK?9a}0ut>GbBX5G;s;c?9Cpq)M8%`n}%5#b`+8vFUWY zXUG5esshnm>&K}N1LhYLsd~RjPKL|)?cY4%tg2K8%v}t}cbe6%tW|No6|2F<$Zxt0 z+Lx<$1fs*9^+`;cl_Ex4B+Wr!cEL7_vY9+nM;g(QX1P2AJp<||cuZXQj_gs^a))+U zUOSl&Nmp+pXW3#&#YPMrnv@XcmDfFfY7fg4ki%~~t`GIfN%>lB=Iw0^WOECIr&yj$ zhkL8{`#p-kb=#%>|?jSz?n z$?+fmogV19T7_A3gzRvBQK)99w^%C&1+DoCL4NGDJK&0-me0AF(*+|elf}>M4n2wf z@-YF`ZB#>z{`v@e+#`XSQmPEIMlC5AvTBb5MT&twat2yFjpHlLCYD2#Rkln%_|!rz z6rVx3nkNKW3dPgKQ0QnPa8ZNpkp51F7r$18UQ-lc|Z^Y0z_oqEH{%n()R1WM1d z={Hk+5dI8F)Nf2eOAfuE{`p?Bo^rR$Jv2e!{VyC=F=$;c70K$RX#MWfGR;f+vu2~} zlPf=A0|a^Bwl1~oamgkde>x8rHuTgH(P27QG-Z8A%qPk zLWC;k7pdNUJDIcgb2eEw5%1d^pc^y#)KYVYd3`MSb=7tJv02Y=6I%D{s?RUxj~~xu z6e5Q_Ro$*;%e6f*XcSX8K7FaOJ%i$FBo<#gr^`&KP&oPWjdIZ}C}!&T}PR!SX?%GCjs!r$p0d-25_`UZ7I>JIE|&;KT+L+5mpE8RxHl8vr@iyb)Rd6^2U?Yp zguXN&I250Qy#Nu_$vs>I6rp5qvUD{|blr`Y76nhBQ^v&gCJYxAtr;(X1F zLE_kyiJ=u?S6=l5WVlo&K#!+ajE^vwj6J*Dg5Vf8+*oFo>#VYzn1`zIa^W_b<|X&3axgyae>Sh}JY(GRr< z`#6)kXf!w1F_LqUQQ?3CKk_+O&7Pi^0}9sZjrq@b>w6J};;*Pfd^8JRHaAhxaRVP5 z_oVt`TIHJf-YzK>(Hb>sJnC==7n)p1{c@)XQVS&&=yCa^BNpuI3oFegB@-A%W6jtq z-4}^c0JZy21=i`qFwwerM*%0wr}Sc4a*17Te5*8WB1AU2P2X&< zFrAmjt(-Gs1xO+RgFs@RL2B~+NJO9KqNa~s9xu=Mt(+&q`ft+A5!`XRb*DaLV8 zWQgzKi->s%-AYfOGYIR+sBRSUfQ|j#>1If5d?q6AQttgjVRqt{cJs#pM~48m0dYMziC5*~F z6nR%#XcV3uqS29zL~Ns1H7=vrrc0x&c^ogklMg<|WZaOrkMw_~QquX!yvbhmd0fEP zSbe}@LIV6n>s^c2TIz?8f_90CUE63WG&XxBtt9y*^;fb;eJ?T?K7*!dk=U4OC-_lsi&(^-j zt}OmKSJnNgh>M6L|3`c{)Dec9AYY{M_ebb%8ciheEldI&xkfn&%g+pQn#LBia`00D z_~6~3-qDVOtfZnQm(28;!G!!cSr&&rj!>Rki4wYF<0scv0SrfYEu|HQ8J9GM3XA+< zB-yBmE1tr)sFatv$pKs%iAae}1s=IOj^Ik&p$a&eT`5=}^z83u-6&T$Bd7&>^wk+v zxcXTA3=t|0p$P%j^C1HRxK~WK?jQ+?6FL+Tk($Jy^8=Cx3m-Ew&?#fWbZZR_-0Y%d z+`iz_T&*{>a(!a-LC+8$Foek#0B7qwS|!lZm5_6*-2Yjt{Gm;Zuyfbb0Vt0E9q8J@Bpetis!dR z0RbE~UD(h}A?ZghoP%qi1knw)sIv$&yv!?ayChZg36yfuo`uh!;cc=hE_cYtautqV zMRPl9kH@b!n-KRe{;QB9tA35oj3-CbZop@Ff-ONcHlcT2K#Siq57Rey8yzx0<_#je z%~_)j`Xa%tC<|L(u(AY?X3NELgAf&J-RzytW{6!FY=l2xemU=Hux9&~GjLgV)}Jrz zI|k)7^E)&q=IQnz(y_ShsfD2weyz&8g{#C)eD+qmc`K%ehVt^G)wHuS3(@Nh{F~t#Y@_> zh!_%GoI}QLMnTR2#!o(d#VX>}*=|#D@$q6O)nDRHRL^c78msxk{i~?@q4l2X|C+6p zBenzc#bWdr>fSB(C*+!HRN~|)PuDG!b>gV?PQB$8M|=i3>`Jqw|C;NW#XHI^t7ZqW zk{NZx-C*=9J2e}fx1gRYbbWK#?@tLugM!u{cSa_4^+Uwh*vK;!-=8eq_P6u9z_d$v z-v54b5`=|m#JoXfPqRSyE4h5zB5`*raiXjA_qpPQ!(#np>C)@{tIvG1Ilj+R{wFx! zB0u5|TZo#!yNxHrf%E&a0Z`OC?n%Do4KHN&M`e_oiE^rRu3S3sXrT7ug^R`pa!kqLjLs@)dhMY5^{*5GL52t2VrYDYvQwLC zJPrhCX4yo>zIZiI2ntNq1ym8L;F$OY&;wZ&zm-INDVZZ-Wk7B4Vlce#6w2`}U;Fv~ z_P7DXW<{kVeV_B3F)(AQk-79%gM^wJG0Rlj+%|XrQG?A4L1N2!(7pp9xlVJkAXIqU ze69(7T<0r{FA}xf`W}|_yY|z}w}3fZRX%CCz@5{!`+5a(`;UZ7b|mFfH$-G$bVWWy zwV9y&=Z%9#uCyP)0y*A+!t29el%p+4e((3<=mo#XHe~-jo7=%EQ~z6keuTUr4v0)| zooMUUn!?j1G z%IHCn?WvwwgS{vik;gE`?(#ciE7}qaFa)mUlR<^}jLvBY)M&{A*XNC)Y@RIFuHPu@ zIGS0+jj8PBR)?b$1ab2zJ#yDYSRgn*je`8UC8%-tN+L}*8^0n&oV8$GN!SLIT@XQP zXohMlUH@E0T4Jc^co!Qt&^IUkn8d2ftWU1zi9+_NV%TjvYN_MKJL_VDd4%VTA`h9! zkZ3JLWG=>OS)24eKa!Hf7E#p=c{GWmL>+vh%e{0aevF7hye1(V=nB8xr_Ch z-*JL6iN6aU=b6;H{!m(9wiT~Y>Y;Pw>==G$;yV*alB2wqd0hN&6*MPKj}NLDIxUH_ z8@!*XH1GVJ(q>xB-e#*-i0{kgoJWt>5a&M4&OCW4#ui#ZD0CcIxDN|K|8|(&qmZEL zTKgOl*b%cnBcJUqoOD@O+9qF$U3{}8@*B(>a`p4|de9zqtm1bZ;=*|m0&?DcUEhEa zYVV>0xpX=3z<*YMvD10J-L7z+{-HrO#;f<}75mk(-vX9|_C8H>{u= zVS`lCB~fsVzz8?J%-fgSi#so(moWie*{B^CGWrS{meh`|BaFexIo<5I{AU}~cfY(V zI*z@`%jERZX5V#1C9)6qhPPC~ap^LB zdxAu4xBlUs6dVxZojThi@Pe@xO`MKK^ws#RZ*0Z#2m?*6%Sv)tZB#C&2PIO$aj)8w&P+_gcx8B5GrcWvM8oa(7Cc_3SV8 zH1qx1(Z%`079kXOO$k9UsQ zJ&e>#ql@=Mj`^O)t_H+V!O*Z$jouHm;s``!)fcc@CuhMvB2XaX>TKusK-)EurFkd$ z&TDnfzSBbjUjtha#i>1-O9{6pxKSJtsghl|xZ)(ctc>f-s2TUg@1fhUj z__zr-VcTgZvjhhJX|Ztqji0C?f({?5f(e;B-cbILocOXhH(aHHE_VUeGQ)ZLinh&m z&ODO*VaS)2YG)l%)du43ElRQ|QzmaT?2Ckv21hs1yN$cGc54akh4R5P?fOqT@KN0k zxqt6>=GM-y-XX8J=e`k(U$=kp8SD@|O`g7(KDNu6sBtKIs!@xCm7 z0CSIvknR~IK_-M9I}@s({T*u32tQo%bMzIl7Idm9DQ|0&d7su8Y}g zya7&;MjhI0YMH2q1&Vji#1n&LkSxKDfaHfM_^nX`ns#ojs3?a3d$q#IRYN~zYKL z7#pu?z;R6%hDCfPB=^w|8afoiO%w;-cQY`RRq+W_V~FuZtT7(5@V{;gncCJqFRZIh zfr|?01V%=QnRODHp?}>*h)*o?-lE}={1xdtUo|s%S}1B``Ru!Wk!Fe^tt6T=qK#E}ND2387dW;i5&Qp#DNq6{O89toJrJ8B3M~Y~_Q7jJ64X-}E#j&5@p3UzPH|Cv!>XOl#2@GMsi!H&D-i zB<))d9z89!er`27p-V*0Z4^EtiVe-?n<8s?w2BT{?(Kii*8B+7$Kd;#Di~YsxChRF zgdpkK4gr2`*tjhslvkk;@j2UWY;#yX8aBSxgXk{=KXfJaVUq6LpLYe88K-*O$d+z0 zjLyft0#{>tWNcHar^=CK`%(9R#)4FEWa{-0k^nFES-^PAO`Mp+nuDV~N|;kEDmQ(Q zbW(0HLK09i8Eq8`{*^%j8BkHO0f%$~@Q5U{+Z~aVI2y37&e$nkd01IX|IG)jd_}T! z(uh;WjZY~Nz4P-wf=G^=ZSEZ{4xj4xd}n9yhaGc;p$RKXza)P#DuA_(XpCpOEXWEa|KY#c`gX7eSo0Jn7{m^Jor7IJ!&m*RVH^b0{)F0!t-GQdUNc%`}U` zss~3D*#1(;N8=b{6`h}nLlc~Q)CCxz+Kqats6M{8#VMR}l`)wR^3r44{NP_1Q)zGz zm)}b=I3Ka(UIrTfrtQho$D-_pR0c5F{cG@D)lF9lQHvW_fqJRXg*%&^JmcQ+aj{X( z_?$oGR+{A_wExlu^dvLH@97cYaF&UqM2SW9e14TR?SLb7hbcSEEbOlqwdnC9j7 zyF;nX%|9-b6qN!;Q)Tipn8|&~j4NJYc+H~!7&3tAMj6*!!t+o$;9*Uic1&Swhwf{W zuvnmEQ7*aA&#ZLG1r>Nw&$3t(PrQOZ?IuIhAB9ikL4gmYIs5wQuFB-aLz(hfCxx}% z=^${OUm`~CEN)?*CdU(%Y-f82Cg(}YG?!+@m)#YoStIt(ZX{RmxkJHPdIy z?4&UXAGEP&1091#i&ZeXpQ8oqI(3c=r**x~toFyw9#)NZn#SjlDqBt*YNQQSgT@FLU=U5Mzky_YlGn0}Y?c`3@oY(Wfli5?BAzBT)_4=m9WJnxz zvl22MK-)X$4f1XR%r2)US1YKCso0*FbAZzB8!#V(V&1|rs)LeYzRX=~1F-?ZzFL-?}0 z(C8xYZGP;oA?5BJg%IN*^$iLYI4$dBO}On`@J(D!I6ru4(q|bWqPK0?Ik$()E`}Hz z<>{{!IRCzJ&U_9{$OoAj?iy5a&+CLzS)7zIA9u1H3Tq>-3^5XIk&pykFTX`hI>V*f zg~4jU|3lR|Mu!!4OSnP9#`&d86n<;I~gS1*HV#~}# z1M?D)n}>mw+6eluRZMR?v&DFttv* zi*zNEf6)2}l!K!Mhl3&{JF&jxbHOUs(ryd)-8-r@39y+MKl^vIgJO)TjQHsp30B)LYsWWVN|k6o~u9BLig>h5cII>|79HoaDr4wvfszF<*25P#F zRQf)vE+)YP#-@9yMxmi|mkXg=^3BnEsD`Wots&grrCEYHyr*BD8~LYIIC#qj4An z8~SxTe9bFO+)u=I0V8h$?q1o$-`rg*S84ujav!|frWDdxit28FVOun~<{p8kys9{| zwa&IDQqu8Y&!`%2T}xqAqd(~~ax~sMp&?G5RdfiK+X=3&D^ZZu7?88C&sn({@=oKT zPm*O@)Gl|H2z)=ONTr&L9b(nEKLK5JzPY1W-{7>el1R89F>>vV4>JC#x$E%qnU_0f zC@}zMeHEMb&-g1n0Hx#j*{aa`xk7LP8ujiT4|mbPU^07qPZ+4dEh&ufKvM|>_MMI$ z3LwFWhHsI{;c=p?&9qBZE4iC6v4QY0=V!eQ=3+Yof4*}eh<>3rBmyO1E%1FU@e%+> z0PHh(-CO(5`Y2S#9wbAN$rTaz7W09vaa8ylaJ_647~hUIl=o(u?@#(?owD@!2YvoR z^V~T57Jb+$j^uaLz3hi3Et#f`L=2q_3NP8y#QkVrb-+?Y9AcRWrZekMiBr&n3oM z*ZL3r$)_+VflIN}#63MNm=VA!k3`CXmG1yY%r(l-MXYX1)eBqlrVg-A))OuDtYGA) zxogbF)PV03bd@Re<81paetm@V7PErh zM>OMWmGDrLUByx^N%aQ86U;tOE$*z^;epw9+XsRzvG~eU?z8j$h98M);WiQs@paDU z_UKyqN4GwS%f&K?EpT32)%hpdvNh*+Fo>~{UutuCEr+xFN|Tnq+*=sFvd=vROPV{o zL8fzj%3oB{gKSo6Hg__|%}!3@)A^|4Hd!u|g~OfRZQ>B`Gqro0J$pK~Hd`!M^jMv* zrjRIQ^9RXip+O%1Y%J58I4QgYJmb|_zm(;Z%{JwaKf}>z`lsy{WU$h1(OpnKZxsgg zHAV8jsnO;ngh-~{$cH5!YM(=aQxg8R(r}5?3U1-Vzg4FMcq*=XK&$bV%xcXNsba%M z57c8oAEG4A8#IYHj9ba??;xkeF&_yWVD<;*r^@DbUcM0-$@q#9m0kr?b<9E!t})H!?3?fd zK~e>@7*vl1ax7C;JEA%N64K6Du(^{@Ts4ePy@PQot+wBMk6z%5PXC?#t{8}B5DDZ& zdWedJ!2AH*s)z(7QV_M8|8=~vWGhZ2Be$;SI42Xi+F}JLi84xoNr8+D)QIFrxC|(S zI4nBRz6)XB@f#L6ZaC5}JxFa4(AZ~Ws$))+BVq$XRAMqeYWAJusq7G=?k}RqSE+0^ zd4LL=E#tdDO^SpVRd8#{pmmih$>oL`Um;Hz{-WZOA5Pq?@Od~!`r7c;#9;kw zp_Zis`pco{VBe}2N4n2rXCCHzK3)f{b?hz%R54!r%r=KHLP6COB2`MIaD8=m+@?$Z zrU!0=_~b-;jdnBE1P;*H<9Y^;5P~us7TPpb2cJiUuX@0<h#o8mKdX7TExI6Hb!W&8M)OfkKT%~&CWhCt@L1rP7u_5f(3aH3p zLQ+&3t$@^|eWFvhNQk{hevqh-w#?16*^Anl#$e#6i1aTIcHz3;H#wn+XPAGIR*l@p zA>`n-dK}V>X`5R;ONC3k?6OylxXN9SJ?4^(i!7!Fz%|Jg>%cIl&^A)YxZMb&u4EUv z*aPQU4RUExSs1iF!z8(Eu$9iVkMJ53Xnn)|>X9SS>4Z)y+o8Ih#J=%H4lSoPS{&dO zXtf2KJx2Us@+Q~k4tmS4y0=^yEHd_x?A!@~;+6m|<4V6eYTuq4DFM(aC<8fuA|Tpp z<>duw24vw8k zcm3^D=p8pL@c_H@vaBWP0TJ0)R5ZNF3RmQ9oRf^DgFg$Iff370GTH*eA8pghRa*d5+2G$IlRwSMEje8qD*4Hu@{4Kd1oxznH4ZRa!>gUW69 z##+_M;cnO2QwGRSHf`dmrFedxw=#vpj;-59cA>SL(kue?JlEpJ<*r`1+8Kn{v^2ko zHI6)&_cq@gpbKclNn1N`Mko3DmtSevazAJLCoB>b^keshV|C(9wpE_S=L<70I33( z2i@=SA}pb-wUrB-!-n>}+|szO17eq)WaEPs`7En8@g+Z!I+qHvQHoFt9SuENgT<5@ zGp)vvo(qV8&K2b$Zm*~BQ|rw&Xbkf@gET+<(C54#I{bVUB~toF9lgUOHxtN-NU!jf z%+Hzfyq(1Q)~xDxx9SPt;+P|P`l8y)XbG}^MR2GxknM)NZ0d0I@`L_+_LrFAZU*^4 zNg6y0i|PoB2>GtCk+q=(cr>=UBFG$g&r0DS3lECNMl?Im1|SB6_%&=@Y+b)_;t-=e zU!-rM@nQR>l$*ZBI-B~66yUC{eTz1E{WD1^v|ojf(OGhu{EjSJo*_Pe7(I#PXx55-c?$H!tBnLKXst=V5E zlh@?Yiv_ZBkE8q8W36S$P7%CYf_brg-!99-TE7I>LpQ=KXIPM@}@PltV9^vZn_s z>!tG839h_qtp9P01X-U@_FF{p8$CKFTTd*i;ukM#$5D!y~6X3x5QYgSLuEE zOJUe<3vI}l-8n{R5y<{^CrRB*q&&E-q#@|lnlfO+xV(J}2!U5+268iiOMGK#hlRgNMgbhZ zPGr#BHC1lW5Aav+5LB`_k`oK}$I8C{F0Mv^^Ej9diU0=VHRZss@&+GUNR(2Zt;_?^ zo(P!Xb~HbEY=gdBm-cwar?|t8eP`3Tzb0`nLp=U~6_qYLAk3uRRB&?O-a$r=%S@zX zFHM;_?+Uvq0+i9Dc$&`%EQ)8_%{BWU&An(MFE#_evT=e`+_?yKta^C+tki+30BJr7 zCoA*F>~nR)Lvr;3()vaw1^tjJSH%qqVlwq?L*6CgIecPnD!6ObDW&*?SgBL)YVZHA zR-#$r;H>UZ!H;kHZX&jiF99^Pj%l(3OGVEFRU-7+yf{2K%~Nf&1!<6nO`lghYut&i zJ>Amwc6Op`P11WI;&9!A$rIw##AE`O?S#?PQ)7GWyYP_HwIVca&7UIgFPqcB&T}%g z(+W8*;wkjn#`S8=HgKtx8h(idf-k{q9x0-uZY`Po{x;fcD3nj&jTQ@jrNA-Z(Z%qm+LL0rh z@@X#4>jw+yI{}z=W%`eHeK6*dcRNti3R+_^s_vYV)zraxuSo-oIXJ*~mO9(s9m`T~ zq0)oBT2hSXDmF~SUA3S~Bx{ivLPbzK!9rk45@S?W@g0vG{L0Oy#&P=&i$$?P)Lw;3 z6@q-Xh&X8#x{(*+T<3itt=3_oOc>s1-v$%pi?y}2y@^pk8a5(x?)!I~F&0>!8G>a2&(Gk7-dEvl4Sj-m5-I_J=ed2yUFgpLw*J0f6ti#U%J|z?;g6 zK`xZZ_o_vn#$iX7l29NysR1*2#0o{8M*yY>3|c`cPzP*4D%`)amuzqqYG_0`K+@ZG zdiiFRT&)!r*uwcoR9qh)WJ`%mh9A%f4?PB{Qlf#H(S(~RNsU&RsF`o1_>MxjI8~5Q zv6QSuA3@}bXW@C)7PW{nPuMZ#J zoxy9{K9HC3P_G8#@b+)&N8NPAh;PPnI-cFN;JC1}Pw^m_z=JB`zMV#3G2cSt<#w#p`2OM><2c zq{CXw`ephVmrlX^BcqBJl75AFs2^lx=&u+OPRl8LUPYB;0q=;;=;*4y`;EoF!EI8M zwWO2^hNd#v+TMPRpVy%?v|r}#T4aJ7IH~#yxV^prO>(^Qh@Bn}fz2r#4)}cWooqb& zH8b@?Q{BCmxAc38Jo4l?)4yAVrB#+pLleiMrYm&7%hhOu;L$>R$Oar=YS*yKM^;g|NO}btprI$I1+dfNGeJiPsm$0Nhr9o_qNoU9>1f|kiYXFsgvsXsnA7UNgc_$HTKqv0Hiw9&o>+eEms^WX zgmH~$%l*N$%q1WDeiy z0McQJkw|Ho9J>#*eLP&o&vQA(s%k7Nxd%x#>9~4}{)3DvS^-sYb9OyPRK4h z%t$uO2uAXM+FqZmg=yxGz8|p1m$TUWiow~|BeCCioH8ReVNiT%s{IBc-%I{8ezk%c z(4%t}v>S^I(XJ$CD; z?(l^_GOimp1$;jR!uKmvnS!!Sh|K%P)^uRIkv_>dZ>BeCkE+ zKPv3>%^q<=8EGJAR54=;cUes}LVn9RJ_Ru=xm?1*^A8A>$d=+wC>h84ijQIg_izTf z|Kl2Q)&?2iot;nF7lUNcC@1iTUm<$`ntmrh=iWH z%a7;2t1TbOy867M>{R{_BlnrZh$tz)1rS?Vymk!l53LB0zl-{!pK_S9o?sr%T2b)b z*m&R5)W=?F}tP}72oBbT5neIn8Z4Av7k5x>s zuM0}?d~m~1?GN)ntIN}?zouU+)qF#%ny5?@_^re;YG3VK`2*z7(X=(k)Bwrl4p5!X z=AmsW1PXTnRJvTj*0t;T#%%cxD~Pi24vQ-`wpz;?oes%Mk+P=6q)*8P=mcb9k4I9B6npG6^) z1HD%8VN>VPi!v-QidAa%Ue8Op+hC}xH<)LHWd5FgEw%6%~O)WD9drkrIQJrakJ5zM3`l z0xvYNNkv1o!P-xE;=-V>*sNBR*33*x@3i-)VDWTk6(`;zBiYXDQRD?7!XLR^Nx7A0 z6&~mGb|VBtkY|kfmxAuD*@Q|u-H@cnJ9^*mLygRZq3l9dTRp;khCH|-iIib}GYe=( zx7A%F26*e#B~>KIekiV$wm@9A1C#*LA=qKw-AF4Aa!78SWM%*gEuV6eW%-Cb2O2?R(yh>f3hhuqkTR|;AAT5JDM)lo5_71 z?BXqN98@izpW)(7^CEEV?hHf#Iu#Hh4^b$-Y<(u<{ zAXm27Lx0{n%$huky*U#VX@G?aaov&jI=dwiwpt}U z`;+|N_HEWqPlZGYQbiOJKSJG~n^~paKl3|tl#_2fdMs%wYh^MKHc|X;<8UMLei>?)!m3;iS@!FA_8qxmSr7B#IN&X|1iRrb6zq;a}%UxKl znq3V0UWoDBoP26p=y#r&V!kYwReDJPr7r-}(T(^T#YuztCU!^HQq~vlY?y%3u ze5R$xZW0b|PWQ78-08sHAod68=2Wa+BX$zaZ>QgYS3yKvS_uPwi$~&=A@Gt&*8LuS zd${=RnPB$sAM#mOQbV9)=o3M7^ti?M4R?E5GJXXCame5i2~jHNc|~_a4Nhi4oN^&m zR*dG=Y1@6|UK>5SGOq?%jGb|^lnf(q?+c(rP^VTWoZU|J#o<;+20oc>ex7%J)B3ru4V$I^Pc zET9|K_{)XBsJNaRCb?`*k=50h#a3%*;$P2vMuKXs zua>3^f`dV0Ev{#%?X!; zK(79-_&siQhT~_D5vWOAZ8%awFVJAJ^32YK6*vEJ_Iyj4A^wb9 zn9HG09Y5(oJUW6_9}+1TCLA=N0SLiPJ}R=`4rq;tjm^za8T^tA$%(HMaq{q{^zbG8 z^oflN!+*#h)?c%d6XD;g$Tv*^4o`dgQDa3;Q-IMML`XylK1idM$9-?VQCqGmhGN-Z zN_5@8vm@VPuw63)oC!N1l8-7ydH-r^DG{S1wa`|Arbpaz?)adJO7r7S1dgC$PQUG4 zGsxd6xt_3!u6G6O)ktD0ZmN-PjmS6cex3!bc}62rK8U@TOK8>qfQ&gWw;xzXc7609 znJ4~2^a_g9@z_MUY+%h0HWNV->L9B49l18|T;zLvmw|CUvHJI>I)}SSDc(F^g4sF;XUv+kdg1x9@kC_Fa}BTL*?Et-;vbQxHBy{S>ci0;8|BlmKV z5oL60@ho8h`AfAonTJpk1H0Wp>%G#hRTY9>ZBhO&Z-8s$Fi^5p$d&g*O|@>o$?^lI zW9j@435Dwqx*vW77V|*WNT)~d+C48mSz(Vw^;e&_3!d*!_a_^88;5^m6S6@p$NJ4i zNyROSC2rBLezxOrQT*LDs)4{ur!J8A#|tX1YN@j(aLDA#0ova@E#1y?ck$KrEo-nR zo;lBT+wT|shYBOY!KP{rpQMbzPgg5(=-u2$)V50k95OG7Y!602iH$+xZaOKH%Af#S zVO=r6<9ih78Ql5eaMXFr?I~}J`3^2uw&34-L2Q)OCWx@*ca@KRwQm0H5>Iohc@4T3 z5C?v)lW~nj^L-c~8up`Cmv=-hSE3O}p>d7*0$(w%6JKFa)Eck%EFmz1#OJL;y%dw#y!txnFYjs(vQCij^+n^?|nVthHcx!#yOn zfR4lK-aSA%irOcVd7XwAx_m{2WcIA(Lb%fd;qI%es9OQJ007UDPW_LV-@Z+QhdCbv zqi76Y`eM>O<>HYN;pbGM zn%sH=q4p)B%dtz?&Xu~2-0X4-VrA#%qPR5yHk*EwIxSGt$pB*VT-yxK?oY)>z_FXY z3Z*9ei?Va<-bs*Taeb=X51AUQ^Zm4%AuPm|@6HeFt$^MY2#2h!{BJUJv*_Cc^q&p* zxcF!Wtu|oCkBqO)Y(Tq{HM!qw8=il@vyUrE0b*~FsrJBzNOg=grbNIfZj}FX{F)`S z*wuKYpzwJ zYL_IYt!g$ZHTp&;w9D;zL@7FZ@BVfNXzoGrh2J|=qyX8hA5+VJ9n(>Klp4TI5FNo| z`iB}8$DN(QgZ1rYmL}GIj05aidzvp)zmXWV>b@l%={!9}rm@^2Qpx?Zu!sBHqd9$G z`!h;l6l8Zp_pD#r=QgS$&E3)7hpA zT6F_A{LJ4Wi2rP}&Q9w3Q*Ap=IABu>A1b7ALKFEk$Ks;}u$4zDCSCEK+7?Ye_rgy~ zQjD9kTjB#)Qh@3F`VmC9h9W6&`jsV%t3<6fKK!4dS~psu%}<+z)~qzrr94RfT8X4uQ|I%zTw{Fr69!2PFB2loF4*O&de!ipsc;*?*esU!@AWCaXq5!-?xtWrMliUVUbby;zM9F`*fUhX$*ASU-JIc9fkS|E*cO`|DLV zq-#X~(Y)}COq10dwmh}>CW`3jWY*Tfc3gRgbrFnK@q#8Se+u9|?N2tl``i2!`9OCD z?tXh@Z>0Uu|3F6by*Qk_EBF0*85#vBYmj=i1;}kkz6%J@OK-Knb~2IbJF+n*MU|Fc zV4{&I61yQz5;tW9(>CnDHVd#`k!mR**S4L5@iy9k9;^h+Ya6z|IQti?zr%XoMP6N< z&}lXD2m9cCs^IeDumvwH;tfMb|0=EoYily6c`*Wbo_nNI=RitkwPXbAd~LTPLt5a> z2~^;-$cX58qlvF0ntKPS(Xdq?wyW|p>Sz`?5gt;=w;a4a{MPA*c*=~9Ir|;-rH?PI zi=)+9GeEi)0-^157Bc;d>0Cgyu-@ zfKlwO4S?zW`Ni5_>Vpx4G?3rhhQz3v&E)PR21ruvD-`TOzl83oY9UUzTMRvlR`H#+ zju4dfRLjoqe#wzd{}+1{4S|)+8-1XjR2kXp5`{$Iwc{&&6PFv^?G`{4?iE0!!UF`l zJ%mN?jr_*F6ML<7Z^SvsN|bks97m5`8DuKew(mrHSv+BP%BDegNVbPjm>DvmJRV4; z@`r)Tzxf}p`IJ9zIj1)>{UX9ha4XnWSJnMr9oQ?^trAaal|GwtyMFBZ9DXQ2ww~Z^ z*>7L(Jd#c>LSj+;jdk1znk;mE8;vpzOSE3w3M}`Z$ePL#v0Qjr`)vr>Qnske)0g|7 zNsvsSepUXd7a;%pd^6&by)Cjo=jLp&V8hpLkBSJfp2^pSJVqc=lFy2=f>hsv80oZI zt*#sIz!1MYQeEAe;W_k>B2wOfBQukFV&;>1h+T`6ovFE4$_qG|Z-kZ4@s<`C6deVR zpK|3|BOBvNqAhg&)HSA3zh4#fWm$1M2DP}obi@0;R`PLL zm-rgF_w&G=rxqdmZ=LR&J)q{%i%OA8>MYv}zIpr+ALi%zkPmfe@H>vIJi0oizI*D& zkxBbO z^`U*{c&CeB{P_DIsrbb8S2T?uAw5y>3*UYVWL?_Tnb75{@hXSsCXkfHYZo9_vRVCg zCxKw@iRTyAV6%@*C56vN*t)B5x>mCdTvEX8eXrML%7K5t+sF3P7xEi6>2fp^z9HYB zSL8b;*o`w53N!m&-;X#Z5&~Z`VzTY}7gqX6ybzSZZs$Qc#{Y3-0vIcxJm!yVu$PX; zg!gS=g^8A&_k^`v_V0hXwGa-%nUMep(ig(=HuR_8Wl4wC z`lj+tjaf;jGY^r94&nDUP%!xM7zQ45Q_tg9LG!WPBumg}j;q1JT&3&^o~}vU|G+vf zuHYkEkSTzH1br>(V@KdoME)sTKr4OIvGgVIvM$dx;>uKq*w})*Bmv5D)*k+*9|VRz zL3TpX4Hwwtj;Z$zUe5vKK)(2)G>bvdFx?Z;o7uO z)R0_oMUM2Fp?y@v8Ne$&Xu_mezswi+KvQe`9Es9sv|01!lBMgg!y7&B!{rbQg~~np zTFVfWsk>hx#g$`PM#x<^+(LGvT}iM2=yLBm{wY}C6(Kr`xAt@{L}-0QVa5_y zcXW$H_3Wljhx+jb3nF6)7Zr= zU1e#I)plZHk%)xyTkqW()$U-vT^wiVE#y^2MYjlfM?qgP^KJ5@OWW6P6BAV&+Y&-iQm?c0+t!Re(0LENT{LF#uFDFRq;gWTceTUt(4T zDj*XvKxjCx1<^Q-F(Wgs$|$?w+Vs%s?{+?t{Upi=>*qJ8!(8G<<-~){d7XDerylr~ zNqjpE!(c41M#d_2Q>!#KoMu|9IQJLiIxVl*lLAaU4XGhggfQY0C;2e;p&1?3!DLX9 z}Qi+8OVg)LV&(nYLL*QKUeJPaRuIq`=W7YyQ zWGDA-HMYyhmFkdVhW4DPt5w5=*hA|;Q<9o_34TxwhcEB#+8~oD3=5oCVo)0DR_Ztw z*$afc9#+(vyilr8X=*M0d5}xr@n2!z%e?7{8+dv8{jiibJbSW?wV@_)J$y-Dv1rp5 z1BV8=s&mfMx3-GU)f}MTX^xZb^P0t(B`H37&r^U$AyN;#z3|$%Mw9u5x!gh6ohnU$ zD#O*Y#ZngDGrTwy?5}I*2WFGfEUP3yt)#8(_dj@z&A#!Hr4HBv9hus&v(Tv+I=vJ$rxWO^#u(?zf@eZp7ux3R6AbDENEc6cBoHLpp z3F30-L_8TDUQO>aU*tR_k9T!M*Y$&r{rup${T9Vgy=f3SLSibg&n5@=g4{AoOw@_aSL zicml9U;$zh{Kyc1N?QhDX@KA*{|8E$uYiQ2$n1y6HVBLW@GyV^ygG_RBOdJwK!6r3 zmRZFxO4%up^zBuc5&z@QicSwTT2l_?HH*>k%6vHSUjZsTX3yJ0I~+uUCc=@}%fImT z1xm@LMn?6XwT9OM^}B&s$j~%5*Ie#U3ARbV(JO*U2dix`{+I>PcS0d(6R5Y~AW|Zy z*oWw&%I`C*7A+`XAG1J|r_lkurBDzV-^U5cVtz(id2t=~P6=$H#Lriyf2t1A;i8kH)1g=C2EWc(|s_Lbj;3SMII zE7~}_98MgEEzz+J;@u$ws)5yYJQ?7I*0+OqAx({1Js2-&Go&EgnMEUg{p$ykHI-V4 zIT4fAFHYPyfJX(C336JKQzMx$h@X$AvcYa6ApzF~uc#y|F9=q|^vo^rSxf9Bix89U zCo%lgKsc)3L#jPgyPF0uPu*fb_r^OT04*@oO3Y=c6&mlfam;jZvE<5NIt0UYYXJ9A#6Rv`-PH5_(=xO^DD3!B%?w5|l z>iC-cDo;Xu7j1OtWha0;64omz?}ow#B?bMscbT|nRxq=XbIHQ@PBc4*uQ=gVl`ekh zAg9o-FqP_G@oMk1`F&UtPDEM>N;{w$2q^> za@l+&Z}j($CH;_r6K4wnAoy4oVX;p$tmDFMYTL{ojk;=y9hoET`N9ksZ+4yoBtqvl z0ILz56=Rhn!bqFq>uGhZ^(*_y>+4m!4_JWeO1Q%a76Jhbw0ya)?ut5V~BL;$VT$p;ks8W+sZ`p3k?aQ5TZ@CJ%+`i4v$J>@Q* z+dD218D(|-I%hffE&nXt;QIk%NFgt(Hw~P%%{OfY-B|d!A)ssRf0WfV?{j-0Pbwv_ z0FNV3kvBFh$haLYl|VtW7l{oB-tQ1bMW#SnQXn`6J8Y`^4fLMI(qQUr!0qTPtbFY; zfAiA%3R?TIy-1+%WEg4Bh%d1C^{dmn^2~js;wk2FtHxB>VhRk`?mE{oMaXXSlSU!O z#pGTjEWTS}($_IC$ZP8aa$vlu?zTH0gySvnVcu-UxL45-6L&fC|K%+a>pqKzV_v1g zP)_t;TSEYs&N^7ko8x2s^)ZTvD2;MOe?e|Pb<{|j!jS!;sSHz#xkuJ5oAUX$JC3RED>efzG9QV) zbDI?sumVnUbrwMcZS?XNdCJNt0#CM83XS(U6`#TdMr*{7g(%*o&0xGP_&t%oPlHIg z_N$#a+ugfoC+S``KEH-0x|f#;q-73y$il-6t&A@RQ|=+m6dugv-(YIhp%K%r;RHNv z^mX!q7{pAHMR-x}-twy~JPl)(oWaSa?|kuji?*v-H;Rwr!jHa_Z=2@S1r|ww?Xb7G z&gX5hh)tfe{7eZTL;r02sI#U_$<(){U`N+c2e_bsYo2}`gMbz27*?;8A4*SE8X#CNe1Vrbq2Hsg(Wf(k?Kx?;oteRCq#PUgBtUz(#bg1%PZ|W z`vc~%!uWu`n-}`cdf(Xao|N{kJ@ZomO^q&hJdxoUl4WLJNC;;QIv?JH2v=zc={P@t z5s5Fsm{spF$nIooNc%9-IW`{Wly0;Jm(<~nL|oWb_M+4ov%OWe4gfKh%m_qIX>;;7 z`mZ+EKY8KrY~lN`U9N&Fj^B9TZ3th9%~__{U{1!=7oPSWcvQ(t`8S5v#WS;-k=;Is zCju-nj&HHwT<`$IY`5S)_@MNuw8w!rI8Z=AT-gn96zDXwd%%FP#0yBWRXx)W2;}U8 zjX@JBu|K0HH!SJ>Ki6O++Ctq+5&)9ZnCMdJ4}tHm%<%CC*rCh^Xc}^uskMxTJL;p7 zrO6Ad>g1LRDOM?Grk&x=_|2{a$vsbA4EItH=V{FNn8^ zP8mo8@XpP31jf-j{-zwLZ7}T~;*e#kPSj}nwS`EDKetUzBJY9wLtBA4Zgss1x%N^;}F@JMD7#A0nWsRRx zA`4}tu%Xg^q9Wek;svZ5-$b8$JRWTri%8c;>R2N*oRCOgtEg`hnW%kr$THJPr~hRC zhwrpO=ALnb7#1kElEHrh?XUUKl**xzCWtvm+7~GyIWj85j+ZY_|LYLL$2DrdC1e!N?)^1->71DcNJyG=E>G-xr7Ty)zKeV-$@&X8Iy?c1xSWr`LK2Yra#)2~W zQdAk$2d+|jv15Xk2+Kj#dG%MT&FCOe4z}WU3erj zs_g+~BuQ~`e#A5ZTw~y-8HzXrK$#SBE~Q!>DRnaCS1^|@XT`|ooy1pTB9-}yJfLP* z3SA@%+bT?%-n5{=g_NWaCO3I$`qxG{nq;_wpo$x~$=oy9(VJGWmb3V%yCd4+n!|og zJe;5Xb!c?xA6|=M(nb>b)_M4Vj&A4Cs3Fs^RXjs|%!o1y#l1RGQN~ z4oZw#_ijH6Zq?;CDq>mEzU1-iUWl;aS37F`8Fp7m+?9RN&&QsEWUsS_VB4cM(4D)7 zp|)GVI*Cj?HH{WIr^c=$cX>YsJQ?djxEKsS=-B=sIG;)-+Ega{_IRG^@N#=1snJAU zUgt z#G4b2ciB0}BIrCfHTn^7TABMPQciX7HJPe712Bu~g>^&;#@R7oRRlGOf?u;Vt47&` zf%}=Hs|%LF2J2_MdN?p{QNCDgUpD@heZ`wofw%206X#D20?6> zdz33wq*RD`o5HVtz-*70t-4lYvJnvw8#`O2^W&7B*;yWc(Vpbh5KRIOzV_#tNK!*V zlF$3NbD^Mtm?0U34Km2O_UN{Y*2T{E;nS#?9OZg{%>_q{j zJ98=HCrx2D+U!-tH+J2i&7-%0$W2ZXV_{x&y6wosYCp-+KHI|Mr?*zee^6Jq7n_y% z!d6ae{;ZsgI0xhG$VA6az@A-1{tepo+L3gYuVS9UN_@EkT)%SV9fBl$koPzh_70GU zo_EL;{V&o2(4bB7eU^%^M=|Ovna7{WR#RBZJyh=52EHm!|#3{LKWv*q~vql<9@0hahas-+Fb(cZI>NV0QqC*^eM#p`r&DD z=sSJ#_Z5iDOcRlj?0@q2=DK9rId-$vP3rbNtVAdl8yE*ka+wo@1_Z=DZ-I=vo(u1i zOCK;fSITnw*~38o+3sPLftF#8HXJV+42To~7Ny%6(t*B_>J_3tRlfP#zR-Z!o9pT( zJY;1wS&NuVlB~nD{TEwr!Bqvcw(Tk)p@e{RcXxMpNrQBEcXvoiceiv&NJvX}BOpsk zx~0!#@An<&jPrdzz!*zhbI#|v?(2^D4H*O+F|B&NMUOMT>&?J?XT)@^+jrdvB|1L! zoftVR7Xm@;wDJX(hTP`Z%tz zX-;SiG&P+$m*$(zrw(1p>x~KOBQHm@@XA@pIo0VBA6X5Yr1>$vv;8@_icWR8Ry^&m z++smD#`zG%bTvx>>-6tn80c-t!*GgSjwrnH!P--$cMCtv(C9s~(Rz8TCfGGtw<<(5C$nn0%Y?6NVtk&T*s6!CgBgxrz%#_RZ>rz%n9 zPhRcaY_(--rqAOES6kU`a)aUoKU;*c?#|UY%9fvg6vxTu-Y|~v{RqP6f#6uYMyfw8 zb83&7Q?>X4k?C%ehqxUzL`k>dR^$3p9YlO#i<|kLnh0xgZ({kFHR3;b&6zSjJh9#t zC@teGV+UvIHSmq`I37H~;UtUMxui6%#nw)5eB_I(l;6Rn;cO)gVGKX47MbBa#G6_r z@fw&c7GnJD^33_xGc1fd-0hkeb|wR^=R`u@8`52%A`lt_W{QQjBVz5F2MX@Yddz*w zQJM9sqy4a4afk2${irIX+D;4QgU&XG*n+kGWCg*ehz#<Z|IK`_!58|KaqEeU7hQrN3yE4Rk@C!F5ElvN)=9dqS=ka2}Lt%vgQDFYVXcGpU`r zBUP+xA4d-8X7J&j`%7Y+1~mpW=yr56XkD}7AL`$6Dhb11-AzD#n0B3pEN<&w?qFh zSYm`)#aDz`bxG;?IEg9Xe#7U$HJEN!iT;ydTI`$t=6SV+Ii}78%r+drt^e5uT?^$3?>3^nA^~}gJlbT2j#`p@^sWoKY$8XQeq0;R8htK-`BCX1k zu{kTX(|L0dr4Y^RczTs39UU2dcAiqM))j1MHaPZ7UfJewo-#kjl~krARzMo2T;wZZ zo7wydg`W}fEtX8uburPW!k`oOnqL1FTeIcGWqGuK^Y5t%@O^RZV@ zpiFTjdy{y}uY&0!4oB!F{|R~%qUcP+0R0V)VLI6jc}}Uy<#d~ve@+QA0vY5so=`$o zJ;FCcbWEoOY_nQmnky}%^lWwWp5V!S)17*-%-ME?8?(dzNgc@NNIIS(C-#x%x47c4 z(+{X!ZKJd+UJ5Sg6%{qf<844}Cq*_6J3%U2~|Bko(97eX(~dbPe-jlV$nH zZUKnCP&UNEbzF6!_-offiz=yf8TEz~{<3x5EdH{vCZ$;IdgvoW2bohHBtMLVL@_*P zvsGhXLJlVtQQQR_z5>5@(1{RmUN7J{)z=O_doK5iVWXnMd2HA?<_q%4U5p8lisUk7 z-o*%A_&jlGyL?V&pPaGGWw~w2?^yl+>^GeML~{7wX*+$fUn?hcKi0a4`<&eIFw@*v zWaQV6-~G*!_GTepgv@rMON>*#Rwa(WMYvKUD#W$3*2ZX7vhN0v*+nF zszNd7G@=U6QbuOQM>bX>d+I2!cJJor^6Jmv$?KSn4!O-j3;?V)3!4&~29{1%kZyZg z0wI6o8+u#jdO0NuGBX9rjT#Y2U((}W?bXy*QJ5mlGiLSfYig!TJY>Gl%FAkv%1L<& zdU#ycFc;OUUlP7V8m>etW$@#K?YF4!6E5tHNoIaW5yjVIF4++NKw{XZjU|szS27(= z`Nutw8wry}<3py|Xpd#a$$%1PGk=*te+v5m z^#yfW8C*Rmtvce~WJ|@lBNqXHm3jQ{qlRrVf zclx2&uvSO*%7S_Okdj}CG#+0nvGZLjB3`_x!H3i?!nu79T_Ho*e>qUcxv({~IPlBq zz2TA?9Zu%tah9c=P1I4O7RobcZH1AL5m^d1zfZp}3l;!V^?8edlZ6ZRtVno`i z-Z+g~a~ZpW%Zu$8NQuwYldG&v$mvun6o5ujnhWFX9D$T#UQV`2IPM>N(#;EiGy@<-yeOL4vXv;?fyKo^t~VZa#(Nvtu`2`mDqxkU0^A0 zW15GZK?w%G;5)v<^&?7MJ$GRCA2rWhCkYSUiMOVdSgTV$DPkuC7M@2UCwO@qP5RJF zRm^ClC3u|ycHNrmwO=u0O&+UQJUxHI4{K+>dzy<#i;EJ8M$*|@ayjKii}RAbHb`yW zS`rJm;K47@SvW5Wp~qe@83)C4bo5H`G;xA8R93nlhR`{4hdV2V{_Oks=ZU}_#N5!@p!v_vN?D74=tWTaE1wr<+$2;h?kNwPX|-{sk5_MdIl-0KX0E@~ zD>}jZiIh|^JM4HWA@Oq^X-=7t1l}+ZAr?a#VUa)(NTUML58Z^kq)cYvcKKrGE#^P) zrGgVbeMT`C$9wUow?q!quwP~kG@6q=>A$EB_fB|RtC|eION5SZl|On~+aToRVRcuW zX?1};Yt5iT@a*0Lc5mYu&9K&B2Gyud{)ek+-<*udHWw5GkpeBjfY&3+_a(CE{#nn! zxCp94EKSKLdpuoBrfHL@#`Ft68jTThBPt^^N|WMOa@n(vq)~^VmB<=@t6?PFzfH8P z*<;d)d&@2uyj*HSm(D;B1uK_YSO`l{<}*uSON5FSV%oa}TS|%cK`Ju&5$@ffgi*?vy&cYDx^F_qS;A+=8T~){+USSqkmT;;U}TZMK2%hbsiua-oVuq`cI1 zpJG{ZW}iTd%{>aHl2-mmK7$vd=e5Td!n9XxWG2!ti)o}T5!Bz(0qJiV$Fn}h#*WH^ z0T;RmCg)aQm-|S}E~yIaQH%Nnkq}1a-1GCza@pR>GZS~aS2vdUNY-zs^kz?mbfN;oXb=(4qMD*-g)^+H(3YiWa^Ao!Idc4;Hw#hMH`k%_Z%0-c z=5GGG7SdrfxDE<>az-Q)d?klD8G3(f67?9iF_OJxameb>)djGK&0l;=bv=khl1Sml zW1qQ?>xisqY=fJ$Psn?xlJpXpm_WXScD(Q5vC8V*BFWjs)*O6=HzF*x1)FSEJ3f@$J} zU+3}o*T7~1+3EgnUXfAtN*yz&*mbqfslZ?OYUHT6s{NLY9`_+el9D!^)dE#H38AG1 z1w8IHYVST(i^+U59SM}BvocJ_Rlip}i%^bpw&Atk_wrd zZ0&g>0^Yw%8|fOL#Oi-dVY_|R7{W4ek{m&RM??}azZOeBS3G1^^OZS#@!}KRgVVfrgcVy~}J0SZQ*JFhyv^@I~nxis{*vpD5RU z=Oe$kW%QA|hL@+vAkDt;;OTgX$f#r@+v&_{Cx!~l*NN;_=-S8K$NhVkbM6BVJT~k6 z>HO`Nv`AE}t$Idx0h?(R>rkO*A0T``(dr($a6EeXRLd;f`IqB^^PmAVs^dCzhKE?4 zQ<&ga&9C%;W}7Yb^AsN;TljYtL1emqxxa{#R;!o9<-GA*%<_k}#GY@pIm4!X2P(G< zs$+MlFY9s$o2AoEy!0or-og*0@D`==`EGO^=p+C{F_|&#xu-x7QaV_`ySXOx0y^Uw zYrl$-xPgF1!jzCeN}hJPIxXZ+m}YL;!OIf(?UVs*R!YM!s+HSs&t00|C|KM2hF%N$ zAS1|}FA`m`G~HWsSC`!cA0>*|-U!G{{(}WwSCuBL(6FqxWS~|DEj#Tff;N%v zKqJHRy~8dA1kJ`p=JGebE;AP%Mazu=LHtd@SGRFBqBcI+4N0AQSq-)te#Qp}N(l;H z-7muN+PhY^8PfY=th=C>XM1Gca{ix>wvfNg3O<$lVF8ab%EZq7)ZLJ|b4OoZK<`!X z_bM*;IOMkL-v7YeiKAef_Hbu&xHjIy>g)))W>cJ{7^6MNPx`iuW9WpMVSiScvLzo>=gPvq}f-fMUZpL9Owyh1Fx zY5@~5C~?_DsZ{cKKx9$$1cHIA{LiH?EdTTHiy^_0bAGPx@CNQu__e|(LwW}B5neZ# zd&R#uSiwrU5}7yZKthBTGp6OWu*MUd^Q@@hvhl!>;QUwZ1FbGR2N3@!J<;;RBM;ee zDaBwje>^S$xY|;qc}{SpQd_>kO>R_G%?%QAR*l$1^Xh36cT7$9xBlmH6o0FEw79Q< z3b|c^dwj%TgxW%Q$|HIJKTN;coRt#z=&Boi*LTw%Ou#^ol8{{}KuADTH4o>%%ScSe zj6a{kQW2hbv4e)2FwqY{&3&e$<(hE%ro3cLu~N-UjDAGZ@}8 z7LAy-fe{Of8@N6_M!Fx>Ba|lY?1LkQa}bNx3vC>>=a)4<9-j4H!C&5}j zN162QmD!PrR>Fz=EvaubiYVz?TYiS`t4AG87XdT=)_eVCYYI?B1e!w5FDQ3?lpGqs z)~3rH|1j=YB-+6Li%+FmMU<(Hsl;q_s%n{Hp_tSF%Xh_`k)|O1plm7r(hk~-=5_Z= z)n*b_B&;>N>CW#^q}Fp)QCD~iQ)g*xKfjI+MCa}mIxjJ*H*lviPD|*<2>BIZ>um5Q zs34~J9+7AB`FzOXqnXs{c(LObzn|3qk7Z)xa}dMomK>A^M*f*Ss2s@JEk47d8@-AuHbpxKSR ztw*b@f?bHq3P)U>g>R`F=nc9BE4BHEwt~+OoJ&_wrHD9jy+FJF6^3=8YEJ5tBerOb z?oe_)q+jM^(s7ULwQupQu2f_+UMUh`9I5MMW(sZJ|KfLPOr`PI40sPO;uq6jpNa*? zL@jOEn=B?pr?&<^wq?V{>@tZ)J?n+)bs!$qLn!EAh`44lV$~+;;Q9OrdFTA6Yu^^2 zy@qx7_6-LL2sA_l@)FbH=%f;G)znI5fCHfR7hkqlsA)CAK%oXyfD?;c>;O=$!ln?` z=rEO0qgKa#iDkIaq$-&dhldTe!`aV2jz z5S`0-m(FG!BknU@uJsyp#`VvA5FZ*aUVpf~<=dXfk#p%LADKQgqtle`U%|dpj7)}c z9Z6w~En%}DSr>YR&~p64^NbZ|x5I==T$YWETqUocg3^%0B3JG82u1V-nCL7;CWnWY z3vae@$8ON$rv1Fom+o>!f^ByJU$k!TFj5gqP$S|rub^FhCsx@@o}mU*|jvX~ka+fCArMcHe#bx2g67I4?`#?SWtywPG{ z`4MkG7b-)dQu8Y=8?tNE$&3O5F>Gga5i3rtS|P{^7y||E4ZU{a&)tsOmpFgK`+t}Z zF|ykLJolTU7{KWBg&$HvwG!4u*}oATX3K;51Y!E1a3B&R*wq&28E?>y0by zvP)Tgg@rLh$Z30)$$(5wu6ej3MF9o8gnL z=jxrf%2;e6uQBb3hFMg+O>%0efaiuItT~HH??Wp=^466^-(M&f0(?$WTd$6DdEr`T zu@4*iLm34qrWCi|Vi>Xe5UL;$h38#_PL$WbRismw1d$@l*l(^sqEI0pE5=k`PN@3f zDfkMH;P+0qyslL8XvPHS`u z5Ts~(#c~#UQ=VgX=S{6y041xOH$lXK%u@=>U^Cm8J=&PX>XgH;5>|>fDELtyGY=|U^C;QO zi)6Ktrj6wD3NGjq8mQ4}+}@)Rgf$&TF#k#hBMG3n$NVNuW!q-{z^+!}zT_!r1~|IB z3*ozF9pKKe7A67adQAC3)6TkV9kM(cttM1I?8%FRAXZ6Qs12KBwvMqYAP3~u> z+tInj@r&Ai0b~E5I#hC*)!CuD*(#!MC?`P}&vzQ8+f0n*Cd+&57$^pZX-zB_KDvqp zxZ5lFSel*O*StIEUCgW&nWs(JUI(R)Z>~QPB|V{4Ul+AF{QKh%d3h0T|Gg?N*H$>L z_G&b*YcA*%dx<*TJr&V>m3p#_4hbRqy%|S(!M*u6=rK$E8tYpI1;0s}*TvNp8qIQo z{nTbp!=mj}KI51Mlaxv?!CiRX$y#R{m{`GO@U?al^pAAz?dkU{yh@G2D7< z!U_WN-An6(>alQODd1D`#_Hc(KJ=}C7C-P8b9X|W#)wrG!-~rp}4Bj<=t3@gu z0+O#FErT1sL(jY&%|BVp##;W89#&f}7|nBZ({v?pABrouReFQ-;#4A%lN_;KJN^DS zCpvP2}P` ziQZ91=lZNNy090!x8-mt7C(Idniy-F_otcM^281S%G;*Wnt{hrEHc%&&l>}8`FjmL z-Lj%I$4)5Hw=tjyKT^n-aJ%Rrhy;~rqpV;2JlBEYf6@L}=*~2luXgsY;7(_Z?_@(V zU7pK~`h=0!=r>bAsllM3m`T6($KboIl%bFvjuVHa@X0?5fdn_EPZvJ#i~6Ij1n>GRYpE*EIgKp3iT(UhC#Afa~pd z-jrQ=ESPX!eL}P*n!5LJjnMipI{~~FXU{Ard+Ks`DNn^- zA53qqi_P~NYHI$*3ZqU~Y4cxN-iW8iO&|51I83kRtHa_ZI4+LZC8}lav{$= z3US#5o~b9gke!I-JGZGSWj!eD14rZcblxra6T%K-xZwM{seG zcJcRzZYzViV5GS#zBVahTiP(Ng@&3Lcr{2hUG!*udC-8oqccH5k_$cD`PgfNcChVV z!V!L7<#w-#md-&bH(fV0&B^$GdvIaURgzh*>%N{u!t;+MSk(motX-9$X!S^Hy6)S` zXUuMD*&>H7t3bQemeH5zR_7zjLroO3c8k3N_+LS2R@~GgoVCF4XWe@Ks{-EERRfFv zbng%S&Y)VImanG89eF*IKf{$UKmE0=bf|2Q-nL<@{|G$g(-Xk_j= zdhD+Ur1_gFv#pXMx?U85zFv}|Tnd$i8t98Qxi2C&?`^$ay0cU0)6{Y5s`cnLLyA5t z0{SOmYaky~kjW1zp&O!$zH$czv|HrmGWq?cK$1yGMV9(2IQ&0{df{3x@ zIZbNf;u1!sH8sUgwOR#Gh?kcM^7*u+?1(`76+#P}eRt=ot58fX41(Y^YSj8@cVtw= z`{~i|_swtcVt{yB1&sClRjl6vrx=S*k8d)y9`1H@%8!xXkS~@e!PE!n>9YZmfgfUJ zF$O-fD+Ar{C{0@Y>@AB^eR{WH5Ovc4jmI!r|Ik1*Iz6-q?10yhB5OZdxuU7mT5IbN zaytz5GV}1obrBheZiwU!MmNqoE@W!6$0cx5eeqqxfQ6J58fP?!*3Ffip>2A}JHc#1 zwvtDe74|%%`n0j*N-pHm#1cde3|-@|Tb&Ha9LI4^`Q%Q{og_s1Wr@B5JR?+o7^m^L zF4!`wkk~jxtD|$VF+oSY+eh+c5e9xf1yd4Us;n*#OrwyyYkEh9vrvEH&}e&&WHihT z{tOtE-k00`mH)T$ixV1wWzOm@Q@CLqH7%;{JmkCqQgA0gXTT%NME6G9X>`!yGiy0( zqQ4sCv6K#vf6cC3i68-EGvqSVvN$0|1nMa5Se!V?bXOy>0O{*Ic&c`{1l{cXD!i=! zWd1K*`c{XIL~AGeI`B$GjD5Q*u?qXKxdgy7aeO%7bOZEqUj!tomdTmWyl>|xD!a{! zntxUr^Kda6WuAav$A1e+Cedj+JEp2NnUvwVDpgT9M>R=?uF&{f4kbOjYyWlHfbEKU zs7$TGck)@fmOgP=8$pA(6Uj*fufwN^@MiXUw_{U&Zl!~Iq4Azd_PB?xixrsz>e_mo z35)(7argt;Ay}4RZGBbJxbs_UlRrb07Hxvy;bvA&OWec3Yw>&OKQv8<4CMt6%CCya zblYJ`WsZ!v)dwI4g`;mBgqSknkS$L~ZPTB8Uh=#iku)Zhnm<`49zVKhZB)*@(NBy` zUe&;>EMq2E6N*@Lp2K0J_8{s(uFUr?w(W9JcAnyz`Q7< ztcDor<@8DaAr-6ata<()1Ct_TEERkw8^1{=ec*krHHxfv5)JNTOGUwpc9w`P`tWGJ zUKkcxdI75FtSqUq&k@Envg>g8sKca)Ki8C44{ahUa5G-7gq@n{{71n%gI{{#Ml{DO#j?D^IQ=90-* zFhuU}%U(6gxMr`X^tuWqHJ6c5vwzsXIq9>7zz*~Nla~aFIB}-S4jh{CK?M;EToB34 z_*JqI=#dL{iU428GIE;IYeF<*r~T>sw|bt=L+PRB-6U6$dgVv;#;CPbqMY_0EBk(< z(%Qhj2FTqJ{r{A3Ijq$Yy4fryg4Sj;Jgp_(E-oAzqy>A)SYCtC&gO?luBF*0AVL52 z|4Pt*qT2XAcN!B_sg}XI+Z$9jba&vbnrWDWd=mY{`HeLv1EanD@!nipG{3?A3`L#s zEk5}m+!WV?FU{?GUshFo9@E>OUY*MQAt75vbz`Uj4^3|cRS<(nGGr zA$c%>V_W;f1zfCfRWtgQosuz$5%xq>z6iF2LlkG?q!(H5usTAVtX4=!L+1X3Son7o zsH1EU-Zb!)xz{1FsM-juY8C&dcRpa~-te3aR2YUVJ}eDNtvwzgJWZL;v~e*CIuB5* zkSN9RU#stO+G$S=M-4vx7!I$qamH0#UQUY9yo@KvdPHnt`2Gdrg&~EsC|y^pOS-*1 z>M8C*l_q_=Y=O;g3U9yOMX?*jPCA)n&OoGND zFzHW-)vHhp$jo`pi5D;Pka^}cA6~q$m`@7kHVHWB5jt3Y%n!9e|FVxO{X<}v8aG4} zC3HKzpdZyH>H?%DEPHqdAw%2aj!tgih4s)yGhx3b;`xk=AG7#-_wEwkwd*|%Qw5s} zunfEU3TIunew%!Y^2^UiFo5Q-dd*i*M-?yj3SF*|$QY73Ze07o=L`!ud&W;VpA>Qm zy1H>)TN#8E`g-~3*M9S3`{MYgqV!-F0pIpYwz_zw0$=Nipz!7np(De4ZXeJoiu0l7 zy-;hY#Jl>pjQUM`r#?ub{ey;sg>R_!^r0!b>63*LShGxJFZHZ%s${!wGu`y7#8lr< znBd_g32DW9ICuoUANl7%K}TU>?>s2hf*$3sR8lrR|9zx$d-myGO}l0Io6KE@iCx&y zI}cHxQ*V0gMpU>f_s_^2zdsxh+}_3^(5QGUg)b@}l@N1~fm^5-Wvp@auESlF{%Q2a~P5eDkW@5hs#@_TC4qfw>zz~mhm3RsB z?4Mpimj&szgg4a%nF^nG=n%*zghPsx@wxzH+W6}N^^>=g5cDXl$5tKo2XPW6p)2(l&qJK6j z{)n!-?RVB#3*`X{Mz^Iyft2C~=h5#urtwfOoqCux<>-rT)*r#0k&Q;BkK9*6Csy2h zl|Mh>Ftux{0}&y`S8x2iq+Pa~7TzSz8?Jkqvj>Zek>{O!m_*0G`$sfzEG6o89Eu$Q zCp4yLO2c+P3dgVYHzGdOEN7F1|DygNQ>875E}efL*qW^WphObv}e@)|d*`k9_^0-%IBq8M{*9oE4=PoAjS<2M)N3C_{rYG9|=c}Kc* z4Kp+0+3T6eNt;3&YfQmIwoM15{Cv&^=govucL98-jFK_9VUyY#0T<&Yx_+Z5kKu@5 z4AT5}^Yks*%7#JMZk*rV-KYb=6U>@ZIMSz1yjI@$`KaV=kW%u5&E4p7AocLZ0v5`3t5cNDOIXsbpPah!*1u;0AF$CZOiM zV(ab8{AyThYZ1@A6JxsjZYql#3Abbv(8wf8AhSgq!-_FtGEo=?Unb~XSZoH&=E&D# zK5D~dHQ50P*V@7mpGfV%|S zb1RRvtK)VgRJ6-o(fz|j`;zfPD=Gj%aA1*e>B*(nTf1bPJUsgPKSL_UPo}#AJViB~YmR)T>-Cs`WbTc@;w{3(E_UB2 zgi|zFA;0H%j>Yb*NSqL38L$ z+9p^9_$!;+b!RsW>KT22N?hx3LVkz2w|TRnlaCb?>4$m$CE+Xz6`OE|@4eV+x*Ujv zGI1m%A|$DrZ*}!)!yNMjBk1{jhUmmbxn_S(uqHLTS$BPSr&g1>W-rfz>Q5BA?{(MeX4X-v-5#4n&D2y*^CFfwC2~&0(&-dG(>droJ)XG8OluEUrv&?zvw!EOaJ?de#;a3&T z6|Bsp#9#hy1u=h5n%d`*4iu$OP{6L{lz97E!adHEO2$34O05eDF^yhKRttvI{*B?z z9~ySe=t9yUs)DYS{xv^UmYKm>Ju+oY$BIF?qpy5UwD7x~6{NEQos>BK85Qj~<&{PJb0LFj!tJ+68JN9IY^eH!dM78{QPlt5* zGT1cOPL|trSnjx$hJPzDmgAEyB$l@DdO+J_KR7J1Y*#klx~hx`RsSMRk1>I@K2Vw` zYa+hbD_I=!RS5zz5}90$O|Zo0`2wIbx5w~O+;K<7ePP~g(`!kjko;Xj13Nm+I}^%r zF2M=p4M3x-NkU7!_4~{szy~n6bv0BPZDrT}^1^>-{FJb@Kl?Pp_=m|+G~PRXVAq&n z=?RHt%`W&-)Oud_g>HUOsz!;fP_Q@?RIVLXeIdX1V3jD_&=heP!CX^MBIo&ga0L+^ z<8JN|l_s{WVxM1ro2GyX%7$TN%Hl~!V^dt`JmfF)Hy-H{R6wYx=!xaGBw~*gV{}3c zMj5`k8e)%g?Ia7P?}VzXDCgID*6dXjAy+;4rg*X{n%$jM4H3WJnGpMEd@h^6nwhFS zT+)MIe+W2q2OB%)4SLP0{Q`r8h12z>ckzlo)Hon}h#qE8E40$%Q%m8@xE|Pi1}vea z1%=plk8mB=_=yljyl(}4OtORgFFV=tgjQ!rJ2k4^;o%fLQ7?$oH-K$*53r_i=S*sA z0$(3^X*d7vH7_7^R@4vNZ9m$38a)B7M1Sa|x^o^O((MND$8W6sJPU9Bx&!1)SE`8g z-x?MgSi%YL`s=04VobMq@djA6x)Q6*$Z$qK>4$`w-qct19hUbs%niL>yw)+EUQ)2! zFvbW^v~6NpFZ!wIerF^`k%I^9dpXedr^eM`5oTL#%ULUivUuXU~?*@g7 zx(+@m7b#q^33-P>o*mqD6enK&(qlKb9grWp6%%F=1-iSKHhH?xR$pg%O7sW~YLr@( zU(m#*r0ix?uV|Y~!NJBF8Fe(apwZW@-`t2K-4AJt>qg8*dM(Gui)Z}#0Aq|0s#^8Y zNR2e6-TDp)buJ_?D=xG|uxnL#khE>)nxD9?C~&Q4jYQux(u6bj*oKD(Z<8Xpj1_hY znzW$+>DxcMeKbHaPaA9T`yy^xDGud04s4;Cq{JkfjT)l<64M2(%O`J4h7a4Q`x2xo za>7E-<~$EU^!f7eC8BctKthJlFtXEb;3j&+{G{LFFYtG+`o4cj zKnyfwZLx4d_Uc{vKMyL!*WtiX(TcbjbD~qm^UV6M6Wk@07D4^e0qd#C6Jl|~I+q zQUvu@^Sz%#g#WeYDntsUkN@PeeT0i27 zZ=Oz5Iqqif0+^WGN=?5$M8(#2-v5p}ax(SO3rQK~b+y?*1@DM(s|&2YtA@PyP&|_= zh(|dWY?z%DUpY_DnjNGljE*>6T#sjBulc(T1;;P&7HcnPFDBmMZ7w4e>BQ&$>snUA zRytUcK`|it*npWyR=9KH>riD*oq>^Y=fcH_bXVB|$%5@J^h8y-oX3rFsX6vtJ?Stt z7yL3N-FbiA=b?~g(jQ90?YVSp$QEnB$o=aorofs&L=kGb?PZ4`I*1>aE~sJ5f}&?YDgan=;eG z(JQa$?|i>^?FIha(k3&#^Xv2iME5~y;zef!$WD9S1m-G5CeI8hrDFMYbx@E~6H3y> z7eO2b_vWWR+`H#~1kpnY(e7)f0-j$mYxK?aFy-PeTNJ_K^6NQR#Ci^0+IsDu{n;>0FqD^WwNCFA|W!&W|wOw}xwVzu)qRHPU`#m=#+9Xp#$eSdT#SDNPdF*ZIJK zwUVEL`^f)kX-?YuxpcXRStn4ix3b2hCth~^%XI9M|1(+kweOGBmT+KBT2kcC!vvq9I4XoV89s%}=i#-Q zc|i4jzwY17^NqTT#id2gWB1pzs$FvsetsCn(NhDXdI4S@V19Smoht1tT(ya6ff!ZXbXFie1&&5sJ`e(z9RiYrXG~l?pabY90KrG7ifmZD%WfjH`&fEn29Eo_Yu`68!$Nv9su;uZo0?4->Dg?~|l z;zHkVB0nDE@;&iE=_CI%@Lj^gE4j3Jnu;_vuTNkMt;gUP)mvK6-2}cp3_;!F{mbQOz9~c3l=^ z7m~U(NK0gghB>8=hc0K=HtZvd1mnJ4qvADuxmNQ7yEXvv^?36TS1l#BO}2HNJU(`5 z9CN7?eYG2qPc{7xb<@py^XpF%LVtFf{9R4}l}pZFAygVXzi4z=^UE5aAIp{mpSVih zQsX@SbyDbBDY77p+4pI%JCuLv^0u`4U8n>Q4?DdzBCsPu0T+|p9i-u-cJ;5uyJ+AQ zvfht`Cx!UXm<2;*`QRpi&(6|U1j?r@t)dA7nv$L@RcZy@aj?l&g+3yr;%AZHV}wrm z=WXvF8E&(sKM_bctG(H6kp3hD7SW%XRo)Np;<2CjTE$-bk2fT56IY{lbTyu!)H1}I zRb*A|cEnwL>T_osz8nKM{>W7~xg4OMRgT5>slH)3=fi!&IwuU1NwMYnX~x?#Vn-2#^M=mJUxO> z1`BkVUbs>rl7Y>$|9jh?HjMvGs=WY6BQJkj-k(5yt11 zm|>GJ28$ju%c&l1fW;Dpt%Lk53%@6#x(Dy)z7I2BjFHNH2 zKl>_z%Rx-h@`J)GWN)P_g#Um^p(_M3XxBveE4*yL=<+CkjKpnwm#}yqPml_}40XNn zR~w!}_oJeJ<$Mjaulmw-uO|}j!tJM*4%Vwiq0d!xEp>Jkgw~qX;Y?si33iy@iw|ZyP$ASgjx!V~tb!PrEo5{O^McYw%bHWP zVsHxSlv_~GQ{UMyZJW8%LLoqn_4|Uu91NbvQ9zlvtRfah?ioCS7cV`?664A1P90;3 zxmwxLi;uveou8kl-E{$wvGPfwsf6?O4CtJ zf=H*JP5I+`S|M)IP0iYO4>&116r)i}6D@*u*cbhu@bDnp1gYmq z4b$OKwRpX;on6Rk3$*E(PYN2EGs;l;I760#p_e0_y>3~UE~{hL>aO1<^IH-T&EI>5 zu}7C35hz4Kd3n!rs8USc8~rg>l>cgaUis=c5Z~Qs1;1gt5pkGCt5)xNp{KfYTG5vTUN?Pf8Q!xN$Jl{_X2?8PG2*E~vQ6 zmSCULRe()+;&pGhRX$CvTg?0wy@wF%JA3#!tMUp^;GJoM0U58nVe<6!UmZ6l!VpD{x zE3|W=H*zG7ld!Ww&00)=Cm;(x&rfj+PL<9Y;>$T6OB7tniO(sO*1hSkNce=pr^EGi zJW9DGG#02)ioD(>$OaORtzz*O4ar`v$f|z@;|*RReo9=nor#1G&ndELVZWO)q|Z8H z;ITZ$@L8b-_5|rbz6KiPYtTKTh(DH8LaA>hf0x}(#=`b+x_~pi><5OZbC^AiiQMRZycSf6SLPF;!_?YU*zEAd zRdcgR^a+SN=PwSB9)9-*icQTL0GVbnV;~%-y@&k$d}~6L?|OztEw!k}YsR}J;G3|y z{|=&k(Qm|hvV`%T24HVi_{+nYIc`@9vC1NiQJ`lMixh=G?0kBb8JkV!KRR)6`Q?|W z1IGT1AZPxt2`+qrj2%s$4>S*4;<6fdsci4!AAm3L-{j1rO%KO16W= zX3bfv^SZNTFV|NEOykPi^#hNsge1Ny%$olA=D$%F^0hU;HvP6fIFlM1cA99`1XoIx zP0Tf+JNKGwy8b{T_(Vr8;AR$vN4H+1+f6Aeo>e3TxR5zT{g)?U;<_I#5nwXnR##R> zvu{q@Lhb#|L4Vpl*={l%|Kf?~Bf##3yv9qwh*PcE1f>>YJp`-oVFE<=E-0f~apxm8 zta!uy(tQWKquNit)&jTrw4)Lj`)4CvVp~5ibX1{P)Eai~!6tnls{-iOd7<8|5MI-+#HW#%q`>53y%xfUGM@!KW+K!%WD^hQB6U_KVC<=>8B6=X zYj0^CEF1cm-oa<`6F!vhJIMfqHKz0+swSWMS3L4K9P)a9s2;GBN?<9+)Kn_$M&xt` zs%_u}w`gPaNXj0uK;Hj7ik{Q)FlsPl`8wr-!Jsey5>q2R5}}k|$P{Vx<`^E~wVV1F z+S*DsenTn0%Yc?6lfVRy@OL^S@--REk02YQ|Jd)u`?!RYesMg>1r=ou=h{c2FaJ^~oQDjIaXeAV~pV(_^miRq54 zjM1D{cX7u&P9lbP2LnXUpxo<%83WtPKVWXMylxs>+D0h1h^*uX zKUw*-hHzCWLenH;V*ir8AeojyH+lQ26c zSX=}{B!Z#4%l(K+?r2>k1#CP9$rpfe=NBqX%5Kr)oy~+a4sPPBM)enP2KVxy9d;*+ z;62_z&&Or=v`DGtgjPwP51kUJd-Fydl1OWam5+?lGKi%o%RASZb}h9$WE7CVuymEHz$iAE+!no!E6x_ncP z+h0NVxOsjDAj+6zPOAFT+TYZAt?=x$>Y;>iObYU%;#%CUP0bk2k}0&zCeD)9nW7Wv z+^7bajG-&8M|Qj}3EPLSyE`?o*M2KGG1_VsVbWvLOML@{$1R6J3ryYb5~}x^g!-RuWn)x(&X#IuCin3%C}BD*TXqrj`NAE$!d%8 z<-39kkM6O`Zhcn$a^UYrvt!VoG9;~V_{0Nr0F<>!=vQ`Fm3lQ?dEVlvD1xM;w`c-F7Go4I@}Nd*IAs$6f6 z&x<6fO(#;f#2m*NP46*EwUW?FKl@_@2;byD-Y*_|t-*{>b^W}SObhzrNa`obIUDR&1{mi_AjJZ^FFD3yEXO zJDxJ3_M%Ck#+L2-0O@!U>ExsEjz`!R@m|*zz64D-7UjR~Dxx(d*Zb3?guXs~VU_J@XW4^&DK%~vLUghECCb@zKE?i|vbTzEYf z&7)=fD~~a3MN1g#i~Xw`^p#HBb1hxM`-P=xd!^gbKM0>ric)m-$!c=ddg=DW8thH~ zZO1K82?gzM}a@mTG zu!Unm<&T@xsX3;^@8mgmv4J&tfAKiw+FUW?9Z$up)Vs)tdSg?;Vc&^BYA5-me{;)c zhv@(bu6~(WEO?HdaLJ+tDu$hwUjzeI2$D!AA8O<%ePoX8Du%VIW!%Lmu-&fL%zv2H zSNh26mPjTs%)75k07fA);Sf62R`)aZIZMG_ASmR20!0tflo`5S&wgJvV$gw@vn6lL z6K_gzrDEWmwwbt*7ttaT09o@M}Qca1gVgi8>>#UL_7YTK+XibC z_P;y4c|W!&UUbp2|VioPVB>i^>=8+S2;|c5s+f!w`>Ma@ErnwPjM&gwUNTNXUQg>|y1<1l#-iL29%-YyjG^ZXxtFyYD! zYJkH65M@{%eKY-KqcbVPB0KXp4!Fw)0Iet8TCWp%?qJ#SZOui^c#0DyZ)v$|jOjvp*EqQrO&CF=dK3aRE z2@pHYhB6lp|F&4xf>OB4{MzBPzB`P|H};7b2D}P^xA_@Jq|(>dhtaTv6O|1O1=Hse z5s)Q*b+P==w?L~grGcXYqYw@$e7{w&u`dtKvx=*B>Kk44kJ$PqGsX8*VqhpdR zPQ~-LZ61YRxgHQncY8pKs-_dGH=SwwxD^*^R_~4FQ8WGSR&tO?fj6>j(k9j3dVI z36~|qN9=Mj1Y2koHP1sw>`BM-0&W==tRtWMhxXE?<5Jd2vwX5`fiq*}*V}ynHbwjt z9T9DxqVoR8j)Q;t2F|K5$Xp7trnXGA#O*hqbxP@SI&#kUjvSKE(cm?ON$!apVM5LX zb#Qo0?`5G&=78ooX%+`S=J<<$k{#abwrj1!CMJlbYvmso_?%aZT89%Fr)AFFv#R<9 z8qIK=4Hv9k8Vvz>GC|E|vOrJGmfRLla^lZ$6 zng51~XCS}xZu-CcGRV1($EF1!(3W(c$4ZW0h+M&SYS*A z_Dho0Hbu^In|RQ#EEmr7!`#n5otUKOvUu#7gMxx(s_NW&y#Q}k#cR=|*4zK&#p6Ok zIq-Hh=8u0jdcXG%%2|k{hHpP1hs#Tv_#l4Nq>PjdkFi%JjaZe%P@PC1`I#LvU$4}2 zW&>7L>^1I%`&IqTcZ%_=q?4Yc0`{pPiigta(i!l68XrRhZ!f7FTmRLH6tC z-Ipg0{C73E?VtZYeu$nU;d1aU06ZkA-cnQ;vaa$Q-{8BrD$zV%VBlR}-jNKN@TZ%D zB~=$G-mf~od(sLhkB>~KKZ#9|FwC=<=5~-ndZGEZBXac%aQpaQKzKUgy4dBl2^UuWY*utj#uEdl#UbsymEO?Y^|=Y z9IvXpoc&%|fycp_lOOy-^qSQ46bLD5vy*p-hIV})#thbQ#_{DQDQbFE-^Y3s zXkCMq$)};uNeWEdEIoUY|8@P1OY|$Vhvr6K$ajN_tM`7H@z}Ne@@GEF12Fuvo-L@) z84g{sLz=Q1i@+|EJU&S9i>^lEbl_}ROWi2HE3!004=Ch5Kg|cwuh>;$!Q~Ytc z=o>Dnlh%F*3*FWwI~bC;DEK~=1D9!PZ5N%tDIBg4K3I;x46T}#EZ(g!sg=O%cT2@? zTqDDiN$fy}8gx?7t7Ie3`~HFhFO(6MkFiN>bc7Xf`@P6qCScq*3zPAYz+h5*&!2#$ zbV~|=3`?z_?y*oT4UA)U2$m$o#@z#ILqm={$Rp>PRivueqb{6=N_q(JE#RyX;)966 zL-?RDbU8>N`L{OX?m%tQza3W{e3NT%panmRC&+-x*9TvG(2&@=F6*bXs+Zd(57iQK zH#R-_xeK|z2=27YBa`+UA$U;R#`$Cc4d^Cs9i5UAJ;m4k#p^C`#ZgFQV$aN(0KO~b zxkBuk9T1|HrO4{e{b~O ztEq%`P-b?^w`0LuRlnR-!Dt8x1q zeZ0Ea&rjYj{bvNHF~uutumZKMdZ1J7k>{|gM*1{9oXZ>kD9Jh`ju>P>E7-lK=FJq( zMVCIF;Dn2c=H%GBginDKv(e#>W~Q2vJD>GpL3UJIw%z85*w@K>J6+Qi%a4Uo{eF%3 zx%*Wh*4MF+di8Itudh$0Z_V>dHpY0Vqeygj+@|p0dj|pJH|t;h^47`=fL*h#`Qf=e z+=rpP3jo(C7MG)R8O-JXcGP*Y zCmGoyzedao(vEf}{9V*+F49z$|2tvTTr6&I#}t3_{Td}9c%cq!8Qm=cRl;i4PBQ0C zJU#$YAlt+7LE@g0HI`EDPGLQogvt^l{?Zs8y4*?L6i&%sQ1HQgoD2oLP{gM6sa&dN zPCJCGsr%m8pHPV6NR5EhRb6551(P!D(p?Z@r~-J9t!W8BSWvoeW=Jg=;(g5rff z=BH0{*@quzFu+4TiF035GFZ6<-Qzw5K<+qS@}F{F++cCgK>h6TBVI zSdDB^?rTMJK&JK}m!KPTKid(Wu+Nu6(7;Rzgj6I~%h_|D{uRRMoFGd=dX46{dD(wl zC>HQqhNHJEL~i%Orxm>067$YQ1V8Xg4Eq^(>+B*eMcQ#+IyO=-q*!E3#a^2=a{ef% z5PG+o`AKFkhvxP6{`o3w*tRnVDF9L{k}fxaGs4*@+?3ZellxJJgF!DVh1t%!vG6Q) zW;A0EP6O9LT1EjFv4SzrmSfuJgoD_=6psSkh+?Ev-=ohhgDy?Ucod z2WE9+rk8b}^NEJ4i-QG4Q8)L#PzL#bI0*Syesc2n=JRM=lzpf2oOQNU4Swqubz;2&vSHV8ZP96Dr046BA|(ILc*J1mQF@GHY#y?LSr{I zCxuqXXRV=+sPTCX3u+fZ&yqr$a6Ib$d6EqUNV<$?58y1hsF+SZ7w8rNp0KwDp-pmhX69V)a%-X6{r%SX62sZX zAL0{N+h93}!SX)ZqjhPcKJP+|2I)VO`ueuZbi!0U@SgPZd*#@EcD1=o)5?(b%3k)^ z*d)|K)qy>riSL7@D|&)gn#j0McmLKu?Y_Dakh+OP%2J{fH%;MVWW?O^c6ibL47zyh zzHOfHW@AFppA|Csb0>Um$YIFzR*jJ)chdK-rtO??5;Dn=?lyJfZo=g|o>q;;JUyDr zx%d9xm#p8JLtzJki_njg22%n5+4nyX{xMf=mc=O10AI=n%#Ie z5|{*-U_TwWOG-wBV?@g7f#Gb{sFA6DIB(vGe}VzcP#}N>(Hqu0@W_STgKCB--Y2I} z34w5&XSC?4ETeQjd~SX(lMNd#b`;`CI~WOLfW(y_-S|yP{R1HDa+S`Wb(o=#~tR&p(TB+76 zjcj~Lrh1rAs2@pnxBQEBl~L4k2p!#8ct}dR5J|l0Y-C)Oeq1K>J)K;?Nlg(03E#Jn z6bw@H>GFp^j<*t#6=(!;InkjFN4fOG%%rYORBcq0AmRhv+IE_Ulrl#M;|{yw=LCr2 zV4YKKLP~-1F_GNn#@KtE5cNVXtP<>C>4u6D+(hASSTMs~f-sUCt!Er{e_8P*tRI)2*& zr6tv;=BLL}tDf_}V$V^00grnUk}=uq@B60qK> zv{^B>a75a^z$eD-0K#BK;&;D=BWk50%B&nsqO+8HYWjTdbp-N6uK0JnoO1^Qu7f1eE@U6gP6tY z_66^T9tu@pX59XRr*z$ni*_WKKj43*xn)+BvE+@52)BzWaj$c{J=T8PlJv zi>g050y>CwZ(i*33cW?$f>iWSEebI*vDZ(1j!0IF&(B94DXe1_ty2z<=bq?_rrXG@?@Ngc+Ll2gqAF-2Zj7 z6dHd)rrPmB0UyW=BHbnL4j_>)n#?7(aK&G0%t$Eow;2l($>(I&_a1Rn^|n`XbFRnE zxvh={%-I@c^vfbeiZPEYK2=>g(5JYPjkcSy@G*Tbv`4*(SgLV3#3VEHhmrik7;k3v z11_<*Fxy2e$2)0OEwL#frMTK_mYv$la~f{-w?qIPvB$$N358CiU6vzp9`^FJ@70Mr ztZ^u3wd?}{gRz6>uTNHqgTYDFy8N4Bmt^dULu_A6X{d%&-$c>5w8Kz(N(*CPR{X?6V+b5z~SgMQRpcD3`FLH86>S@Y!t4-NoQc3iM*^nU&sp6K-=p;a$Q^k`D@rPgqerj9#iF((&w z@#~rvHNTUV7`9YQP`XRe)P=G46}7n{ZCqeblB^Y7G7*~>QB|&8*!NL(#h^=w8Ekyo z(Gp0U-IUanak&mxhd7F z9uGl%8Poq;Ddmj<7Tm__Bd?JTjNwKXn3r#~G!!zne5UKma+l1rf@!p2m-4RNJNj2j zuMM>b*m+R=pryy;!|}{MM)`=e5M8VezU!5o##BzvbL(iOv0>SL#MqeQq zsU55Hohr2~=kf)uv*X<=Xjk7!y@Y6_ba5ohxk`t2;bNHQA;EY-Co2Hbj#E}2l*pd; zK1d45-E6TQlqTkj7)vI|>{2vn542ez?XXcvBbE2%6|rWo&kJch2daQaF#P1?&tv`5Y(&$nF7DAu*RMT=^@9QwiaS3bK zVE;fhwpESb_ab}A=!BpE3x!@~@$G+t)4=@kgij2%@u%o`8j_EJ_YZkP`3ebL4rv+J z@%hL%p3xVKqRBveJ>dF2HCaJIK_#?si+fO28j?!+ZIEvLw+^k#TXR`+We^1_)WSR7 zPN=a)Bb@Rx;Ls#7j2IKLqW*ms&Wy!Ad_=ym?a%J!k+i{OA4J{!oZw=sppsHCnfnzp zbK@wudw4jJqvpw~da0ApvX3#f1BvrIYIRf0|M`Znxpm~#6j5XNbv19z2Pvn3QH_Ks z7Eix%vrfhE{4vA9?6?mF856DFaC0zFDvSbk{9Y9XL{9$qO@D}AaR10*-Np^qk~*Q9 zXEi2!MZD1SibVGINe512v329uu7ZpG9F9Z#1ETEnHJV5)8B#v34XB8hBWrfx{pVsL z5n`1i2i^DIxiP`u!kuawcLsLe7F#MyYjlZQlBPbWblNe`0A!=f{Pu6occ)+mr~l@x zDG50d&GWDx@nJEl+d9rk_uCQ9KeTu4!dw&gk;=#O9D@?^@1n3buFT_eer*qSiWS)& zTCr*R?0HZRk_S)F-p`}J20d3!d>JLP2_In??DIsg_NkOm*sbcSmuI<(^PP`81Y#e9 zWe^k)S3ax}+x2!%I_0?W?l?5i@1~0I3l?R_afPu!E=0bFaSNn*vYNH}d@Fo-gXzkt zr?H&i*l2X+Z-)E(vq5NoS{_j&mI9$Gw~X!$HY$nHHUPpaG3<{OuhD=TpZM6N?L^3L zZvMgi*6tro%gWBmok{B$QNO$ma?5eVg@5$>yQPwD|yJ8Sg7@YP6* zIX?HED}|+aDTJ2jCK^|jjeOlW$A#+}c3Qm>S4%ovp-}U?$ zC>Zr@DLx*lSBKk2j&(k`lU;32ls@|Z)M5*S)OSuZ4*ClcjAr15oTy;CIQv7^xr13* za`Y{Yd9NqvTnI_b)3-()#vK7YVJr2-SDDU?d4qylR?AXW#T-O%N#{FvaBNt{XDt|z zw@+vRV=S7u-+F^dH1r1OT)Xb!Qi}g8?Z{9%PYZ9OL^(T_+Oa=_3!xVJiS`P@TcZ1S zLsm4DA(El1POtw!Usb*1Wv(2hwU8A@$EcCgy>)a9|;01iXjpS=yczA=cQfb9l zLxWm1I50g{TD|ZW`F_Bf9syX-zZA4`!qO=(|*83DVqmDpO3gZ z#RW^Q?R(nkZNP1M-Mpm-tkEgIy4IgAFV2cqSdiv^{^*<%Nm&4?ItDq^#NJ^Cw;S*OFZ4WK7(VUk-jx!I{7(Xyqu%doW7KXz1*%L6faV8 zd7J9~R?Au4x_=sf7YlXbq{~ut%_4Sf%607`UW9I&ZDa=43#mem#e+ay=w42MJ7fnF zGmDIi6U}ZZyF^y2>!f-KTQr|-0TVmQz4g`}Qf)sb^j=z;dVGnJIjJ}@%X-Q6mOen*<2bbixBU$q_dr5N~9WD3RJ4@=|)S%{VLs<=WuY}(>n>@Mf86K-kSMWH-D%` z&3{`cME-iD0x~n>6Qe0A2@)h;tXXGq1ArXQ^oVyWB2^M}M&AcNw&py#9_A~T>CD&q z;9vGQ&>ReFv!-+ElQ}2@rtakAL)4?NE&WlGx$3m)32OTGI8HA?2|f!*pQo+zBs;vU zXUYZZd3h4HobiWJ+iTKd`Jr2E8T^Aq^ds?jUhp8;Ze0=YY?n;*xtI)o+6)N&s$gIMf0Qi+wX)yot4*;wqOP+2B1Sg$st%-XQa>hvi?e}tH~q$8%QiH|KX8T^VE z{krj|l>k{l623xxE5YZP z)oCd0{fsgRUyyC1c0&wx=1DWMBiU$PMlLV`w^TxC@-KvxeHAhO!Xgu zwZQyxIqrYy?IB+0!IPg1%6er=1aJkLsYlYGlPL`>8M)1aLWK~to1lB|s#7BrKZ z`}HZd=YZSn5BB|{a2^jQezB6$=9iq!# z)%=`QN*_8{24Zka8E*V#aGYtw7w%y)k@Y%XafskUOzfRmzz$-GMq%59-}8CPd+cv> zrJ!iSv7VEWdPKRu!egX_S~ssO7Hm~DtSkq@XS2h?O-?^dQmQiFb=RiMeZkzWF5fw8 z->Sc7tGa4mW!XOVx2)JTVPHJEUyMAQXg`2qy#2s51s;+9vq*b{xn8>9xZI*NF`Ar9 z3????U>2?OAqP9TjZ(o=Yv!N>^TV(gI+DL&+JIBa4qIG|fE?oQRp&FM$eKb`6%$h! z`ZMd{+7$7JyLKr7c&q-F$cwhF91<@tjq_AXwu!QlYcZp97z2;gVPql3cXQyljN2pZ ze;XK1^M15#oNr&yafghiOuVD7ulVefa|^1%+I!%jiCHy)c6lUJJ8LjNd^qNU>w=zc z$2Ye0T3?xoY6Wd_V#&Ne=$O4E4L__Gt8vnK92j7MZ8SvV$~^C{3`>S_SWtt&`cZU? zogK56FZMi)6#SL?SR;Qr6tQ>EGpd zVPjiB9O~tml)HeXQ&CodX&W06h6QiVRzo1{}F(G8!OIX zSu;7&BK!h-_;cIL8qV=Qz-Vkubj7dx5ITu1ueep;bp;rOu7cdn!axp71}`1m3^Gi)bQ-Fp$Rw@PN3pHEJ_b zSsoaw(3nXW!_qiBn&l1HO$DYWj?dvn5!gh8lmSB&3K#?{<2l3j@r&0xm&Wps#dpH_ zMjem$R}(|$cUZYyqMl{wP%>^0Qq1E<883zRFF(3VrVzVKySsIpMn49~GoY`<;>l&H zGcjvi3LZ?<(-cCcoX}(t9Os+nU~+sH@f*NlBwQBOEvg5OBulU(^6pBYLJ5+!Ox;-*Z@osrl4mI$l5E`+>p4uZ`Ic3qx9BqMDGQ^eos zXwHri_7le!6I}jYMnb*-Pa|k+K}FngGK$9}M;tbSAhyJ+YB%uGCnHmDxxXiJA-t7QAK#0FAb6D@%`=C%l>D)Tbcp|(x)&z7NAqELfZ(=T|%X)OZxj8`*{)yGywE{qP96BiVw0HO=Z@gsKdeEMToCev^rj_lhYcDMaCM03~8Rv zW;lqE2=vYo28Utbb+-9Yx&^NkRWKFoIZv(y2IgF2-#u(qb@kjNz8h!&s~34svb z$8M`ZSP1T$O8Ij5git5YA)>c1RE`Wazu8a~Cec3nGd>g}-8|!F^K=ax_?osz5{io7 z>yCCMz<0_~jmcF)yj&K9YCN|{$(-*W^*!vC(C$O0zAAn-<0Lk45r-2vV1uoAm&^6G z$|kyri(CDD$gDpO_L!x{prWD)pF2<;iwhV1;;1aKWNW=61)ygV5av^V|Ib@vdxC%M|g+hPN&%ILh_UT8^*VPsDBT$@V^2@)!;+ie~)3WzTf+;L~ z!VDDL=TZRyKfB)km>=%R2SPeJLo%o<@LZlpy+p4MSnV%MmAR;X*pD4@B#)q4vH z6#QT1DW8=Xeqzy>a{ZQA2~9&1vGP296QObd~$PAl>tzsM0f4LJMT&cHa%Jd8{{#33F+egp1n1&vv;}o0vtyH&V+n= zMkaj!h5PEepc{$UE;P~VDUqtnJJiiCw0yyHCJ6;g;{OP@TULWmVHFBwaSym8|N91j zwq6*buNO=C1ch$#;)5u0fOs_7gs`4Qnx$x;OnY!6{X&t&QteupVZRq!0K`w@^_pA==`U&)cC#=4s>vA|C zNj4eDg7+%aW0!3YUz-9j!$5%&J|wXZjy*2xT|bsOv`kQ&OqfCp&IvN40aqee2W)YH zFNCtUtP`Yo(=Yqi8%V!y5aB^#k(u9b2Z_ej@oHqo<90=CR+aep#j!G6T>j=Of8{B; z!omm1ceNGcuOWRW&H3L3m>iLZj6 z>ur^<3Q)8NS+UOyU9JC{W+?RiJ}uyFkSlmC`tb4;-O0iFFBs+ zL@TY1aTBBOCjB~GpNgXH^b5K-a^g=qjZ3Y!nj0QI&8??vBN20;5p_TuR>(1me|O(+ z_H)4I`L8<~13G``1GO9ugq1}e$7Hhoc%D$9B`80_EIubAB(!Xubm>ww`R3ZwZ>{ zi!FmMLb5i93Q6cjOoP#=-TL<%2BD=TJSsL2m6#IsHx!oG2|-4NX1$=a$O~YGKK3y( zd{j0x6fjw021({%v}YRh4fcIB)W*2k_rZ+5z~lzF5xNqZLf~~H4MF)Ahe#~dzJ-cJ z>}oYCmtDbvtGZyiLAfQ3k5VaC^J9EsWL#3i2aaIqrP_2zWeb?Prs%EzHrK#R*{J43 zEnj^DH@XGP-Va$z+)bCtYg$ia#*r;WfyI0&qU2Pid);jd=!s1;_`cGHk(Wfs>z0@N z2!*nWI%#~{iG+~0&{)Q5B{)4?MnVnvUb(mvWcN6X8J8+6(NNz6AlIndtb{oG$E#yoMgxE$+HrOMz zzYdTRaoR$+_gOomGwxpuM_CV*eN5R4O~qu=Zn7BQroCW`Ip;XC`6tPGQ)AePmF+;0y2KL=m^v0 z3mPjNKhZbe+w}hhaO}|dhRB9AaZfoL#7jpB##Iq%n5({r_HhHG|33vK64ML==Zykte7(xx??Q3_W03$Zt+Ui0vxkgG{md{w>|t@ zeM{kr+LwX7)Fp?$sGGi}-3t9Y$CFlFzFSpjqHnU*9;&&vvdg!n*X$Z^8Y+77J;$vr z5r9(^sr($pGlZ!4o{z{O^k1vJzIus<^S^g=dW&dFzE{_tJt=$dwq-1q7;#hqm4V^P zrODAp3=B@Bt9?HYmD;M@)uo19PP1|_+(faT%igb#B>?kSWr*}2NjrEzESRTPqK=0H z)hhNR%1H}&4uUJ8u^hzAr))4S!13QCLp|n@^v@PrY_N`KJ!^-b!7<0S`W77IOjsBR zL5JF@Q8Hh%_w^4J#6K>4u?V?6>tIgQu3j48ki=!=VTRAm%`3e z-eB&=5XGb=?S&W*f|b$8^&4>f3Orr>cnq7!zzgL+0Q;KjIg13Pa%(}Fb*<38CpamN zU|ck!Wt~V!zQ*<{sMk;Z1umS z#w6z<;N&eC)oHwc%?HD2IFU$GSIT*q?na~Rw2qeR)ydS}Z}F+Baxk%A5&ANL8hQv$ z@c#_8O8Rf4?T^4{I7JTHTR?x9-!NlLRX`6TrNR_CD~fGUP!>M?a|WuTRO7~P3jNkt zIPefi*d{zG=66kRtZwT$vW|87#`@~jdFyuAFH(y^%YocxfrN2INj*I-1%>_WoFKY$ zhCwFtnz8TXK!q%7OxkO|KqRG8+H&$TT0 zz>f03dBG8VN>@0gypzu)hO#%NV!G*I{#Ut#MfUXrYRXfETAGBjASKfn6aE^dicC-> zE}A1_1$N;A!lq|DggW4}rzD)0u{pe6n-fR{aU0<#00t& zO-m~J1*Va?N=Yx3#`(XN^uAV0P?_m3^Yv!Iu9#Ffpc|kBhLsTe zoiIMIAYC70gsl`w{B;$W+zXiSR*)E`^bdOSM1olE{vLR4-fk+qlDmFEd=*wwKU{~s zqW=o$_VgW0d*&UHZ*FBA6@+f>xj*i?uk|lM9VRk`k<>37n+-LB;KQ&YB29e^5mw-# zbZts>K8Y_gkmK@(s8kvjW&+R#e{P{H9~Yw!ZqD^T9`$wN0NpmUG&zw<cAxf!CGKA7(m3Zu~(>Sx&2`|Su5@?aD^66;IE1n+rT0=97g z#)o5m7_p*3wL`pUJW|(-g{Lffs+|xN{X*6%G>ereQ~u*eyqbuwCu+= zwJ&FwBgv$bnw4bym#LD-BBsHK0UyX_W~Js-{(Cwg@O<7G899c5lNMgQnSQG6*bU)( z{@3r?!%KHMuHkJ2kw2Kx`r3LUs!D_90C?VVMofT)Y^zAQ_{odhq3c%MI#yG*bVXfg z5Chp%Er9IIE4xpOdX;r-07AeW_h#yjXFSUK`)yIQWA$ue=_?e_*V1T?{~JQBkgUNi$ePRgEt)DDL+ z3J>(EKP<$ZQu?zpuf*mE;82^IG8Hw9L1x$fPySZ>83uHBEDP%ppn4@6vy0(yxh4r9 zy8K7$1P4|8RqxCOa*}=+E&SMxIT&AIVjySj8^qd@i^b)t^NyP^aeRdQ>NzANd$*%b z`{VTTpzUmHJ<^qlnjC8(nU8`hOIItueVq-K86O`M1ul|h$eSO>U~uOBTjAOk1_yfR zn3uSlZ%(eS*>dm|3oeeReD953%)5?SQHRxjHj(Bby6HhQY4|zL1K8I*9(@2QvBG;O zn|*J}N3Hq8s8Mb{Ba^}v&lPS#hO>8jY*4EjKo{m$#VC>dQ-y4f-cMF2(iwQCSNHT2 zfPNqcJw>aJy7#UR?ZbbnKjL^Z%qgpqu&lHU1eI@psxR=8hkkm9r8d`{(vDsDZ*#sG zVvhwfB4|(u1rCX0_GDCbupb8&Fg&Rxru>kYQzVkFO`coG?(mAh_@`HKdwtoHyXJ@Y zRpPA*;A{NRppYw_`TwyrZ56_kXGyB`dZO0Y?OE)(thA)Xm=v;cs;!E(UdL&SNE%Ku z3bpV@S6|93C~Hne`FNJ5>@@4_+3eM>Lx9=*4?bwg_4kH0>G!!K=Acm()9c?kz*RGr zoRm_`V$op0_P{c};+X5HF!mHlDH}uVJdp;lGeo>3uh5ae?W`M4=j+1-#Y@5X7(+~` zK_kJ+qO;6!(}x7R<7yUII?6iJT60Dr*HZ&nsEBO@P2yKTvIZqSOgPP#dRKEIpE%M$X$HNHJyJ1twX$}yiab%yn_03treO0!So z_tgU-%k!Ei6h7nyj4$-3WjYo72U(wkg!=`V*kA!Mz{X%zIB4(%;_ zC=2=QQ?>d}DKG0+bsBH|E#U_H>q#hRaC*jaTF)&AV+YGc6WnPR-wNw09C7Wb|Enil zvqt}aHFUs~=6Im5Ge-L^FhVjoWYH zrcua>J(czO>RUg%1_DnO*K5O0<2jy#AKop(>Lkd)m#klSRaD#y?w{*87$#tVb1yENmTG z66}XJ4wVBqY~ds~y8g9!6PCy+E^J@6_s+!=RC&q+xbUExYjsG%kNtDxp^{Hk>_R;D zm<0=^OhVo`5xZ8^PMccw)^rGI`2Sv#RKJ^4p+wd?VSB$IYVD5V`!*JfH4&5M1)yg% zZ`1wyRhGvttTn{MIsYpr2>QP7M~IZ`E!n@FTqa_HM^b)jaZZGbybZO2EizX75g5f@ z`#)W5+ND~?^f$IC*d-HLjLfM_4fZVbn~9T25_8GS8hp|j1IoF= zpYS~iMG`y)P|yaIq+dQaOcwbH+b!4zwCB^%C2ZD$6*b?{>ubJY!2psv0tulLaVx61 zDV(7`yb5(Z>75=Oix8U$O{v&Aq{Ios-`tg?@ren6{Ua$lbUVYz zjBk7(_m)h^2yD*|OFTYlT>T$w)@j|40SwYS&$?aRCMWz_+@u5oys({gVADbYV9{@K zex^p1w~Opga@VRGcpe+cQ_)lIF*EcfDYps%v&U}truYE$!X~TJAM1bFLZlTWypq9Q zH+eU6E_A|%AK5EPGWN=X%hJLlAr@c;nL#RkUW|-6hj?bdCq=#BVd(05cEv31CwYG^ zWoIw{ig;h>fjN%rVo06eWUM#m1Wqlvosk{mV-=nsajLw3eREyO182u!!ryElFXkn zMx9%*z$LYN2LTZ2i$b%r-#Z&q2)`Q9kTu3$XE(3Mj#xsBu7PH5m6``JIRK&n+!;H~ zoaY#=%sf9e`DS|phgGUKdP7l=n1lyk3BVxujrV=yUH_A0iz7jyf{$HuuVEbCw?Si^ zXb{W2?xP+VPImyGoJOiPDr8HHXh**@Lq7W`_j3Ij9taU)YFo!RBQHv_`OG#Oi=!DmhSrX9zOb^M7-Q3rC>fQ*oKD?j-1i} zi$XS%_oGoUK0cPG@?H$8?zq$UL>3fhv$*&=LJnj-7PzGPQ$^oDN6OlB_o?ymb zw7n<&hzP94UDSdj83ffz9bJo1IB*H_XEFSE4WqK3O$I1D2_6#xI+%zoxMk8GbR9c= z&NhYPC}U_DtsNVzQvi>Kx0-ZI3{gSOHGDb?Hu!{zNr444!|TQnuR&30c!=kDt|lyyz^bl-YHOB14zy zw4vpigd+^EKeU7iP5ntZ3?j!*gqFG8CeXnP)v)!}28jpR&QYi}KaW>uEw=c7-C**B z#Yy5W9JkD>Oce>B0}51vk`mg3N9ApLI@6JKSL@zJvYTFQQ4h@Bl}-f1Ui+R;YH^Q~ zM53@LR%8ihn+PiiXnFsF#;t(%c|p(*^I?zuqr+o6Dpg?$!)6k0KZ80@k@AA~)panbm;cp zNZF-WHla2Q$N}X)@!@CamV8Z)`0KiI|MR|s>T05flZgeOlF2~_$bsAlHm*7%@KB8c zqGnNUE)%Bur0Mzfb^k#U`+Si(J+sy+pAecjrT;R!O74}wcv_?eojAU{#IV^RH|A2b ze2)E!n#k9js;ABNy6q-lh(hrd+w-k)xbP!V;SHLxRSeO4M>_+8wHZeN3sDA3x9toPJ249jCXU?T(CYw5_GaGE(=_2cL3i`}h;lXRFEv8FJmEhS)U; zEMG*us|y;RB#@hg%3x*?_s{jUz7YV@10F8 zApkO_-)#}d5P8B*ZMVj$^nA+LezEu!SHS#C3_@2-`}=`L7EoVwc==!+(SG4cuH7)T ziZk|)_jP;e4DGdH`TW^w!@@Ec7WM7Rn%{SElhE4V-{*-=J~{|KB(Wero(Y9(Fsg1I z3HeN2h{B@&{Gdymr_;!E+ZO7}GM87Uq2I3D{!`F_J%7{8W*mPDhSB4NEuM??D}Q{) zY`$PRppI_f-lL8>4t;OsZmpcnaE#TMa5GyWC#eKy_7eQTVOR?X%dcwsX}-Z7>)TpK zRCosehn=dfkhh1t^=J;UL6D%}{L&jLA`45@-qBng;c;wwLG=Be(-bberP@iEPFs?I}$LHFjMuDv`jLhW3lYwR`_O(@Al%rSh>QV{c$WjXuv zlK|G0(k|(+tIw1xnc#tJZ6xg8qEFzj*_;I(!@~*L{DL(?qvADHYj0)-oGO^)(ixcIrO;Zxp+F|$iLPhHcz?RW zzWl-~wPlyieY}||kW(hIV;^SAr}`s$6iG}gU-0{bQ;~9nmuXudPqeLLgx<2*GecJAqER^aSu;k4V5th`*fElKuH4+qNDsdY})vdkO>BUn`Z)+{m&pBNV>VP^n+G zSd(_QySkH9axfBWObu8bd-3h_%cnT#pGp=D(3Didb`zrgO&8zd9EcLyex;X=<1(c< zW@}IqkBFK`GCVZkq?i7vi>q&d49+yOhL=&reSU6=Pb@%#usRp}#k#_o<$hs}trJHN z-9v|1yka>C?v7jxA3d32HH(B;*aB@-Cf1e8l;0-$j*kp_UXiG-(C=|l9A~uqJXPM; zsNrWXDPF`pBCromO3*91Q`aj(o97`7ztCS*ZzaC zjwQ9A`VhPa3P2+G6r6hfEHU=L0o(m(u$XNBZkfD6Qet=b4XktbMz98v$e4W$R9e6i z6Aq%XAD-PBrUs`gp!_4J1H#ZM1e>4LY=_z-m-Nb0M= z7MEKH!dkUa#QmeNl}bMQuD*xOFdOU4*3Z+=*16)(L@TNZUG7x$R})*y=&||2xNk)s z4N@;{dM%E`C@4Hq<+)H=7$n~!{z^FYhlphu3ntFF18e7l>#mI@Mhl5diWVF|+oR6_ ziqjT*yP|<=|de#7| zWd+&k8Ro&;t7Pw;AH}`kV%>iig$JdC!QTZogYH?_Qzz)w;v2P|M?sCj^4<}yRQs`6 zS|%1x?%t!=fXb1S!!j$E!+qCdi)vEHA{(eK%NR8=`QrR}WHJkFY@GLd-U3$R9oFmf z1>rB6t-rv{;O@^(8Fb{}Zoh&tRPnojiHU5Mklpr3vEtB(;zI5&(M|81T+iu^EU8YMNYxCg8^1Xy+0{R-p zNx^hfQ%f{feh!?+q+IF3x2{r{f)*Rl<#P>n?>z2d%Byt1p+Z@J$iCwW^OSW&PAPbF z2+K&_!H4UcL|r|qaxGSzsCI2_h@k;AB!?Ha)xr=M z0)+gItSej&1CJSX;RqE7B>K}M#aK_v^!wh-BtQNgix9H-_8n4U&UN!HFZD@Xa;)(^ z>xVj+xJ4;de-jCHe0>VtM9)#g@sUJZmFfAM*XDS<7uGXLNb8fC5VHo}*WSAByvs^N^&4XvL({U9b}{9Q&0EiU-I z1>^hp?$#UziJgMmXxZU3bb1g{xHf|)5{L|Z>~2=LHRu=*(~;pZr3@wJEuez1Q`eB7 z2z`qCG4u`GQyD9j|`33&`5i1{u2 z$KL~-hf9(RL}S9$v)Rph%+SqVcvT4ZRecj9meOr$BZGn#LjG0SK?;5dmF@zcg{Tu z$Jf5UKa_(fx_8!J#uv_Asp!YMoj1)#d<+>gTLF&`+Oum6k^#j+I&|VREO?Y|+yJ4} zbtWUFLXc>JJAGIZ$^bttCv)9j{4KuwN?3gWT9AVAUt=W1$vCywJ?{G+U5vK;d5tsZ zAZ0yQG(s8Nbgi&_P%+YiE_nbiu~9FPi>qd?1D`mzi1aY%mH0J@{kR3toAJPD%{>q= zX%$|QBz;|hQ9TssQK~2XIa)o@aU8?fuverX8gBEa5~Sl?Joa?lGUjPu`E&wJMSlVD z87Ht&dEv;e`1~u_uJ4uKxEnclJ>U#jIeo_mWz4v=Mf~{;W6wXMaO4RHP3>>!Vj4!8 zT!waS-DcZdaDOq92F(5*;y z>yIK%D!i5SDrrA@`d>cn1n(6+{McmFdSK@^Q zm5z=MF0%n}eS`_4ewFOwDf70)Dx~}Tsxvk^HHYHemx*g68z%!bVFS_*)yJXx3tZ2yGTPrq8{ z=`it?hcszc6Gqas!;Vq7M z3CW^C)5IQT=q2{vit71}LaHoAU_#fihw;p#FWadj1X%)IdcPMJ5ULG`#})7YNe1GJ zJP4_f7ea-?K#ugH3>D{2s~shhJpi*oqN%m!SSUEtc~Ytm6P+P|%UN0;_!)q>8uy z5f&7S>lr|s#Zo?Iu|Qtefe0p6^3M|w5|ywhKCPTCRlcfv9A(CDACmL{l$)GC3`>DsA#`*DHV$610OjB`qBTFYURS%OLy3M zUcXs`V$9CbZ0Ae6_ZHiKs1&v@Rb~IA$=h4=Fn}QH>jUucu$xg?2GnIrJkbaZpM1}x zw?d;}a9wX}bin*MSn)*--|SQT#rkWcz$+sxNIg&1Rv+|SZ5YC>`;#G%lkk zGP%z>9G6tuyxAI}%Ew05A6wt?i0lo_vFq~{9fzBGhaIFlz)}&4w(%#gVt}KSX6MxM ziR&3rObQL6;#He0nJl2_nWhWj^_jv4(La=yf{7LRv7A&i-X&cE-_h6mAs~x_nrY!q zZTykq>dIx0F`p%Jw8t~)|0ch(qrF_(U8oe&?3AlYOWdsZK#g_McS~iQ=J$O(gNBA!Oz*{1gELhKcbG%a zE>I&?dOE_CXG(2(c&P#`UOQSh#Y!XNWB@_BcU(uvO5>O!9 ze2G50_^!A?lX(ekyg>$yHsLo%Iy5{i=srS#>`Caj#DPe}9WnlE8*PcJ$N7JjFw%DN z3Da~vj`=l+8|McNU_X6exRu(tY<%7qcrm2M{HlZJi>yVx`!L)(GcieWeq+Oh6l3op=ft%>mByXsV6du)2bXY3n_9Yq%r(2 z3NhhU_tU`zVBMf|rT-jXwcMi@z!jY%h8?iUx{E;>CT#KE-F}`#9+g*f+nIRQ;=QXS zj9TTE7KPRjE}GkD(AtJK207f<#I#^N}vB^Tp4i)neRw`Rj-Yq0nOp=6 zP-r5f7-2P`K&1S^II9tBmGC1)e#(Llh&R~gVIh!T1)XpZI+UENgXAn z8LJ)(D}rQPoI1UC%0#8=X{p)i;g%IT$vEq9Y=;5^kE1+E9=9-amE>|^2}ADrlcan@ zZDkEf9#inP_Q$c=u?&FU#^*=}C>vOm1znb~EPyni#m+W-!0n%6Qbt2R**#dqK$VHs zPqtYD*qpci1Xouy*_R=W?Cx%x@rZ=4G~@T^s|rhu&QY}Tng~pPWh~GBRqP{V-i6ZV z=zNLV)bak$rJHnD1H5Bvj$|35$kO8I6=q1S0{xQyd;d`>D74M^uqfFGq!c}D!4w!P zah%cwa{qdn+Oe)zRl1xiivh%ZLMp{8B`QUSG%=WSO^jqTpCO>;K9$*&I7=Q+t6qdA z3b+@ZV|}65K!5A3H`k60X*%YF+$0Z049Da)7|yHw)p%Bq)%2k8$-#TxGerC+CTYV$DxtM5*YU2EU_nbl zDrcckTAmL`{6`*|p0k9;BA6e+-O!uQ;*=g?e7bq=x1SeoUx+T}1vb6UFx&e+kyGA< zw_J#$olHuOy%pT)1`M`q>iFw+sE-B3Vo8pvFL%cTkc_)19Mh4?5_(B>jf3#o@?`7U z)1KEPJ_wB;@gq)n_rs{=Y~(5ue;e-QMRI&b$JrbsG{~61W2dLmI1az9$ zaTL$2o>ZYgqiPktoHey^Ek%s#!ExeSL$v>sXV@r}X zUy(30nQaQM8#(F?Lq)%0%PYSrxMDj{@t!0_bTg^0fLhq=)PH(W>>U+-Tutqg;DvI6 zeY2-U!#quPoc#?XrFmp$`y}%Uu#R+O&J}XuCb?(XBY)7f&lJaW_@QOFC9enO527&= zsG*{4bM3=p46`jQqowA@cyKo|3J_zO?OX_IPltmv3cdrLX;@GzO) zSY@Bmt7Nr;$0E5R#Q@RdUTHxQUxz;tVA+O6%vkqVhSADeLF$g5 z{Vdn__73Pe16ARODu@%cdRr_WjdLG#fM?7$=>J$Ye0+T+RUM$aC>JRktW`g!^dn>2 zCC?diZ~yoiQxE|SB9(woV5A&Y7jrBk;1=|()&ADKo|kq zx_=!uZQxpXzr2O+7dhAi+aKAix%q@Q+wP2@xW_U1+c=_}H9x$R2$g|5v95?+UN-N% zD_Iw%x)Hjz6o169i!jU*xI)>&uhMv7yG0`+nDB%RiMIK%13Yz+4beDwPUJ7rpk4+9 z#l6ja9un$^(2c^qSRT8n9{pkBUc^vrC)@;!4{gH@QSNHeX?W^*)~XhAdpS`G>F;l)#&J#Kaq6@E8h@4i#G0t=}lP*mq$2{z2zZ^#p+>m_y z2{{-MI|+uE^Ur8d&_jb1&-j1M;?J`e!GvB;S%RYu;9HM zY576eSKAhgciUgoyQTpA*^b2`#j1F38Ek|`dLFzJA|u-Ch4qF1%l^X&8Tl9EUDpE~ zgt4wk%5GvPUDEnD9@me4$AXW6v+wSqA}}a1D3`1QH?M8|Z(HFEiAAg?f=Iza?}_sU z1gb|&gO*WVo)ptW5O1Ck@**bW=-(*^&|Ys_=pDLkM`9zn-^nWK0J`PNP)xaT**6`E2hf_>d;(o2GR&I|FH&A+ zFLtSE;>Sq|W7frPSI`sSxO$*5TMg3BuGVOU`GGsHvQBA zYqaKP0;4sR6P2Bm#3!kg90LrA2+<(Ne~8-{?=SjzpF`Bkh} zW!@``V$%d z4fYwk7If2e$+ZStP``VtbA`9|<&9DkRf)ZidF3&lqkg9?%v{BAeDwK+W|=8;-ssi$ zjgpS|SN@gowyHOvXa@GLLHAN*l;C{!j6YvgZTGe#1J@Oy=6eI4`d6;M*4C-pl73St zVFxx@^{Z!EZxN$Fg55pA0cwI#5^!&riZuM!jo(qmy0U0*SCVX8)a31wR{!V}l4`FT z^vs2kQfaEIt6>8UGXn1PpL7)mg1UKRD)rle`Y#$(JKw0!OMDRDH1wQSLyRqoc=F}_ zU)W$gDdnS57$?%JDoVjZdc>wB(*=u+;CpG|CI^n~-OAZ5ERQvKxh^SA!+L4FT5QQN zEn6UcIx9xrf`h+G;nE+FQD@DH_&hKlKq3i9zdO|~UFf%42NvfkwHOPG0LAV_?585R^ z8YNfYXLPMyf`~jiFrWXxFG3~A{NXu{G#8`$X!fgc##)lE$4sR@?Oad>Ee{KR>k|E< z-EsVS*ZlCvdaUy`)Y4@b#JcmFj*@VFQ3~$&>720F^%pAUxI8!dr>{gqSFtSbboeOq z2m$4Og>?~M%XjSzh6F`E`$mIEU4c-Y^!KT2mcgd;y^Vx2QA}NI(4cXp`u#3%P+)po zzAj3mPR*WbYJSGq*(k{1y+ytR;!}qO zkaV&iEl)T878Xhk^DF%aRaPw?*({vkQ#4e-Pn-=1oj=b$hcVT=$!tcs=?U2`hvI|Q zkG{ZWv1qtY;nyj!74!uFo|xqn>IR1=Pdq$)YId#ypag9cLL*?6g*IY2jm3RGtda_- zk2()3Y-#f2hkv>>X>MuOq#GtBqjdughxG4o5c@?U-*BDiA0KLo#v(yI@_4mRm4DgXGl+4La`Ai^P{@t;VRCE&4 zm)O=3S679sPSSMMOlsx;fV?!}NK#c|?*rg}@brPD)bAOPml^w>43yqf(6FXkwl@#%}V2{r0hovZO zwX~<|)AvTfsVIzm0n^PltcVM>McT)Z??@7jX|3}j3nIWcryR7EMi2sSuF@=?kb^vWAeT8 zeVdWItr~?IIhV}zv@a6lqO!au!6$@e{rMzMz0QprmF7cyvHJAwP^| zxh8eK>=aJ@(v81&_ptpAIA`Ly-!#0*>w6NR0YBf`s(46RSDoN#zzms8HwBe8uyb^ zjeK)49Kwi{A+cvx$W+)*2i#(TGpuC zr4pl7eh89EBxeAiO$4at286crI;k82e?mZq3so_47X6I5?ZF+Wd5he-_zw4iORfMD zaDZ$=8T|RQ?Z!rCp-SP!E$!Er#`oLfY0SjWEX-Q*nUQcJgxe;Up#YFi9}##z56xet(Ff=l3eTs=iCp=WHx(bBJ73szAZ%WHQqr)zwic zcp9;8`N(Hq+5YGI+s@33OKr9AMI4LPu9#iM6VE4BNX)Ajc3_>G&mp^@Q@wda+pNPRLKF{+w!hGo}-;_FvXTIs9Ia*BT(wtX%7&OS2nPYJ~pz@l>= z63W<{_!AT`_9X>SV`r)%gB#hzr3)VU|R;@ z3b8AWI7gRU6(ybHrTU9wl*L~}N)U#zJaw9OPN58^lZAH1i%G|aQ7wrR+p5`a^31Yd z6r?(<66 zGXcpsbO@8h3(}lfQ6!@LiRuo09(j(?T2qa>f2z6{O?CcE7TUUbFkHYjB60+?dT=#U zC#Q^@!{tjxLvEyrhlR40W;Yw+VK}EhFCQz{H>v=o)%+0{F571@!Gb33zj67;MxwjI zd-YpZXt?i?6doIK+HLD;dKCQhJL|WoppH(_!rncNiwcVEBdzIrBa%u^UpY(?)P*}3C8=@c6QuSn)$xWI>P%+)wY>*BI6&a>S1E zfziR0RkB!WT0Zbv()pExmeTDAZK+vka6rALGg%@gi(C%N%mCTi37?vun&Jwu>nOlz zAt0Jx-@EiJtQK7%3Kln@TfUl}i(7>BzI0(v56?>jODjjB`-biQ=Q?Z3A6aBDF#V=> zKTreO18JZ^Z$!|PZ!EyO`U~XG0INF`|B#>yc|IWY&dPIz`%-0E;UV&kGj4$LK!H(;bNBx_vP=tJh|q}E_xecUOt8Hv-d%&0b14Qf+N&| zA|P62hLt)UX~jqTZb4<~Ot%?tU`E|Z3y6{H86%!U_F)$bP4WW<}d7aV0qh6%(rf6EnncYt58Ugarn&j z7*G(WUxZeyl=hsh$Eayr0ccvL0t|s|X!XQTonY~~su#E#BEkL(liN^+{5Ixb%8oL! z;V@z}rsr}Mjuh+p%�UiFCuRQHw3p&nnaaI15ugE3LcqdDO)SS}kpO^Wu5@I@=l= z^5v?3=;>sw3>6S?X&zFdbQdueJWqN_dp$Jjs*~}SJV4GDAt`H0m&B%YxUGFW zy5CtV-wzaR@MGm(p22BxYa54pzu?sOszLqMqi}wO9Udgo81<26RrXSst`_}G zavK}DLxvqnpJLC34ZfV4I%o1^UyW#T^X%3538xF@V7o|tt*)ulwO9J~+(|LPPlh*) ztvZb*gJ%;_yTw@Sy;TI*VKVg-s3@2=J0}>c6TiwF`G?@bzCtFYgfKF_pR-0l*xf$* zqE7;eW@^m4I>7@h@tGwbjIgf~JP6_sxD$LR)*7USw)UC)tErNQ)zKiL|Br7)y3Oy~ zie)Srzu{uMn8YElR34osAXtU~O~+zplNPWS+{jUIu8i69H2hyC z7QljEm8&EiM{w~S`XW50_I>(1Kr^$@S8d)}t=EE^U5umPkx!mC@sCf@u>jIY{Zfns zy!R6kEpBA~=@0w!-GwZ6dmg{GrdrDfksNdUGa{Pq+yQ=#01wWP*`VsfYO+_)xpw4 zK+D5SaFE2M*X7Mc!e<-%-Q&=7lyWQ4J9W(jC<+mvItp67I1?p3L2)l)Cm4uM^#?{B zgy094r})K^Iy^73KQ1d`SQ!pvwbRFN6DJ%!d%mnVs7kVbBA~6c2hH*b#W<+wk8G~j zdF=FCGo7z4K0hoq)Oy@W%6@8-ysahZ&$E4fWsUUdJtIx{Wa!9sdwMsp4`7k6OR8q( z-K4$Ey6&?J;RlCI+r~tHm0uB%2vmpi+1X%NCY@$ze2U7t}^~A zGndVVKd34_S=%bxqxxUSB`8>Sa zd<#9%%*%M2cvKp+eGZe6?GTVmNBXkXnx=uCmlP6@**r}CGeW{?P?R94$5nDGhOUbN zG4J7V8c-QZxdGnl71eye!&;aIPGqTX4c-rB|%S=-F@q0fnyx*uwXD@u+I~>|Q3!`C4z40Z- z!hr+4W@)6sT^0s(eb_G_m1~u1(Db=_S8MypQ10`UrHx9?XJ7Xmufo|?z=YBVqiJbY z@EtJrUI|Dl8If<#Jt!X70^X0a5p+Gp`d?S2my4RVBtWF zR{p6)l`9QE7-mci)|WoBPo>1#hq_-*rXJnEK;FMcI-ap^@G)u%2I>! zEN^IC=;IJHWp%P1291W`vxRlR{@!a>1&=l%Rq-*Fg*Bzf_aclnJp3`D_x%`ly;~I} z`@-pcWy8>>p1|{wlDl}!xy{uYa3MX&9-OenT3JvYwZ}8<@Myt_c$EozdW>dW%Ozs> zv$C4%s#3u9L{xKoKP(wloCXW|%#PP_fu5>(2*>^8yxRFO_TCc9Up-&SRu4~&_!JN0 zy(a|YZ7YFmz>at3_~3{3 z@IW}Lp3mXi_gI?=X1EkSi=^%b-z_~|O`Cc|PN|Q779gio+UuSg28P2hU}AUtF>`qU zbIJ}xP4t>JhNtA9f3L%Ig?O2u7y@wJ`UCMEN8jQKC_SW+1rBC&7F}uOsT?bLf+S$=tqcC3!o7c_H6^kh{CU&B2@s@ ze-dw)WySUm3RI?!K@pv+Kv+us@(GDMw69iP6?SrD%IQyK889>28yg!RTt+{djh!y> z^R)*o`Req3u_sPj-N{2nZG+ziJw%A_6dq0 z2|a(C@=Hf;_CFZkGBD6CbN#z*3wC!=0O(%s^Stmq$pM%o${AE4dGy`)@xU-*J$|YN z_U3>Gp!;pF$A+vOHfa?4=qBt|KW>*rGG_BgP{BPa>wIIoneIYwl6aGTmczuGFi0;NX5e5DQDX1zN1Ut_b#G+^+@B&l9} z*RGT{JoK=|sUI*CxKsJP0V6tqwsnoIjF;Dmw6*k!JFy;vK`k>jI2dIEZrlt5$`aRw zGZHpWMH@aQPk^hGO}rbftYu7Ne(if@4QFSL{6bpIWS&x2w`OJkbpgpKj(gtx4 z@Tc)0EfPm>o4bHTNferm=*%$Wes*tR2hkx+eekcdNY1PkV|;Cfec~m@vT`K{UEh5v zs)HfZBHsscYs3Vw16WaPjq8|{)MXVxlNt3EBp)_UPBLWXfc)_hjE|LT-I79RSq+5C}Ae$EE$V0gA?Wit*GVp}=ab+uI8O=B31>XZ~ZxM#_mi?Dff| z3!rD5PWY|>{7ZX=md-;#IAEa-Kkrjc{O8(r`^Z;H#hy7SnTVcux9cAaJ{0X`1i+an z1OijwySXNI@4x%WeEZAkDW>avkr(E`Ab=oo(~lm_xt%6N;_~dL_!+=TNwgW5eQI_d z&;t&8%8L~eo{%zhPTIG33e<{KS+LS%=1pTCXoP1Y% zvBBNIW6GU-rwdEOgCFGN5;)ZH4f*i_1@oV+-w@1RIrAf3$3O~9!B?TIjdEAFi`{GZAR>m4edh+m)k ztgE~2JVKLAZZ4NKzoY#Bv=GqXr7l`eTUM!%Tp*yOn%_QkMD^(W)sJ(n>D`1P0tRST zDudk!t~PQ{6=^czBIp$aMYp=rjS8r+G<|zX1KTmAAI59KVqY2sU_tb&>igB$E}H=% z5~IHwS$h*Mx6JBVM8!eFn>B=^X8a1 zk!G541|w>Zwa$E0Joa9Z(j1XE(vUo!*h{>;FXS|PD^38M zhX8oN_r$E^lrq&PP^U;u*EnYqdN2Jkf-bx9hvBE0Urs~B?e>k6Z!qEqFB#xmtV|;z zts@r(Ymql)KBL{ZvThpyg$z;s<0WSIrmBH@d*CA|J}X=Kwr9SANXU`33eoA?o?otM zD?qTcVY}d6i;xm4&dGUQ4?y)R0d7yABnSF}9MW`k)^0uHo2RVbM`QI_kF5$i3BzVZ@@j-7=I)I2w$Q0&TF=NXr;1iyh*eVlD0G;^fb}Q5W0Xz^ma0eT z%>Sc;ss>)N2!|E(h3BvzmO)YW`Lcf$#}&+sxi%HA8UFbCkjA}!VAfir)@C^3Uw4a& zRF3lFU@m%M+?=+S1^uENULz92O-fB5Z)Z3C^%3AXH8+dFR9mOgdI_fQlaoYs?@lPJ z`rgbI`n;?B&;paGZ#yy$>V3`4gOL@o8u29Ky7~6-z0~r-%wQI<5fWl|U!KwA?OP6- zWC9zd`G(o74?oM5Q-&cV`~CPdH8*=EmP2>`Ku3m`Ro=-0DMf)bObY#R8p9*#U? zK76+xG3#lLmxPV4s&v}{Zy}rHx>{iC2GHU6BpPXU0Jq7<3uc!pJvY+Q8L?jgweyXF z*ghJtH!qfzvSZB?ECUX27z23w+9b`wHc9cRV8wud?Y$l9JTxIbZY2F)C*{4EE%z>f z4D&ITMT`FvOy|Ls><0hed;QV`GDaN7w5+D!Q!?%Cd@tM(gE(w+&9f5&o1a~|?~{@r z)m2mm`s8B9LK86IwSY?4u?tvR#4)!y9_dtln~XOD>Tq0~f+n!i?||=$t?q%Ij@AFc zU-_lDj+QEJS*#W-vn0DNBwl3Xh48kWx(ZK4Ri~x6F6mjwoTmXr-G^vAVF?iqpuS^n zl9JKVYQ40GE*5jO=P>&j>tnO@1DPb*^oRE!3uLg*6#TM#hjz+$n;sFuxRR~k@gq%M z{ku!3Gg;{6!X5=eR)t81dw|;mX{HUZSkcjnTo0)pbau)e`R!YB^EyCbvTW!>GgVM| zf?c@Vp_Imk2OK|H`(wG$Ny7!mYQ%+FAAd^@vD(2XQ3}xZ1MtgAOT;6hd!H;O_WnVx z&@6f)Hr&1yLxsHeB&xs4nl_ch&~<%Wxae-fO+cM?dYsz8K=7#T&xSoSIA~MxI~%W*=Rx1aW0pbk0>=W@Gx~uHrt&5P= zG^yBT$fph9=aB1MMoNw@Pg~GxE!IPYjRf)@{|Z$}n8?6g2w~@>jBcqf7xuj3Tyq%i8TO~K|~j~a>%dEXp|5RT&$6S;GWNj zM#F`5Qjv)Z0j4y|5P78kVC>e7;Kf z8NC4jvq_Nl=^e33PxL45d<{l>f}_iclD}-#vk+mRIq@fBKfMh7ov$D(H|EmqI9$-A z7AZj*2ctWue<0u=*ny56Bo0kK+oW~8iEWJwzuvi4Yv6#)qsp`BAe>;QP)$9`k6dZA6JXcCSwt{ws!8F*F<)`(`=@u&C2y{?s{VA@yf$`!Qkm-(@eIWL zu7ELfQDXnVYweVb5pdhrDLJ+tPIGw z9l_fFoO;4D`x~7YDs*)Qr&%etvEK_HaO>q^FVz>EbMp1qxN0Xsn_PeH$G`<<`0dCD z?q@)nQ3P6edwz}g2M4m_+4dj_P}#-!>-oA5RI!>dr%63Re&bQFz<)`|f2R=mh_GDMvVtqAMUdICM_a`=~clE{0 zx>I245AiJ4k*cPnQ!gUULt=msJpz2cJw{wyjD&&=$OQlw14VAz#;@HfrYqEtyAX4t zXYHU1e}KH{UIFNcV%D5j-89ZeJ&)9`U-YWMLS};gk?LQV_V86x$ z4I1w4e?!StjSC^q(=8sOq!t)OGAGt7L#CpyTKETPg;eTN#vKX-AO28`6yIar!5U%v zvfI0z*>K@owQO@gwW7yw3#T6RapmZ#tt`O zB7d`}Cl@Qhe9D;a^|<4LuJ^Y99G+1EyhYX=>%TlqVi!kLf}i)#I$9}*gNa%DB_(e) zd2B)gygpm=y=q2zZ;9s#T<7lLPP4?*x~8$-Uh#a(*fRulPJd%|QJgltMAd!BnD1^z z20AjT9{{BaV}5U747GDFDdDx}hDf!$^6)>PzoX0Zgs>4{Um;12zzCxpZJnDS(<2k5 z+a4!v#E5&__4{%x{&~}_np4{-mYvN2Rbm>*UvS}JAZf8-+n$^P>Lp-KO^5(FzGwGj z`w;heJP=P7n;WtJkC7dqto_#-VjJ{ww>%N$1+;!kP>G>G3L^oLnwMsAQ|^SSi_ zQ0NLW0jay@p&xo+M1oA~TZ{A%P_hv0V&Q}Ip-f`%E{Vl^Ev&Rh|jHf?)$_T@X% z=!E>Yc1HQviwHeTxJ1_ngw$NGh!VhKa5$@63H^c?i1>u+iZLoj=+C&9{tF891{m}A z2wa-vtCvTVZ$PAW*HrB>SGDpflN8& oRNWNTfxlpcqyr`9M-i`aA=ZvgIhdbF8) zWy1b{g;J`3pxj_`aHI`8?pN*6OjgNf1W3CxzHHkd|YesY6F zGna49^TjVCXf&P8>2JCe1KY6w+}Ye6A(+h0Or1Dju<3_I=}eBz;t%Y@`2a-bt34_P zhUnMJ?USk8_Q)uu2p|#CFt>lhlbYle>@KKi$tE$ zVn1QDn=PKhUyFOlW!(A7SYPqiV{>b$sCam^g`l(8*D4+H-k!LIWh=u~N0d42JS>%haCEtPFS~g%V?<;1yM3XEGWzm`X%EC;0dHMYtV5|l8*9{Yag|x1h^3d8H zgxi?FN^*u^!T*n~vkZze+PX9r2*F(%cXxO9V8PubxVwem?hqWB;O-8=T|$uH?(RNs z?tHhVW@>)XMHNs~!+Xx&YprLgSxltTDFIp3I7>6Kv%$|LlbOtrv?+hZ41L(I4hh4% z{V~3}W(5QLYHc8jRUla+9U8BiWHGH<^U>?Xv)&`*sh_qW^1DpG`iw|d6b||%k6inL zGnqXjN?q)*V07NbNWgT0{y~Q@Tv8S{ z@7mQ=qNW|bbK~0j^3Dz<%G)qwWVH7apFo-wV(zw3st!`d8G~dT1=qUME|rFj_}UR8 zGEp&ob&@}<6oxXJt%BU6ksn-tb=L@;v^AQ0^0P#1Av7x z$eKWR_JN~9A1;boK@@1Pbj}j2v96-inSJF3dr{G;=G2K#W*`6#%pXcRK(^!`k*kt` zvIh*IH6OgUC<}D9d8Glzu{jm0*kE-S=Z3vx=$?yf1ucQb$;D0}G6vzk5gvc(qROZ! zC-M`^a9l*NRWmc+`!kd^K;o9|kNr}`FtQ=D#+nw~Ic^E-%HpupI{JqO&#DJm8yO}_ zU*XU}>6E2{G@}0{ZOkxj=<_Qw4rldS z^RhW&(c99}-)F$)I)H`Ye7(8tE!&~_vgSAYCYWx;08~pq`AqZmutm?CDaw_6jq${$ zn8(weylR{H6MC2w9E->0uPQRTgoj1fuu{Ty8_Py*)TsZ>sJysC z0^?-7qoUl%CRb0Bbt&cyz+C5%IzX_pQ@i0JQGjrNsTRS+sirtC4`A+nu?47!ToN?| z4!Jwz`Gd7gWA$)E4}7H)KfEX!kX{wIE&hqZ2HI{98KG$dfM~KE4W}Kf{%rR(5Q?+1 zK#IvPR5rBz{+o!e0}Wa8NJ*&=cJ`LHXTT=rABGr^4wwADEdG-;u+ z0x3D2)M6K)YJk_dZ0As;cn@S>_AWgE{e$|tL0t^G?v-OmNow{nYS`^|(4y{Dhx;pF z7fEB3MAsba@I%mTvct;LhXndA&>}3e;yr*C$Ll$8Bw(cyHw~gg|5Z(%YwNV?cAPJ< zV4fS)wns(*E{c>(@g9^?K3aslUGoMViA$5^>^Tc9r9RcGO*dJ)06@8ID$=(K_D?Im zdDT^v>N()!GGvgXO&aH?1K;4o>zUZIBs+PmBVi`a4lM@o3?K z7L18dh?eDR2;k^A@y$vy+_R0yWWd99zZstY<`v9P_=q4m>tpAAzZa8Df^Lr>2yN71 zg~!)q729rTbRdv53SA{+=z{Udzk$GfA# zTg>#}&2o#F?bq_WKA&5;S)CxnDJc%@(u>x(T~j5Xg@*6g_A*B}sG{CTs`9RXl5-R! z#nP;TMKC@U}8TnieK|%9?ufW*GtRbv}uyT z4tN}PFnA5vy&Vupc8}EY!McCYrnp^8c9y+Q291Svn#X3N(42UQ z+Qz0t|C@fX=MB^92GPhWNILm`y0Y&tB%wnqk}Yi^%vAUpD$HR?B=t@NV)c$kQ#^C) ztz4ua$=wr^!*@P>$qMJ$(TkHl!LA6XlTlLDh1WG0&besAv&!+i) zK+D~;nf#8JrD$6Th&{bX{d1-tlf~s=xBaXgEf^}78F8Yn|FWtXt2y5^N^bQ^H}ln}y(L z^IzL5UN29tDBrlDyPiHFQ!Ap4v29A^LOYqY1zpl`C_b0?HkU&8KLSR+7kuzrQLl5;Ntq;$W&%#g1Mgy3*u4?-w4R z;inO#==94{4z}ZzfaL*h3q#PO8*;s8az;gqbXLxyYt%ah@{JF z0w#oRdd(OULt<#Ukhl~-KS+s_Li1eWdlmKfsUNsIo-tKQxk7M^d3?RNuU*rF4V%l3 z*5|C=GY1a6`w3@8ADF+mprx&J7@=rP{rzwq1D9ZC6Tx;gWZK>Oa0=LZu)3_Ca_o*H zO2mQe=I)&OW-f-nMIF|g)t=!Xo*`PbnJ_Ihw0urm!B)U`&n{LV?an*Jx`#G|h-F0n z0hRNwgb} z`|#kCIlOk)8x>T-xbNkp%H$aXF4fQuZ{40B>>pt^_q3(QmEWKnt^8d{{XdeJTf>X} z>SOVrl^|tr54d4v05%;QyoCP9lge+qn)#W2f3Fb;1SUn~T0`RffXI-8_mm7!-+{&) zA_RIZ5g8S*U91R}sszUn1{`1G34C@1jIKP;w7a*P=1F4zKwF>o-&o>fb|I@>7{1^U z46}k_t63f6U9|eWX@+>m%u5O0y{dNPoteIS;#e?q5gUW6xjvp)KJab#UR*B?G(i|?W!M60XZh+2GQSQEjrM=7$P<27Q4fE zX(aQ{wO1DNfOBKdhsQSU zPN4dpc`axOCo5{O+Xw&c5|{@CU}TmEVC&UYJm){K>ZzFxe+1q+9eAHP?Csc9%I`_Y zT#rMdo=NlC^CNLzlTHtaBSq>6rQZZ(c1UkdZXg*n?Io5T$L^tMyNN&gR8`2HLRB`e z&vZXNCZi*LYh%2cPOdv!!oB6>)ugHKVlhEBoAXTbJ?6=#cg>m@**S# zrMyu3ik!-%&2U8n41f;*X8^puzYlJ@<3oSiuCcf!)1wL&gFx8b&{r32+?S=<9w3v3 zp@Obz5wRTeR>yzez;#emiO3R>_RzF_GY&tT6hYQ$}F-_I4wj|wWb1}h4o#m~y&Ml|BFjI{e$ zJBX^d3KVo!8=d8TQ7)e6KX_PcXZc$TEeYf>`Gf$iK)V^4kA}9_s*o#k3q1jsATkAk zbLJbOvcVeW9DpU{$!mp@+}A_%nfpXHNY_yM;^O7&F^-jzlsP0Di~syo^B=L zRU9Vxb|i;LNol@gj$$B{A7}e)muU@eo?++vqXVTYGrN2tHzzTMP`a83)6fUSqm^sCYqvpZxkIoz> z(yoPri#&!%OF3^cZr6i#c=a>kXZ4c5e`-~?p=yI2i&~$Jmu{A<^s0q`xKD)JT!4lF z6A)M3g3)xh(geN@H4`WSC>f)?^eDWes!c)$`N;pA5{qnSuJfxlDf~;;VEY#l$vO*r z>WiqH2#d3rgVFCo<>(fZ+Blq7>&?4fFDpEr0V=VhSPgw1P!ol zjcDsVwm`9rR07HzGti1q|1P9fM)7Fgd{)Ey_k&(L6L1@4CJXaD9{=^5++C{{%qLSQhRJWFTPrU>m_^WaFP<=<8pHPT+qsIFETj*4xA-~^Ozbd zL*b)pIxGX6Rr!LhO?HxNm1N+=iJBnSSHQo}>8`W84d4Y*P8)oJk^$^~L5i!Qw+0oP zOI@u+pEs}pH-C2iu#|C_=DQC#MmFV`*TO#!RHT!d=r=Buml zrCAiV#DHkPD{O+TM%rFm*_BKJqOQn3XdFm%08!@B#*a?cr8EqkQFiSfz;qKp9J@%K zN=DlQl&LpRgBlfspVGUPp;=`kXgXZrLIJ0&c=3P2<)h)e&iIm+%)hLf@cFoaLQ1z| zleKZ}aO9RqpuZB=;qNz~sdg*-ZzHSe9%4eEzFghj?%Cqq~#& z6eyUs{1dJqvxx}dInh{7^YD#ty6!R%3B|UU_z}_R<4*n*(Zev+g|*uDf!_zN#D=N& zd$)yAa1iTCFj>3-!}aN86dcTzefN0t;)yPg-9F3&<(PzXXl(*oj9W{~dD`kCj(?D= zd8Ii$i~lG`#hOWAlx9_g*PySw51wz*zPGOd>m*OR@nNL;uKb4~;XC zuv#IB_%X%Kyr@~lnOi=#odUJfGcf-f(7G_>_6gOi5AJIjy9{-ylD8p7NqN#rOa$8f z3r}T5Mf%f3{##On!P&JeKz25!`&V??z|AQAd}nvTbx6HEq|}o_&(^aKuj{Lajp#-& zlCvJl8r;0uW3LM?uIZR0kt;rSAxQ8Ve^=~l>>;VpwIEf;!s|pRp3qm0$B_=$rZ{Mz z%iVO6NepdPRzr;vY`>kp-DdJUZCqfrns#t>PxY0KTaZ)HQ5Bi$y&v2j-qyZtG=!1$ zZKK?pn%4+p*#M1rEY@2(0Caf_|7>b|g2E|U7<&qmIM-=_Ef^d|dX=6ME{R|MRfs5F zwaIcZ)}+%gkX@#Ff#kIdpvnS4dg;%$TlnS{ApkkAU>@X9@H>pSr{A?3W|Bl-N(~J5 zIh?P89#5^39Qoh?bHW>q=1gW<-Q{QOcNgu6dFMszYj#p^`cB4u_e}c|cj982i+4S~ z2lVWn-KQLcJzuZ;eJq;meynqQ0P9Nwb^~)ZvA(|k*OTRDK)&sI<+7N}q7|XcdKr-| zU2XTkd8H5M4p|j&5n{8;igz2tv!VVh{zcIYfOylI^CzEX55cOU3lrgF&72?m?+QuX z)ziHcp+H+YqMyEQAfCM&B7t`zlT%Z_!9hYorgK=&0`wcm^*)y{TE`ijyUgbNcj;BY z%rs4UksKtj0(U>)yW91M?^{_Y9T#cil1nZX^DV3zQHz$ZUg*9W%)q|&pRqsTDU*iwTk?q8*H^u%6~?S{q{;q3px4l8YT7;ub>38&=bE)lN5a;&T${Ey~PBD$-R6m zx!@fGjj&oJnwoUX;5jh-E2JS;zc_j8GUQBr?C~{;N4&lo?822eiNVNyIlmg!KIz-P zEmNd#H0KjL9OCyd995W>bx0HLOhYHelT+xQ2P7I`SN)t@9-X%RUAq6N8W#UqxkaMGbuqX#uFIhzYqcB-2WfQ z42w2m;ZG46@Ft*v3eOQs%uvmDf@Cg7-m&*D)(smNb_IynJU7Y+6YUrQ`SKyo8~3qZ z=&o{wnTs4X6WdWQVKSmF5h6*v>-Rvec=OiDJb3|~`4+D{u9W~(ysH18*nSilccfQO zk5{a5gv-NuWMfxi#ZLFN*FWZEfMKh!(_6V5px#MGnuZ`|by(w5njN|&Y2_C>zQmih zO(k2dgpuTc?g7%BsBT>);$CTCyzLNf6nlEPfNB*06xw-Rak>(D*i1@)89N8YvXm;q z^}d?7sk_kPGUij_Pd#CjG@w%Un^T)4DWGEIehmeqUr&dzV)w(E&%l+LE&;e45 zqOwEmq=eN;s=9OD$9CiRd}2G1I7^1;T^M)yHOwJ?bSJta6JrBZ*^GeuP*J~vK=?z( zyrN9AbI(<6K*0>Z+jN7vJcD-Ur-**x8C(i4UWkj2FeZtCCZXaQUr``c{TG`A-6ssg zbzPZT625QUh*97qlLRR$B}3XX2jl7uZE!W!K$BsxIBcTV6=vo`PGmk_??v8kSnKS> zs6mnuyhV{d`L!WKcP*08G1gb!8|LLc+4U|6&TnTS&w#-wGn`$#zh-GA}xiA+bM}X*Zr&?js zF}*3tx0)*<#e>T=e!e3V(+>DCD0_lIrGPrwVXrUTqY>;zQBwWeP>W$VlEhIR_%R>N zUpjnGZ9RRmhrki!Ghioj-xnv%gG10q>c3wai-96Ai;&iC6dZV0!u0^+LH?$~@aV{c zVVUt=kw{wxJUl#jYHBz=OvTQBnZV&`px0A`BGGAZQq?^f(9TFy5>#!97M-}}S77P- zq!An~0I*WcXLHg|GP1G!PRMlRgW(Wg+p!sqdxi*C(L6?x%L)fmh!?2)xZXQJTZg_t z(cgc3hs(9lH%QHZ9P{8=QeG|}RLdndP2R`M%#8wn3BSct4U#jXIkaE;_Hsf%(LX3E zE|iNz!-Yu<0hq)XeLF~qWzDpJ5jchr8mP$2jM7_GfvDRxx{?Tk7;+Dzv zDfq%zwpHNW09YvOP5gqemGIRTdy%bm7vYLoA1tk(sS_pVu${$?N@J3gQ$=?!$^D$! zIFmToO$xx*IJ!otZ4<<$8t!gdfh`+rQoQdhcB>_m(RH*Jl+kjY{pt}M*cW#MxoB%_ z9D%tOA>Gj|qdziFc|0LJ@+kbIe~aSLa&y=_=O5A_MRt!JZUI+;JZlEdVQcWss@DPd z1Vbwu9zPb=zUGo9lAus+Un(#FO}Vs01J1rpa0nQpE4)8|~K+a@ie@Uw5T$e4AZ9O9BLgq7CTx&!Rx>=Ik=4n;r#gsQcs! z&367c5p9b;F$^|fWL|>%w?zlPBq2BUD6rPB zvY@3BekMREkMsyR30134Q9y3FYP`M_hl5So@)CjoFD{u8(W>+@0>C4SOt zy~ztEzNs{Cf(PG^Kb3J*uDO6BAp1JauBit01(eSyjYYBT9OG>YTf?RxT`nsbE?o?U zpF+8~GO}FxWEs*)wr}7En4Q^9yESmkysMCAqMpPxMZ{pzKK3&dX779mK0bgFlqM1+ zclb5;Q=fk`X&RSRgCb~uxmC<#(3d7+G!-ddap7~`@g5GA=#(QUnXdt7zx+2rtgydE z7IR>-^Mz{6S(qO_!OkCQ{*KjlLY4c7#v|2n0zy(-YuI?CZ zmum@6*Pp{8lktxLQ3}Cf_1mUm70@083^1X%?DUv3k3d>*y4Kodu1XW-S`FvpI||&U zS2O@ny@Q^}V21+?g1@+1JDMt}6yZM!wN=6+Y$3WITMKvrENYrbNC(FqRheUZ3zU#Rlc+eaQad;tie0a#B zFZyE5z^Gxb*|TgH?d@W(6xcSxJGAEv9$2m~u0M1U%Q=Jbkxn5RNR zyZyY2^!ba(k6zQHn~KMlFX(aXP0}n*qqx587a#_mvXHyOu01N~0h zmxH=|ly_$z7o8x;yOQZ-?~VW_$teYKMp!eq|Lf=Lj~uNZNFa8Xn^Z_A@~(HZH*5`>PJBogJ3rqm1L_Xhd-fpLndy%} z+^>|DFJStE!|%%sjCYA-2MGHi>g~W8ch~d3jVnYZCc5cfNnW$a+SF5~r!BNrI$=S{ zjFMO})KIDh-Ar`jQQxN&vz-<_sn4j2NDsCsqlpR_eC-7fyc9>&aXMeCjcO334i?I} zPhz$67&tMQiYr-b))%&f0$z44TfAlbO?793p3sFK9|Atf@Omf9gq|+Fe$o0tgf8&0 zWg}9ya7f_eKlDItDS>8e!+I;qt86n9oT{@z;6r~c6ePeNizX1n4_f#p*;rACjeYPm zLJf7nv2{;+QR4wNKg8}d1iJyX_B&MZBF8JQnJQ_j)rerkScw%hc?N$53KFj3%h!RTUAhNDu+Y#c&a%dy z*L0`6`XdsUtrJ6wVE$=(IM+Lrx#{f=$nn>_ORGcqy`TZXA8RKN&ROqny#2Du)Aj}- zaF>Cg93F0Hz#kV#K9tWkiE9V|3LZ^k9Cuk_AaA#Q4$uH}j{h`u@EcFla4oZBqiogB zfy^IR*HRX~M4DLSCd8c2M~j-HLSObW!r$u*&(~4k{fN}84QS|cuR@y({vJkOFNI!E3@~UPNVLjp@y+se)^@P)rp@6dmVtcr_ zh;7TJb5UZQLWAdZ8|Ak%p9ez02-R*>t$2Z>wMS@xso_Z@W;+@6Rr2RCcOil%eVIA} zJC#!J=Wd7*!UZeaJ~7W zl_em`C)g>a37!8k^szTiN%$->c-bRzRG)?c)?}ni^cGaOYpR64GHmHk1Cx71=} z9dmA`dq_PQA!!+B3N@$7=vAAJ0YVbsv%TEDTQxZ$^Es;x zOa{%b>n2D=n)ri|8X;+taXf+zW_%J+)!By(MXnK zEs7NjmiGlOU@xt=nuW>6cW{CFt6m8|TE4~vpvW6Ve6BZ%rmhvDFM|$nLpxNBzeX!gx6e8Pdm&V6)6R0du@eO`6A$ zmerq0ssJMau@z3{5$!OW>PV+WoD1QF49)2f)^;jvt3e-^A)?|`%=i|4_{kTSfN8L2 zh7lQVe1+A2OO>Ya399mk+t7nb8H=zpWLJ*U#dYKmW!kZ$8}hq!+YUM;ig(vo)q*6a zoVY%mRav^}+MKv@hCl9CJ@YG8S+{wWlHotp`x<2uj{;U_(d6G+_;$$}F|^9e;oYzh zqCs5<&^`|R+=BC_!*Q_bTq9~~RdeY9=wlqVHGLcCEBb};X+G86WR$!(y7SqE>-N8< zi4h%*&M9~5;47M1dNt-u)i&U{{3_BpQ&_K8!L%K53ioF$seOgZEq zc4uc~+kzJI*uhUM>|mdAe}m$gVLJb z>dHl*lB$WKw=I^~ghye&Jv)qx7_uG-Oj!)Tq+&nubRF@HWR@;JS?OkM~L7qtZ_b6ZLsn;1W4G( z$Q4WBWGDgsIWD22ixCBN;lT7Hh^coYl@&v-HmqDJFD2V<3&b{jA+S(LQq>a<{#T@w0G47-V(r+p1Pc!p2nODnMqd5<6gXf;w8f8$F`>@pvXaZxa~Wzdlf|neaI+BC9~mcJ{ZVd=VG$_}{Xu>!klr-Dq{J zMh7&~1a;-e@A#9AP(5y9mHY;`YiuZ^QeJPUL`(?zDzzmM00#loErQZl&Zd1po08|J zygkR1Jada^Bgi7ichlWV83R~#Cdh_3B#}qwZQKBwxnC&C4_1YgENC(tb(@m5X?T%8wa!S(_~Mn0>LkL4BP&*a(b3 z?B6SOR(`Us6n4Sr^LNl^36c9h;B^rscc6d!!nfS7=nANm$jZ%QG-DHCyECQ-f3{ut z-hf;IN?2TOuFMZdN7w!-wvErCCyxL7jD7#dICvk|_MSQbU=ecvgS?AhD>U*vh<6_W zUR&W8Tn!tpsBNL;d-2wF2&aE>MoBriAJsuOlLnN$$4Wq;ZK3PEMnf27t1)ZARmadX zW}h?MoIZHGAe?7<5FW21iL+XzLn9)xzr+91!H<5vj_x@?8@y<|x{lpDq-gYKT>qgo zH+J#zkK8%SSf4>u*z*8RIr@ zudj2{+bTt^BYb3IYKp4cFkE}w=Nw}KI3Vnc2bS6_#1YulW$PIqg zsc@)uaA+h%G5V5Z+mRWZ!JRnS;WqyEyM!OI1udipFfT16Ypteq!8IF$VYcn64 z$%?(~WGeQlvMp9`S_i6NN8BF-IL#K@Ni%I%V%YZz%*DN~GqJ`(VzT<$i)K9q(c%*) z7r@s^CAllVplqp@{!aW7iy&`BA>+Cx(;A=piIbWD ztotQK1`}*4W~hmC%I8`F0f2Gydgj%kR8`;Iju(PQJG{aXqC|}4@S6?~3V^*>iTG=I zG=A7(y&_GA?}t$QsF^M=`2+~=fJwdn@1cb(oP?p?PY2Vr&|kY>x%OUJVOcE+Rd)P8 zNFk(!gFRFdoX_+mr1hkYEx4kQnhMBo4S8`VdcC@)EI6nHEQH^MF!xtFVA2zs155*T z)gcS_fpFsvCQX;Sl^EY#YL(b3EYd(H5>=;U{#e(^;I*>PZ>a{`HH8)3_aNb|xkm)D zz(E7?Drc=f*MRcYRSTC|?Z?iDGRAEyit&Tp*8#fw8Cwy|57;rg9Lc@U|28K6&)eEW zfyJ~QwN~?e%wA<+AM9Tw@1BS34q75%OfgL*pdS;lwyq3A{r z+akN+${uu{CgMpIFNbadql`>j#DdA1vI zqY!&8XuoXIuuq9TiEOlq z&q<2;XBXD1*IA^pm9AaO9dpZM4g6!d(pW={1S45dLKNbjExBi0yYeq}|p8~+* zQN2e@gBDc&CF;VE3$CS!w@$g&`p5GFNJa-Cn>(Q-5mQV{SGvFDfa0B>I1+qEWlOT6 zy%(r)MfXy1Utg8Tv{T9hvg&{eBY%Hf0o(Ko>-*z7+LNYJv85E{mJ_yto++P*=a#ANV`r!^3BN&h>YmE$>#M zN)}DX6Z{;UFINCT`2J*RiFSRQU1Zd_Z*v@Cc!y&#n_WDYND$cB)#N+6r$N$IGR&xB zyQ(;i<2uEO{Uwi4n9TFrSKO!|`_%mkNewIWVP-8=hWf5w?Jv(EdzfNg2pjdEM4*jM zNHmH&(BF38@qJU2x%AN z8QZ~5B~gi?w7Ha$&QGqzC1u9JJHj1{`9)8O*^L_99G60>KXsT=K&y5sO3Ag_rR|Bq zoU`9cB}a5mkFcgE-;N^|FwC=Gw{$Xuyv6R*YGL~__5P9{&j&aA#JPM)J;dYp$|{Y6 z@V!{6F-YoVb!I`EYJ@1hI^VIWHPd|$_p}@P>xB(?Bcc{HpKi{O_}P!hPw6!oD`u4J zHJ@KmRvPjx6&5O$GV_L%&}#zv^Lj68xJ2siQ{jax@`35Nm&5A4a$N)#tOvADGGJvT zs3L;@Mb5D_Z5$=?b;` zq^8%af>wsLU|PLQCc(5&sI#F@PRVs(+0fE}n>Zop7C&bpJ&xsClO+hw}>oiOZbT>##cJj10L^4^pU{qkGrMv?YS zMnIndU*W}h`r5#wcvn*{lxohVS*Z+u zS%g{fxb11U#DEe0x_-xxi-%jl!i44lpef0+FTHY4|L#hsgOV81bKot*Y;gPEuv|N* zP=q5%=q~&M%i-b*a}_j>ggsI1+hn@<=9_Q;kaIOOn1fwBE!Q9Yjl?u8F7W(z*%LF9 z<{N|)OCEFglj?9o5NYqQkKV^%pj~e!(UWt;qZ$z6tJms2G|Jjn=+{$mF&joChmnSN z;kza~# zyI2-QCj&;~1!73)sl1Ika^%v=1at5U`Za8vClolV8T0ek1<{nzlzcuvY?CTJveglU zWt{Rn`xyum(%^){04^qgt<(h33BjzDSqS_Fl z{G!lKkr&PHcgclRZ7P1`?{en+bV8W$)dS9=omt$j3(Z1_KbDtW(Wv+2ixx{%xUet_ z?SwG7)!vTy!MX?G-{$nt^Gu~)!%j`(J214eu4m}5L?B+;xZtgvNzKl;!yy<)=RP@h z0%1>tg{tXm_fMf?rz9&~MB%#CqIy#*cV4GoNtpCoQEb|uYbff-wz}8hoR$^1G@*P%F1J_gDzoym!V=%w103kcD7MBs@%M$c+oVi1<;^QF+ztdUM932Z| zZrD#vt5Y?b0*|L$?|+uO6F)O)KiAp3=v_63m9h4I`{;f1AbL~zIkSn!^CF%ny5nk)(!C}vmT$eqx|pWs=%zK znK6kSXqK~R$B!Q?&Xd5bSDjysEdyY?Z&Jw0)Js*cbUEh|Qtn-U>~k}66F3yC8z!2R znEYX`HLm}Z_ys6ayB~l0xNR;@zs^s{O~`n$4{@mNt6mBtxU932p!P#2C&G*T+! zNU=dICSj9`TtEan#CjPA2`I|`;wS1lp(|0`sLr#92%)iJlIZA$AHlYNK0SrP#ea#9 zQ$|n4PK;Lpu4W1;zJheua&o@1N82ezG^6N}m9mUMZx2w#{<2Mh( zN{%O$GuQ~#yQ{*bK4*&9Oxc_5nMIwTmW|CR7nL!P14tWX*m@T*zGV(Yex7lqLtbeI zB3_jTs>>?;*v|>EKXNHEc?N=37207pJ}7A0o58y-`v^w*!9bg@_?PX|Y-iwB%=br$ zvX_&IR(4cIyPWE1z6|LWt2{yO8uc6}kW)TcB^V~Hjl)4VEg{~68x`K;%mhg=>W6xH zzG7$FVx8S`F#;IuzLk^||04z7tunhreyUh1BvPx01=6XwGT!?QeU5q}IWT#BZgBK7 z2sJG=vY~?jF#Od(mL=76IQN?L&vyJ=MHxi)KD#aTo8+i5A=SUXlzs|EwW?UB;oY6( z(-rcDGEt~Vu(w8uIlPVxO0LId4DBY6(Uy4D>nGf(g5Ge0N4%hMHlAMKSH|pQsx^sB z0pB$5y!h+V)Ti^H;|A0fM3IM3ru)F}d!pGX(#TQ{6zGjS=`9mS zds~V4%|IG=VCEsks(Apnywq`>M}xp*N<=4HPg7JROELtO@Lzs?!$5@}Yl+g&?|xO; z&fb1ooFmsVxdgYdz4BKw9kw*7pd@1c8FsTmpOMCKHT?M5LneI`k+p$LpvHmV6vW-P z|87d1f#zsgXy%Kq5SJ~nz@*=!VkrH(M0~bisq89@x#tagK;=?q3>}-v@MYH=&Ib`! z3pkD8RR3Zbz615L=A#C&Y*Uc|22&@99A*zJPMwx%#Ku6eENbS*eS|a?HS7)Wew=Xc z(J(xwWdE;$BeKv}N=e5P(OX6o;m2KVhrEu|d*?M>tK7}k z&}>E?d>&}(-%NZ624l|{{OuP+0|E63vM1usaM+J)YN-!U{HH5LZU=5?LQ<9?UzBp~ z@zYtXxGNfzR09s5S-h#mBEoY=>ovN#0lRrjbbxcR7bIAKh{BWMT4%3y+$Rb+lY`e> zPLqEhe~IATuO|hGJXQ>cs=S%?@#TV|3g4pc^I&pQs;zN!pn>z-o}!-rY3odseEdil zCZ+4;Qw%=$xA|1NVZ<1ThIK)p!&#RnV!kvgTWqa8%99$!mOP`J$rq!wKf2tD zDaydyG@bS|fBqpSwLkI&&AShN$%9xV;MKx+?6&kZL@o*qnT=f1++6WSigmZWd`foNG%9Gzmnsps3I=gcoaKfTYcZfH1vmiTCI#&Ng2ADe;|JT=V#o6!P@I!)6bwq}KVT3g38#x|hOfGK6a6 zzV=@~Va$;s9PxA1i7#j_wH|6~*fPB35>h~GD+Mq3y8v)!rie>{ot*yjC#46^*f_n} z6OB%-EL_74v=Ki_w+Tb+xJ5v9PYk5hA~ZqO%aOmzt1h?%;6ri)fb<>^gPRRCQ={{> zNIo8hiNCrscUpZbgMNCWJ%oIJs|69bp%fha097=dV7Ac%F;{+U1CNf&mIKzwEZ*Q) zLVjTFCYuC<3nmdJ4vQlMzG3b=iVGYv&fQW6eJoGYS7^RQUmdEi5^U9jD+4l3?jn)5 z>b5y-2#vht62LK0!L;yw<`h^5pm%x=;5jSq? zP}|*Z27ixWF(#+;o|Iexk44KYj^S}dDvn6MBF=KPO7DGwPs5VhlC^rz!a`MQbV?|= zprBybd*q(2!0q>2*yJxfjeKbbo>L)+mS^bN2I}2TGbIz2kI=NQ;vxF_F=oR$SoBdI zUuip;^Evj4%P<+@8D=JJ6J$S@)V2tUr1hf;f3`afA6> z9T5=$kulPX_xARN&a>SQpRigDrJs9!uMCsTh7q)Mi&I*leaZ*GB{T2~3JMcQe;3#0 z0Cw4hRA2$~!4jMde7O2bRGp<+U!`F=m9x*Ts@g7a#_&W44Vd;*LhO?u#VVL5C&na| z9UU+Ej4qg=qdZqHboMy)0e)EHOP2NFSyCC{aV6Z1JoosXmwTm& z!~jGYm<%obk>7ONA=9`yhp5g)hA8i~87yGrIjx)s2Sw=xxFuzYSlHMScOWN~l`P%Y zEFTda?CqKE3@tTr65I?RXqVjrvqO>Xy3Sh48gX9vWCS{dMq1Wb#(0d{5uWR0oLggGMuG8{2J_8rC;|RardJ;ps`H@gfvIcC+%yo5+q9hMH8xeSOYq;s zZ&Jz)YXdHV8rcpt{`F>tiVAX=ZFs5FHkxJ8>YujJ{6g<~0v)$f`sJ5cX_#~GOrGvP zd#~#qyx=E^bR=|n-lRX6@^wZjLDTY2zhvvwW5*F>q1x@i>i?<7eOYFDdHL=BGXF(< zSjapG_N^>L)XxKct6TeAOQ$n!#!%QM?;b^Wx;m2&dy)qkgs_#r6pi<=Pnr9Zle1f9 z@w%Ws3ROJ!<^CDmvO;EjZf@r&Vg`G2x7Kse`-ICX0{4Ue)QOr#a?#9-x1-`a47G^O zvLxcODK}LNThAc|)9{AdO^!SO9%73SLZzJUun_jX?MO+xH~e2romEsEZP2Z8cX!vI zf#B|gySokq2<~pd-GjRX*O1^4G`PFFyTj@4KkGm1+{^_R^jg!?Z&%fR_AcuQ7BbnD^36v6d)NRrfn(Scrh{0Wn8i1FE8rNE7upgB>J zn2jcb`c|2M%p$kO{n$DgFehom62tI70}B7_KoZ2IFV6gfRMO{^!9=L=p9g?rb@y<+ zSXZ4<--NqyIl$;v8+RGvkYS;V-k;Tvz=%flq5NBkf0WUo}-G~aWHx2-a9XL!on&@+cCmu6aZ<5g~ zADCjiy`sfZ;815gBFM-|_xTW5Z7WMKI$U%@BMAuFpTrX@TS2&dG$=ANls<1wOH`ax z@rp`SzxdsE5u7<>hY(Q1un-BEC%G*D9-XfZ$tlagAd<_CjFcNxR}R%s1g5m`V49kt zj(2wJ68umhZz6=qOp6<;b7WxCrOE~npzfsFWD^2HY-&ixpV|^dM9p=!HCP;W4hSJt zBjrxza^?;+^8|D0jJvy026*2Asc>XyMDh!w3J|N(2uGw@tWK-=lN1*eg{3muGCWhkJW5^PTXkw`fTS`r-r@mXPQTN@VZElcT~h{a zxm4?uqG_N(FRC77chBd3epqLONT9?kO$tt>o`dzxk4fS9`w7TyrypX#s~{PE54|0s+}=~qWhuM&NcR+OUo8dh(< zdA=1i7#7CP#UrzjD-?=7UY-{a_Gb$bXYDrhDJ|!}W`^*yH0C#>T%aEpq%QeogjU?d z)cIZut)}K@+o^Q7WWsJyo>Gyt@B_D6RV#yE-Ong`RY_T|QR_5D>N+dB2>bgmO1qGC ztbW5*Q0>eQQtqhK;XI&N8wVY2LM?R2u)n4o0~+U_`?|$ST9WitlsNNH=0;j@v0(Xc zyeE_lVAs8W&8_UjKH6A)c>hL}L9X9{2+7rYU%Tk3SVI7EAI+I!yNs-fh#tK`-UItS zyk+7-!wlMuNNsfQ5qK^8?ePYz*h9}py+@toahc$09T}67wE>ov%Ozei_{_F{ zU;ocG^MK>Rl8kwr@7jx{y4u-WXqzv+T2+{MGzXyCIfbR_`M8&S1(SO2Y z^8luvABsOuMn*;=byo=U^80Q_jtf5Z&a`5`{*w7hc9`{JEX-k&I$uJAE3m)S!I|%@ zIWUFeNpZ-A#4UH=hxmqlX}x3Vh+gU^^RrMJP@TzA52QY*vr@f;=&Q4IW-M`nsN0^i zp6pZX!G4(N2%TcS>;x4fD_Mo?uu9p+e9_a6m$7Wa@07%b(0>C98#o}@lc0sLU*m*~ zSzquP-Z}n8Mtr8{3z|>c&;Dyxef0xdRIYNu&x)G!1Vbl1zp^m6{1U-p+E2F(*gvj5 zg?2)rgP$l19yH9ZNSdc7X4D)|@P#TaK8Zb<#a&1pR1l?^n`rn0dnWa%w_Nw>q_$qe zl!R(rN1hOPX0R(K#t-C*j`*9C74O{e7>aDp972nTPqcdbdJ}RI5eL5nC8Lg|Z3^_^E5d4CysVBAONy3>7?t-U)9w-x^hRSwC8b<~B>WbYS16rfuoh z7`JiYsl82JvJ=)R8bhq`7L7&JV>=E{84}F%zOD>HsBusvT##bqglcBB7MUiOK1Pkt z?+nJ_et&FG@q9a-pOWYOb)Y|*qe zT_uw~_$Rd_0BXe4a#zx{}#DR7QsLa$*-4M?_B;O>4_sdn{Qc_|KV2jT2WtBEYW-v~w| zSz4Dau%T#J#C88sz~Ulg`!g{}WQ=od;~NHxV&=Pkbf7qxp8kL^rCM=$jnGGHYfQ50 zgZd+@+9clvGj2gBA$LS~1}kD4=&@nFuw)l9SIQ{6FIdx&>o@+)i}b-O#ArsrMbfUjsv7Sw&+N+zT5=JOLcoqiItW^ z?HyoVXmcW;-|xzPy?Y$wZI(AH!@M= z)@-yqOt;s==TC$QNg{1z#va1naL9v4kqW7!WoKaz6^()DLPam`v#cUz$WXU~J&(L~ zgz#v4P8^@h)hseBa!8YX4PIr<_e2A9wZBDCxS~YKHe6!_9q=aOO^l76S$$A$AF$>M zjqTYBKwfWd{%rRzoOq5Db*d_28@CvtS(xj(Y1?{AJE|}Skjov9MZ@G%9c_nIQIX7! zDEnxh9GX9*@PAGz;lT_sfKM=fQ-*He>TtFsdNTwYSGsQ_@=?_nEAE^}G^@p4bt}Nw zMCpSPfΞ!HMl;UY7=xnpOqMURX57o(DQ%0p_GmE9BkDY@(+dA~{;yxoQnE3%r`Y zndpc^R!B$;kcI ztcF(r3qmvu+FEZ~nDHPPx-*AS48ZSyDPVqNfPb^<7P<)gC%@Dc{IPFRN99R(mGerB zmXs44Kz~qTrtAm512lz^1Sl1MP7~^w;vUWM(P~AaZf#w=x<`}p(66NLUlO4i%TPB$ zkU1!5!IiT*W(=ok$=}a0Ge5xs3mOl0W&FxsaIlnj|3&x;Z+5qmP-wukH@i!)UbL;| zCGBi0zw^9}E!DM=EgWoIU9^$rilCjV803k3{G`c4ry^_QO*cs62#t0@tj@y8!pc+R2Rj)HNCbEBsyRnERhVo`euE{}qmTEgbwsev2_v zs+=B}(jOl){sRGZEXU_voNUvt#I|glUBn+rO18qWbNiBpde4K@q}2@{5hCu_ZwdG} zepkE~gAb99{xRgHeJphodsiY7N4?8q)gqb?s^!*^pcJ;PIahMy9|7|)xq|jF?lfh7 zvNvD+PI+PYe^aqh!NC#fS@-maF=5tf>BTq_P1s(2ZE0*U$jJS>#HxBIUML2p6|+mUNIAjjepdszNub}C$lyKNQ_H31uf)_g1jWt64flZ^th~P z#+7dd6eT0p+;%-5BR4A*iM~jtA{k3)nhKgJ*h!itoXzYPew#ZkmvU+F2X>smhf`je zMx-Prjr%n-VTzFRp-q(?l6De{3#ykA7lY%v*}K;Xp%i4>b#b(4XcA)p&i4hVd2H-+ z4BCj|Pf0O7hSA~O*Lok@(g;4Ek(7>e2Tcdh==|;`kdJu0K$nd^AjBpV^GZaVXKw6o zaZ9iB>mcQ>sq2lR1sD9D)Ja$_oT-)ibQ4==^6ZqDsU|anW^_IQ!|fg2djYjl%qxUz zd%xH+*dNekYqkrw5pNk)hr^Fg%rEW~Aq>p#g6?x|5n}v0Xmoqfck2C$xSl@>BkLvZ zOr1x<)~UZe$rfmTkm6N*Mw9w0go6k3+mJ+&WaX}~5h<_nuEW6$18iYMS$McU%odUO z_k~>D>&Y?fe)U>SE9x{erL7lj#qSvuwU+09s?!3PWauX^c!s@R!pZ%ah08k>xB8ay z0`Bm`*W*aLLbieoEs;P^4}lwpDp!QdejB-Ho$&8KLBnxZt zy9p-S-bW0D(5BpoNV?<+*Vw$<>ikGp*qau%;j}aR1^O#B1otbBBntvTDRnqDND)j2 zw7Zl%!Vjq$8R9Zh&x)#gn5(3#1swXZ%cpUae(wWYYu*uMAI;7tz<- zHtnt#Ky;TUuR+){39ai#4KdsuSxm?uG$2((jEK$YGCeN6OWr?pwcmF)yexn6rNEIQ zJcLQeA^;I&!vL-3e?_bJzjo{75oUL9~yCYdh(wUPho9WRjs zQ=%<^`S{`FN<~=qqh#nayvfunY;RDFZjSe)x#&JBkK_uk$=g4#3BWdV7-L?hL{)Z) z*=uf|U)&CyyWI9jq<%sciTOlgH$_bbiV$NzW~*Y?Tv9`mP5KF$m`Pco)mp64&N{sR zX40q5X{d(56vWfh)UVhKB*sOy~xL}B3X^x&+pB&0bEx?5& zV*mt-#ManZRB0Pq@DE2siMeh{lru6FeYz_%tzMoqD36J;WsPWa#2p<_Obbj=B$5bO zmZcB+LPrTXs$C<=mD&BIrPRj!Yd-(P?O4=r4X#*PlJeY?U8|zJ0FF5J>A7?aRB|;C z9yUYi-v&HJ%S*q_|6p8W9p>yS#MGXojBeCp42wGrgCA;P)xNFJ?H9p~y%059Mxdif zeG<~n<#EcvZB++To?j~#Pd}^V)rNX=eVuM!=$5M_$Hxh7im=9t;VxX~aVtJ?-Ow5L zPD+jiP+wuEk&**k`4=62!-Ko-lR~|}Q98m-L}sjpGS8^m)|1aRq8G4Wxg6yaPWBA3 zQ&IOv=vCU>eK!qa)6(y^WSNlXEf}KcJcuS&VzM=-W_zit?2-#hI{iq~2s;o3G?uW8 zAvhU2vO{_C-;7xW;JCHwI1r`>@pO5rOuHrsvi1o@ZGt-^1U=rmZ4y(}0tL&-LTD7- zNd`lE{(P>BhiO6K;7ko9?nx@R$+0NDZNhO$VI2iMT{>nArQDGuBpt+`1e|)k@+A7M zwQ&VX|K6=i&fa1f={K%249H=eY5W zOvje4C`#yeypt~Q16duvvX6~BT6V4Bpo;EGHsyv~TF>;~83`ESE%(KtiK&n1TBaDR z3;BnZjXtw7v?#&XE$?2KzL&S436Y|cjj6k80pR4cBxDq5wFx)Ra?kIcOTYINku?4i zKIlZcDpVaWDL_3OcqDc+_dTsDo+0x`w2*U-jG(|ZuyL8uZUK@U7 zHa-9Z(y=Lzow4@6MEjgY5YRVmD!hapn+nu5n0zxg9a1lRIm26r(5t9LjoJ+7xy`** zTS(;^1yDp>-%wakQ7!0bsD6y!oksL6LGo>m0U@yorbmH~F8KBK1A;)HEK8D? zCFOUEp*<$xmiTrQXWz?FSYjD&0O!#3om(~~9TzMk;nAYP^#RWvus#Xaw(Or|@R=LU zjQ1jkt?;o%^*anm_N;7jwLV)*&EJO+$Ov~=WMQExDWOWW@NkPIEti=n;~zd}O0Ps9 zA{&1NF1p=otdxgoBw-yQWXmg14}eYznO^;<+sfbM3yhZ@tp7iURJyFfq&aqO#qNR;MJ zlf+7-UbDhP^#pVw325Jy->H-6EJR|IEoZ&I&VI*d`;4Sre3eok=SFoIL# z9@M_Ai7S3zDFspr2lhi3FR6A8Uo z_P{xq>Uw_-8DHu@85xCV=@$^rq$0ki*9H}*;<|5`_)v5OwcpSqWf6t+3#0L}$f;~m z!#z3W<+3tIb9PiZ;#S~&qz?`%b)GgP(Litb?Oq{LSRSEq)N%@Y$tsOtB`)&R)^|Ve z9hkcA!ublGQ?q^oblT5D6R|xzGFU<@_;A{C=WMc+bLkvvg%Jz$H+#)_CFKJjrVrfi9Le2XD6eli6G@OM(~pHmT)yOu?J%@0tLv#_QF2@2 zAWUe8gehjWps>W*XGw(wE)JuXJFqK42x1%swRv+Qosn#HToB-R-vck9u2&R!eOZ}( zNieXQ0XUyF9G@zS;hVa=p#5G%paEJV7fLOT9G;CF@t5=dDxd4=HFW3Wsf)t155jq- zp=i*cA<23jE?}6zJveBa0aNsk!h20!@s@U>=PY|Lq=jb?aoHiDCjDJZ{7g$jlBHcE zZJ$&U+E=FFpAy1p1ehA1ZJQ{(x;OJFugUPK*l7r6z!OO%!urhv!yRy*IO#AOS*@Sl zA3>PyRq_ip?9G4()FJrUwck-TeX&}=ZX#Pk)$CJ^uFlTGdXmvY?faXPMCk>DVl0gjSQL#nks4SUJbMW6iDE zK!$rIgF6K9K@qUm_AP?Gd8)N@WaCn*;d|&RQ$L zX!j#xkzi}Eq@+>iI=$d#y^@g=BjAZ{y5^6#j6LoT9y^0qlFUw9r5&SILS5GNh(hm? z9r~haZQRK!DVMFrH2E7$NkO~uVAyieo7qxTa}vW=SXfr!OP>1v{w}zv=Cz|iR`x_l zFW`sI{WS`hQHemRIS&kj<@tws3vSnPL<`|>(cokWJ5sa@BzCOW01`n45hdzG(Yz4u52Xp^Im=_!znq zP)Y?G-pf-olf+U??+ejZ=si9W3&R(qv~u*dgu!3h@}R~LHxX+s(^us6lZUWj2b3xw zgV#}gXri5DecA7Vkz-$;v3;rzCpdQ!-}Ju`?>xT>ybHDV-SoE@s$LiZDQk3O{hE~of)a#tV3W_DwF9{60HM7F^$ofGuMQo8kJU+Zpc>}2c9Zj;y;J0$!??2 zTio|Lg5D&brb)gy%&85{vB9cMuf~)#_coZP&)Yj0ne#*mULcaE7o3iYyHI#G6Q1SR zWIC1zx&IqdRTknTd!XUR_gbUmONc5-olA~MDU#{S8i#IYja8f|g1!=gEPg#>D|lh3 z>!5{#Y^q!ky&Usu1XK49qVs)rnp~@(4OZ2p6#iMs9(T{o_<86*S97bk;%uc6^vMCM zL{LWTg1NwpLex8C2K%MwQeN6!c*ZD^;XV`u}{$2!-ZcSyU4 z(;A_EmO*yU-!fC&Sg>rZj=%U}`=0J42w{8nxQ34Knq7o2;&{lrFO+DKuynPbz9EVD z%nLW#NA(0D-ts=)_^Dv6#WZL7dV6DJ#df?n;DU>1m-isL>b?|o_-i71W5l!AGGFTR zxWzo{K<#w#N~&|t-sfN9$NT%0;TyrK zWT;`kZ5$8T=LIYb`8-)|YS82DpgBBGlHjVWXb14J0OXsCHz^oCck7j(_1J9%i3m=2 z{lSEsVH`iba=aHuGilfiWQGvcnMuAt;a0r60QwS{ZW~8u^#+EwEjPs=Jw$=FgZWfH zV=u%)m6Q3Qy{gQ3pNgc-whV-Tl`9gTRD2j`UQ!f*;M&dO@cY~) z-jzdOMqa7t)hj@$&6kI*HR4l8qn%<()D5cZXJ3%O`HXte~2gL(RowCk_|;d4JrHIja>y(?*Fmi3nVcq3S5RX~3!;*qN%% z+T7?o_Em#^tBPza(-mg9irmpyE;hg@dh z9arzV;fmESg_kTHD;e6ccY{YYu!4RR)HA8mfreV1*KU-~@Dt&$f~go}&C;^TQ?`xH zm#-8`RhocMjoAHyv}qn6k928bW?A;na|nr*o2I^(X=+*`ka0)BFO(x8lG^q90V<n zg+CC1i4$=ZQ)yzmlawQGOz){wRIUJ&7UN8^mKp?ya*n`@A(h8LQDVuLa7_+0tk>CI zQ-Y1XY42l5`fw@@+x+7()F;2ABO2@={65KM~n}jX}^Zo2( zFZ^|WuCyQ%b+QH&WYK+tsyI0i+AE_3!q#;vE$$98Xn6V-q9bpE?7{0iofq-08#C}| zMeH1C^+q0!jw^Wo2>B3*!7+bXLP$M#B`-SIge2IOck+B5L?BXE8!ZN;){{LQwuPcl z6aHy?ZJ5EMaVuQY6K}x8Z*D|wT#;$Yy^u#OW(-TqJVaByaE4ISo^tgb*$)&1~b=}QLeZBV7G6VqL^0;^uy5f13(oxYjM6mFXL{0g8@ zQ}L1>X)R8&)#9EIj6aPTm~!`uy)F}^s%I~}xiI3M2>!tOoN!HdHn9+)?PQWa1cU`( zdpPi$&8Jj|f3wqQC2LBn7B4+8l-zI)I{wF(lx#h=yvXN+$pQKn!_)k?CG#e40`%l3 zKtLkMU@_!D^t!JOr8ZK74-KfGW=O*cM>dO9ov0g=8DFPXs|}lA5NX)xUtmq5kDX^L zbdkAilV1mb06u#7S5v-fw7FVYmwKza;{^z{b4jc>?o|JuH5EbLsKojF!-q^|6aG|< zjP?JtSqiOfhimnhs7-uk@1rZo~&lv`U6(hJSodUXua01O%SOMs6FIY1q6Z?D9-c>KQ{rDs~@}RBs>0 z&i=76#~)GLaEp$#MXSd55W1!r3V6X`@y&GX;a27Gl4iekvJOM8$dX}f#vGRQ5dKCP z9~zeiSu^aj=tUrn0)ZrtJ}n>1ygk7Zbij&40Rn|Sxl@{{&@ap z*`(~0M2I>fDdEYfPS?=F87ax+1G=op<3s$~R=Ed;)WVu+vnDdYh~pk${|9*Nov~zt zCb9*h<3!wh@LjnxW-r)Q1-?R!e{Q|KHi-$fPO~LTR>eT1oA+F9-u$_J-hnK9!#2rPxOjEK0hJR zYtFafhHzSw)UdJ=@LNO_%Uh5ZDe!onJ#)RjBRLLq5doW_g_NdNan#8FQ%p59aQuKs zAEtj}V>YrlJy|u%nH+mw6nlqLf1f=CUYG!zprKE%?nm9ED%$ z(%1(+K8X{c;>$$l(Zo-<`OU8ND4HxJ=G?iKHZSitqYxMnAGQJ zRiQ7DbU3qaAWjJx!4?<$g+5@j+NCG0)Gu<=w6@mg)B?Mx%wPn0M{&=XP*_iHcjC>A zGapxsFGakiF9(YNNmy~G^lv+Vgr^(p72{h8Q-n5;XbB+yc-?wR=KKkaL0-uJ>;ieL z{WFNDskN?#O|?`$<%A4q0P?J*<@n8UZjK!ZYt!J#%D9@oo?7&0tx(3!CybkEesP$r zd*tKrTWBIg(a@qCy@x9tUl#T1C(tN-`C_{y4yCrgPsKo^GXj!*uu<=#jAPYj3XE${ z^HRK7KEIubXXi5p_NnV-kMZ+7;SC<0YI@G$B1FS;$n$;BVSU^p79+Y8dBi_f4Eh1P zp1{Lsibjyd3KUN}=l0#1_sIKYtRDp(>fc5!vM}DV?8Oqnudo}Y9~5vHQQijO!mv6@ z?<=j8`ySb0OM`gzE&J>`egsj_O`pGQej_mofVoPy&Ly-S_7~KkpY5&UrQVy}@-d-? zR^vcW2QN{g(0e)UgGe*Z1Sz5F`qH0|Mqg@TAtJAJJQYY_gmyTDY9#moPz1 zxmClfdr}2zaCi?Ah=ua%K1@lZL4RCL5~CgZtl3&kjZdHfg(!$@s8_JbxrJNoyz*J~L(kp7+g))D%?bo8}i{ zA(;IZB_|9tYsIq3n^Lba$SZWM-R{@VvFlQBYD9vNYTPza zMe=hhqAyaZpnv|MKq_`<89v3Qn>T34rTq~-xR#K$Xi#|WJ1Ss!GKT;0Lwoa$U3bw!7yG?| z4;fV{^xj=R=Cli5U78$VPRBv=mJ$0eEPSx>i3x^*2tOJTavS3za+zgSby2p9h4%!d zwZsxVV@E~@S^huU9$;AsKnG>wW`#M1J3f-#hQ-&QL!rS?JbJQ=D}@e4e7y7GxC$bm z#|esVZi!wIx-uj5Yzug8tY>@Lp~sD^p!D~dqg(F0J>n?r`01>+!qYx$J?qG+U0z{S zlFPZ^1eedCCww~#AJi6tpy$u<-Ux?KBO<|gS2wJm>2q$wXHg+eD_KSLbkC|eKOGzOeClZ z@u5v5ST%*Rzx2Ak<*1}wzcA8szmp0$8Sw6f$w-OY3}XRbU=yROt6O4fa!5pm_139& zn;yX6xthfDjuA4-04N~;r0vOZgdJ7ll&XqRDIG*YY(?jNJM9n+B^}^|DUTtdM|;oq za$d+?>y>5s#DVo`W*#58+fOZ-*yZNBsN=yY7x|L=Ih*&BLCkH7qrzk zG8@R21WXztDdMM={ZU%)DUd=s^&=4Rx<#)4k)>!=i7C}jt@e^Q{D2cCvtbsWT(qu@ z(J?(2Ql<$xfdfG6EJj3N)kgNia3cYyC7-WKZsPS?f}I~8Q-}v{xzz2v9=lPz8 zhPJc)z41&?${-wfS0gXBRNDcHM~IdhIaP35|GP|lzF#`|CEr;plE_1tz}5O7P^-P(hp##^Y=bZe*Lv?o9>r1QRQQ!%P;Kt;jT5Q*#A$sg9N}t zWx&b6AE| z+=X>1ED`yti(j>(YibQUh!DSkhY=$e#qUlg8#kYAEBu|Lbh*+e+&7Dy2`5Pq&tJZsPTJYuJja6~*JUZ51t-zm;W!0(E$E}Or#0?p{+0<@7Qh{!kUykKVx)^YsA zq+8LL*ukMp>AZ`+m*S+ImkiMnI3Sj%hmekY`yUH%0wgpz<5rQ1;6}RQDGPgGo(w7i zJ~HNb`^AXc1jqhISW&kgag72Oo8<4Y`9C|M`L?DIu6~7h&459hF?5Pf5x{ugA05MS ziYz%i9*|qBSGqk9$Z)3JE^f3`9vizRY299~WOQ=BlU zS0UK@(*461c?YMr3*+bRt762cbGRP435d0>3yN`iDA19M%m`q3CnF7b#x#E20B78u zkpJ@7#6hzAwplmr+*G4ZZmd}u(dJr%78xl`7A48PtR#{GRlM4UJ$z(C#Xh!guP?<(&z1-%hIH{H5u>D3Wb!iuO!w=8Nz%qQ`9(Z=7$Uz)HrmL82sfYgeQ}u)NYK*y=x_C0;_+j~ zPOvxWn~^R(8Fcch|B&Q|zw1lGWZ#Wm0*B8tqUfhu!~%i$e3x#&6rsjeNVVm~e3{zZ z;M!OMb__E2drt+QjL0oh*TT2=fTj%v5?+}1Qf?}Vh9S_>t$qP#ri72~BoN7e2PCti z>Pu3%jU$x{iesuu<55j!49xPCPYB}yruOBrtOSrCL+1VR9WhVToA#l2EAUijig4z! z#%edRUW)*I*gN+@Tg4?;iq$w?&pK~L_WZzDFIy>5&X(3#M#$q&CD5NU$ecaf$@VGE z|ET*A$eh>)=2J|!5t0!J+)%Ve0#IgpbpEEvzf2%zx^}A637cf?mtvKv(I_^b4qWWZ z5r7vH6y#Iv7rBhi^LE+@Ao)QbRsDd*5$uz1o8&_`dI)41?{|g* z(&xkYz4e3oS2398k^beJJwCRMyN|3ngy8-UUMb27HPlZR3b*&%kgl(+pZncuB}i60 zHJbJuobedZZqI+|QRj_2e+FM53Ya}shysS9w|(X;!T0HBdV0Wkr|S1k`jHz_<^pF2 zcswV_TeX)jKJ00X@f8HQpLeUWNrr+3^DI~_e_8pDKq5tjV6n5ksQ~vD=N3;?E>rLl z_RNDBo#2d1)D&kJ8jS`W1>A*@1i$Qu?qVycUmI6=4LeIW2a~!j9{}BI-1{>&CKTmm z@8F~FmXaF7+6mF?+@D>i8It9g2e3HA#9?uK9vyIiDK_Xpn)^C0+NalCZ+paH!RJH0 zdQXHGpGg6@fso)DPD{{(L)b$Oq{n|M=k!t^|EcZzz;64&sdf(R%DI5}HO&`boww1? zcXp5~Wa=}I1Ck3nv4oEy{Cr&j9@z0m0u2)~`nckEX%g@ftZUaG@y#uEV~|=4T@yN7 zPhuj}b;dyHrH6CdN&WmD3l!p$e3F#mo@q5(kcr);NFC^v)PvLSVCqrOe?{hkXf+X6 zoqvxR&4#9g zoc!a#Gu3)e%D;WNMZMj9%e~hd7X5g}Gc5KD1f%qte2jBI1Ga`9f`E5QLa^SHnBT>^ z-v^+YGhAUd7&IpM3KKe&ZDY9cV{IKsV7d!uFoeFp*Ws8FMKRnsUU_|lie$TzIC-sD z8qBnR7l6N@I5i;fBM$@JfGV-Cye;r3-v*emf@sS5PxyBlo^hv2TMO{TC*UG|q<@5^ zE|)#XUSCQ7_^!GERqmkltI(fNTWX`d!r|2~C0}ijuITax6F~@Hc7hqI9D6LrZ>;?zXvi|L07vei z%P1v21<3zc8kKrd7G#rItvGx@l!^B=N;J&nwfq;`IV|t*a6^=oto*`gCp`APWFXn# zfAw$h3D|iNjvo*VWB)BQC+7J+j;3hwx1V}@-H#zLUoR84((Tx+_1f8D?OwDW7M_`u z6En4|GLVj@4v6qX3{3ny1q|z&JA>}2a4n2n#ER!|%VTmPJ^{`==>fwZ0*PV|vEBqI zsek3l_1cgO9cV0z|BYac$5k?Es|d_ZC6Kz}GfRCB!7i*mE8zC$sPs3)M6KxDk0YH` zf-(!1sJ9zd+rk+RF8N++RWJTn9hl;qb3B;n*y4Pqr%|hkeFsue&1*!cn9chozfk{m z*^ZGK2%9@#qMTdDyuz@V%JGOABE^u28M@cbs;t1;f|9;4MK7^i2lk(@YIV{ zW|Gd*Pl&ILXoe*P;8xH5O0g)m0*e7C&p*aiEG?z%@4uq86Qytd|f}*2(;$pK@^XB=$jhKXtd2S;mC)sD;8`q1~Ux;Rfjlqz9e6139PhJjc8$nTA zU!&V{AHfcfL`TpbwXzWLfY68&B|N-2r2C!s1VhHbG7u_&gCRjI@Rc+KU&n^dpI*Bh zm#I2JuH}WSVn~Xrz^(&KFG}=!;Ub`f;5g_Y^aUoLdUXUPK8LOQ>=$ASiy%Y^;xm=` z-W~M7Heji^Y{3;pgLAEex^E6jp&j`5ohNR0BuX8ws3AD>Aod`kr~GwTdgL`}X+U_> z_w58E+$!0=?zy&S^yd@K?Gw*wqRZJ2m1*hBRa{lCq(?&^7q_$+iFz&^JaTXVLI9JW!u?waH2Jf*3f z;V>oHdI1PafZZ@_-4thOY6(0@hI05Rh-^;-rTF#^7YQC&e$<(Qwi$>sdjd;Ql(o}Q*b_^_ zM|R@JLjK&6OCc%#SMcJ7T7dVYo4hSk{l1}r;eSATto~5VA8#MiU)$CNzIdV|ia_i0 z=k1!4nH39t^WDdDv$i0qw^R1)cv3qvwtb}u9%j9;BP-XZ;sRLu^q;&{C=?YdPePmH z1p__HOXT@$%5MuJ*}Es~afoZ{kTNE*9sSy2E$=k4q~#+QbgBEE75f9-y0+aRoPtw5 z(W}A1<3FZEALi5MVXdq-vy;_}69bUajV3OwAK!Cv%SSWg)*~UrhSWJSFv$aC+YoqA z-au~``I2q<<@J=ITqX-ZUu8Qt-BW=($v4u+c_v!4m6So;ttgj!T!U!3!3)#$*b|%~ z{+Sck28~6DkEFGhxhvY$GFL*FwRzuS#IhENCIgBL0 zTO=zDXC@oB$N9!&*#b1F>dtydhUudY1_3N{$`ntZ_^3(s0jqAu?{Zm}CM|nHEKr;h zVtoI6^NDKsGXC|-G7qfUc|!0;!s$!XzZQhM%DDtc#)avd82pBLE|2k{QG+>l{^)`Y zE0bn91xlwW{%PXbF0A34ruBfT_s=>fFT`Fd>QFLJJZYLSBJir-+QPb2)7Cz8e~&cul&q#0brEccB|j{fh5vukp2sI~xE1Jj14Ik6oKLmqtHMjH%vZh{>Oof@l1Ml7Cy4!euPR!U`Ba6x(G@`6 zX-j>E+wt-SY5r3lR2kf6Q!Cqjh)!((VwVskp+ep~@f9;HRPR`E#Nwm>d z`Wtzl!S^%<^#V+d6_!}ELSS2;kK1p+q=CKDX~+BZO2#;boCk`o3^g&6OnigA4CJ-H zP9D6@lEA@DM=FDo&2AL|`P;8HD$YK9>%geX~R5mz8XGr#YwQJ zKP`X%Rzb{4OoF*^cGT#Qp4Z>@aPj`OW$ghg#duW5AsljU=#MjAhh&bkwRZye;>Q&7 zfYElYcu&}LV2Y~vg74|<@N`fLjSS6D=gBu^@A1)z#wuuM3vE4V^8N!YXoyG4hC$pIJ6F-1yxl$%GpKpsD^bF}TgY!+u ziK=-G`C1oO^aI7q+q1BhpzG*I-5LF@x*fUs7{*hIc;E z+m4fmGgyR%p&9b^vN3kcA;;H?8(?jF6I%A#TEFiiA8&rVf`icEMAxQoWTs#>#s$6LZDq0 z=A$Ud4joUi7sOXN^pu4VCD^50AZ!qhzWZL~VVOmL(k4k<`*Xn?w}Uc4&27Z!7m1L> zL&?T(W69tg*mk9yu;KfiMZpcxS%LZ|c5#*oHB$XufnSudUu&t`B-&X+>D61mt{0`Ft+ z#3E}4h7gQwMxyb?{&+8U5$j!%|4QR+&^eb{uygd9u!6Gb;z;2Gz}h(d^jqcc!c7H2 z31U$$R{tauHVk*^N<@wS4_D{FU01+$>)32;J85j&Y@Ehclg2h1yK&N}X=B?qPi))P zxBI^Lj{A-K3!0v@$3APWHRm&>VaGGLadf~_!ef9}%)VA0tLr&1zNL=KD9B@p_}?RM zzi9znD~9__VA13UAtJY(JATBg`FhPjOBX905+lgw&?0JEP5-Y9?$ung^beU33!F6& zWK`V<+#k;X;)vAXg#f=yCl$q{oDP*ppD!L@A6KI+PYDpsuP}hBI+GBo>1h$b;9>8% z^Vws-)V#b*`hF*v2=QTT2z9s%BSb!QR8ba3)DjbZ4b1FQ9%WaPd&%|rNInZcJ?VMJ zT|(iGcj~V9Ks7fQ=@Y`U>-C`t@YxPlu+uXm*cR74A*^%V80ifnIagr|xk+H}iK795 zAf6d`ZVFT3w!M_ZQ#}(OS%T>xCvw8eE709a2{A+#ghI`F2c-e_ zyzGKG%k$P#q${!Q3v*M2l8q}uNB(IJR6A*m4b}}$4gL?9#7gKVUU3)>bAGa1A`hY} zR-UG2ySL=!^H%DPxIDl&k|=Q5uVskf*%cAE2x!mja1&v=CWQqO|DY#2 zQ$TZ4r#ns=CK^iT=K2R_Q^jzz6KL6=O>#W_s6t z5Lb;sBh%I*E}bRp^|cI3>*X&l{Z08(v#I5B8q%R}3#=AjWy}%t)9p~C{|jdP%rgF( zSvMP|-y6|7&19sjb(ADQ)by_eb0*%zT__6hN7Zn;9^$c3TKJegB@CK<+ za1*@U(y#A>7$Pe)5hS3-9x!FK{2(*n@<7uex54|eoq8^c^s%SKS{ys4wZoaIa<~}; z*7EQRFKW8w-~yM$7d%$qQN+g}p(?K0EzMqtBysy_K*7$`D?FpdUH991TPSoC_D-6i zfA1VGqLGce;-W+-SJr?^#@n&|kv=%x%?$||D;ea&ML!%^QEV|05QY!jPep8U6^y^H zZ=B^!)AUeo@!3PLn8*pv^*;+=ib^qd?!~)$s^OGsWV9cwnm-Pb3e&=;KbUMez2kFD>cEEP6tK7t31qGLqI}Q!4m7^P zd5U_<+$ei9jkM%a`6a!P5)h{nFE$wQ*Ox}{23zdZfxb($3ZOlV!ADE~a5#GNGE6=v zVLH#oLX)o9WBSd!8ZzCE$zr={hii>bvCM=YCuZH&?WxY)K6k}hG~-_Sz}9D3tSoS? zPm*^CLzIKJxg`oa1N<&YqfCod%HZx|50S?^)m65yxRKIOLXdqX*5+|-;d%6z7fXKc zXfOIF#V8JXkVA4zNcV<-=L!-~p!sZkpEV`2y-{SAQlb9XXAE84N;kbJ(kf>BkTcYh zn1w;kuXQ4-S@lIX_D7V%D2b4%Q(Vle_avwZ>OtH34l8dtky?>N`n&vZ)L7eBiJ5k& z_!?aejtV{0|TAeQ`)@9i0eyr9?J0P+6c?eP`hF$h-_Pl2q1)V40dOeYAlcho)im z50D7ycKchEMSdc{OIcp}Q}KQTLB~5Qix5M_4yosE^Xpqd*l*Q*a!_f{>LS=YgI4zl;NUvg3$OD=t@546pDVckJNsIZ#ZFS) zxR$WL6-6{Ms*;P<#c5*%4Ih_vx62FIG0#~k?Mf`ofBf$D$%ei)2S8YQ?pD8+xo2M3 ziashY*_ZXWfV%GfpP4Z#@lGzhFpz9TOMFqvnu)ADEZwW}xD-(oZX?LwUSCjw-FRXG z3!jt4aM!3U=+;{0P(Np!7Gw!^-6aC(9?JQUN_s8h zoo96=Dok01>bCeQQ45n9Fs#>|D)liE7CmAYS%yVWVqHe$EG9Sx!sNI>SFhNUEB>O@ z&m9p5VF!^yIg zSS_jmM~9Da10w#N9s_aAHD9=rFP5ykT68wVkc{QZ_yx3F=o2`fjo~bxO76YiA2G^e zv+^FOu_sb-SZfnJ3hTNlg%STYc8% zM{n)|lDW8kb<~E#z7v0zys|ZD#J(?`kQ9QXyV!v6IpR%ye)osk7L0tLUM}#cG^wJz zf#c#U)_1{fOYKfU(lr(8@n?7ZdKx;$%=4!-YqG=1-`gD!+hztHx%YDZ`~ob3aWOYY zm;6^c6SsC1RFeLCURfzKe!0~VzbC>J_v=rQFik|;em-(q27>?!z~iYcIGtzN7-GcY zaCl6Xfb^L1lF{IYg-}9@PwqAi(4%1iTufw z^cZ`twMWI%%eGx|rT0FDZA!$`jjI<)CGY}H{C05O3;VO)DllGBr^1w%>BbN3m!NN+ z+`-)+#?JZQq1mR-!$vWSkf}Z=7rvYOs*F{!+}YkN`Z+YM*C2bmUBM zXMQ(pGC_WVYD(wJ^bXxSV5jiWQ20s>l%rhh$?~3gl2Q$uQcl)j%%>8qsD|&?Q1gpT zW`u`iR>*w6?gL56tCn~n&lP%Cy<+EHW{AjTNV{fycp)Dr*$uF*kjq-!(4^Zx(a%vV zmGRvHjJ>2!vJDe`D2ebVUwl)?wW$vdp0dU?fn*RH0f7dC)^O{_t^M3& z;dW@nDLq1k1UYxV(Lm(VjIZx5WJ>X~R1rT|)Sb@}>>RUl0((bMJ!3FRw8>B17xKG7_bC?+|w?eAbC>hWFp62M73@LXi z+4m|ef|}<36i(ZYdXWSGR}nXeW$;Kc!FNn^einORX6rEx;C#>oPGxeG%O4nXN=QGe zFg5sFba0qbKvGh*eup@3+UUmDpt${z#9*f(45(jH+_k6`%seY|NbJ8^gP_wv(Qb7k zvhn`{Yv_9iU93!iC`Za7BBXihz4cz&x%Ci5kB|qxF zSddST5D#-{Rr@X5&Hd3ucD0@ByI(>~P_iG)>V%tT#W;HXimrG(7kqA_u{-6-**8RZ z1A{Cb`r#X>xl!MrUm%?WVF^b{70j-bD`h-w>hba@V!W!o)wf)3PN4k_MAMb%CT>Qt|5VuL9g&(V5#dQ7#dMX*y- zA1>~}`iL&jyseWw#O6u{1|y>UEf+m`M!wSMuVi)Kf&ix<9v$wlE|8us#i&<)NWlpH z(DpMKP;Z8Fi!^54gNL^?c^bb*AO&rJ=RTYlqao@s84MLc-h*% zqE`oeoR4ugQJATkN(|Uiqxf=M=E@|k@r@VKjz&^G@wYFeMGC}^LHCBKu+f_KiMxTp zC3%Z_*OrINe`&_d{SbW`4%me*EPeKI-idGf=T8=1<(2ht{N+)C6oqjF79#bZ%9_(u8;5p;E*ueGG53%?jkV~zn<;fglK&{QcSQ{ z7V|n5SqdhLzUN|8ep?}KSa|@W{L+F?*!$COX7&H*)-YfWP^h@PsRUribfBWE^NqBS;T3Px6LoH@SWs|+xao9}YcIUrA0G|yKLEhg)D8X!$3X^<4lv`D z1M~Bn#c;d1&YUN%`JEHL55Y2@pRmQ2=ZSes%* zzkTP8OHfq_5%aS$FZ(&I`y6^tl2fZB?A9BYMBPJ8U$=EJ%ykb$iUtV4=;Tx5^Po-_ z&4L3vZH%{v-gsJKBGb1D48#U6{)oKGq8s&nHT||z3w&1aOLHU=%*|M)PY(Ve7 zgJ}Q2K7`+!hEv_Q*%sdWi&gogXIUu%nAqc!3oO_jZYEyV=7{!Bahnum zaKZ%9W*3gTMbfjPvFGVH!LMb9sB*MMEMh3%XU)FWvkyj)`4{wjbcn5_ZWvE~CMqnc z;{T!k12@$0@-aodhG*R|WczpZ?@LKZbZgUt`xu#M_(BJs2`@f`8sXEQ3AvN4a2H7dIS5; zcWM9+V;$U1dApZ*iS~&&44RT-2=&qX?lvJQJv2UCRGY_N2p-E2F+U>ovnHUU4L&|Fx$55}hmfUWInWmjU*;2I1*F);r~HQ5g42wVQZ>|XM< zBPW5(yf7KQqKD3Xg$uozC-xYJe-BiO=*k5}O+}V--Wpc<#dj-N{!VA;g%V(FWG^e{ zdv5&E0kC`UE>{Qk2{zWKccsoMjdGj-sx<5_qTv1u`wUgd>hqmScFwGvSM2w?j+w+S zea`4$@)PR3-w;fgK2yYix>2~WhcLSsKxLnaWOQcewA}Pj0G!GxOIfomcX>ha@7q0` zpMtxnkGbox31lbh$e;L_1uI|aAp7K4-G1ZbaV&98)C~=Aaq)e>XN1wfCdl6X!PdO} zM?#MzWbyD&-1n}P^4G+7F2-1?K~m&c&4+*x#RS=?lv0C+h)y#y%(7Zc7pTn{qdVii zCEbV!cN|wG2aOK>KGhINPbh;oa~t>N`e%-<%uYSPu|6fgus~77{_Y(fxXRn z7hRK+QdjodvI?nE9LB?Ojz53Scjs>KPN!SG)0}iq0}t>%d-9$HUK@Md!Y#X>(k2Tb zP)PTz^`|_#fGdoTO{H|l)P=_$FTtLB$Nd`WhThNQoGveCq%gnefOza*V75Ir5ouIo zQ=71Ic|GFUjph$~qF<_8MsI&UKrk~sMDwqgE7fbCT#v=dVtSw|5;|TgO zW`%?y5i>4d$lA{SAQ~dU`f(yIjf8Vn71(9-H`Qv;`~n^60kQrV7^VpyA#5$OyP$?S8ns(&x%MC`dyj2 znQp*WHvhvY40=r zwj#6f${6sObJ?NU1lS~f|TUS%eV|MFN#01*0+!&k%4 zCEpt`6B24fedo%wWzCXhNqOn}_vssyGNbq?e$(xmfIzSx2Bj2~{v z(lL^|ynGwWYe9g!f}>PVnJ1l@h#ef=qQT|dweK5M{q_m@GQa+0gL}|KqWLGI#K2^P zose^?%;jnQ-$BLo9imkD3nqI-J+h>k&z15{e(avf>-|8X1A1Uqo4_Gn8{pQ3F$e=l zuCCU^_z7cUlXgP@V0-iZ=_#5H_-E3eX*x;&-|j#^6!q!$5&a}O$Nkl4Df!4Xs-OU4_&~hx(PsWn zti7HV8Rrw3Z?C;&@^bit?93y%sy3SRgXPNd?4IHv4oO|i^K{TQo@U3L5b9q*Tg zGL7_!q$qT(@8LGA+>`WJGCJ`zzHB0D2(a-8{)WP3U%e1~TkLv6(4SejoA2WS0Ql;( zNf{D;N>}V;8aJM;ogHB+lU^VK7JVeEQr=Ek{8}ldV(Q4Qf!><*N1gpb5he2^?n1*0t{Eet9ZKse1fH zA>W*#R5Vi)NR57YHd1r_@9X2$&9U(q#Xq@KfVM}+unJ-XlTT=yyFZ2t1|yLCiTHqa z;O5$%VIbQ?f=L~n6p#$4Bd2UW$6<7(R#c-r1+A6FFF0R8Q_!c`#+|;X&kZNE;B?YR z!38C)Q^q<=`eF~cRPBFyHi9?JE#n3OnvAI<8d2v5vEl25|4$Axo^zd*{H_Bb6o;i) zkYXmhX zP6z31P3v&g@92fW&bqBlNqh1cXaXY^hxso0OL2sxIF^tml2?79-MwICjjZY zoq-g~2oe%z7ScfG=+S^iW{p=CW0y2RH&E%V?7+NdEUx$h?|$mdp;Eme21@AF+{l73U7WUrc=IPQ(R2 z|4>Lm%6lyj51R^gDwb`KhshC+tFOIBB;A{leQt6EzFVXYF|n}rDtiM?mVxL5zQ?^1 zqPZ|)0VOpeR8Z2o*s~-mx_a!(8z$Jb#vA5N^?+%KJO>GP|Dulnh=^Q+xdp#KlH#%A zfIfD_;NWD;^SGBH=r5r4B3+LKq=;)V8P8+k259Wo#U_<*y9Y;|6@2$Q0i^WsJ(u+G zzL~x43&78;?CkAb#Eff)G^!DQkpIqxxhWrYExt;SqD?j_jpLvU5jdquw={oRSEzG?VW z{eUB>^L?i=srk+TVAuzG6m&jiT{*z0P2|Lc|0}yw4|sz`26iEH%0<-}=*I3u=@aWy zx-Ce^HXyP349*Z?B_FvOINHjBlJI>dpd#v1C+A`lmJYFB9f&Z04EzajtXZfl=k8Oa zfuvQeh5*cn{mSNHB!&s{3+(!!gicj74g{UGz@TzAre!BiDquY>MkCpezHyImXqx5! z8k8&amh%A{@t~e$d)Js$DK4#iBBGjcDF;=}~uV9-Hz3@1d6>cq4oSXn#hgrh~--1qj4VlQ^;q7<&i%&q|TnsqfZ`0umM>PJEcZUuWBEzDc>1k{{xxrj4 z{(HPe26|sRcP~cyh6knlOgEd2{e}gSfgppK?GE+h?;$DK9sdd8vO2d7n(w*L)3yFz z0Deya%{3S#U8ls0gI<>v!7yZ>{KZdgk*kOIph35@CCGg)(6+*)&S6o&TyDNHfWqVV zCeGTs{}YP!JnWjsD%2grms-bX>R2! zn}zE_vK7V7w~P6`k}U6^DKD}cQ=t(bt_D;>RXyy!o8s{8U$9PNH-KZAnlPC*-&aQG>a+=Gt zt^b=0%=t^S7hzz2sDZFsA}$c|SyCrC9@Y|!!)Y}a=ZUXJr{Q{hVYu(L$q8y;blm4% zruS#l!Xe$apD#T+?bkl(zMul9@WQ1xT(Cwl(W_?3A}hCzuMbZ`2#^)6Ln49Y9WTV* zarp3=v=7{&Et<#0DV_|_|)@-(9eE2nZW&U4)i$Bc@P4SI!Jcc<~&F)pVFtdw+i ze2UI;S*QM%;L%}1uN6ftjoaxAmh_}9Tr20snK-OP40U2K(O5;8g2a;p`^{_^C)_xt9MsM&7S^aHZI(6}l~`4_JEDidjxLIFnzjF&emq%bC# z!mM5BS7rM=RpL$g5SXt0^%Ps_q6vMtp&@+sT43Y9VF* z)?!%sQ1f1(!gQ4?g;fGea)P`m8MvH1fRQN&aB35VK}fJPeTzIs zOHISSvSH>X^uXzKM9Njg%>-6Ej45Ww3(y}}pcqm#p!4FgMd!KgrEC-kx5av^WXr#RUT_ok1Nx>4-Gi^D8fLdUQ#gd zXc@{`PV;j)V088F|5^g-&O5uZ{Ndwkw59i&_zk_zR?O-T{CPc19% zr=-b3r*oTrgPD(lMmDBQe zs;S%XR`=Ks?9yF+cM}CdF^o#Nq7NkgpE}JQbpRR%K;B!77S^pO zO;uk|B`1U&*#u(A1d9#_fcafJGqZq}A9iA)!W3>Tt@5GpM6<>%OK>&R>F3JPlfJjM z^(p#U6Cq!uvG51|@r`bSfVWbaXWHKdR=Z8oY2}Fl04P280eAr>4N(dU-Gfj5u?VVb zzt)EFG&vbB0Ww~Q=AgFC-!n66GAL6kgdOo_i5A1(IN5}_M}Xp@rO)=sq1$lRK6#N_ zzI~yUd_NFE#tA@I=3w54?xXfZXptRp<-*%5^+)5aTKHT_xf{Q22wIzS>~d zdDIdEC9%6pHdblUXr1MG*K_}(@8ahq0&J1xvNvAj3tBs-3@>2Us(EejZj67>R{Emf zE|)kfv%b>&bGKdZJfg8P#y%<)p#b;7+{tE8wLFbcy0{3^L`l#138=l%3^e0Sd~=Iu zOi-%Pv{Ql8WYm!2}CDZ zGV&ZtU3Dbj-_+0DZ^fUt%=>rMJ&_L>HfJ22)&^W!#rL1{8Gh>)AS1l_hRdEWg3AT8rS4;_=**n|Mi}flxhk&uRn-3ABf0+RSEnrCb zfETJ~1<0H*47F^**zfJB0n|Ckv{>9=I0{5F9sU0EC;5=xCNQ&UYv+Zb+2bHf!Ug)}t&yU1hS$@E?@OXh&_`x^bkU~xfT{Z2Y-)#-C zBM?6%_P1BA`oX2_=~_+y;{T(%F%Xj;w$f+$cS>bT*&q733|HOqUC=`OGDL)aQFG|X;WKQcgr%J zxaiBE8_)Nzh8AB;Gnasl6scdN+@B;v?LIu$m4<8RW>2s!Epeg=Wv|&|D!&&zPt9qE z*I_o;R<|>@&k40D1FL4C7-a~xnc^1(&)KV#F`1>(c@v*^fBSxI0?y)_GwONnF`40W zfk*(b+KrDz@wy}r$v%MBHF7&345cP#3hXsk!%IdpV>v&^?giAlgjK|Ryj?geWV7uT zSQMJ&{pJvAxJ&yM=QGQSoiZQV=gK<(K?dyz$9U3(;&sq+{CpWJG##;gTT-8V#puRdsw6ZlEI zwwso@`Ms#w#y%jZP!1^vLlWBPG)OwVUZ*#=t_gG{Z(JF=K*Yl6WG~9fmTm)a9N$i$ z-98*OxIh}7w1^S%r&zAvCEvH8!HN!^T1gC|%*H+(L%%>-4C>9COjv|ZUmQIy{Lm%# zf%cKE)hz_L>ZT9@X=cKeD#b;$rw%7CCQ>SXp9+JRKb(IM@jP*chZvQsnA{S2c)nNQ zY(@+64MoXI8QD9P)bl;5t^#GTR z08eLahe~#E$P47p3AipHhQGIH$P)s$%zr%ym?75emYec!S;a!xd>*)=)_6@jyAYDg zY0Ve<{)Z4ZNi(Y+8%;5EI|GPd(!&Q_8T->u5$K!yu>3@jw?|VzW!g;3cQ%(isT9@u--4N}e2liF-d5?|I8y*m|? zHMGCN-kFsJCoYADjGsSipob*6hA1FC8odynbS#4Uyri!9n~~K~z&tUCOn^@q@Pld# zh#Cfv;l$1c$4%?xUziacweS{!(UGuO?INt*{2G$s1u9714~rtPW*>TP3L^`n?ivPn zRvExeQ}#QRz_8YFAp%U%6#iAX1#W{ zAYeR+)~Os0+zt^0^?X^Zzp-L+43oQR<@O!8h<@}O+J96GOosdzP^20DMiY>XbXsyk z0fQ1YI8We_m5h+o>$3mcAqY^BKcEiBgh|1mLqmDIuA!EFHk2L#hAJ0==zhbH>vhn3 z&AgRnW5?7mJ+ndd?*Q1Lh#!Fi08`9Cw*W{{X9HuaR(8As+tYLUjY?F-y!|5BF+XQQ zP7;%g4Ix}5@aszg_{meQj(KaZ;yvVu1%cj7yJ5Vpj8oOj_53EwZ^dST5Pi)lWO&&*p_@q~_LmbdXjK z2VGEr1*pVwRsa&bCyUPqmC-F5c!-2<+YxVOY)u6EUpHMn1IvhSjP7O8{TOicp-9aA zMnuF>AoQHhb8HLBK0Ty)(yf$O+x09&PRQC3Ao1S?yrZCTEzLzum=Ix3)na!jk~o+7 zD2oE`4@NRnTw)={VaRSq)G$Dpg%W1+Z5TX{Y~tQY z_=gY?f3MO5Sh=(R*EN5rH#6|A=Z`0xZw9}F622Py-V5-c)o;%G`iH-iI(wWR#nrC3 z=L0d_N0Q-Kj1tA(HIe1;Llh)J2YDyif0`EX5V{pQhkyZBDJE!GB2LYr9!88>yVWWS zCiI`~{Vnx?M^H@80*1?B<=F-ZR2LT3%6q6&V*jO$0xK9l@-eIOVY-&}^EdJWXFq(s zrCO_9Yh-eCnspO!x(cjBAD(}E?>XQAeHLs10Bb;A>31~u+bTd^#&gL`MEU0erkDjE zi5wKP9x?NHs_|2RDa1V4XQxHNL%29;RFP>LUA$!B^I1>=bEHwu{t?h6vK<%aczCE< z_v=nCuws&+Gy;uaIXA04|8IltBKBYPa)@~z zy3UyTTApYTpM{zf`e!=i8c>*4BzY#m*l5ag_5 zu?8dty4&#}!rzCb?C}!vID#E0j-%l|irD!%eCg>GH?ns{d3iYNOP_^xTzH@^M9Ly@ zZ;K=8Cli>1#j#T`me~>Xz9&3iLwuC(nwIW!sUc5H@M8XSgxZ^sNeBqQ{(zoeKT+ip z^OKO%uOcFD14HNWPC@en2|I(EXWp=JKjio`+WaCTDKmR$k_k=&V4cX5OqLj26P#tKE5!PMS`L)(N34MeM&CMyFS2G2WjDe&hD5B=;phNxK8zY)u);JZTJqXWklEVb z&^>!~B)UyYBq!GM{`qqM%SAO`VoNZtL7(5K_rqW#4kI5~L8ZUJhC7h%sHpehWNUww z?9+>_>pA1Fn}O2x;u{*86nAkVpIa17i;1I@v8vFGiuOiD-`NT_cizvp0mSaqZ!!+I z^H)nCbk(5`^z7JzB-()vNqt#pYk)Cb@Lon~T*wDcdne=gMav*e-JPUw&8?RnTTL5% zEl+5UlQUip!oWxE*HGW1EI7bz6hpX6ALuk6bek(RMCG*Z0F9-`L0KvnPWjmHMex?! zAojjwP>n=9w1>~Vh^iRY!?>1a_?4#9r;I z1&}&_Uqx^>NMw`}xhbT-LCG{XV3Ja;xj&7h^r*z=WJ4pDXKz3I1EKJ~5hd!D2?P$e zV$1lv2m>3X6CLcp=tzpGtB0PSgFn>Lx6fj*iXG%C5et!;#a@oEz;}&E_Z99P$I!sAFLk8+~<1vRsQlx%Fy1j*njq+`thCV4Fr?!XbQ{@@yr zOa!DgS${Y_+<8J2Cew*XBNI}R4`cPy^u8|2y1e8^sl>+Ma^QZeIZ2yLH|e@aB$HP% z{PdBN&jKT)*+7ec6dL*t?&7ea`U+HLpgSheq5s!J>kd;`=m#*wygz~v(+b@P_4QdI zK-h*CcfD~H`W1NiwM!-Nj$da9!fyM0nD`^cG#O)2xW+T{lr(*4;CjfHw%L!KnFmWYN)rG2=Y~UgcyV!$)swffqVeKx^kgM+A!9dAx-wg*0(r;qgwmLBI;>;ywshlB_O{n8 z0XR&d*DKLNf^`4h6QfgcN9V=UQzP0WcqKQvfrHPton{k*Q4u*VF!?r+B-*6lWclNn zFz;j}FU@xC*4;lm=#seM9wM(|O0E5rXDivTEB}Ingp>@=_64-b%5lAww&6%LO^KUg zMz@GHFB?+@Y1qW|DAqs${_rp44Wo!mQEPbx}c=+#r1FtY;*kGIjav zILMVU$VPjJTMvcpKiIG;1Nd^*ihha5mUU7dwpnu|SeIJ1(wP${Zp zFu6*$hHE{FK5Y%0((l9@$LIVsp%g`}D7nZfz5BQtz8*IIn0l_4aNZiRj0!|u;%n5X z#Ll4AV~zgsNS25Q90lbMmE+6 z7)eQv?yWJaUn{QM_Hqn4V)57e!cr`>3%pP=H8V|5_(rv68eBD}7Jbn98x4MK%UoXn zdraE#9?0?!^X7MUdUQ+iis`mskahO$TWPe?|%$ckn|FwsOS{@cqyuimpq^z zPZIvxhPlnXG&DLoSRfrL_Stu~C)N}Q`ymWgVKZfzq=BUV51Hiy7Ey$vAMa$P{5M3#>gXEJttxaIE(y$j1dtIbEHf;!A$e6ga@?(2z z?>MI3Mhf@wFZR9yS0D6E=Fq68GwEJZ^}v*NQhZvb=+0iX5QMa<|7?#_*E2GKYVJf> z3cYWP4m%CpN-dPh>m^`N++i4!&$}v`eRN95{eTN~ur@7$?*TR+M+!@2Zba(E?2Xp| zhe}eKLfj4epjK#9fl-UH=BsE;&+~Yu%3l;ny1&LR(VjA$j>xRV4Jt(ZfERY=NmolY zX1OCYem3?=;Z9Uw_|^9dsE&-pt$fJlSHb0%0)~AX z#L;ryE=5$HGyWfeg8t~<(O_yeM@eJHC@Wv?E)Jet4gMw~0KDTh;aU|llFg8B#!ec}{SPFAW<08Y84g&*i{G7G)Skt3r zwNM4GRIwT$jq>?Y&@Q^#*DsAwsMWp1xvpsUa+psLk0;nQ(us)TMCSv55qrX9U^6)e z1Tx%KY;@uTSbr(`(c!2$^rb{8KmPuje|=r$AM@4nk|LPY^P2~p`vCOJ+0;!4JZOA9&bZUocxkTPQP3jDM>^3`DZ}Wg&bKErnO&2Qm{0tnV8cnI z<;9KGrJ>v0mtu^hAUsgra=h$KjZ2#2{R|M7nPi9Y$>=IOWM?t`p*!KHAV{v18ZzHu|SPie=7gF<&_om?& z=CfLmrskpO3-<*Bg;Z<^>={FxbtfyYH+D9kJNC;+UnIuX#RYR$6w(CnFn#o91@@wu znZ>S-#`d?hsA+7^kEW5)bHU*0q)$z)hd##;Eo~!!lz+wojl%$T z@jgygUR*()LtR5%g47vgUtRf6#ux(ptNLrq%HsFE>6_I~cV?jHqe2~CPCk{CoE{;) z&$8Z4wk>0j;b6Y(%Yo}9u!dab1jb{X+t%MdacO5;jE&G>{bv_u#lN|s1RdJ3Sl*uk zJKh56{+6x!G27=9k&&H2!*jzY<-p`nm#|o5$(#kw-E|hp?wA{oQ-zmd=Zi=GmWLN5jsR_UMYgTXxf^>I zz7Gwm=>p&0t|h{ACOhXfAVMSAnNyAs)03CiAjg8{IBLKITs@yDCl-LJ6mXaiPxHkMI9a$aQo z4GH740fJ-mf6=6`jpfwXxJFO&s62to+}#IQDT|-1RzELK zY!=FRl`58^rM2{_nxD1OzXEj5@dzypR3}%rZWX>xIH3BYerzFp?~IjWAaODp5SrVR z9-cFN#h&$)Pqmo}Zf1B1dnza>=04ALJlw1#yUv)oB|n9U`MjXYJ8T((%*RZ#A+xV| z9+UrJ_Q(+^Ci}*Biq<=feWDlszCB0}_h}P6XV=%CfTUiNF zb+tc;q(vp&-=mMI+7UNgpU}Ngh(3Oc$iXzT_M797Qn^cR-1-y8HGvMUs*ei-Tu`hKYEy@92%I9_A?kYd3Mr#ClxS2od_fL(D2syY` zjAVrE6;uTRZc5hTv+qz@Fe+)=XsZ2+PLzdbvZlQk8cF^IRKPs}4=+c2V(BiQ{P?gm z*VzH|lc$G*rQDTLN*b!cp)WnNdC^dgY&rwP8ST3bu(o_ zsbP0%=h*@@nzOYbIeBRbT7APd+!S+!`8Dr*IN;_Otq5nZq6_ z7>F!GX1x3novbdLc>K4@Bo*0|aW#H(Fiabp5YK2Br4hJ(27v^q%$C>0lGB;grIpbD zZMh7k%jX?0dL}X0FJ}Mblo0ca3_d=CpCdjY@t<3d@rwqQoa#SF?C{0DFoar#e(-U< zO+K;oY&I*2HBJS^#5S8IKmj^V`3q;1`+OQI)9#4;ecw%+^BaPVdKo3%ucMAqHqi%t zp1o^#M!0u|fMgfJz-8I|C!j74=1#TN#Jnt>1sR@ls_1K5Y&rXWByB(EqNw|tZr`l4 zOp)7Mql2`*mykf*-vMPwLU{ku2JSo|XQAVS%fZRuTttH0+24SRcjY(PAAfyx1}qx# z`&H;d9bTisThHIhcYjrdmt^$4q~tycJn_Nj;Lo8LegGvfh7xT3n9>BM2VSu<0|;W8 z#e!zNbEP8Sn2cNs!ztEIp_yxbnQppnuY7Nz)6Pzy<5pZ8bD-A7_vwQ zQUH{}c7U2>`s<1nEU??>`c#qdN*#<#oA;~JSWPDh?=sdT!Gfg-TrhP1!v|OY@e4T@ z2q@l)M9pfZ!E8|5uO{gK;r_n+fAROn$kWuaAHwWLA9XVds$ z)gE*0GT>&N0(VByiP%&;U>@2l?e``AZvD`iW1%F2Ga_b!!j9Mi9^sg6t8}JY)oO!0 zaJvLrFv`L)$2>^i)l#L{{JOm}BMR-$nX>BNp#8~BfEH0v0CO?tADr778d zmM~ejLN)SZteo_DuEwYzJ@d7LG}Ix@VK89lAn`FUy)1Mj#jxbjNww6`->-ETx9w*q z_aY)SFOZrd*9j$J{dadP)?_R>o14SDHW0{eZM0vZM*7;eFeGbMsBRFc;MP=B`+8lS z1Z7ksdgf4N+VN@T>>GKiSrwKkO#y!P(-N6gI7lfG8i-;#@hbYg>HK594%|frCaW8M z?wl`V{SW-rE>A(B2Q==Y4?=;30{qRg?|$Xc$sD=;KuFR*=i41avp6BTG1%nx6p?a^=h{4hST*GZ547Ix&KQhaOlq#t2?z zH}k5e{(cSg#|q)2moO!`Zt=M>t7E6TOGu5VnxcOnKv=?Y5V2ebz|^vDT577;6WU80 zjV;g5R?BV8j@a4&Dbo1BF5dnEYOQE=y37Dlz3@Ae8g5k84NkoH!d-Vm{!qdeuy^{y#*WRajJixP>VRX({POhVJehKx$}^Zt3psPC<~8 zF6ow#mhNtlj-flw{-1MkF6M4{1~&8C`-`>SrNMHs^bT2ZOhU@SpS>owUiET6qF9QM zRv2#j{rjZs!OcJt0fKglzJ21<9@zw^{#%x`@e~e&m&Y9*w1Zz!-Cd{N%qG1QGe+Ak zF9A#O8*O$H8@W8&cVv1O9^_w3a@8Y56`o#vXmvIavER;S%sKtP{(c|u(vG(>|6{94 zc?kw#dJ5x^{~;1QEN1kDh>Xr6`g5XfpjpUSz044%^wiRH#}4OJVpfjalZ=3~OI=uZ zOS|u)MElPF$!v?5U_ndcq4@~n)}U@jw^l^c9W_3`N3_*7;V{1)MFkyr$TmBYl%kZ9 zCW5aYiPP&UVV02F`)2z!{QXW|W+(PTKKp~{T1m92rXgQoSD2h)7?T#4*BLi%;qbmt zN@z8^qsI3F<@&fu->k5qv5omDN8c}5>Ls{+dIcnRS-ZC{v*RB~%)~Ul1r)k99xV)J zA-v2?NT~o05%&+T>Zpx&DWedD zhyFvQT1kJlRFl-^n?}-qQp2Eb?Ji&1uX9ylZLa@)wlkJxd47DoTiUOSO|_KX6Lm1$0E$@mQ{%D#7-Zz28A3I*XT@dHNB3vg z^d-XiZoJ#fwgbiyru)0xfS#!%xaj%H{7XVEe3V0++?|z1QkVH*2jjTyW5X`Yw%s z(;Uh3!?)tWhia_j0&Ri=IU2Yr9po4MV|AU4;XIi6C?_^{#L$}8#3=Y?l(p~Ach%Fh zb)Bhp31Ctw9%zb^kG#?kVkYaqO(&!$eIYndd`g?4#SUaS0GCqaz$Ya^s!U%$od$rD zmn>RyNsJQg!k^Iy*@hmCny6)RI~XJ1#yaULS8z?A4t5HBx`6b9WsU2Ur6R=Z7j$0c zgJvhW8WH1AvP+|?0=&&F7uiK7#qnGpxWX(hl-E0WAk*M-D&^Bu_&qj~MJ^`h9dh&#R-{XZEEd}kd z#6qQ{zylWqkO1Ompv=nj zh6O0Lp|32>#^ohZDaYTOOre@ION28}FQ}Q|oZh0+u)swQXv4$2J@suY1LU=_E1d4d zfW!qbhhR;-l+!q11;Oq`3tNV)u#mc!#GurRbfy~FQ>@3k^(giLg$#zJ>InE!K(o>p zv+F(roMkm&r0G?Lxwd2H3Bq~Hskes^18%B6A|dDnif8gh5O(E0n|rdNm(EJ81VbKz zQEL7W4}rJ{_{@6dvxn@{3B}3xO1LqXK|pV@|#@{tGG|Gip&I ze!ymL30NVV!5!R2UDjImI6|hz=#hjzkuGE6nb#G-K!!OXZNG(KA=4ST6R%A0UxYnL zUi?q!Zd+d^5qFAzR4npVp0XC~J9NDd2*=j<>DJ$;AX{1QSEh9B)6}pg) zte6hO`5a|jw0w%w3|+um7B#^!9)f)mbi?=Oe{VW~%%8eYbfJUeda!swD>nRQyuQ(k z<=pchwDhN-2TJB&yUYqLN;-B`vkx0pjcWH(K-phUK=F`9{K28kMI7wZP#0&Z6+IUt!iPJ^cNcB%9Y=xF#wWBn{-(&=Z9vh&;E*PtTZ| zh3sAJp5}nwx|JB8KYNXV2^AmwKNsB`c0evX3rcOW@U9*%2X=N-0V^jwBnKcM7lu3= z6q*0+@Pw!Qq*!Y-=?H{&)V;esI-vSQNl5Acs?FyU|5xgd1hybM@SUpENAgBZl}=4o zF-2t}g1~`W-|zt6WD7Z`P-NCW^-v^{!0G3AfWGU)iR>CoW9-0`>sig^Z+Uo^6yL^Kiz)s`AK24H^W$8o82ne{{+8jD` z8-I!zqLkgnNB(xitDsYqjf?&k22)T~yE6kE)Ej25Dgmxe-IK_QCK8nHpWvv-o-|;@ zTw)*$ILA#3;w)wc?an{3& zPPA1q@rKEXc+;!D(?R2D@DA=Z3`jbJX}}7o?@sz=SxIA-_k*P7PdG0Rk8=|foo467 zrx3Ex9`&kK@21n{pO%5C11s^S&v|P-2QB(TSmJxd+k!vA0EG6aCfIGbM)>10AQKz- zk{XywaGUjEfnT8VF(00)AWdccvEE6i7%d(2UZ?f%urVS9TVg6_SX8BArPM#KCJLw`l{{%RImrB7qJTe{`?(nK9y=WOIZ zfUxHODEEb&-Sy)tP^m7k>a7?Bes-e&1VlBlA&4L;jw=cQ;!1paG_0c1ErKg3%`=TXln2y=~LFMUQI%4}|z9c~UO{aD~sg2!*SKK~zXuEvLd%RJ_hF z_M&&et#c`8X_B&W_;+?Ir7Rdsxe3oJ4g2d|H&A%UWe%Oo7vo-BXvnDEu4+%O^1Juz z-9{2TqZgfj5#{!1pPTX|4r-;lkf{==T{VCex+v$o%D0%1Em-scP{Nst)pOF=Aq zi_*;+fQ-ZtW=qC{?O_Azt&h0&=7?jo;lUG^4uqi@9zO=gC_e8skIuA0O>&#@O?TKi zH~!VjEK4sRw}=9cPt5a;FS!paFX8(^5A0XwIh=bl{TQ5=kGWLev~k*%Jaaf$H$Esy zV-MVg8KYU>pyqJ!q1d}rWXk11odYXbsnp}UkA6>ZjbV(W%`oaO zy(rb(vYB;Q{rDdiC~o|S>OO9?R2yInM*#PSuC!%23NNPrcwGEg7-Qm!nZLQqzeZxd z$G&XtoM&mUUpgwYyaPo1K!F7@4))Bk-b=4FJtCQ{)+L<-0}S$QUPQ=1e{|$i=5LPz z%A2AiExbG)6Q=w)<|OfB8JhvPqzmbfq#7DwU<*@Yw6jMfZv8W z%L8b#ry!~Bc3%x)K#W@9m)rf3Xzyh-o!>V!HcS@ksHs<}z$y$XNdXXUvnhe~Uu_>0 zkLF}|qw#)n0Tf%?YRZ)%Q~}ox>um4s>@5n7Ele^hLD0K4pW8gp&;x+7GQ;iR3n?1o zK44K7=lm)QLl==yd~ETzwv!Ids&?i#jCMQ&DVX1F&-MNu@XYV+Z(Srf?Hl8NfztI( z3F7mvQAbfZj!-AlAB9Y#x81olBlCGn_drmDjxPl@?k<0{ln&dMSG_$0lxzIL#X zANZRc8AvXk+(cNtKpOF z(Vfq~&*qWIUK}SHELVVOv~2}{!7n#;9x^S}$s1?R@ggjJ!DbOJ8iXg0&*#zuZ%pZc zk?hqRrb(E6+){I!V>(kun8rP1>uN@A=Bi2Q$MMa+(AN-G`YvviNy>KIbgE?feXd-_ z@W>NupP)zv74hXKyhUe+IG_nA&4b zCwaZqcj5Pu-2~bHrID3qa_Q;yMeOlxOy1U2ApT>E*cSA~N+$qAc(7?(r^$?>=rK9~ zq0rUkGIaRa^kB`L_+t5`rrvl>A>XQiCh=0kNWQG&Gmv-L)ANi#7psN)$cl%@?dj<` z?ct!QjX@7AY96xA{TvLMd!8RSLq!}PuQOVFABI;hDhB(C43WUCW`vn@MfFVCpl_d) zC2@zW2;*}mwadc+5FPds#{BsAm_Ekl#FNd)8yEaM{OsoAI`p_*UIm}N?~CAW)h>TT zu(fTFSBPw6CF-x`hJl|ii1c7Z*}xKBx&&g@EL1_)BbqF9pc$GV0MN8y@s)b%EHzvr z)UL)#7+NtQaW^lWI4ll8=O@@}AgKkz`0%(PdKbR)GlkyyCTvbg-}UXx=jRIKBl`yf zUWXkgd7^O=f#CSlPJ+BdxtZc^gGosgu-7)9ZnIrXwUzyzQg(czp#NWL{_RB(c-Nyz z@dnls05a8_^hJ(ClVnJNQL{P?aFMn**o^R)Ne@!4Ldo#QGMUGpm~|V2ySF6&7Px2_ zSs@os&+N?TzKLKYtcS4_%GzU8=IjGuKEz=7k2Yy^p4kUR#5h z7!`2A;pbC;(_N!`-URoBpbGT%0@6zZFQ>5!`+n~E?lp(_UM@~5P~@*(E1Djfi`piGv>y2@^9z7Z}R7;Fd%q% zIHPt?Y=5f-qORr_61_M&s@GM@e$gSGnE=6iNk!Wg2h)u4`UVr{B-G;;(skqM1!je$nzVBBh#AAOk*un-TejClkWX zhhc3FHE*b3rCBDLfb5gC0mW`+Fek+O(nZ}5REi!T{VaGk$ZdmiOJwS%2=|Wz$cU=_ zQGQY$@I>ek-9o;qnW>DZT8IAqB|^vn?W?gM2hJ10gG0RG@(bPov=O^mTqlARp|IT* zTlD#|{tI-rSeT^gq`33xkNccJ0}zz$%Om`B8x)bQWiKPY9nh|YP9}ic41N3{Iy?|B z{QF(%Ew*JV5f9vJ&O#CyA$-hkaj9NK6GC&!$<&l8co-ZJsmW5%qhhb7x|n$rz~Otf3Q+1$qMCsT3h><0e+<@A-EM-i*h-HW3KyQ76d?Hy9z#8 z8U7AON90t^{nwUk8#B9*;-a6N1nIA6?c%hUkWfF7q)`bNTd0zLNqD27HkWFFD`e6` z1ft?X!g8>ut3Cg`eb4@z!nDJF?}0P~c?SndAX5!Y?aTGKJ)+%F{Vpb-2D*i=g&61y z7D&d>edny?JtJ9#@tpbX9dq_ll6~W)1GysSW-Xc+aj> ze23+Ikd_r;oWt0@TYWzQd^@O>b%Nj_g9mv(Ff0e-lUy_r)>EO9_`9cw)JVuHPA_Mp&?E4 z!jp=FcoH<}amq;%3Nd++H?al52D?vnqQ@`4dt3$RfevcEKjGZ3f_a4 z9&K8xm!d0Yw46NWsTwLb#J1+Le@|yNN)#6mxR2@v4m5II7)K?r*>8se|F0|HLduG~ z{=?}n;kL*+=&8i1{_-_8voTUDogSr^lG>`PC9=gOUYpMwjv?g)(yGfnU6KCGoe1IP zxjCNgm$~BhlzMy>PF|2fC$2gGU=YxyHuMCXWXIky{jE8!bVDwy&;kXm=^R)1s+HTH zKi>1*bN>obyKPV^6XK<&{Utd8KPWX&Z_tYWiE0f5044P8A)8=>-yV%|nLeQzs6J?<@9`4uTzm+Wq z#b!k`YlH@!*B#`JKdzPcf3(zDaC-Z`WM7w+!hr}ki?!X$mb$03J1vC$53h;+=?I5y zi$D?*5(ht;4cCFagD_7s8O>yl6GnCyXTJmK=UV#?P6=Sll}b~&)deJd1L? zpz~KJXdllo7kQLdAU^(ATHXc}pU*#Xeq1f=4)ziHOzyj~D9@`LqS1TQ@wqV57QlRu;Di>7fPKADUvSd(@-pr>dE&Sndf8+OqU z8$Jo<#W2V5Yt`qvJ1cqok=@+ofaIFKVz@4m%gnm6VT%ZvUNkqC-5kO>n$bgqIB&Eh z2zCD577+Dn7}J7Hf!LJKc7p^KHZyYJBG_aI&mp>aoIId5+OF_QXrI7 z7m}2K5^n>b4}g17GF2o?>_3p9AQ%w?dpV{)k6YPY31`Q+|a)(plYg{U z7WZoF5@-xKye5q7awAB};bk+;Z{ka?uvSkWjlMvshN`dxXcY#fDx~Kz-26bls2@}k zyT;S%`Q_B4qy0ON@HyG8^QdON%&BhjuEk?%Eppd(c%KcgalQk+j>E^lf@^c9^A^c{9?ZIQLubfsT5<=5RVNBhgj+XDyioorQ;pF6CH&4c}g<}u6-1i zesC-JN+6gg8&WoQeD~x!w?^C4@Ak^L-*DyAjYE*{B1OfgtT)t0A4) zqvyge?iYoMaQA_%FKL{%P8Rb1IY^DU-M-fuPorXxaohnv^T|>Z`mq_=Mwf}*LMgiu zA5lTOH}>$k9;!3m1lSjIF)a89&lf4OyR^t~5|r=gjdlL&7={l|!vnfJb(i0B`&@!j z1wHbX_L+f`Khf3mQi@*Z3-35;LaodF_8HB;!~c*5pm!qtnKr@E4Vagxx+{EIi`O1; zd$RjIRTctAq@Ds49Ha}pCla@Z6*ZY12zE!jxH}(1#y|QFOa}ujHmeFa86Z0jXf;^T z3k#o=b5xI$+v`Xl{zu{1yB*S`|e8mE!F zYkqrHShU}WJK03$)5{iHAou@JVENmjD`*VVFLpK>QDqh9EDVg58b@wgRD{tm@F{i& zf;Vx=YZZu)Ea>i(O_Ay+wN5J+O`0Oj}TnexiQs`C;C<;q*d?irF{@5 zi3qT54OB4@@$O#3;7^h}3($9Zlcd`h9 zJ4&2|-wE$PA>&)wDJ`8)wpf{8gC4&WX&hl?wbLafmHCM-hLA-;DwxoJML6wmE` zkJVTSn0MKc3wY%Crc1F4=(69AX5vA<^DUVD;mk8I}~M<+V~wsFN?`E#hqs}x0|h{21xH~re@KG zf+&%@+>mBU4wBIf$+(BLLNCrQz(W3r*iXNV?+0O0pK3x$kU8kqSSh*RVY5%MQR%#G z0E?p+#a|_OB8koz`Eukj3i5p>IHps_4AakJM8agM7*+WJdZU>M;ci#Q`K*|QOt1w8 z@a7^o@!3HaHMOl`^3xrL$lx+nNfdum@Io1@+@ZC$eJ2t3ad}{>@FS&dE?#o{N{r%9 zi+*`$daZy_zFK}7!{6`J;Hh=$%}3Hh$mYn22!qVZEopyMkWdr_!Aejac+0dQgq17a zT!}lbZ53cS&*6c1p*^;HU#uWL_lXa)wL91+TXDE=NZO4?*jzTVsX&m{LED{TA!1l} zmg|T>Be!a~6v_b_VSHXpJox6eJCh$(B_d~p=c66s=d)&s@-^DZjLKZp!jpAkOg@HR zH(n@t8VQ)*B3a7QUuP978c5%}6ziK?=Z%}7?bTqZuW1?6~*vxU9PbgV=B| zX=<1pznJ`E)@5ae*87pd{@pR$ZPK@l{G-c&CVDY>>4mqWiiw)LU%=CUbWrD$+E%~> z-g1iUPqQdY)jDlbAbxl_q~6NvsBetxWQoZy*3lDOz;4d3)~ptr zt6VFo7P?R^DkPL6d~&G;up$T}?!1kkCp)aJGRWlp&I#x4Fm4(V=R`@3S>G3qZr28Y ziS2OP<&<>!uCQM3-MH!ER}p`hrdyACo)jEB0rO!wi|(6$wC`|##5bf;*na6EK_{la zJe&}Hi>|lC`!O+sI}g7%o-NB^i=N7$16Ls1VMjsxL~BiIF5P>%h|%CM7!mavfzK>5 zxZd$(5NFvzp9rbTw*kAmt%<;6d*6qyI&j!?l&9D-1B=H3Y*QDE+NOPWk9%!(20e_q z13?U#OwVQ5EltZjQKM2Is;Ha@>?I8gaaV-}LK_F>Pgjy24pcGtLNEz<9Og-$%Bqz) zIJb74&^z8#fM`qm8KU{7!efY2zDEt`O3nHMxd4w1ijNxV<}i1bUL!22=$rh&Ni)ys zR*OAx9cyc^MQs_vQ?g_n{9~`$H`tTSpOW{L(x^ig9A@W6_p5DTqt~vsD|KP>n(#vm z90m%gu$W{N)K}QPQ3k@j@%##`6B&4)H`C^RX-LUb8nSKHg$ z*=!ftbo9LTe<^QtI=Z_hT>wm2k-^uo+Z*6W$8paUEs8w(&tR|rxZOl)G|rv!<*Lw- z1*YWQ&d#bwpqfHaI5;wI z#NE}x$c6b;5nSQP!;CzNz<&D+1({rU<;(}|_|qb^_R;ht2zsH}d4#~9y>`5Ehu4 ze%@Oh?NBze|7)}6E499B*l9kV)k3Jc<+#EaqA#77CrUDqbg`o&2bic%Xtlw>S)gvWH#WG)f{f+uv z(D@5SwSj>9hY!jIGWWG=lTcw5xD1lqY{np!GMHqn+0$z{9uodw{ox$+DR58H(UritG^?;To_ zZgow3*b(qZAJvT$0YHam0L!9b0F#t2uyS0NFTA}0vm~T#>i51h zbSnlHl#-lW>sv(lbzb9fwnEaT#on+Cfo8K_WWg%ef6wnN9S2(5uuzxFGW5zIfw_iH zHr$@-#Q=}SFV=K2a`cp2Ma?RVUYOOX;klQ$p|w>S1y|_nIZvdwZ!7z@G0Ij~(u$yw zP}>aGuF<}5g= zIQLwVw?TlneXAB^M2c?czKh#Xg2)Cs@J3zeBS_aHH_3Qhy|F2dyj=d9UI zHv5!jIUe2d)-IFZaX3@ye*)8)=%CaI7*JXEgC{oxlEeKfn^7tMtmAvVg)~V;n6ckRkBbO#zvp31ygVm{gH_z}6vNv3|c)xb6&CA zmwTwc;IxbnN6Xr}GT3Jg zEfT6dzwfn*4X=3tahWyoshzGqvIrzK1ASzEDwn+pD!J9i6JpC=fMKMryYQ?7=mV>} zKh-R@P+}%tmI0P+R%*vwS~^aOD`_AL3=NtEXj3gov0WGO1%w}?^MN|y<~T7qhYow# zwODEB9VD|Fzn_jzh$%p3_(A|&%o9o?0=t&;(g*V+C5eL>k8*44ePS{~z_?Ir@|m*0 zZs4S8N!~&L@^CU02+66jGzW*EU$tVxqlQnPzo_f5ugvw$Jap;>(GM|7qCa}hnUhm) zVLXC~U+^8Vv`(!rh@wbs+}hNbtFjkSLsxKsWeJRtJBb0%p0}&KXYwYj+?N9 zI_HK{af6W7k@Wj+b<+(+jhXM99%L3Z(0Vd+D(*&I%cL5Fj38BKVQtc;r2SvC$h>uQ=`Y z^zE4DtL=IRfThp=z4e&p_g4f8SMe|aM`XJ5-K_9h#T-!Yfe;}O;MEx(n_9(kuo{9H z)e%xn%p0->;biw0s9FnV`IXv81NbmX%ug>UbE+5yZGCjrntTHfE=>8<-hd^iaX|?Y z+fW!L<}QGT@;8WCB_rVFb%m}e%)753#u+mc(&=y3xihvk7jw(qiIRhtwAax)H^zYJ z@*W&$ju523x_aR=VQ{&M$X#yK%k?Y3US_xiJZ4j$jrZF7W)blvwJ4qMfKP$?YWb;8 zjZe3ful2t9>nE+8HiL}W2oE9U?SUZb@0|7_B#7I22%5z{=Y3K0LFA`JUL26RnYz5# z)B%AX7bCnQ?1dG{W0&l2^XcoDRfd2g!9j!kJK**FKhJb(r$p$L*04U-+oAh-v{c*iEhkNpY>+^U;6sh)!l!E4=^Nbu4qimZ@oHL%0 z5Ck=fXCK?C43$0xC0K6`TTLC~rl37Ek||ud@}-+>zkL3h%E)@f-0g7i+Jyj_cALN9_k{4R z<)$VF?^XcUT;WgN0!%2WKoHFeY8{;ydKySWKL4bCjPfBB{_~)940FD1*yZ~~4k5Im za(GRkVR5HPil5*?gN4jjFgBsruF%XB zuXner&Hfv-oo7@Cc_EqWP^$VUF95)SjQ1JFuWsw2M-!lCQ9&m^+>!4mD)wcWOI9leO_HhAk|}*F2P_t zf4XCgL42wCpdY|C@)HjFfO;fxZMtIqf%F@xPerWqPO%3vnI!{K4F?C=%)MnhRuOxV z#iaM&KfQfup?wyc{+|V&3ubnqFW?PE}^`#uh(Bh^I;xI$kOBQ3(*Qo%(nr) z;@@!wA~7+0X(DtVTN$37nL6yWOj`9swM#{8QBf}G2a-2>;)ERdVkQ=cVl3$W1g=K< zTYdlZ@O!&udheqWMPifvc<${0)!?rKBm2D4!2S9~sI0|f;oZ(4y6O-IR7}Apm;^sy zY5U*5So^iq5X-p=t)Po(oydfn0*6J}d-tH!t9`;mG!6OX`2tyGeww>F+6>RwbRUFU zTepz-c>%7{Y7)3|^)e=lu~~5|Hp>nR!>wF+P<^iBO8%h(O)%V9&)$Lg_Dq^~>p#9G z2fi?zGz>?NTPe>-ay~vbc$Np=cXLH_yH;3NClr?zOzP=1rG^ zHcs)Yi~w0^I6YE{!neLp+%~2&?b4pEJ1oA+IlYmhkMv^0%0wpB7xF@_{sil?wv%`J zO8=YaOY?&!p&PhkygbUg6R}v1=Sm`d%YGuHF!#YrtkXyG)I0f;l7g{l$%Zuc_3L&A zW-ff8*^Wi2|56qfLy9%%`7X#g`aJYF#b>3ZG9gyXViV*n^V3J;%LSpVile z-}eV=Z^n;#IgtW@4hCtH=Tz-Ynqrr`A5cBdr`BV9u!`lhcH#kHXb%PoAqLdEByp-) z7&L165AsMiGADx)&=omME-VpU?z6v%IoS$w6Sb0UG;s_hoTizYyfw1TYy^{Dxo_57 z*uyHV3wo>D=IdNP#Sq__P6zfNKp;M4YdT6LMTTg3|2DrKi52_Ch3w1Cy4s{7ODm~kNjK{*3at& zBVuiuYmMi9X94Y4vTecaoCW}gV^upcL_e}@oi=APP3FnxQ)x{gA0=Rt@f&--HH3xO zuQToU^L@;Q0Yy2uAiBg5x+MCa;y)_`Msx!|=>ePB1{C)bh z2=r7W;!0Dk|L$N!On%uX$7|zlx9_QfA&>8AXK}F4QeaV*(CJ_J{Z+no!ro@J?^%eS zZ;xTlAmF;Kcn>Bt@%h4`Tz8tmsqAdzdO_Z{)NOi4Y5Tnvy|_)U#(dm;G4r&$Q8;3E z6?386_uIs+>!Fn{pP0NoYx9rCo|nCoxKV|rjR9CAer=GYbL!_T>9}-fJ$2KG*SYH$ zf>4h-l0R4d&s?9ht4E<~?G2?j(vfBj1i(WZm>N}>){nai3GBjb*deiR4=Mo<8|yc7 zvX!HHe84M&v$Qqk*d!drB1->c8vzyE9(^}Q_#tBCe#?^9=en9ypr<=|fKdps$>+8} zzhb^l^yc}HpoP3qCT+rx#GnI%*_wRk#lx|gti{}iD1#SjGH8OsRi&ooD!Vm@374ew zME*$@=*yiaLrf@&5HLR&D83-SN5#noCM=nmz(K|~#qt^=bc$c7aSY~RNM|lFEBw-? z?s{4p!OoUt9O}G_`WGzMW-2#LdR%W{XA&(-BfI9}-dh?J0xYKrWESiFsmWvqHp4@x zAMcKSogWP-I?dWL*Ey_*9KRME$k+t!zaB#cHd7fEAAp;M=o{ih+;3pRnPV9{PtGWQ zrz3maW)LYh{O$_-$M?YRp`N1#En>;(>MR<%AHIc>=DCf+$Zb$aBX#U5Lst~viOHRY zcBEXU!=|CN_yXJAWY?44t8~iae8$yzJ6Qsayz;iVAAekTcmP^@+8QsaV+_qrrm?SW zRQn!5>{LqeW&o5y;FVt2va@qGok}Hs+GqZe(R?)1fBplpJ1JHkb%M?pcVk#k{rNfj zd14>^EoF`nG-7U#pw{fd+IGzyJ+0}k#v+8Y^R%@6j@<8BlX3q)?gkj!( zv;7Be+l?y6R9e2D4c+O8Z+w1B`-6mw%9m())iJpw#w8s>&r5C6jz;>RGj$+57KUk5 zudbded%jB0goac1 zh{DKtKg#dPwRPHVQ2{~=YWNVNLWjIB3zL5!IHr9m+eC8mE3r$h5f14rI+wbbfI(CG zJ)r2^KX>cQ&FP55)cgfgvd0(9hL&vEd9( zT1G`U(8vIZThb9otC$I`6HzfqdSNlg$D%$m~ zWc{JF^$CyEkzLy=npyPd9wxU5Bw&f0H6L>u0@!0_`fE`4E!PDf_ zz>nDbZrTuWptd`;%hZxY@c42r$@H9R_$iiv7E!+j_WBW2XwcN(>h{ELXhYqAq+|5$ z75~e+t5_W*`scSZg;q0a?2~X0!?>!AAH%|wO=*9fn?hE`C6l8dK6&p(ubVcfi(lX# z!EIKGUHeTrg~In-WQM|wM)>i%BTDl%lFeAMxvc>YkNu}R6H>O{|FIi|(8#)(?v#6f zUMyvhF*}^tAc+WoE8FLv_*grWDc+LhmOPj;7*0JT(r}?tXIhq>>l+~M_G-87?wHMW z7Jyjze2EHNpDOrO<|fLKb(Fz9X32_h#lFpjr)n@}I}Tg0INU zq))qTFPVx5vu8hieX3zVKG!`rA!&baThJ@;Y$l7NE>{3uLig^rx!I?pKaZP~4KL;2 zoI7MOTUuJ9Y0OP+V%$%GrD(s{FdWe1J&$vxuQoX>H}wut4+i#`@#P37jQfh}zqRS0 zE@o5}#jghL6zd;MYwF~-%bdiM0ec#btdohK$JN<|Iky}B@Oo9bIU^CFZSGK(g_Xvy z?}&8^zI0u+q8=1~O@Lvt9&_^X9CL@>0P?UxZhN~nnfZ3q4)V3G2c_5{JHFn+_nwFH zH+KhX3bDWJGJWNt;rw-L4&8PO;;{5rFNTeFG1P+zF;1g*C-oL)VgFBQta(DeyzNsdOJG5(YIGD42fx(u=-Y@+Lj2M{G+Dj}r z9hoN#en`F(K9>F)ax0Ia>v&3YrbpJ>)GEm478zz%{0|0^7n6*#HICHAm3+-*|F0?& zB|z$vch}7^sK?Cciy&Xt+u5AfpWNMuSAV(vgDsU?EAfaIzc+kpc78xOB$HtlpuwTf z>0tCauitE}9He`l2U%7jEl(=$ZtuUNBh-;v=wWIw*P`#td{dFNckq8M5(#SDgL zw`N`Ndv;axH7zAEcbSTe*f2ZjSBLz2kP^C0w=!e~)|6vn!_KAB&H|Qi3Qhb8H6cxt zP);m!ek`TAui8HqABhirR7+TlhY(0x2Yli(GkNTT<7}7{Nk~J^1ChSl8|49t32nlG zlwzujI!3DTFX5Djp}VC@X%}&FCGyBIDy}^G!l|qk2NqesL?}V3!Bzj>X}{tGrB-&8 z-8Ar`7SeRY57bc|n%0NMOUw?%a0K8Za!#q#+XKBdJB2x18Z)o>O(wTW&fY}i(Z7e! zE&t$lqSW%l+e})c@c<^+82s^pFII-zEuggb%{~I@6{8gc3XOaH0GcTQ?M^e$*ZFdg z&A8ED(|yF6af{5g+-~x z@3cj-YdS@lzknkTBOy3r$hp=UIk8UGF!ZEe;{7kTdiIn&ou41%(^(_R3MCO`rKxDI zur+lm!|3AT%nGyEu)FV`6Cc?y_S8jJv=`^|C86NNMqvo)YVCj#;*r&?SXshH@DeKl zSPqIoF3+Hok3fg{F&OF71ohYus!g0lfx(zAKg>vQAb<*v@6{J2-yXYW5R5RcO2T_| z*NYGpsP6wG%3}iF7<0%D|A0k#*hG-M}wf=~l_uCuKI(IkCg{_g>zy75Y5!jtw6~VJ^c%ChYaw^x6=R_P%mT z&+We1^82rTF!W#2{TC*Ker!6}G`Ah#{IfG!Tdx;@_wPyMI%PTsb!w$f%R0K zUz}WBCDK{+ivqqeYWzv==L8fWXNHiVQ+g(EB5-T!GNb?ziNy=)iL4NZ`v%!ohg5$sy{eN&|`JTZ&kl#U@uNj1(j#{7zaf}IO)%m40Ybwv!v?r`*k;~0%FUg9*7 z?wZdKC-H1q%&#ka>X{e1Gwqzd$tq!Bwlaub6Oe?G!{^g$vp{)$b{?{rtlR8>WTU~x z_Q}$+Hv3}H_4!tBF_+?nn;CxpL@B>ux$|LW!coWtf9XQ5hTjp{uJakfKf73hDb&%P zD4jggO&xKuM3B4VCxWn4hUy!?NHudK&@^Tc7|w08)0<4o=08n?fFO*e=Mn@&5`kMy z!br?w6_k1;rc3y2WOg~sYaJW#x5o{nX%5xHL&Ep(Ikd`yXA#4~jV@=);Oy_e5BTmh z==^PQM)$y6F`}{5FTCY>spP*s*?5DFgZb@rSrVPchzdo9|Af@2e4d_?K`DPq@xH0G z9@7udg339p#zR0UIotua7tF$6Gq_WjKc9rEHNU$;B zB{gK^iAsQz((HF;G|R{AE9Pxq1fgZ~@g$deO9wcsWp)-U(aH=v(_Xd2mxje=O_F4H zAKq|Rbk)YoIXG+eHSGjPOdUpKb4pSJE3X%_Z*vZ*=jE^jnqtWGJBd4GiwFGFlqXm?&)Xi7}_Hi7VVQ(Ywpp5s@nhd#kZvcHx8DCt|6yXGr)9q`gLV{ zg!P;ZSoH>+#;)`sap*f34FWly>IyjI*rFdCW%3xPcL&g&UrS~q$R(QJu=o{H{%s{Tf7F566A`P8Xd?peILv2t7I_=5k-|9%%p??%36g=?p}Hb&jD67 z&^}dhNTMej-?xv(Qd0NZ=Q^&V?0JWm8mhsPmdgPtq8Jd4X>1}q6n%KVRH~&SmX~#t zFwk^?$tKhdekVNPk1Q8i91-sTMlz{$U}|p^CSam9YnN0|OxpEFCG)}9zem;czoGrl z({X&*8Wxml(E0v8WMUPNE+qd0WNj5Hzt;z+)7Li|gI3%*-+>=0zl*;H)nCnT}RR#D0N0Yf(C?j^XhEs z{A^ZS#YgNa_Z=O5)0s!n$adJ~6j;s83Vbh}mi}S4;TTvmDFeg)H^5=PP+b8116xPl z@O2g;ZDcIT@02I287fOK$4?%n#poV_njWQ9;W<=Ed9oE~k3oUi*jOx-`uytYK~x zV7>t^dou@Eh`fwEt1fiHqEH=h%KdFSK-7x)b>G-(@>Y5y2hU{KMT-O;N^3270d%g) zmW5e)g6KsfX_6?(qMxj+@iJmlBQQyO2$=Q$e&@Em1kIl=Y8{Bl7yrGsh5ZpuCmbH2 zPSk!T9NHlX(`0wo$0R9ueP|!6JBQa=r^_wE$R>GPxc_Z*^bma(72)*sjx8OlE{?t>3?{73%0nL zHdq&TC%9X1cPHrJfk3d}5FCQLySrO}!5xBou;A|Q?(TcN-#+{N0JxYntnPlgs_v4C zB|7AEaDqII5AM=mCpD zcg{`=;Hf2NYP6PK-cf)l%Xg@&jX@aNB>VS4J)bDh31uu194MA|sLx zshJFJ?u&|W6-E1%I32-H!eVhF+=o%5jF>pbvn7Z(S~qdqXMvj|$l{mkMl(YgHNEq6!?QbES)7$g`uxq@qKJDyaWG0mWM@>DF zNE>88{G(*#UbP9HsdyRzjkobt@Dh5OF0Q zOWZoN=g1AuX)-Y4!{$Ep5eX9RxG)GJ6QR(uRghN1AU91%+GE#JMt|7DP{ciV=QG}j zfL#``y}U5WKTV_unFO*(+9`Elh2O$PlDa8&OYu7x;2-{w!+uf)PiO? z7y&r+<#I6$OTVmT0|x09sGK`WFqG}D@3K7k0=9y5T2*|MYYc(Ri+ol@Sxsb;&p0|1 zC&`{{`JpWk^csh@-|N1&=1RW0TQ#2xQFpD$b?GX0t+6>0u~@H0;=k-TO<0)d+Ka7* zufF#!waDf2KWF(#&cO*euc&?e+@T_({Wh+fLRXztm{$Yc3|)&foo#P(Mk0 zuij{zp5_lc{ryYR7O1sj7S%v0$QJl^SG?Q$)+uo~s{(mZ8XoOi0EhDT&M`HP1Q)iP zq-|obd6Ny)pu5&FAeSF3RPI@=_p4=}A|WX{JKJxrxyn`-m@}0D zMiX#xh$c8g?6}ft@dT?>wSn}X@pirU@U@a7M8C-vx=q*fX%>~3*=A~wmd41)vvnip ze4=v?owl`$acv^z-~H{6l^MWGAnXZxI|IP*6>D^Nf)|1Twj@a{<}y}6oazpqKYr*= zb(kr)be$dOcYD0pYTdBh`Z#?&X_R&;wl&slN2NM-F%oTlJW2qQ=An1pc35nlN+0R? z?}O@ytEZdl&cEx>@K=vR*x-SI&FLxcMLr4mP`u;bgKmLk!Naxt{Dl=|FOl5v?kH7S0OEUc5Xf6t0)3Z~qb=Iu_pr3$Va2K2JexP6c^^a5+8?yk3fe;t_mOYUc#1H2@JhC)J5F-# zC^2E$FJ$txPx2PArmh=7)M)t(iR{KSds6UQKtI?dEBqY=1W2eOvM!=C?1_@-yVics z9q}I4#o<3oeZ#IZSw-qy2dId#Axm?`?u<~)I?nGM}KiIQPTw_AUINI2c z;=)}osQ$j-qR^iFCO$$S1V`eZ5K(Sr$e9sIv=STzRb(<#k&yd4386U{b6NdliBm1i zd;_W(-GOlu2@Ga0)$Sl?_ZuO~g#YySC!dcqj0GLQh!c@qc>rFhJzQtj|I5OJ)6O55#~Hy)(=*Gp6i*`rxMi|>5cf>JZPKbpv3 zKuyM1ty7pm?2;j5D@5wHCZ_!he?Ft)D;ksUCBajkPGa^r=Ax78m-DZ#M&bNl|hH(NfBZq&iB$BU6^%;z_MU2xNd zQ^4I6u+|Vn{h3{r81`+dS?#OI)O@~-3;U?6zp;sLB|#}Np*8vvELq#6{dfgj2yI`R z1-lLU7L~NV<}z1o)Q#mSq)$IqN$3y@G;6)GB0Vad&3JJpCBuWDNmCDk!^XlI3 za?25Hx=90OtcfkuZWvDEvZwa4tS9Rs(klNUD3**d){LE1N1&cVH)6JxZEY_Mpt zB7n30ipilSv1nnnzQI$?UUMZV33i*Tny9gA=s_8eewAD(gY5?CJT2&=@UM%?y0KfEX=e5tfhT6rc($;-anT~9Z@~r0l8@X&eRR3v z3Xf5u(Ms+?gO!7Uyk8PP1PT2W>h*!_)kr3}PatDGlkFceXSFFo7#L-tLBDGT+=OjCxp_1;j9NasY@a_W{pJHaPXa~5We7@GlAc4{| zB7Vnh{vbD_R%Yc$7=3*LkZ7?7DoW@4r`Szj4Ra zDd!S<3az*}`XzOJ zy-;ALb3PwHtwL^yE$83lrX!+xK|l)&d$=-$lNd2n1{V7#iOeq86R)O0%OtY~x>LPS zJm2~}Z;PUUN97rG@)vv6Tr}?O>fRKf7S3*PRw&KBsC|UkSQEFi(9&7zsX~*jDMzqQ z8$1fU&Kts_$W-A8G5}th=Xa_}qE@9kwQFZImccIPCHt=s6v1#{wZvsO(3`i{UDTo? zKRL@o>YKx~#`}5!hINo{s@hE-kA5RM=Vjo^D9=vTsFskgm;RS7R*t^6uhAzXQ1o5q zsG)P2CZaXE?N~Pa{}|8I0eiGe?C*XJ{q2sAj=&xg-+?n5_#n~q$=qP+Ije1|WwSxW zEQAlg7tjOuVlOR&4^_J)P2N0*pr%qRha!2}w>fH?kxT ze^2I@xxR44Ck)-@f>CX|s<}01JlK8l?rk#JZu9$|LyVTJy2vMe+G}C5BHDpEa}=Kq zMTNSYI;$PV(FS_9T7}b$G`)E}`h=I9h8;8SOV4CBhm>_D3dVMn70US?Izl(!T&u-w z38u^GBJdhtJQ`evZT;ocnJtZ#;KZXi$>J26zmS}0+(3x6SvqDs&A-~YQ#05)A&&Ed z{`8~e^KW!GUxYYSyU!U5J|b}mdkM+qV=7&SR9=_of`=axp3N6*1+HoSNnG=Q4sWWb zdN#%1uC^B&A$r8*l@!=hCmJykP~zL`rS@2wk=lyA_WSPC8)=J{xz=Ld1?9L7skgOy zAm&LB zdHF{S1mqKE3D=;qhMHTVWsch@e?2F(XnLvxgw8$ou<&Z`-%HF+Th;28R9MyTGu=OR zse}YhU^aAR*tQSGflIBuZ(_q=pe;n*&?`l35k}lc;=I>k$E_NFk7(GKik@8dqQhPv z>+^g5S%TYaXSBwv6cgmGK3~8)*Xt{nEf-RFv6IJ%^S=Du(=64h`uWVaeS^Em8!$c_ z5B$x2l<<&aV4AOxS`%;vu4spzPXig?RNrB%f96u2%yFS1<1veyP7?)dOytHk11A!s zSidbU8h1GnJw=a(#ws8udRI>8aYr>>esNacSF+&=crFC)YRm?_go?PGjBoUAKkniA zCGMqGc=#-BXk4H*TwR^M!BS0(lp_N+g)Uf7i)r;gxA{M|?^qyNhuK^x`X$;&O0nS< zN2#uTFm1i;fH9Hco~kKZ0dSlr$$L1}LtqzcWK^l)nH>(F=t830i{bNC4zUpT-p=Ql5w7-9I(7~fRuNP8=Q`T0m*A0kpcRyG~mTsN)vi_ChP6eWo zh=YK0O{@+CDt{i(@9U!3sHVEJ1Ptf$p5UQmAnQ4Lh&*%(I87L7!RxR_K**o+kuMg;1 ztIP0&_=F^mA&{&b9Hai-@qE9wYSnZ?0_LGFSt6~{Cz_yWO{)bh5ZnkGR^5{3FcgoS zou$#i#`xJvzZl^sd;}8JUAg#ftzrlDH061TVJ#ULGEvy<_vi3EdgJm2((H_)k4wB*x|cL8SU;O{o&Oex~Mp_3t>h&1-%Gl z9+>V(aV{CedCJDA+etj+AdLU);X#>I&tcMP1e*Y|M?yvo_V@RvQ}goX*Y{llN|5#8 z)`9j)BPsKwa)_PU#JFG29F!Fkf6f1al8O($S8F1U3@GA4Qwj=4Kch>zA8XucbJGMG z2{p)|?Ts_FOSHU_Fa}h7Iso{fIRGy(S}|-epC(eJL0jEE!%=ek@E={@lq)~HQ|U)N zXe+je8(+qmS+5yHNp{^ln*Y8JphW5Yh=ZL&DCma`4a16gn05bXas-w^CIZiRUk7b( zpTA-xMq^wJh?y({>a0XYH3Fk2^|S|fIvXrEg9fJG-YujArqT)f)H$WXfP!T7HO?-^ zDIW>R>eWs!s~Q$n{JwOTuqT8C9van1c=S(N9EN|7H|VrH-L+SVvXp+)4Wo?rSYu4) z8@l}lmd=WP82o4~Jj12YddhPTs$e)nj*{s&>U<>~M3Aw9og>O&yBtcj*IE1y;$r1` zWV|q7^e57}AQ3LZSD`Utdb^KGHW?*c@kD+8_!%-c!?V>nXIS=tlJmns;*mnXU(0n= z2LeDKc*ozDKMyI%!FB!O5*c4lH9K~gCne5| zG@jHlX^xIA@hA5HIDe)Z2}4QI`jv>=h`P$t1E|?D*3{CtP8UWvRT1wuQB<&#!>3TPrnAhVF?)w4hRr&7;sskN zv*f%Fz{=4bQ*bHj10`c=hu50RsorS!N`IP?LGe;2n8nnXXJuuo*jW5xV{z_&22|Dm zw*%TNGw~!pXD`^uH1P~)SS)PmEH0J;(cSN-C3`O`jTX=+J1N(C&3po~_v)SNAyqem z-4FHb>8O|1);2S(+!Zk-sV`67+Yb>Jc2n1m)+-G}v5y9r2Wm*|;eC;VabD*Tr2NDh z>-Jj>%3Tj1Xhv+mpEqM(b@pD3Xh|*#M|Bd$C)-9J%JZW-arMecmEX&e^t$0aQxw*N zfQasH_e7y0{AJ%$kclI1G0oKyW}jVb^^0zTi6%5M6^b=svN5nMh}?i(#1%m-TOAYd^r zt!8rU*VM-*h^kK=SZ<|vNPB)SK5@&YzQexK?vQ*r)`z4YRFb|P8bJ{BzyhX7x==}O z?%Dw8^%_0N=S8f9BQ4jR4rxWjllejEz;uv^H|*A+vav^34`r{Tn)S{exW2!iD!>UU zoJ+eFiVO5}VrmIAz)Q%+B*TkMP6OXUp-Z7JJxtS2K>zeVqwZT|D$`JLmsD^tRrr3l z(HJ2Yklxx@?O=;baB9ywnL)+;(&`1((35t)@cX2qpgs|Bv?s*a)@}0>&}(E2Q;_ND z%sivy-#H-37PA=hS9WCJFyu2eEOr0GF#MyJfQ8lX(tQ$bgXqP_#6=A=q=v3L+OW2HCYt?}l`4;Enja(6b?)>R5)yi1r zcg}ze=sGmdYod7Klrb^#_} z2TidyPdh-E`jS=T%%~wHYx%KT`VHnVJ|!cf;bb9PH|$IzvF*+S4)ml_s){cXu{(Q` z#VkjUDXVoEDc9TaPc0mDO!jskw$k%SEVp-O8Z$TT%g*nwa@QLLCPiQ;@K+LIRPM;^ zzUr@!3l`M*LZkn1;WG$P@Z;}+pXvT2n~P0qN_BIWZlNMOoOf^LZPb`^y(ej$roChuv7rJOZAo%kyu_1L$Pz#XuRT5V;1*K5@no3fZ^jO z(UM))2bzoqUO$?ZStyUqN`zvWYqj146#d5oS_lxi_To8{+7FjsED|O`Ew==+uGvEf z2#C@HI|`?(9WTIEcp8|+jaS=rL3tiShO5LYZc11@3~pk{;)1mw&k1Wkh3dsL{+e=J zF8e$x=jb?e{OJ>J8I)?u-$4LRvU3Xi@AA554cm-8fzz!0g){@0#sH*DCmUY@5K%b* zu%7DWe$bD7v@}zHq>KgDzJ;72ox0|7v{>$k39K>jeeKm zu7*DiUl{dx|A;)lUlX-g0x!|IPA$@yh%Nb2gU7=OBZ2A-PNOSa5JP7KvMu2AlW|8Q z6d4w;$)rUYu#`2>9w+8i*J#2AJ=OI!ayG=Ol0=Oj{edwv_9c0F$2TuQGa9^?eb4f` zm$Usk+s-pk?3eTmII#9cr%vos-(qh`pc$5Yp2su>pi0_1KWbj@qoL^4-}abdPRs9B zNa;4w(M)Lljns`*HUZCc%Rvltpdf!UX{`-Fw-J13DwK}W95?jnq^VIC~ml*rAl7$2W`ru zW?_Yp*g!b5*q`Kk`N3hbVX09=j(99dD9yy19;I6f)a%G%k9Rtg#lj(hu@>_ch&RFV zaW10xkSwH=gd9K|V0$i_aOlg&Xa#Mv;{{6y8krsn*oz22nawR6k`$lFFvZIMo_+wm zlPosC+XC*dLI1o()1NV)??eaSXLF{sceWnLF$k1NjeCm}fuL6DHw|=Q zbW7|zT{>W40i1(EP;Y<{ZWoH)YN4N^*ZrS>Gc2i9W4@VHhG!WNEAa%5uN;q5F}H!g zrl|rzNH0l#MIrX=N#3brfW&YO8VhOf3`QO zj6Fd|5L}3cHt&;td?gefbi>nJw+F#9v5v<1#_Z4->K$n-h))0Bzhbsqfo@j$nwO3E z{Py#+AFxY@ERu$no~Q6agLmH@8ZD2lwk>F3&aZmcD!(9zdVNE5DBo_dOXj_*Z^@QC zRsQKm+msAV`-=@KhX}2i;pX=}ZJB9CzQQVNUMh@gf={dau#*tw+LY@cMbu;MOg#ZT ze@z4-NUIu>$&6VVpi=zOYr)j*G-rO%3MB*B2n+|pK5wV;d`wLchdwLr1LhCm5gLg; zTRv+#_Zz)~v3Yx`edR~bn>igI;;w+vID}Kp4%}!_3qN$NYEq6*@8h;0bQJ&oZPOB+ zdR)0=`smVU4}|C_F@~$l=uBQbpgVhiZdMu1V_3uhEn#Gd7B8Vwv&B+fs(PMVY*kSo zao(zgTLtQBo?4FvGC~cQ;79@0FRwEsUqh6#<9WUG27j(N_i~`9;lv=e$683_$dBm6 zHUDFQ1Tf6DHS)=Mke&Ee^;sd~{5PwSB#?1fkT#w#hr=mmZc?>Y-RZc>RwYW;Z4g${ z1Cm+60R;V0tOAD~$`C7H{jy4yt+xjIu96sO3KMvF_n&wta*5S!3 zt9(a(4W4iX<=p*Ep39uvIYFB)-oFzWuq^-zM1*)=``k5|xCY|bck+}^mIkJ93<))@Q~%FWff zPg|rBP*Jx&A27b!&iFpb`T27FBp{Z`R6fXiQ7)&hIh)x#+Gsc5I^gGTGdK^B!WX|j zTs19f-t>`xh_*H~6Wg14@B9k}6vip0KemzbD)eRi^Z~)qRj@)a@hiF}rLb|cmGB`@-)&7eD86fNb&mgGT%_a@}Ic*Rpr#)yHq?BB4$4~o+> z-qdJ!+Q`+IF~QxAgzA6xZ`mWgCnB%jvG&w~J7j$y|ys^27Yo!r>~nGDtpm0{?K5s%a1|x+nWDcF1K=WEZqs zPKv;IZ4&=&xWl|1PS^1m@c9aaNmmats{X|0R47JATrZ&r|_(3r8S@FwXei_MSUB9VKOgaE0TQ=jEnt^`+ zMK4?XvH%SPl-1SXtqv48B^A1%`{05NrcU`2#((%FNlS+nS=n!4YI3=fmQBBE?2aZn z9*_&fJc~zM7#XYHnv*ibi3`bZK&Wt`U-jf^3Yd|WsR*A6WwD#_&<~?y=4LVNFli|a zrv%1ViBMj^Q~K}Q5sVo-TPnL-qLZZnIvgVKrf64(bihx0<%s3q@ux*)2LS?XqJu8s z3_Kbm28jP>9gpqCH+tdl^iAcCLp2+40E~;6utabCS726$U{>iBq zilEmw%DURb$n0nUc}FWin_jR88XO<&LjJvjqM-nFZomc>HLIxW#oSptyeTK>w6mi0 z8;ZkDF;Wn2_*rf85751V_~xasgBs-;#buVb+QHObTR+4~Z8YM(MP+X`RcML6+5--r zBuN`{yfWExE2Gj3p7Z>ba2dN{p#5f!XLHk;zogHO{XcfKPLq#FrqY9iu!)og_GyPcK>}qWblBA%!F~5ulsmZ1bH% zV=3pQdWoW{I3PISlO~ATcevuH!%i@CykuK$P>pJ|j{EOi3)H<#y|t%QO|AkNTG2NY zTEzv`!0KN69VI8*Ncj=6tKM!P#u*j&jq$gH%ntXqpVeU=T+w z$gEK}1H2K!-;tFSI~2}3<-3scAO0=qaeQO>`qrpioXpp3EvQOTqI{kj=dmm=mUQZ7 zlKy3R*vtRrO|9)3GZuB@z=HWLn$LyAr_YSPPC(F#W)eDfMSt!_nR4z&9ZJ97OxDw9 zf=E+lQqh!-09iO=tte>xe;0ZtLi))j(U`zo=8yn0izsLf|E34rR;>wW8g>%OT3Ccd zc!$w|p=YUeV;=~)xho&oc?ie9T*Kl8f}h4Hf& z`9HTuJ}EOnkbq9^e|nNrmuVz!WDLd;o|r&j&LLWbM2T~~FIF5!b+UWr_{Wvs(yYZ~g(_-c>3iw&GQxdFXi^D&g|;D*Vp;L64B40B2?!YA z!ynUVqWXPZ3jiG`c;kn1mwzunJ9+|8Ayc%z(sF=h4ENwV(DNM>Dc`-! zZS^%ad)(<`_q+q3O)zrC)lrAU5L1k6I~$sb3i>TV4W_8OtOAbvM`-M(!W!g}&%VW% z)ze45h{}HE%h!~g5HZ9$agJw-Dw;^pP`L;t97na&1Nq;Z6$#4hBk3)7q;s(?R`u9_ z=-IpX16?bk-_&&9CsH0C@mH^|{4z%fR$J`}rs73_kf)cJ9d6ope6iuAUCGCnHNUnM zEjQ0>8O?vrLUQP^IqgsHI4;t|gRwK1#JiDJqQ;XD2P@g2)s^>WojFNJru^*6N$_s3-s_dwQ zSE&Od6+Se*tS@qGwEz1k0iDFPy9l}IdQ?&aKsKBZ*cu;~z<)NTZGRXSG+)n$y}wur zq#Y`~ba44{K^k#Z>b$}Otn;qCPUlm)es&}PpY?v9NY=F@+|h~$s7hf40wPUSzntuG zpZW&ozguhkm;gSX&jY7dg$hSq$jM%6xa?*HPI9MSf&2^*qSI(S5~tpCEo z``4`W@MSNmO~xN@XHuceKG^{FohkXHu4XraqirXF*%pQCV>Dp04L4@fL#I#LW3^HrP6k4XxW8`1>>5zJO%nT=e!`cPCE|bwU;6?glfy;1KF$Um-g54itnz}Q% zjiQM1jv(?&CLq!NvK8L*g7UgK6++U%I?i%M8-Sn2yb{pc{o0p}O}t!p)r3e9_3a?$ zlrHB`pSB}#dy?i<#j4d$d>JGkei6K&nxEIL&2-3JbSWc@Z4%GIOkDY5JZd~h(2*xbKs9(X$vj1w4u8y25(nXrDy9_pti77WXUvwzMsps7Gjk=)qna_X zO1)Ld@AO!y41wIqb_N(vHd_ejxlgv@rl3r+JCR}=RwnBefsJv*=TFXcvfDH&UwQqY z&9?W0Y;@SS@%8@cyB9EP97;>FN#lOQF(7K_2hYB+Vc$r%4!j0IR~F}VQTq$`qOB$P z75@jfx$bfoo!Ao8>&sj{Gq)4<-<5An2%ffB`BIVHi6aom)CWJ*o^TQ)WEwmNr!)1` zhJ(NE&8@UQ20*?!Tibhp%ag^jqF+R|m<5~gMo-%yZ%Rwyqf8yUx zwa5$qX8PD%(GV*vK;A3WWZMO}Sn5@dgJfSG4VNd}yNt4vC!W`reTmblFD}yR5u#6K zfow>}^WTkUuIX$c!!KUR(4XwTDx&=*AjAoul_6McHkAili0L$~^6 zGpYc->c>N{9Za;AcB3ER#vi*{Af?EKT)Fc9@pBZ0*4#AJfA%sO4=t$_Nji*;8n7*9 zPMi)-cqNgF=k}H`w-*ZOBt_rsxs02=j9Awm-ll#qoVho)oBKN2QB-|CjmkEq_Ap^o z5^y0DnXuPPOX`Y^y7O&pU(ULQKj)mFuTBr$PTM_T$C)h>FU)!mQJuj@d9`*wdhcW* zr_s&rTab0HC@w{ee2R>7*pIG`#~*4eg+FxX#yTha;Z$PW7-V{jpm36&=MF9Z#+)4intIaHf4j>qtw(oIZ_C7 z1q+qRz>=0kB$7rThXg@pl=NSRrHr`zPnrAPAH`N20_$VntTZn{*w00G*drQ zs=3}A0k45*_9s?21&+9Jw+Kiq-44tzcla@L;{2`p1zuA4vBa@K#%36`8`AtqBYs22 zbG6p{*3_$=p`ZyOwhT7eAvG-t2@bl>a6t6ajfYTW(TZXK*LFv3qbN%*(NnivZfSYSwSGZQ zBl2MUP)KlBsgnIh!vxyOnG2Tg0~7vB#EiP;Sbo*%U; zap5Em#8NR;wf-?Au_#8HbX6)TdfTr?5EO!4eAo13w-bsi0K z$D&)RNs`In?saJ<=Cg*_uwQ-mTfI?sW(l7b4%{S%9s5v_@8JP2wk~|LjREEj8v%uTq$>W z_9{BBy>G)h9jijbIh^AE=-Q!r(9Gr!<{|0CDOP@{UjEx}T_D*~pVOoO>^#mcA3#Q& zSSaRH$EQZC4f8CcDGr)&&Ewv$J`$O?4Ifn6`ko8aemD~%>&a{U$eL==(Xd$I)o=Ve zl&qH&$N9YGi?M5eayL^C z*Ez#p$q$h)w3+RN{*_E; z>=WHx?8~sXnaId%%2U;90aUKY&5AFjy>APvWILQ@%65xcXeGzRI;CUnX+H=4h!IxJ zrqtk#dU)=Q25`j0iA%Vpo0-CnSGaar{6cj2a2i@i2Jle93p9mAlo7X4G+;qtXt4Dp z22m=rOkK6;U*UFk?^T%iIev!5+9kOmb1Y0U_}(W+l`01s^CWus9YbSTGr{OEXmI@< zNyVWxSde)pGX`e*if<=$s>ELIEAcdc3Zdg=CUAI>2QYXZpN@=WOX+JgyQ&Px3rp!q zrx)cei3ksl30Wb)U^AM@w}D%oXh7tD<~K7BZ{B4RXdP06mNF~Xgq)EbM2-!Yrfld5 znwLP;7B#idD~?B-IH5U;AxoLahy=XwUsL9zdrE4J7!5N0k8aaTWN(J1?(SqmQJfuQ zuA>FnxU<5w)ywmkj(h-Ub$|F+Xc!--0<)qbzhn`w2eJ4MVGG^$(4|$_l1dec<0+Ej z;5e%V8l<+R04XDAdc_B25+1Cc)a-b`-mlJiAf9;6Iu@EF9gCBRXr|7tUjvvxePWiY zIGtbuSOh4O&-FRY{2ks3Vt>EMbOBiq6L0&*NJsZaI-%z6fIlk_H7UoX#ai$*qOTZ< zp0{5%dw{5QSwg(SVM+XDyS?tZy0c+<*>XOi{3w44OW)K6uOj+U3%ew&j;g*L#ndhh z@|)Nl)jh8xAHPc$y9rgqJ7&tYWC=N~jv;m+q(nk4IR~@O{?*Z62mF~8`j(x669r*g zpbc-hxg)stTPzXu*A_+CqLZ1ZiMK*I0)-@)co52a(iwaL%-wFJw6R-&+dP%dym~u``k`mKb)ZoJl?CL;w<)T zn;*LG0NLFw4MxrM1H7H8{`}yw|IGqAnira$*>$T8%|`0{{kVL7vFigBl3ojrCOx6P z>O?8`b2_Ic8Jm`A$T4-B2Av94Jv@rU=+#VE!=J&`FH~Q{cOCEVn5ewTTtB;DaFJ9X&E?GfS>w;V+C8|GCnK*4#b(9zMmFb+l>Lw2vP%$R3vJm^D891?=eiS zuuQ!B6zWRRgtSC-#$$~MVpJ|0B;@-;JW$%4z0y=56^YCLjPb3KVXp7sla*;KaFo{M zGvQ)LWe6Mwe=u?BeeSFQO`D;Z;mf9=qTSXxJRyOpsVV8mAppCNr0g_0OjfOXqDg1_ z$w6p*fpqxWlW7lu6fQ{iB*6Lmc-X-6kXT^EKlY#qHSw`a0LUviXk(0LS@rEK@eSJ!erV zw;CZXVcm?@ZWHOOC+jV+-ty{Y~(I_Yip&>2o5xGt?>TO4AD_ z1fr0A0&eVV3Fli~uXddxW~XqgBDW#_Neb4;)J8xJln(yye|@?AKmR?HyxcZ|xT{c7 zc2Bh^;Jx}9M_iPKZu@x@bBdeR##1TJ6Nk}W>coawSGo<)a5u|nty3#iUxWWt??V5V z4-BaPF(o4MIH+&$`G9Gn=g^DR+hVR(0Cd`C@qK|*Eh_E}_zy;dB|3K|43XEDm^l8! zf2etAl1|_MK3Ogyk1oU}+#Uc`57+J0Iwj!(%vAB_J&Qwh{4(GI;CtW`wJZi8AIl$n}lL*-b5?g0oz(21zjH*v1l&Z@a9PhT4RxAgO z-k2CE0Hb^*;I;U0_v*oELpjJpyc!`U-}C+;{x6Z{P{$Jh4INLM3-K*+uOu&z;w_uq z3OC=#C@wAu=7!s9*XIsU1g9z@qg$mxwQWI@KqUQaN<*x@9S#y=C4a)TsaviZRcIcnkjDYG}J{Tid~TtUkjeGs(oIqnvQF9`a)SItQOahc(1 zg`qE&f@KEI|)N`fcd`e!!q39J8st<}laN7JtOrV4^=HoeKOK z{j!N_Y|v6Ys~m@cYQblw+o(8~bpn5C1?A)Q%%ov-z#cJx3ZkN+W~0VWbS};}N$1GV zs+{A&$P*krM%j-c4z1cX#b~cEBo^eQ479r2WshA+lPrpr^CM|kK`Z_!k zyt*P*Z6*p_i#5fVc!MbWS-H4Ad4R=$+2T8MTEgExEs8~za?xH8Wy1U}bgP>3bSYpq zb3p>=tn}$h$L2;YOT%4os^eMy4q(k$gYMnKa3i{y8X@T3FTHy;Rnq;#Y@~vSi2nmf zDgkrjk|M}n=ciFr&?28?NN|t&vr`hQh7wv}XX)wZ|4oevf3>9w1Q=2nqWJ@5CKom7mn5VE7Gk0eR-Gcb_TEJ)bsT3a)zL@*}N1#3Zv zMotsixnj!8SmqJV^^ErtIPQb`D28msZ~n!2&~Z;=?kdQ|%K`P+9~$bzL6^5ojM zY{x6wY@EQO7>Is@RzP`kFx?F0JJ#z*1nT=viu>p?yoTW5Q_rvrWSTA%4Ml9q@pTD6 zLt?SOVe{vzu!kCZzC#FeE+;5&1L#MJHeAy{MldNQv>w`wCpsk;W*z|gXy880#vH^C z*9><>iyl$F?b~C(lLzX&$D?(NQgFv5h;TLsavIjwYYATvJI6tiK1B`NRb0F>@u1B( z>cfEk<3%r=iOuul5ofk>@lu&~`4~Z%uG?Bzq$7i0chH;N-NLx6e9xH#N+In26Z8(J zntg7HR*g+vp=>%;^37cA)<{{b8Yy4eBPGeKR{o^VF1=;O{90I4{opcF{vbzTFxH}T%j(-pL%)7 zkWQxWTwB(2=3_{p@i@tP!}lA@%X043Vwo!ut8y2#HIgx#bypf|YX2lWKgE4*+Gvv# zZijPccIX14*GE29RwrgvKyoboK!9l9^Zu0n!FRrnrty3f8XR?7@RmF<62AQRwXxgv zU0h8-^0!NsL;WYhhESuyn7h!wSTS@HgANZgY^YB zUz=?%4Ann)@M$TOs=%Z+H7R3zid)shR>AFx;$yatppf1CFwyBE_~EZszg4;@@GS)Z zE}s{v*A(=5Hov4NrW>6UQE-(8fQ6wtuPzfkhW@&$ao6s+p|x+69!Ldo@VbBGffTu` zCCS99>wy->VJ9tzmL>75x44Bp`BC5-lhYV*D=|N#$*Nsv63*A}R;}`F=Cfj-`@c}W zzp|lOwg%*(e4448dY#1KU`7WxiC+GCl`7T$!sJIN`Sm2ih*SwjBdIKb$6$0OB3=SS z@QzeMjM=PjN&ZMYT2Cv?$*17T`r7f^YADs~FNB0dIikqO$XwR{;O86}LDD)j8 zOl1LHc!FU_1rc-rWkm(zRsQ|r=-imF4H3=fqwVB`tu0?sf8zy20++i_x`T51ffMbU zg~xN9UV`~M{^(H5H$6u} zUr~GwFpQl@Ws)-R4epERvgo362r?3aOKz>fb-w_&e&as{6gU&t-%&(1o|tx1{)2sf zV$RaTyJm`$s+#*Ao>J~Sj$v8$o+B~JpT|K2e*v*BF^Ahq-o0(-euLrv89aXsd1t#7 zY?ExA!TSU6)TxF-@P;m)!X#nL!}<%^y6*?HLIxKBV9&o@i^Mt4otAnReThly?OcP% z1Ju}+xR}tXPR(Wm0R{~Q+B)rOy^gK(bESHUSQf8aKs#`h!b%dd~c4N}_9gC_P=1>g@@PQZyamT4+bXN3K;@W+DlbiE^VnJN0+ zlO}R~1c=wvRS@HZga2@)RpkXEin5PJSZV^M7Peff;0N7y#Qv(z zoXq9Z0-j&OAP~eK+(eN#cRneEQnlQNR3+`1%=PK@yl9WyAImWO*spZM!bGjUNu6vf zH|n)qyLQyH+hAV$lx2N7`9w>DuQ~YL#sKJ_;`=tm|GaWF@*OY(KWuE3)aC9&tKJ_$ zE8E#E6{3*}2TXK65?|a<{Hqe)bUY^J@P4heIdb5t&{6Kj+zCaK@r#7#fD*59oFn}6 zc>B%0D#KE}6e)2E8^d##dOIfT?_YnauPr7PYV##A!HfzRG9J<<;#r6F6~43J7me|( z$RvOr=HM{6n@81m@nYW#x7h5=$WfQGdG75SrA89+rU6Nh$1F)Rtyr#B64w7bgXuei zhe^7?u4|QWXwXomp8A`sbm3yNM@mM4PzZ$vbnqlukH#~mA0U&J-F?m^?eqan*8V`6 z(eQ}ksZKD}UhP@H8jDJnWqVJ+#A_pQANb2HTOiV~+{F5x}~jRZ%~HI>XKsJ?-u zPJ+s?#T5R(<|jy1G-8Mg9bVI>%?jQzwNOKSVvwv5d*u3t+p?MMBbD0&JHFFNpd1a5 zxoLM^ftQep?f+PRfhYtLQk)^L{w3dD`~=au&j72HKe(|T6X>p@VWfd)YGa}H|H3Tn z2$fQp<&5E(_4rnWy_P3Xwe;8dz@NB#_s`Y|jsf+YKHwT&m7#>AFUo0SYV5;5rZOut zTA^Qw;V;Qv{Hp$dt2uE(UX?khw8xuVTRf3P!MK+N9bFq4)rCbj&WYFUq3CR9*m%}} z9ZPeUDB1;#wD%iiKHpzevpe5$(O%3ISCIqG25kPz%bzX~kIqTJj`KM^vT0+8dc@vm z_H?-po+X-vldTQL6f#bhDCv5s<--83%ASu-ptR6O_;|jW6|%)CG+OcyM}yjZEhM~? zf{Q^t#Q6I0PN&+2fuD|@>5AEwdII4Nbssp)BZV(pTVYIW` z0IgB<9Y#kZjpD|K?L5o1dorivO61HtL0B912Y@@r#fnSlv{M$!u6B&m4=-7s`_cXvs5OM}SJ-AE(dDIL2aQZ}gFd=oaBZN1aEh!FBG|W-;<8oukyAvcZ<5^*O3Zv+FXC#gk^@)A%$s#~g;3xd8h`KDJFvz2z46G>tX19~`LZuu#J%%gAqwY? zfWW|0=EaXbwz#1d*W3rR*NLn<>3}Vvd&TX|rEN{S8m(`I_b*n3*(=f(`&+VD6a30Le z(esxzF(e{M`c7P((ZH` zttkJ1CA;mRQkTeL3FRLfA_r^r^}2dKF1Gk(J-DC2!UNo!Eui!9XOI+|jlUB+kf^D6 z`n`GMlIiv^dcM*b(5AK&WF4I#=k@ax@)GqeJWnr=@L8PC@Zw)xJ6x=`WId!HSL|o~ zXgqq+a%km(my*818kHYw4!=7J=1Z%hycYS?lv(u^I`ckWDsGC98y^y{it;p!d44Pt zUVbK;$0+vg#tPUA2^y3ulcZYm?pYFV0>m}$gY~*M<8b>)QHp0A9TnOPqWvp=bTrZmwd{gXAd+IHl@VK z3L6_j;cu5czR)IyS~I@v7El-LqC>ijk(@ha7kdV8&-V-4YPBGn@Wl@v7)TmjXUn&E zyphhF7gF^yLq|4aVFpmWp@N9Fsx32Vb}=T-V7%W$UNZkV?ezzjFg&ME9Mzjq4`NX+)3ma5i{W|j zxDB)MIFgU8UI5}Cx>xt*l|TsG;B?&<$(0#$hf_nmRbN9?PA`8xnC5q zT-r*{{5dk1`SyfMYW?2%MsOmRLv}*>!@nLPTGamutUA*OG7)z5%}H#13PV{<)y_fl@NR#HU?gOnlHZ`b>1YB zgq>tFl#qlC449gY{v;q)G74^1D3#k=<;6AUKHrrYal!;p>Dg z0dC|JK$*>xxvkl(1DT~O%!to;eGFO34ekld|3p7+&R*-AO7JH+#?e|)ker6B(=3pN zPL~G|xu+Ud6bAPpPelB^dGD0~BKp7ywxTjTM7An|+mAp(RPytZy!D?x)>#}WDfvW{ z$n3`i91Td|fO>Tf_((lm4x5P^l}H`|wk|k(fcQ8eAC@AXhuh8VOK^*cUuKy@4BodaX945SoIz^!($jfLb}&ykCs z!+vH3ERv-r`{k|YwyHQEX*S+j-SBWm*fdtr-Kpzq)7gTY+$(-`c$lq2yFX92Z-|^A zs)2Pl=e;|ngBhC>^TbVFfms`k{dvo!{kh9ys%<;oal;Kk>#W&v%oInP!y4GmJ>QL^ zgH=h&4wo9~SDARo0h9gRJmXTCdwjJkyV~y+?m3c7@UW7G76?0l1cI ziL}|!&OC7&|8#xRr^R+da@!l4b98*VO1fT`yf6z%%Ibfp*LQ0`(9SEc&!tv0>#)+) zTUsz^#;C_*7eCRk?#bwPtp}goppkogaP(~gCEa&F;GtDsXOW~xfRP0@&SK){D6@>u za==b4P3CaaJSgiQYws`=FB4OMqU2Z8xVWSE|-`ls}ezPl^MccD7jWDDX zf=+S=7PJm_U;DfNf-0C*EHtK)G3W?>xaj3FlS?Oa+Q>lPUwwBad576@FJGQu#lBD7 zMG$=GyPl}SndBSQ&dy2dO5Uht7}(BiuKG=FMKuj*Wj@M_l{$mF)XJb#W(tx>EGHE7 zLIj7GqH@)V=%vCRRmgXXd2P4qiUbx51*b8?$L#fHDTm%nUdUk zGIWcS1vax8*{DcH$2E{GfwT5&ctj<6q^q9_iQim>HmX^P|LZz5CXiSgp5LeT`5YWT zL$#}_7qWgW>1@ib&ZI@#6v1jXD(tY#9`dD|Re;1?HNftu9D?rlcE@|9042S$K5%dF zmS%Bo&b|Y)eG-YT39rVwf|I?}f1c5;h#+E|n&TRCY@u3|q!lO#bvy$|Zgvp!FXPxw z%%dq6S>@+OpWEJG(XyBnfLWFgLF;qV6YTe0iYmvQ5t{Z7gzUecvZ#v4DbY{0{-j(- zErCW9mc?W*yoXKQNBeu}7Z}nn=jV#G8{Tu-qzY zT;RfO*2UFTUvM`BxTp+GykqwYfI-28N^X_y%V#@X10SuMWJdf}z)?({a|bNbXFtI)nqEZ}%Mptl+;mvHI*uOkfOZ^UxZxl7fgAJFCF zjF8{bzVv?&s^9SrNk-!!7dMg~_xyCM0rV;Wa#c!YxMpggl@k*dhTwXjL54=QIv-bO zW#~lR$jMwRPQ{br3CM*U)-5cI7w~zbXniQhxr@XMn21w*G=A4NSaKNa+U+`|%a8DR zfVe+j>ybXDPs#z=EaE1;#&_SA!E+OVVe~_j4%wJ@VL{pzdPw8Tgfk0h8@tPP`c*aY zY_U&xA74!jPOj^WIuQXz0l&8?QRmCgjGJoZ0zd;}@;tJ^bTawMM?lxxOM~&*B3y$N zC>owX0u4kjxY~IO7LP?sRZ71K!ef(Uw?wHcyN5&BDKcIx;wiD@9Tnh=BR%XaJ_*!V ze?23Fz0HXK6P8RNJf~%DMe+?tJjdsAk<|t4lQg_F@Kk72!ICw(Ew|$3tkC_1YFb)a zNm|d$j&k8_I(Qgwc7AQ1$mv%YF}XuYxN1d`^4h6cEW!W43Vw%p@bsi)6NFsh1gsG+ z*=nZz!<&%v773d>kvZ3C2nd148o<+aP)_Wmb~b{~9}XElR%{panY=KPWeb#qNq~pk z`>S5L$ryHyTEK`VR&oRu4@N6@z!dGr^Bep_i?XQgYAY;31~t`2+x__%;2JP5v{q9t zl;dG2Vu^0{kaKNK9Cr08esz0{11DW8;~^5&}J<@anZBdVVDuYX5~ z1YbgqqXrY)pRHv1IC}quXn%h5vHbIcg(0m0^Y8C=_aQ%wZKfFF>BE`1{|+fB1RqGFy0I z>Fmhcbf@_rTOlv#J+s(_h)=_S$|9Zz@06R8oyqWDdOBsA$k+^(eH&Jpi=h19wIc>I zk1cd)p5Z$f06{Euf?qNJfQ(Oe?k!RD_+{x+6uVQFn#m)8`9Q|I2)2Gdy#c7X+@cGS zic6$CQB9TF!~Wwvx&RC)9Bo*OWL*R9{y2W+Y$1Y-#&|GH-ttBo8nQT+NJtQ1cG;60 z%~wW)8s3i-G8x<}!4aQov#eCh!;ox`fkGV1rBj5wT=y!hjKp7SwX%rQI6GTgo7sgw zq8ZL+`C7GgP~e7+h`_bMAGbb=iRgru^F8w!*NM_TOF7Wr`^x!{9Sa}#8Ef;F$9#+d z07pV#O0i-=#|YO-$7{1A)zZh&VPr|%>hAqK_CBA!bGW1v=h<G;Z2n)Z1`1MYI^2Od1|-K`S}co_C*v^G6MP3Xu2B)$M~uRANj``pXFsbLKd`_d9 zyPf!R_3m5n5bgT0?t(TTd#fJ3+TYvt4j_Nkkk<_M-tbBD zbldQ6**%Jrv!09_*O_Xu!uFL~7<4;Ade4zeP-v|_`17Z%Ks7Qco!1cItKGrcuY+%~ zVJ1N&RfJS+Nv>j3%nu4UO+iu8*dqTFR<^bU@YLLn6{- zk-z2>2%v9xgfRhsRJ|kf7mR{|Se*666wldQMx9G;3Pdj5)u}EG2LO2cM}yni4A|g% z@4$n~CJtKkuZ6oHp|8fZ0FEV|<2=FqbqvtPs0Olf;b>8-eHn7$?oT8hXe;EiOFBE{ za2!0xo`{yQMDc+u9tpVE(H!)0yQ>_}l((hT*-{dLDWmc`zKrm-TRS|p`^1w zWWh+EpL=6quYoyR0t4Nwn_55?5WO*t@Y3ZO?6bL%3WBqWBBf&{qi=sSzA+sx#@fsc zc;{G2?T-^I#LmLG>2!fy4Fx5A|E;OwLWt<`2oHpQgd1%I!t}Pg)-BIZ3xgd(f0m+x_{EF1BPw`|H z6rpEkQ#*y%9yPv+B(yP$k+5uxn~ptr8QF9%I3rY?$x1jVKTL(zS1u^Z0JhIn`-+?E zaY6fip=|2!iVU_LVsR(0>(IR)|2w#9w6|9oJzmk^bGd)QWo8fA{)3HFqeLt%6SBZ1 zJ+wDYsZX4&pPN=Q<4QLWPZr-Foz^`Z`QanhkbdE6yBBo%*!N^8LAmUpG-fRd0gjMP z#`vB~SXdvRj|-~47}&?=WGVh0 z9Z!D3m)V%Augh~I#O18rR$>jDSzW($8sLpiP5&Z-?6No3|9Fijw&<6-b$%WmOEmx0 z<^^Hw%gn%GGJHbHP@GNf>>|{PYI>gw-D~0f;Cn6~ryc8HUnI7abVlfG@nizVB-(u% zLp8G0FaaI#B;_#7HwkP=MnhP&h?FM2Jr-@%*TJ^2n3P;@)Is3)AxI8K!J`4&|2fj+ zla4>@VptMctkoh$dgo@C7u#2(IV#&BLDXzi8Emm3C^$VbHkAvvi#WU+{FE&x_r zd`zP(tD^ER1S4&#GXbak{ljnV)bih|lNiI)=^^@#A#WGcTdun$tVJ$+6vKn)MrZ3^ z@XJH%z#ryzptDiHaSfFR$vE-N6;e|j{A5vz ze!vR&RKltD99^@ul3g)&y|6Ev&x{Y*sZqf(cTcgaxWYqH(8Jh;pAI%dM!Pfw8Ppj9FZ@HU2Ll`vaosj+2T!UI3Dsse-GUpygK17a7V_cEuYi`0l z$t9V*+gY!o8neycRmK4_?5NK1WGblyyd|r(B;(pm7*v|)6MBFBXGpd36S=$rRz8pVpPdf4$$J%ZPFFI@lE0jsOS#R|c7I@$GyUFsI zZd`@`$waj*fRl4SLcwdB9YTx#b{n^5`P`pYcHBj`&|6?s?}ENO?FPJdCx{jvyM|e@ zIV8(0hDo{8xAz;s$D8Y`0W3f@E>?x}hPU|mGA}<2@n{##>}cJqt|hDCPA0Zr%{N5& zu=gAXur=4(hBF_Z>L-nw?b$9r25_J8gfMMu;@=|-pTbF-zT2D<8_`T4Pg-Ry$E%zw(%Ns8+C zDKc)#zf2NMkx6wK;V$O9HD5&P$kUlT4}+zc^WB)p|q= z02omwwrXH!$2a7$v9FqtXw`kJ+lA?F{x62#5kEvE_$4!?d=xPu3B5)zrU#G_wQ4ED zf%g9VH@iy6Gc0iRiAtjP0g3w3CZ;ltl8CF+&r$Zc1kXx8Gnm;Z^x|B*06hphGa-k~ zP&=WuyjWl3u@tr5Mu_VbA=oCZ;4w?koFDKYQd};}ryt0t{WrWi6^*oLQVWa#Vy5iG zI#sJ$%#dF`6p^l~v%ip)l9z|Voq1$GOUf%Y@dFbnJxD;cYdzfw>zB~dC_H`fWJ>Uq zZ-kr!FEm}RnOvt)fYQ#zgM99yZvDzlI z?chLHw^%?=ia@HA4fVFnYH0JD(<}Vu*&#BP+v5lekz7G7Q9cJjQC3s$>lrk^Z-r(T z{;GOHZ%Iu=j$y50iUmBs+<;M*O1e2abTV*@Z>rSM|4grGge#ctIq*hYG@c%6Wb)B4 z;}MQ~tvwun`EAj77_IT!lScZD5{JFWeK6mUGl)uJs1~KJe|*sJgDo z4Qog-JXyC2s_wF9th0ZAgZ}&1F|^ZXDM|cQHs5K-!fB%={xR>7RvI$l%Bbm6b{$`! zy+8cvwK%JO_U>V zSnhb3h}bayIckAO*pnZ;v@o{3_W$FB46MKmkpHM>G?78z$r&ot! zO~5=QKhH5rwY<+%TQB;*_;3qd1zsh@L|eDjt-0&l&&C64l7L5oJ3O4W&0om-)5>NR zrq2L_>W~*6c@z@#b_vg~x0aB4i2#3p)@w1Iwf%a(F_>V~``2XwFGDfcaOF(T^1(`l z2ZitLU!BW^n1DL(oIN`lmu!EM6jW}_fe3@`bA`2~pf~V4aa&m{74sWOlKIgz1^E;#Q>|Sq?@4<}$BsiN##TBR+GXeJTQDc@^mG(7p zG0ohb8~dClXr!BI@HRF)rJ`@1K zoGSAdZ5KUGI^MImsJ}a1`8eqhRE`E1fIh_=QWwAQh?sYmA8Evy{45_>h<}d(|yf(&dxGU3(xkX3{1HuVI+xkjSp-&Ox zYQssMNGE>}jK*R=1;{s->Vh z#Sn=Q*(qmiG);9;XdKJ;903zJdgy4{ZS>ic|i}BdU+iUT%zAe`fc|ufNe>9u?p}seEjoTq=mv00PW$WWWonaA!evKxqg_w zeQ5t~zgJ!vSD(puvw0$-;&65Bf`ms}Z)*}wn^Ig95E}VW*Vdt7E>zP7dcT=M>L&*v zfr6dL4TX*4}J`+Er zKzBQTQMy|bXdM$|*ee`UImNWJidB1Bnc5yqb)FyeHpBc!ab={MvbY;|&U^8Ik*a}( z)7Trr+@h!ddtV*IZOIf*hYifp&Agw2wDmWIF`R`aA)EJ0dEZ$2wm*rnE&ymeX-*() z3k#<9GrXR!e7u32-_)eF_&4MN9ofP*VO_%eSo7F8rQMSeB!jlozHs;@xcKtRD5vk&yBN?AF(Hwaq{5oWML`BaRACVS`q*^6DHGafW9{h>n{pkbx zonXRwMoX+i@);Y>;@j`yK!G3xA^dL_q7)a4j>z=1+MDrt>IDmvdzu`VF!l}|@eQ)l zLug}S?gM!%c!z3UMGub>#+`{Y{!9I4~m%XGn{zB@&?oQ?@*|ra)vzUelM7(PF zJ3F}KPX>3Cx`_R6qngnr6z4=@GaIL3?$A|c>K|I;^V)sG(J!;AhthMd^3=r-^#lEjRQx0jyZVi@IIFAO3x! zQT(6A%g`mt@SL1T{69TjA!Deq{Z#&Bx__ z7&LE;O1(k^xEQM)$X4+{GPGC$XPcgEkBv(wG$lj~23|05!qg7H1Zu;0xLX#2iz&GI zQB;Zvey~ecl&iv;YpW#qE<;jCp8i-V@8a&ZUZ@l`X+auRRU8T?KX3|@E-MB==9Nu07$4X|pl2G0MeWz}y&iM&LNKtK=Qyg78%EAV#1XV!~?!Sbj4 zDr&^O_67dSub49nXi9o}-v<>xJ=FA_~grTC6W*$3F0g(_O_r!TV%EU;F8UaJ3g z_ekm3oCiU|O{pgc{QH+XRlmoB>cAA>c4Ny=vg(H8|7y3<@VsQ|J_a0z5 z-_7?Wpi>i;Q7p8FO#E>3l18Bxz+J`|th*R7JYWo$#0sI@$!ywdouA-6all4oMnkpC z^qzgte#C=Uz*G$hSGV)}N<>8(;I2D!od*x5_NrL2$cvC-?0UPz2=2ZA~2=Mub>#+GO3CKqi&36U=5Dw8h zbT}n7iuD~|fJB5x`0xt~LqQb_iGt>>zC20NT}a4ii4l9&0(SjTI6&EFwMF>^c@vBc zc)z2Z(|bK{#He%ILn5||jTRjuOqq62!ce+pBVv8jEll*{+}O$nBV4@md6qH}H-a)0-(zlCVp5fjrDX(@J-1!v zn8kl(Q4s3LCh-%12@i|7&bz(i zbvufv47H;dfeex=yfoUkBq<9=N4E4hzy1IqLlpg~1EnWahuw1;Rf-~C_X)^%Qx40H z$&4rsgq)!c@pNn4o@{w8^dk2R{{jV<<0Bdjm?nRxl*wW+Y&g+Mjw!k7@C!(JaRQKZ9&bCnGEaYdO?8swpP9-g9ZWFZjG1?Ym(dM zi%6p8E;=kV?5^25A=0;8qjwu{{^P#7tLM7U$`m$a>u;vpLxqt^yQ0Y#(>{cUhc!`i zcig7aC7|}#8GYIH5*J7ko@nHQ$yeGj2|*{F$C4okT}!%v>2XSnt1vCOi-eOpMgSKU z(dLJjsNZbJElp%Lnh>Ykm$9Ui}GSQNOEBH4c+2Zd^@l7NoOScRgv#k^F@2ejANKyYxBuTHcK^VPuD@tO6~ z^6Ky@sjWX&edXioUkhk2t+%-9KOT_}T+G)$+9X`|G(kP915&*ynVXEZAX}iSmBPof@A0|hn7sIy)b9lkNXRaH8xFXJui`X^c zv}+O;P$qY-d`wGS`{?FEz&REd~U(eDW=- z^;t=oVZiNPiaZ{Jq39>@5|r0BIJP;afqNVrd4MM7N0T1E8x*qJM-c~MkCW`eSi@ry zRpwLKF~v12(p;~NXgadp-QqEg^m~A4FEdPlo%;GlcnQI^m0#uQx(in;AdauN z9DXL0ZlvkKwwQj()G9190VVyq^A`z4x4n%+iuJS0MJO@BmfICG2Q!WFh5>J-4q8=a zTH2L`piAHO===Ak3w}qRH!H#E_C7y6ZxQlB-WsG+lPoPV^4!vScmSEd)XKqNR{=~m zhrD_bA20oBg=ILZX-QL){~;}{_5GewV19nT{wnm2rbAK;vvsN_{mO4bIc&5mBU8*?x2)PV*2ecN_AP1i%I+Qv-P?k z0H2(5P&nyn#XeT5kOfw@uI2C43C<#<V<#`;+3oMpBjPbzv)rc_8W3 z_c0ot@f+G$6Rx1=EH1h(o%tzquGag@|AAw(kF8}|w{xMNBQ2n~e0`?^E)1thx-6gQ z;;J@cPP&y^v{&+16uUmPKb6mOH`OUA#c&??Hh(K_i(6UhZ0Me<*~gU1`G{u$j57=hKNCH17tV-5KTQ{|320=^8_ z+?@Sdh53DuyGX5D%NI(j*Ea0Q4&VogfHvk6mOUJVhtyrW8yVtyPlO|yOu2Y%(*ZO z!h&zh@3fyDKM^nUVKu(1@t=3%wvGQH&f zk2JeSKsxAQz$o%=f9!Y@$TtiCL*YNm2#*Z8lE*!b`Hv9=Z7#N22;HEdd~rA@MWdp=u`^6umO}6^LJ=z?j7Q5O|QqBmIuVZIPOPSl-lV02JHlrby zs;v$?8n#G-j+3*=azTLyL%&&pQL{oTYR;7Nvr7OrvaO0y(w6V8g-}T4I4Kq}PO*HY zc4Mt~BhSnXpdcPiwXqlZlI@H_(#6{IBNvyu^&JY7lpcIpzYdp>L{hUl$Zd=eez}c4 z@}RL>;Wt3*Tyvl{vO9`)aHE4)S`R9`(rlQ*Kriq+B!bJQ?eZco7t^p93U_D=KAA9vx9<3Er>xU!H)E#Fw0RmC8XlKvcKTI~&v51^0HLHTutz4PwJc?7z;@m9ss#Yl^x^-pnHTl~p~M1C_??VVsg|8@ zX4tMu9qpfw5&ygc8{_F@DSjtR+U*AA;Q0k0P&vq&0P?%oQigI|fOTvx@VFnoDQ(>lT%@y+jEpBEZtnbrHbnMh?TpV0^MG6lR6fSlTCMv_C{NPLyP~lPro>6Z! zZC`3eKfAu!!>S)Th&)JhnlAVF-BU2+HXtU$SA}M*nZV9^8^LG$&jA>!tQ5e1u6b`gtrrZrccPV>nOn}5lmfiYSt6#~ zg+6W@zZo<;HHe`zJ^%1g8hF#t0A#y7->AdI zU5fUyn9Ch>_c(A>3r$dm6`LQXZ z-ck*8jt>1Xa4`G)x3D1Eg(=}`cG9rf7}afumze8J3{SgSH{KM??*>|?5*`uq9?7)b zs6n*d&mv!0N1Q0<%s);;QUXr*GtXk;fhk8ZDGfj?)_gY8^_L^!npNzTW^M9DCJDOg zyA3QzVx!nE^bSwMyExjbvaY!7(Kc5g?cUH`?g|)2>rD&69%1!3tnp^+y?HcL4XwG~ z?)HK8y$*c5VduPr>yvvZH{+z{36-E>_=C%Q(vsH^1~Pt}ZoFT#$4G~-o`1k8_(E+0 z>6Pzt2?}tH3f-GU4hrw4@A^C4sO{CC44Dq*6C;4Ge}3~fnEN#8dqM1!hu?zZwWB)d}0oM5m0M>#Nusc|O~ zhtxguy%D0^y9vZ^^hNUND+W0U>&OZ9?`L^M#o>-!&&JS(IuI)`p5wK#feYj-C~|slKzIZPI#Li<(!>Wzo9op(K_B^EvB-41LpHSC4g|l>OBH(0TORL2f<9+_ zUaG2BAN*lRzN?Cpa3$K>B}uldQ;{IUTeI|uQ8l0QD%&hHC_Vs^SOmRf1;gnnMub&t> zK5QEKx47(c`ud$yva-ss7Pi~cSqsaY>D(nF03=&c*B!sr4j&>xK`>X+%d-bm=N==# zVJM{~kBWF5R(5RPiIXm9%73+p{^I?YR+snq>%tQHVD@?bY97!kPc6Mktrmzu49v?z zx8JxEQTj4$L08uMhgmHOHzCRI=W5r-j7_Nss#mUV4c12(7?>#EE16xO)rB$v2#+iO z3Foh{k>DRtu3dKVCBR;%f;Q; z!vlUXDC!3S*5}Wk-Gg0AK9SQPq~l9@GzSjd2TZt}$1C|-^wLfWTKwHz-xqYtyUu>d zP0me+dH<-$;_=4st5NnLbFKuoch}}BFeLyLh)l-%cOTxaB>0V^u7@jpE!`*knl_ie z6#r$d4!g!BDEd3((>tXF_~lq}kw@xjuzaC<-wbRDv=>mo4Yd-3_IjaAa;47>7rf4d z%sCxS?#YDOT$a?3bj9-hqV8dSmr&vH1iNP76F!8uK_<%H8Ayq8&UQ3#g&sViPBTT^ zPOfK?ysGqF>DM~NROj7%UK_!!Y96YYJvdcb1)M8MI{+45i(Lv-eXjgsLhJVt_^Kc* zh`$I+m=AP8Nd1z90;yM!2eC;_M9V7t{%)tE3}|9iD|KO4IBl_5y-Ux-*9m0SMS>hula=fK&(TJ9~c9V5zvIW2n7@S$0BD8)V zt^UH}hs#4}b>Z&RzjFzqt$4Y<1Ew#M(6bb`5?Z3Usm^J52)dkh2p>2QTmr<+nea%= zj#!8fPw&FRC(^vNXv@*2PfQ#04^-qd$EcAl%*wRu7kpUcP!}u+ zqKiga%|orTzjFf&KnFI59mGoCd)R_Ll11C5%MpKyb88uHnn=pcbc=e??Yw-qz-rEW zn&|4HPe|$^Pyk&}HC;Q^$gEdyWG6a9kve{-Uk-?$dCLN5V0`fYL4e`|^7R!^T#kOH zU}r!XZg{soS!cW{!jZ+S&SfWIQD4BcI1P+Vw)p9MSVx%*upEo1qYd5Z)u-P^-vs)y zT;0#UdfO7ekUbcmjcIM=$ooG)hCQPuq$VL599>f{D-yKKl*!v98b#i3gf}p!0fcwT z1kgl;xtUEgQ{U_(@5;VC5BHXt^Jk`P?nnb|SsSy}hszd^cR_s&2VVCVPtt00a5GN^_T3fXe&btGmCt&o-WRIfT9Z&{ItTi|6vZIb zrFYikv+Ivu-I+lt^iyT?SpeJk@C4)eMle@9Z?Kom2h({8;}9+Fz^6zc$;(RGeZQHi zpETEjS>qx36GSR81jhlG?B@h5<<%-%%h6icQA{-coDF&r${H%$sYyS!)Ua=?C(V60 zbg}H4AY?>~tKMO_ag>FS zxsD(g-SWF2U^fxl`Bm{M+fm8 zag%wj@gqNq;^wYAbN0s1xIAg~*Q2az7NesNbX0|7AX zH#t`4c6wshZy);(EK|H>A?S#>`ui*S61PuKJ$jSzS33X?i_SZxugBjls;c-i#z#21 zh-U$(6K>DM_TiV|l$~#AfWFBh)sn<}*7J)7Jp}mV4}51>aby5bRLqEc+3u(m!H0;O z{ll*wAP6afap4hmMBvUzZ3fN`YZ=wRFfpcgWveK_6doB5fCl@DFd`wOR`Fr2`AOV- zPzYBvJaFg`&(of(L=P6Hry<|nR%%C)6_%4jQcDZEC_xDc6DoEomV0^Lr}Q?6ki*0z zkct`qsy-vTL*3Ok3br-vx1eNGpnZE~OZF5}mXU)qrYv;YYVtpGLMpC}R2;)}y|+hk zFE{A9@NdPJc6DqPpnT=lJ$_D;ME_lDR*CrJz7bhkfd2bt%kHW3T>$t5wj{dD79{wY z(C5mJh=lFDH2X0glL-6zNvjd;`~9nry)+$@cy6+>29th!i2Ero65QI)espeMskTK3 zI%YloZwe$dh068j<4zH14Zem?3C8yJBH?VqiEU2XPmAT%`nG{7xO*R`%Zqn_Xo!d? z@8UrRUc$@@8_cnKdVwOIo*aoA0<8s!DWEb$i$;0F}C| z0CxE>S01OyZ;vGt@Jw=4-)&xlUb>`(Pz3#CJi#vwCD&oi@uS0A0OUtXx!8jvTP!C@ zfaQsASlVcX3?hA`=vzY`Et(Y5YSnM{_uDibifg36+@x%SmTW0kY0Ql1%shF8$X7Vi z6ttrqNoAsf_?~&pMbW>2p5NSFW4uRPR?H6EACcwl>=Jue89rmtFI3*x`<((gkb(DHAaXMM_%r>vM~<)y{>9(?)^QmtJt#5bYb+QQACaSLZmM z3u`SZinzhXd@Y2eD>vN6ZOu&&YypeeDzC(q%?ZURwKz>c^{w6rw;$V;FzH5>&F#s| zy`vJ3PmLoxv3Kg=F^V=K;V5UoEZtK75O>K+PO5QxzT=l%MLnhoq&X#7aWC10q$}-G zu4R$>aeOjX(E{vm!JU`4gpJlep~g3k9-}c1UC1IECfqCZqY2sHf77My$#U;@B7s#2 z!y{a9rC2+VVzrRTDNkO}$#=&>qc4OZ!DG^}-~0lf7a*94p28{k-;mYk}+o%}gLAw%_d@013T&aPrwI!=2~S#)iJkZM0sXbb?p zT{Cw@wZgq$e$<`Jia3}ve@4r%*G0F$cD-x?exkB+DwM?k;i)?a;C{FMn;1cUepdDm z-th*@zrEj$mIrftE+4dRj%j0!?&ER{{k9r3Wfkz43j!uL`Z5pa%ZnrUfh*`5>MJ~Y z60i|&3f`UG?#rY6>pT1b+CTYeB1}z|UUoVcN_d2p(XdlmPfTUMxNPsq{!GvD;Rk+nVT(K|W0SyrCYL5VVH0&C<>&LI1l$AQjW z3W_mJyZT<^A7AXN9YROu$EdVq+tywvJ+$2#`U4f@dWKQwf=5U}l4SH=WqE)e%$)SQi_ZmPf(YO*)RgsWmQBgcb;1RNg1RtV6{QYY?k z)X`^~#GAi}l>FzyW)r?iOCwUOL>=@jR3w;)PMRH71qfxJ0+88>W-C@C>5TxlkKyf! zSBY?)4Qi6&+;@7ap5&@FPvh|luM*9o3gS?|k65PLJFTchGJz?s?|hJed#z`pkqG1e zW9qD;+G^Wwjk^?g_X5R=y9aLr#ogWA-HHT?7B5z$xVsg1cc-|!@AZ!T9sDO8WH16r zR@QUNHRtr%hsHh=4JRH;g;J~;mErU)$OjIZwrZ106b@0m-{W(~AoG0gM8txmOn0>h zfuU%*^ohK@R>bRQ(QPO260^1kA4`al2$`6a)|Q*2ripbyk!slfK`_&a~&SyEVU&z4Db7X zII{gF%terEUNUVw{uED&UY5})A*tmym|*UCx*OKwQ25XBJ|g%D#qriCKi~4n1l3pJ zG+lDvYv$6#W{>BicnfvQfsaVdWW0)*HKkN0viN3{ zMb+Y2bn?!)p(%whyW#ZdfflVkSJ+NBm!^QY0YGAoug6D7BNvz^-iB)_*HL8nAc-*| zZtiUQpuG*k>-oYAyEkAxjYWR<2(3s7+2FX;tg+(@2^srWD~PW?Ao|^M%@+v*ZfH!; z$k>Nwiohf=tXT+5!l93(L>Y~bBNzS%&D8mdUu!WMnsZJgq@oB*4r~q_;-t-m*38ZoL<0j18j_~&Vj<$`!=^%9Fvo4Q^hyn?Bx-BXNdqN z7m8$Aw(u)a0?>BgV5vjQ7V(!j>BO{RF(2tt_)9*b2E-^)yyU`%~_FSWInBOzZ+&${tGv4)4wTX+D8#E%#j!)vRm0;;Hpl!*WP6G z#R65tUX(FXNK8J$(`^PKsYIr7rU6&6Ef?ozhs3c_Li( zOqWOh#xhX-AsNCyJw4w}rWEAVQRuXoV(-jg6Z7<8!HJ56wK}L#9Mux;#zfI(Owa|i zOGn>f!Su9ZZUAFk3TUJ`FOQTmK2YGrGHx4vEYryMEsXxHLTh%n*YuS2a?;Tt`rn8eo;J(S;#Yyy)2)u+5%+wRO52RDp@jRIfpT_3ka77gU*z1_13~aXUkb#Xo zy#r%S234s4&az^hdi0I9sY-6OhY--gd*!xGBB{u2Sp z;6tB_?_%n{2-KW`>;j>o)k-m?$P=Rpa+l{5l14=Q!M{Lt%2ZQ7C#3)Wsk)b6LO0YMBHI@MzQL~KQ-)ix3k-7nNVZrDN$kFhe4b*e2w>-c?=XBHZ&SNHc+@SX8qXbOdB%$_aL6>#*O{lw z;x&+XDtQKSH(c3mdZ^O$9dkomouI(|-ETiR!qLto5sTj;kZIiIWuHEySIOwwTGXT@ znQZ{wD+=+~JDFXKY)TurA>g^ghlX%Q1SP$k!8P&e7|#xh)B5^_J>8N_=BerNk#x8` zl8OzB(}ecw_0o%qIc(?`6cy01EnDqXJ6y*B_1Mk98&EYAvDrws4PFV1`b{ZSa-gjE;4-RtS!@kNVbm7AiAjWnU>6>OaW(_R< zvGd=ZP{LKvv3P;i|Hxq+OTJY6qfjlu_ywS#L~R@`Irwi!9SVU|@SY*v6e=g7)1Qa` zagN)HhHJ^U5Y8BoAlP7j2f1sFmP^i4(1~ z&Y{P6cwwaXKyGrK4Mt-3w^0YDBhDBhb+}uQ#%?deKP)CWLY1dHEoLRllc5c}UeEW>J z+F&o-(mO1}`CFj1CASl8@MO6Vm1XJjS(+!)ssdqB_v-djoxj&vvoH)WDgg!qKz_eBBNhvk2{|Ode&Fa?c?Zmk8IjH?L$7(ab)w|mH>6@CBNYUO6G2^XZ!N0$=*?0 z*P^%RAE&lT4mN1$l)-;woOjfqIeygPF_X%3M)YsspkKnu@IEC^%+;z>lDoS-wgt^! zze*9EB-&-kn+7p?!0k0wKTpe)Qj83OTKl$|yN=X|>nHcFhFKv)S?R zhLfbx1)j^sv?AdWU#aDaw0gq}!G(1Dd3u6j*XFoGpIFM0pqMtWfZS(t_tG*NoR{ z;yf(B^TB`pS0Kwk&0DF{j}P_|oUmie$1s`0ok$XU))lQ`xEINulpZ>^2EN9O|XSkzlKoUR;c*6<@pX}4fWU%ADx^E z3Sy;>F=@u&4i8B@!W1%zb}cnbgJU{#&!GC@VSUyOqI;|6Pre)z6IN>t?>S?)vMMMi#70hAs zd0ir~7_)ds;biC2#(|7*LP!lXo-t8n0Z z)0X^`!~!6;I$AKa>P>e4RIy=a()7)1U-#V*F@g5$tzvhr=S(17szj=7h8-!sme%=Q zMD&A7AZiXUffqW1A8Ea*yjW>c#wZvO;H4)Yz@%!ZooW$dG0uP4GChy`A$#Ho+Q62* z)7g0$vT#q{$PgkIr2r8vQtmnRl@3xt_{G*=jMI7qzpVaL9x@h!qTFdt} z)GD&sR~iPa{4;16Fhmm=`XH!YDLMTLxC8FR;?@3(4x}gb{ifk2IGuygwJ`_lQmp)= zi-_B%*Kx_(bZIs8e9EP#bg;oCsIi*^+SfEoEyBP*?68|A(12a{ldjCW zexiSsM)9XAK_{8Oxr%Hdh4&QKrq_e>aRN4)0a0A@aG3+pM6I&?VWs~CXG535h6SI3 zMd`e25jGHxr+P67#K)2I2N#WHY^?woYGyUg=J{@T3T)U!)=16}(^ialp&ikZ9%4}nA>q~3vClT|yPEppRE$TobRS+#krqJdPM1TsPd ziVT2Y3!r>^&UydkR~*N_CFVG*F%HM+bu`-v4PMTRC~qX6yyk71ej3hmGp{+PTz;RG z59H!oDV!k7SqMr}!#p;0Q6N2~Ir%L=v10{+`=yxcklwju`-FfK=^%vU=|gGe3N


    z_e7QgI=g#diX-Woz=l{2KR8PopER=hZSQj^U z_{GC6b?=;mC)|{K95kQvUo|d{ICeHYdWj||ghSr`{jvN~#v`9fr?2!JF$hY}L_@!e zrR*4i-kqOFk0)GMiFCQ{?{7x^+Dv+BjisgxD`!!&s@NECA_ZiwY(TE1 zHGkld8-wi48S@g!v+E&3L~UK=hw~}{Us65)w{HjP;W319I_vt_kmAG+AM@4Z;zq#lJ^rOSXWTF|s|+{mI5duvnv`iG2d8r0o0oDgFN7LNiZM&ibF3Yq z!1qpZSW(`h%^aO%>vvIuCNHL?!%ueCCQAnr0h3I@fVb12B(%&MFF5D|*Gp*M*PsuH z9>!4%^aWJkpDdd6VE-JjFa#!rAZ-7%RTyUlmR7XQR9&b|Dgih_$nu_th(ChaF38W# znNE_?4lMM48oN;pse5etEh1aHOc-7`ET;*nq5kuUf$SMaGwPTel#zZE0dRuv5zU*) zwh@YT?sOuc-*H~$nK;8Z63;rzn!{^3ObSwOi!oC<-K`u&daBO=lGou4xomip_ zo+YCpZ-)hhz47n`wsR=O|XF%g-9hp|J#_u!p zKHcS!iI>>$3m_ad_?-J%cjK{Pa#)v6tKmZvYbpjfLTR1!f!#_3`d7&05W!vDM}p^5t)>e}nU=)l$pF+9qJ7oVxUg zGp>NlAD*G4)&&QN(n^Y8U7;$=Bi97K=C5ns?2D!0qY?g|&VQk2WMi<~E@cxplrx2x zF0By&B`X^=Q^tGbcb_}z2+x@3$B{bT+Z0dkKX}J917W)R{zGW_fXi$!Zr^J*pBHx9 z**eyah5T`mq=mrF)JD?NU9sJ9G(=V9_*|CxCxOV05^)inLF;kzOPSo-({>xTNB0Xb z?Z_H9!V1_6(d~C_KL@$Qt zi8Vj!q2HOY)BDw~V?l$jRIg|740f<+r_+)*Q9()11QlH_Bv0fpzbnw>xC$qcWUBO7 zm}LXu9`7flx1@}2l#3XjHnmn>$hE6g^qZ7W>^N+MMeoz3uc{-~nF5pYh9;-SV8O&^ zok3|2n7v-B*T~(=djykZukMO!oPmWUaJ1tFmOh>%)N6y!yesETW^LoY2yuVjVH=e! zRW3b=a05bHTHqY&|0;-bjB*~JNVj*5x9p-I@5FSKiQC$vTq5iZie7WK(;;A^+}qoE zc;y}PdQLT1{zpD5QgE?#G9eNWCFyWH&+2&5b!H4-aI$dEE1>p*DEc;=h!KdmjtV;T zI%ZO5<&nB~AK@@RFxTa?3!2?EeEM#x#!^m}llYL82+e`901vrh6IgvEQ2P1#Z?>=i z1pwioG&)TF{wjR(QASK&!$Kj3oI4HU-XLE*B>aoU!iVwjb6pWUi1X0Lf|+ja%^Nco z!q1tZ!w!>>Pz>QXe1e?7zyXyi$8L!{T$?ZL2si2#(kNHxRN&H=jV=eBz%s)Kv{k3mQr1$q!G07bmp#(JL-OBpg?+HVV--{n1;{BF z>JsUdozm_7vAOjc6ih@DSUp%nyC8SBj&I!(kNPJ4Yfx4nT4!wToX{&*0}5r&wXUn_!`Yq>+%MsU1_CW9VRcM zS56L0`c@`kvc*X|w2;i8B_*nqpw%ZIwpP|tnLx7MU`%&G2O2mcuEmQ+?9C;DL3zPU{-dz zavhjtvp}6#InxA0gO!kv3lYh|7*Zq83x59mCBMj54;Vx}dy(_&C_RD;^EjP|sefn5 zVVq4;Qe|)@JM)n2RsTSN2CH|i(kWa#q<21q&V=M_2OY|JQq0IeE~$h(J|hT~TlJ>I zq=bD8>`*h_qp*nkwV_(c7Y!;1V#r1k(}#LBbtGqoCfe+t`ob@fWUd6WUm!no`lx$^5;i5lwx=q32Inb z>>1PO1CYl^Ep5((;2E0jM;XW(Em8yiM#%K&f3{NQh6~TspDss!PpZKT9cU))nEA*$ zg&9XWIIIJGW(faB!%218nPT^jZ(6(Qi1JbkxS*x+0oQ-<2hbrEEU+dY1_bv&+{fqk z7>q2sz@;kk&aIRwtzFO6h_!XegOx&`pmw04w;1orLc9-y@>}X5CHq_Z-VO18-2!&* z&e*Dk-e+?nZOnqCbB_XkV2;~h3wylwG#l9JxatA-x~zcmbk_Nf za9pO*r|s%9HGlY_gst*0A#~I-73hu8G(+ov6c~-1Pq{1W0W(c@JAxjt&r}Upeu2nJU!(J zIOZAXV2c=T;~!6LHr@yn+Yqny6@56*mrkmv1J4I?Q1nNjdM{A#KR6uH-??-|(ER+j zaSrbV)+4RkY`}YAGw4Z(l?|(nAFlJG4(xudC6Ho=#cJwWzz-_w|B~eps87btZXd|Q zayPlkuM-)QJencO1OeOgUaKLHhG(_LUtG`19tn~VH^(<)89lyf95bSTV_Z7!uH8iL z9Yw(>_9!NdvQZYB%!1re`wwV;ElOV8@VJ?twj@k^v+m}~`b>nbp_wQ|dq%xn3=qNL z?KBVoSSLK8T4EEz-cotVZSyQ)51sq<%*Tf!crVr0Cl+Q3g`hcKu1UK_rHl}`aX9C37#kTS0MO&Mk%%?@ z16Rs0%qBMY5l^naWL!CGcKkkJse_Nw?Dc6;?wUL!jgr%+)apzM-97EKYs9{h1k^sHIH0nALs@L7`AKsluH`w!5rgC9JVv1PlQzjxnX2t znLl3eLNjULVHD_gD8fa^E2%`_&t2OSF#*nbsg#VggjN$Xd95C7N3~zGmTN6{HQ|05 zh%b+=OzPFE^YtTl?WSEK)ip)C?ypR$>PLj1z|q07paMQF6k%O1Xa%mp>%nHfgx_WN z7rJ*CdiuF3Aex-#5UaOJ7C@AM|q2YJn7_?c{th3wfdUFl;|NFH(66LrD zlbkP5oizaa=^4=V$cf1tldRtTLI9twJ4E8M>U_Uuw79CPq1M*`i`e9GKmWyT9x>3R zno{|6@^7Sq2F*2}tr`k)bsaLJZyJg+JRbe4p-OP%IXU=shl|7IGr|V24+Re!gZxIL zahGUH3Z>5Vn*zN2Mh!ea0q@t6{XGB@fzexRCkg~!*tCq!BBx+%r$WaAscH7g#D>FTfivVeHg~o#Vp7iSU>3P3 zHO5NE3xfbdf*z6NcEeoW-)JA~tT!A9o*T{?)6w zY0FIh<2W&y&u*O4URA6@;d+r;OZb{iL+-K!qXMH| z+q8D;O}lZ_O*l^bHp+5(E>*^)lQ7W%yTGV%CY_B95;T$Y>;hAKKy zZevk0U~}GY^DC5{UY)EHy}Y!S_%mMC-Ucx!U3|mlz+`jWt!pgvciLgh+Ys$>>!SNW zpy>R98GrTIwDMx__8Bl?89_{z>q%fB-2x`_g7iy#3Jsd`UpeuvPBySb8IDn=8vs0kyh5XC& z_o{I^RRu%!)bcb~%EtppoeXv_pVP$TDTqK`m*`@{I|EZE#T1uo8U`kJT}BszDlU#| zdItf-YJcLU_lAv^N|S-^BTMk>j|Zo}D0o%+TJRj=RFSC2fBWZbzNEjZEmnp-sO5>t zlaUpOszivMO1luSCe_HgOv3J=4?5q3o-d80%?5nvC~By)UWF@eJQ2H7ViOXwi&>IP z27rq5uCiA53B-c7!_f8D=cww#Ya1WF1CnU2*g0iASF0&74ESR#gcA%FCitYE1k`xy zSJy`-3@X2*%TlP1=VRU^FK#l^2aDPR9<4u@s!PIcq`D^MVOP!r|3fD?oT=xqOFxqd`DJX(nR4{#WP+ zbj)SSS?~mhsM$ukqU`bpUfYeICy8k98;{gz<!?6XX0PJQUa2XvKWj+=*~Q5LDfqrlXn5H6$Ob zfk}*pS)AdSo*8{Tpt8BI{^xRTp|6ZV1*ne5MZ^EG0u0~~TN{N4gO=Qep(ydoq~?uH z$+TwZ&tvS>!bi_F#`?nm65MJr`nuH zu6*p#Z*UQ*TJe~8TWmfd37&HHl^@e=Q8iYZ02P+F|3p>fd^CXTED|1^OVbaXtB?!z z-7u-5rj`xFC3|vT=6)|$reUB%Kf{P4Tnz~9E3>jKA3N}w7z}+@vWOw)FYU^_ti@qa z4oz)rBow{NIh>TfD*k$ODcBaD!@z%wk(D|d78k;m?riR0kRv!KuNc0y?q?~n(+!RE zZ#*Lvv0$|<-g`Eph8D4sP!MY?Yg<8R%HHc<9;quB7G>9&_gWI$s1 zaF?8iKr83e@_yiXW)yhwIqSSj?w&H-ePjfbj#vWwj*VM!j z83Jzq9h79D6h@N?1)l$n{3^|yV1F1G_@f^zBab~yJYR{~&bk75+hl?v)%`E6hKlj? zZw<`FL#N)-y0Y;IY`uA_x=_^i3(gd;D^ z?mOOU&#TW^Q4RXZrPz?Gt8Z`=ouP%Z;hdl2VL&C75lQ=pNXVE2HF#k9p`M^5JX*@V z8!r$~wd8>u4hw}4_8tgQKJ%OE^F3HtD;+5|svl6)1HU&s%&3tkWUWydmvzib{TL-L> zO-++Gx7_B>TBz#r>`0g9SXNYg)UE=@Nxf*QNU`o01!}(E|9je@9{u49! z^_a(2OwE-_Z20y#G&fW1SVl=tm;wThmP!IGcv$YXW>bJl9EVv|@jqVUQ};ZJB$5NZ zru}1y#!YHgjPdl{*MNpie8lTjbwc7m5m4%2KIMP1RiQn>Vjzwpc zF+zK`NtE-MLUpksUqEa_*WzRzU}Lf_~*{ zW`*WQ-wwP{%_v3;%6DFVlQYE3k|pwD4Lz+#ofI&p*0cWp4_hnRhlI zjHF4b^X(LB44c=%?X&w@H_(k=)rz6p3J)iPe3y}Mjg1&jl4q8|BXO8d3rqjb07)F5 zClin}{A(0&o4;rFJ#&(AF^fOGqf<_q0mV3M<%WpMasN%2Y8#cZFmucqG_kSe{zUCe z{?M)V4kb|krZvmITiM~rRV$(Vg>N)QIoBlu<5xxZX=~TF5vZzWLgH^?G(4&t- z)8K8|F@+RCbGEo-MAzTBZ*|RZAT33}0ksqTHt2hP8R`>8Qf8}n-7%C+oGT$I^Z+XT z!GbC=PC~*}bQZvJ+E*NiO1b-6Uo)5A5y8|UWU6FJzE@1^x)$DRFHh^s{7s+l2@Hb$ z>o%@!ZDqoGWW)gcC7{T!JT+9d`NY72Qc;J12-t9ImSr9KbGazfF>wlXy@L zJkhUO+F>McX?9=%8&sT6s=_b&_bb_i0=`WTXY0VMccp=BJX4SXEgy?YoUc4T%8Le3 z+^wH*_4Aiv;mkWAB{V|6E}-M-G+?ODC%cW}dOg!VKu=26EQH)bMwwQBq@Ne7KIzWo zEi2Yxn}7Y>Yh!=kVJ6e(s~>p^sE!w>OHSui6-KJ0(l}C>8C@C@jAVlY-DKJ^?0bW` zU5kTg)eGLIt%;oQcc!HK(Y8=amGbB>FyH)@!AniL zTIKzZRE<(AA7My26Phl;v<+10quFj5MO&L(iBvyS3vrx6NU#{K4mX7w|JB1;yBeZPD{4}6+Z7j_bUu$8!^hT5x4KeRL+I4FVU6FC`CndE%Itq1vJaR-GZ-2V> zvmSwA3Rsu|G%UybIi%072z0WG8E6ECDX7FnBGEb{l4t{r0*nHe_ZuETeSv%k#4=lE zJg2|;DgN32NKcPzS~Ltrn0e&B!NA_hL7CJ`xM$-EUWR};A0mRz^*cuMY8CLeccwq! zNLy?VBK1ijPz!7m{pxv*%%+SAeF0izO>9gY=Y;h#07fciY%EA%UE_D@*Z( z{Bk{BOgA;yGt1FnT_|;Sg1~8Zz@w3?v$~D-KPeZtbsk!lcxrX;zLpDZhF(a2Is9+& z8chIPsq&wW0WeZ4PDo7(l6Sh4vl`z>sB1RFx2l4kgoo9)B2sVM=8YcLYVkjW6cMYTq9Hg1PlTzZHyLh~9Iv zP3HvUf%A})0^}))a3UK1RnKRu^ZlNp?tEGc22gK%`uLb!?G72+?d9+Sd!`>j zNe#e$e|{!V95yU2$t{zI{|b_ z=m6-;C*+(_?%>-F%Flh%@jDKWy)&?%9F5ykC-;WDh2|%k`6!={B&D+MBlg^RS;$<# zaA`KzKRzxuEQdo2dmvXuj}qW_YMi& zKOW#<6`Ia2P(2dN10+i|jCS@m@Sgg7C*H23o@wJZBA}R9SpYStWO&!i96DQ$#U|+~ zvz>M${_`+NP!eo-PrT>tby>xvK^qEsshZD!qPZsXv7bEy!G7Gv+dCgp(*k2Ncv0Jd zXu^NF&T<^pNSJ6n(S;*lQeORmTZ$_njobyuIw8X*XR?}#*53PFI>jTw4+hMhxAfem zf}Y!3L*LVcrQ|N|UY>0M2K3gz$c7nMEF$U&?gw#I7_^742gsSs?5Ey2P6{)Qe^x*8 znJj!%!G<{ZA+28BuymCfz=E^EP)zUNiCz(Q4i85LoBl<=DtrT8(@3g9n*d!Q;FN!= zjSNapx-kcyKVyLA^XEE8%EEW9QGIrbjVV6vvackvsg-!$oaBVr{h>hACf?;f&*?JC zFvuQ>N54*=F@&%m6`43aR{^HYh;IT3J^A_OmIae zJ~AQpiY$ggLA~F|Nr|g5c%G853|05D4z>JjeGJYVcm95@P7~nW=0IMaFl}+3V1J{1BBlZwndPifL<=gzo*1xAVF#0o&r-P1`Ni2hJJ?L zGcOp$EO;s5w)HrS@|({#9oW!$|M*{o2nvD<9HQlo?}6p=Ocsl01Mhy_=^W8z2{`T^!*tV-b;Fwde}F@odXw?^b^$;sT)h z>BW=zX$fmEXYdPbRiqcN{sY*AM$B}pL(lDJq#1+cvZw{z%{jZ3ZR$!qVpxxDr4X|X z0$>T6%dNnf*;>Xd^Ft^tVEjP~IN@%)rJC8A7!%mjVeNE@eXB?aXYIxj zkF&V+u&dNF2Gsn_h-{wI(OPoyK5-UX92a@D!|j`v4+Di!4FMG#JAvip{{d}*QkGUg zCf9k`gsmvoaG!uaBMEDP>~QJt9IX`C zA%PFS^eo!?J^x702q+Y~-PI6Q)Uy4V%+>)%D{OtKKrb4zLwnGUUxVvz=-zX5VhI^-|qi#UMkAA7lO{l^7y z58z|JX{SY|IW0|Q{_Ca=RWr@UMD33NP72pbrHA{~7D8QULH#gVG z0zeF@VWq=`BgQ*@hmmwa%;PV_4T{-OrL;-v^joepb2z(Fs zE#s$S=eYpRBslx!kGhf?^_CsrDIVG33x5e9_&jzPrKx3s(ceCrtQEyZ{(1)PY85)) zK^@siaNNZ2FM)F84%wL5sS-g+pW}scgerwPg(l=Kk*NjPW$lf(w7q8GLJe4u3P#6_ zC6413$|^cUel}VLX(tbxRD%N^vbiXuddWRKVX1Z0jZkv26R8`TwNbI7MO*q2gb>gj z5sCtLRN$e4p;HsV(@Fj{;4gVXKaP#N@+0tm*?!uIS?0*&BU>>bL7XQOr`0q0`w>>4 z2>l1t4Y;cq;e=BrKgudjZ%WfU2^ z?()O7JZLymx28H4Q|9BA{pnIO75t#&nr0$_n(p{F zo&r_grc=S-OcHYTwW28Hn*4FdR_|6n6sUA&bX3t4cDDr%0=|cQF-)I&%?hxkJ0hB` zN7QX1N5o76!og$A-}7qeEr?v5fRhN{fznK6@ykY2)pk2uER(@`_qbvv^p!LZY2^mx zqj*cF&;6Nkxyykl1Hrx!rP+7&s^vUZ>R$e1qF({6%G+-hYMpdz6Jh(A``MMKlyqYp zm2&ztA*Q{yspDBj<3u!aHyzPkcuC7~1Mg>*4D+IUG z0RimZXZGKc%}*sDH6gK6)WpODOFWh4Rw+}62yY}+avwj|$@Uf7biJT`D0P0B?B{?+ z=PULw9egF87QK~QY6h%Z zFFTH#XmbusXlXx3FpP3-yXs~pzJGWg3QBs6S|0N=-7JXo{bbQ{+>fD}F=CIU`_-bK3|QUXhO5;Q-ImCBZ{OWXnDDl2C__ZL(8kvf@5VNu)Ap zYqJ0%Vp2^6u)#Hf&l?+(W;aqCfJUAd#G@ltqeaKDF-&U`5fMQXWh#_%s@1<=|CdvA z;O*ghUMCu-kK0tauVYd%ZTXty-<6 zN>WcEzr^``d#+%ie|VxZTL?@tz;6|kKVOZbSNGT&g#50M7~)8bSLW*hK{=|2pFQY~ zU|r5Yeu+tx%@4=~)zn0aVaxi9QI9^kpo-H_a5GE!gz6HVrm_CYf3O1syvI4cGr~|7 zajnR*B>Awsj%T4b<76IP$h~EJZ4<;1H>~hPXZ79|0(HFSOFQ8WO`glyM;+02#}g)& z6n3ufW`ZfBA}9oinAYekjE^^66=JrXChZi6Uw4krbM(}{Izx~x_RALAeNbl`DuWBd zuoAJ!rwr1ruY8v12hU##`|Q*|-0qkaq;-~~{gf<%anS-iZ3I+P9^ZUeOd=*!Qxj}$ z5o3w2r5XZ&9a$zOh~Fw4YM@%lVxR?`I?b%&;Z8bi(U)KH)$x%07Qb(EOxnJ z;QFRQ^Ei>1-+_7nm^r!L8@Aol5L@eGOp>|5sF7`G}WW8QshUXc$z9bfeFVm{K^ zsOMFK^%}4**D*hMjgWkF`Ik=`t9p9GUFCBsQ?MQoOv%8@lF3I@XemU@YXuV$f(S`S ze*|)I&Q=)UJ+ZFFz;Hh@lO*=V0r3!jCCu3SPc0X$z3nKzc)`UQPoj@NbWAC2hJC)1 z{DoH_5-%I$L}o}0?e%Pl2Iexbn;RJwqa2b)#m57XK0w=Au7}B>Q7+q%OyheKZ>Y9U z{=jy7_$M$aU#2_xX)G*A1?BZVP&}m1ZQjY5?9@!BTL+ry$AOPqCqs}Bxd`kuKbm~U ze_g+S!Q@7@azap2qg7^@e}da|_F&U?2uaIAm2uBkSBJ{Dl(BD@3L~e$tho7vGFz{M zGTVb!AeIj2CK?|!Bmk-$>A@heI*Db7fV~_&NS_o((aC|y{JMA@hPA-d{}*j(oCnmt z&3Lp;>4f$c$Bzvn zd?W=mV{sr~Qkz`$|0(^gTVGm$s@H)c=i!TW=1sy}G$FAButWMGPm@zKz;_-RI*l22 zTWT`ok03-^Xm#NqF+Dm7RUq@9pS%NBWPYZi=sl9H$7#a$J;y{$QEY3DiBG4F*Yr<= zJoRk&Ah|X(_DkI}n*~5){)CjJcM#+JdgFC-Hm?_>lvA;`WN`V? z2o%m0Kw$Lzdw)MQ2e)*2$UQ9h38-AB3n$CHSxNP3h_&!BJPjRTaJ*kGx5VU+Gh74n zZu`U_7C?X9RKGQZV<|o1A`DP4)U@!Tx76OC_YQ|B%4^icdRx&a*O{)1^%lO`CEO_W zMxcHq@=3}5ySY)vE-6Ri?i0UL*h(b9!iMc292nH@K1DpwDnMDt`v>bOD`cT2>o&>= zQq_!-L%*dX84#dDUc6b@I0nb&uk1syFd~%XRf5!HeVENg`6);GZLBRR@|pGk7SN6} zD;2)p+axOe$11D(L{dCP^b9An*-~=XNQV91`R%$T;5kGjd8t zbNt|9^_PE|6&p@FFy&kGjM<5BFzy`ZCY|k!n;FnGa=8B>4qaV0(6&-=p}*~NE^*`d?3vFg@-FaS5!v~Fc55-QN(WzP_zfGau4&@Pr3s002 z$-+kZWe%p=^DT9N;E}MYAWTIHFbnISiDzs6hKY$W^!y!%in={jpIgObL1S=o&}1Ew05>yHfuWpQJf zjVbroTi#9^EkUPK8d~wL7Hn{72Hn9NJ71rk!Iqls^f&k{vVbc9Tqb*ePf%}|2{4L` z%`GnbeiJGc`iN+_)8aL7YrV& zFuY7d#)c$@VxQubB^>QW8GbL*6z~=r_I=I*aR6#q+QsnDFyo~wUclN~Zp!jlts;8u zy9VXbCBDR;lWN~pTCC)HjJ3*UNirs^uSk9`xXk#h_%a&mx*Z4wreWq|+KX~7Nu+oo zj_+dS+AWH}gs>br)@~&Mmq=*=Hn+_-9T*K2B&Y=Qvl=uISs<#g6@{9Vl>F(|hkq+tZ1`%ll zq`Ra$q+3!tmG18DM!J=f?uN7dJ@0wuy#G0jGYouh_Py6ypLJbV4mn{%Zxgcx@yhO) zkiME%h|h?dK#V)JB?!z5o|M&@`djZW!BhPuHJ`!RM9W2?+it+uu#d-%rEQGfor&&{ z<3YEoWyO%*d(ZD=)jpF#2xmykigHF)Q%!jPQu*U^t&D1TQ}=4QX{u4bI< zJuDH*LRNu_{!8!XRxb@;cfaHGw2v9|X(Hu|rmVyBP;&h{k6Q%3=>zB~)ND0^+NH^R zH^aU1(FGo*@a8F{(z$3v+&}Rtlc7SOwK;!MRPJ7{QXY1nH9I;goy#>g)1tG;gZ1i> zH5bY|`PSMV`~2Ij{lwd`N50cTDn?o#&A`c-j>$(qy7K@VxPf!wzY;D&h4s%vc$11O zMwfcfm_V`tVXJi#Pu2aFLj{e-mtR4y(ZWA*Ra006!(h9^0Y+=LmgG4DH5%p3_&YYd zo2MUiX_GbVSFoAjO7ne!_shiAC0e%E-Ba%#g)n;fUXzN{uiZ{s*lg3hciYmo9Xrs1 zC^YYdu!oAMtAF5|DW|iL(EqIxJM{zoWd7{i##!x7*G&HHbj_()RN`(?Q)sm#eM~!F z+>zgOkva4vPm-BgN_m`sT2e!0rSTKynlCcWy`K;hZ=uTb2}k{|gUf2JIGR-FyKDic zpNTh#hW)ZX5>5JC4rj;ms+4TgNrbF`Oj#N?F>bMKkB7zjXBs_c>BMW)vUDze$&){d zZ&zfK4Yfl|zvqGJ|I@(2o&75T#m>#KM-3r-|JK;I;^IZI+c}D*GN|E{(v))1;A|1B zUaB1B8c!wH<*lgy`Z#i$nYm(@YLUA?1c#?cP#6R$REMsZR%)aE9d(zrN0a;z^RQLQ z{w~m!kPMdkRV+sRJ^ctiS1=4)@&8K7Px^_}X%6>c)d)iN0XUmLvRqG7n|pOrR#p;XJ2afdVqG{&0m(U0 z+=Z9bVuuzt&nQ?W7jB!9&T$|s1A^)GhoHrrDVZ>pvN?< z)>-^1DP1*_9sT1-vJ7rAHjllSw+*WAOx&6D#XSxr{DOIZa8LVQJSxu}tZ zJ0pcD(lB-wx|FEk-2=9QpiapKS{`tLK+v4Xx0xcJNzI;H7`|zHghT~TCvWBE_;v0FPj^g^rf7HNdt3^ z~Vl!?crI%KT#vOXMpM-(7OBb4LrwHH{VCpI?Frg zj)z@q#Z(SHkhOdINjSWKqLv|K%l~vD(sg+Uvu|ygFV^lUPCuH%4cAyrRt6F0^z7MJ z#lkL3M*Q*P`(~Ywn43DbyWTWQ{FJM6XpGX)GTKX>GBO6Npf_Sq*74tFV*6uUQYwmp zJ^A*p-=M8=9PlHY$5dppOM)nJiPIc$y<*Wg4cta5&#chVjr@#>?H9;(yFF7Xz++$G zh0*S3$vRLpY0wAQgZb1a&RS`D(kU^ST}uf|Xl{}2<6th?S1&0oPuRp^Jfbex?nX{{ z9=_NqgCC94rehL|#zG=Xn1U|5bVqGcpw|GWJ+JKUus=5lEJST&BwUGp=!VT$LwS#= zv4puF(-Wc+`vZC1OX;VDwo%>6FfClcB+6BDaBAbqQSu&1d{VHxm-@EKISUc)dS+lO zUZ<(%RO=j4ZRAC8Yy*pFP111gN1qCHv=7q5L>qJE@5ehrstL4m1m4NM7v3X@z7Byv z6~>cS%}cesRbgLH>g}RZ+Su)?M1X&8ksR*y!BcS4RNR{P$Z1iI-S=mLjjsJ_6oS3-nLt_$TC@ z{!H-bB>L@$<=My6wq@szmG7mnM*w?X3*K@&hJ^C^o;{CUjwS}qy$AKWQzdrL z{J(vI-9kF_lcEmZkAy8{zfA zpTbXd$UV)*cT^wJl3+fQHZ!-AfZDTw&qM3<3GN)=oJaFJpzDA!Z&GY_u^3M zpZrkjmCRCwZ!qR7TM*zMW$NJBj-HN@Pvro?D9zAgEF?D5U!h?Ec0cjmQT0_f-u$GB z+`nZWO^ZophW^4KXSE{`DQ2Q+#6@Yb{1(Cspr641G^PI@0(mJ%^3<2`Yvdm4bceq6 zbi+X1G%2rxDoLtvLF%+ogR}WCWt-SD|J1@q5JXtrC=g${VhBg=m}xaOvU3eYi%AH~ zcg<{}(xoPMSg)`8(9)v~CTbUp#nqp z?+zfHC`92;V*J>p@e#LA+PO}X8?DIOm!goZ=b{yR>yKdwKuw-%Q`*SX(shF1%sXYf`c(1@mnomd* zdTI(`E!H*KNd27^h4FGXKDg3;LrpdR&Of<=MU5kinubbTvKvhJ_+7yJPjlwlX(u>7 zKEIDfmY31imR4~d6(M=4 zdhZ18TiFgD^erBHqhC==R4vxbI;BLV8r0#H0?iU0%S=GBhx|hii}xExHZ_&7veQVR zr`7z=^HX>=jq-?bb#t4~fnnlc67Z`u*XbVVvu)qHhksKmdbMf)TR^Fnk_=mGGGza( z*N$;|0{!c&!uzC(k9nTls?Y3mEEVR5uESdzy!&dzd6s%0YYUFi=nu45`}53N!4{{F zVV$=KD;jT+e|t)~P8Ggf@X}7*l@yKkEX@45Ss z*fh9z;XS6_X>b%(eF!KNK)lHc<2c7M3Ko3638E9BR)O|#L5^=`pIuAW>~}{(Bg; zE!k=fDf0oz!$#!`a^d@Y@NuuyVI?niF&XGZwZ1I zq8@PYU^(-#_g6KnR&qpM10$PpoqF<(e2-{a-c+EIQjvr-ktq;ce@WuhMWWal$D%Ne zhh)gy*BkAUEvelDxlCgR823&1L1Xi&##$~J8%5yl;NOrG`3~K&-Y(;&wenu*%i8cb zEpQ??u%_ktu@;}|ANWoP4)-thOGOmOF7Sz$?*#M?d5}P)aHg>R z+_V3z z(%c#;+vMGDJUZXhb_>zYgK>h-V!VIa4(44c6Wc4B|EAC1Q^O8fP8z4|huEfHq<=9Q zZ;bE_0U|0j{U*z}vC)PfqFljdJ(943h{vnhCe7(yTjk};#G`j#p}^;jz31%vu1jLB zhNCCP*eoA;uNP=y!NPXXoA>*&9v&Wa+a>>l03cc`d$tDE&p3oR*|JT2N8ywlV^QdQ zj+a!FR=IsyF=Iu7=sZ?&&56mJg-_4^O&7f69v zZy$y_sF1&z%nH3=8vRv_dYvf%il;9{tOoHW+zF+^RfJpwx37t>-Gf zlD58!PmPZm>T@q!ZxS0qdne1H_Tf_y%*Kx}8g29OJldbK9O5ns=5zC95I{)<-cFRcB$Rse?PMyoiAVB*c7r)#_J8Tm zoBx0MQxse}m1@ue@((Lh1yOTvCS=c>kd=1ofA;z-wy^jonvVn6bL<+xb}E0B2hY9~ zoLW(lxPm$QUp0f#8qWJZ283o;?BcB3oO;jpsp!OeWjM7gZmGAw@`b$KgBHDz{v5qW zRz3!6k!PS?Q`non7Hgh7-{|jDslW0}MZ10hel3hbtFh<=cg0~8m;>So-7B)} zJ#!H{K_L9#1hTQ^CLwmlN)s*|BTtv)CGQErhQv@bZ!jMn#gH&jzyg&B<5#``%55{K zEG^h>y-~RDoNmU4cjdhGFEl5aosmuHjV&0jnG#SnJQSYy5qh{)qOAKn1 zPVel!yQ>}5KzVlNNqjg!AX;~ugr7zz@|`(FQ9M}EK=pH33r|9h=^i+uqw0N%OTdX* z+=mDb6{PhHJTuJ05RnWCrJ{RFR?S{4X&DwHk4d3wC-Ulz-7ooL(?bWX#)40{=@n%( zxk92a!sYB*)0EBi3YdZ$rQ|=u%p~Mv;GVE{-u_nA!gzo7p-=LG`W;{Jyb15PHjPsa zArK22vBmR;^mdLm7tVNUlY`7;V@ODLwgk1OBsHYED-mcQh);mj2 z!H$B-Y$(`3`9|N557q|hvL5aM8~^$7YkR^@Qa>^t#o{TU+UMU=o8NWnLf%$!(yzR1 z%O)!Uj|HjKPrY{jl4Ryk+u2>J?guz3mK8CNE6Hk&zj0&Nbb|-b@aPoZcBM@UGWei3 zyllN9sTi`MHZIcV=Dm?5Aw7P$N$_1niVn1SK+2T^F3HX7OPtH-vQm*e1i}$ zdk|NBWjRv8J@eK7X$OF4ue^t-A@{L2(Tl{dd1$YuhesoJ!-r-`vgjuaFIS)U7mQON z-gBIv{*6RAziVTt$voct?2Z{Zc#7+7vsUJFChQS254BP*ObrYJG<@(p&8ayPUASUJ3U^ZlJI-QDg{v-2iChW{RGO#zc8 z%ETLH=zkqmw-eAbO>_w%{@4hDr{-f43DML&N;cJ>3s4Gdz;NHEKqMs~(Rok4twj!d z`&VeZlweQFjlu4(3`j5z;HaGFli0?sHOGZ2AYp^xshDz~56sTUbJOB1svbvKPFxgKb7gp>*rhp_SkA`_$jyr%A1i$Wdy! z2Bw)qQi}_swR_RGH<1LI4pu{>mu<_k93Y=ttiwB({$@%SePf1VO*h_4=p~p^z~+?M z?^1k(;UJV9Zkr2rCxCv3?6o&YvujzC`7V53cd_{q>S`#BdiK~JVahmnvR%INOsyjJ z*}jPkO}xYjDl-dM7p=b8Dvc5;-pa-nVPa|#oRN{-?C_l;DDt9-`3A-j5s2d8a|Ce_I zLqlU+UDnTmf4avMRLyh~3kQg~@`WDj<+bqjhohn+?B6gftwP;PiB&WCi9e3S>FG-( zmwrgV?C)r&(M+k-V^FE+5O=z!Wn?1a<6f9tHr&xMNqBnsQzu6TmXKKJ!xq|Pl?L@E zR|q67GB?3ffj^lcrR)i}nyC+y6Z!boKar!-^*=_cJ3NKyLe`nEJJiz639piQo5nRr zR9k}a*n~SE=$-t+=f@GwE+-HYgCSRL`5Q=jnUdqVGXn1NX2KLZD40hjNc3+B1qhAI z@7o?ksdm$lHvg3N2_H3*L$kPT7J#26D^Eq_bqZ&X=d?>fXQlCmOzbtAR!{!fY`ogK zlTtjPHaIyV!1L?UXJK0Qu4sn0&$McvynmYA&L$%7P3HTo81$#sTP(pn#$@7&%9C+U z$ZKnL3e&YC0i>8IaB$N;A5#i>^+~pqPXr0yFO1}eVrq3>Q28F_goMk(v9f<>ZgZ*5Sf8zEKEOW@|BwNM({E*1-wTb_Q|BWXsd}%!G?@r1I$h%R<#_F^P&H z6^M*3Fda4H{oM2em@J}MNeiJ<`V@8R?!wU^Li+;_BqCrLYj!Lsl+tH%9V(^Shh+(o z6(T?UgW&8>{hUVsLb1+>19z%ZQH50;Yw}cV(8*orY9G(3h8GQ~0i|})`rGk(MC$68 zG1@P)<+{o`B@y>bBI4Vu62FB4{FTnTQZd`dWst+60>CZg0>v&RdS?_;D$?Lm&B}ms z-9|*I-vTKB?Ih?!hzu8v0aqU)!i6_&J@Y{g^K6V98IKtY%?-6w15ib|l@WNYL%#OP zM0ygd~3M3}-IMopx>LH?u?QRkD-1&eEvKdYm0Fk@lpV0WDW_mI0}) zf4N#BqMx5ylZW7H%~o-+5-TYJc4KiL-;HQW!eT{eRvO&eiOS>RItqnHBXFGxzP6zy zTIvI)SiwzMo(rz>?Ir(8vo3P|-}xV>Th?PlbHwNqN7Vl^v-|!a2JIDB#^voei-xFC zfx!2O;VbhDOAyGZ0Gs8_#9=A-er?Pj{>!V6b-^lIZ!_s2OqKDO{r4&DB=}U59GQ4% zhD!zwa8N#P`m(!=LojGVj!;B;%g;_qN4U+NqMR58?Q!OtHyck3OuoUk&xOE4()|i` z2?DXi3{*1fd3-**5>LsT?-OX!<<(qNxj4f1NcHllaDKj;{s>{u-*S9xz!(6PTSrvl zmrPT?Z=*;1^yUR5+=_3ov@wSaJ3E0RvX;~oV>eDLXlwU~iNr-QI(k~p(PtmXLo%;e zI5m1k&=`Z3#DcoLzG#>YW=&-Bhd;tkyWm%ZF5B3d(IuvBKWvIQIDVrt=1i@ip*D4d zRO8QOmFfM#@AWbV$4$g9E_U$K8NK%jLewKx`Ty7ZTT&S8h^gXI4$tP zjpruu9kbRYFW#4SdW%f*O|s*gYvQBCLB{Fp)CCtlkp1CZ3mNU8CYXC4VYganj&4){ zrl5vw7I83hpv_)zSO&2N#1t1gf8LE*dxRZD{R*#0ABmA|OAf8#T=9F2j8W3vyl_?W zti)eMC+VGpXT=lP=p#O@8Rx&2DtF&B8HcbR_ z>>cFOWD}1bE$t?$A57$SeO?LA>2{$}cCl~QbMO6RMcT{GHdm!=GW{T+J&&>chZ((8 zC2}fqU<4&4 znjb|}Z|UGx+;9@&6EfLvjwR&9sd|DWauhizv$vMgf+nxP%-P)eD`zBvuSAW;XB!eK zaq(&$ZEZ>O^7r3NxKR^!)DkcWv6=n7#m$VDqW;_mr)o)tgcwDSSg98{cg8KrYRFM& z)4ip_I^2JW_yWH0(>K{vt7t2S)t<4|=N#Nc8lP$iM~6rHQ63Uk?9^nzMoR8%JW)Y`lh>u;S4n@0PUFe5vnh$Y{l_ld zN#a}7li$PB^jAE7+xi(oK_}0vlBYXvBKZyXWEcIJ?W^3ZRx3h+eB!p>PPekZULSu* z*j`(02!%u6D*qiV0DnqxW<{Y!O@O0*1xA*SHz!iF~f`L!4?gg~0)d zM4oUKcR_Wr*MCH*cW3yT3cNf1cT&mD%`MjIO4@%r-{xUVB9uqHVsIDv^dve`7Nsi2 zTD$ae*^-%H`k|z#Ixpfy$XxXkO?$T6YT%CkV@g6s zfWRjsUau#-dB$w%>`w~sJIxHZibXK;Ln=Z-{t^g5g)DD!abwsJbR6S9TY6D z`mrjr138pe1!5uJHI^EEJAF4adi0g|0u|f)kk%wq^*N9Cgq#m+2^CAf$JpI6L=K{7 zrOB>N(|LdTk-}dc^-uCl=eF}WtWLqwqqU0Z_CgsaajR{><$Q`3VLO}ry4Z0}5QVn5 zwb)%c$IS>P%oUf28sz@sNQ9~7KB-YI$z$TU zHjeNYte;E81tH_bWCyEl_(l#WrFV5rVGu4Yxj&7e&zhJoeugi|pbg^uC)&#m>iMJ} z@u#34#12z;d3H{yF`GhmxEprLm)a2D%OL_QImn^XSUcs++bU>KY~2V+Ho1#?4A@}G zra*I99`66)Q=SBv7B0cyv6LnEKYR3$3`>p=p>({>y6{VNblyz1>?IozPq!kkQjamD zU$e3YH={*IAcHooKBG-NR0;VK2`#$-Bv#NtOM1F{12}lo4(g;-g;ezX1za(>%XO27 z9F5yIL_{mEROkS}t%1)jn>UF05)|RA%#HaUBg^-f#Gup7$a?kjiQRp7xJj-n4X0Bj zpk$kU8-%@;vmx6oW3=}E0vyJ1sA9Gn`H%~?<3MShHBT^Ef^E6tIbI_SMX+ts>nz;R zf>xt^-*v$!M;uROH2+aD<*Rsz>K5uRhe|f$n^%>LMUK>L)2ynz*AbeVG z-dz3u3P_*d;R{d_LG}@l#59>?EKFb$EKldARzLE_IgOgBSLjIH!8>mLrKx;GaJ%V> zDCU$ptM)`C7B#OFo~h;7A}0xMzTDe`R(l&rCfHwKISaZbpp4~z7`j34?kz=270PlK zI3B&fT@v$q3MU4hrH*4!k;gCbN2%?v56BCqty`UBAfCz0!Y-26(X!FO3|6)}T%YeX zwa7WJgiRJ=v&Bx8u~bfGI}OOQf1P_ADpA#`7SYcPQFTJ!%r<$5k`lRGw#M8l!FcN zF4~T@GBF8~Le3BK-$Vtg>IZ|ndtuIM$16KGNiy4;$U(#QpsZW4K{Q+?&;FR5^la5a zbf37UnE{%Cx-a`55=r#-aRcsTdc#h47EFS?a{E*aUgs6|gTlIF#w6@@DGyp0?6L(T zFNvgin{Hsx-lQA8au6qwvPc}nLhsKjLw|!m?ERbqt7a>><+e*Vx0l`HpVi84T>#i| zaT#4pO=&6XrY~3b?hKdm69XA0y^z-S;YaaL-@0RuRC)!~9PM*m*jST%oO#cf;e(>L zavJ*-_z)Oh(`(;Hctws>XsZ_xG=dhdx*0_wmBce3O4Ax(dWC}c^X{*jSkz0ao2@OM zLcj^zhJ9MqfT~d;;DxzmK90LSBaA6I7QcKzJ!ihOngE`{JDn+L&^i8;nVbgsPv8Ns z#*OEl8q`um12C zZUcW3p)~K z?^tPtZv#KNhQ9+pF}EU)SU~!3OU)Qwr1O3oTAhsvc#1xQv60zn&`FX=q>l-=t-< z9Xwv*ie%QIvej9mPtzmH7K4uV$wTOLWjzd1*+Kz>>xqaukXhLT7no2#@MS{w{lsT# zV@Zps(c|?)JKk^WHJ(5Ep|NQG!CgNI$}$pgKp{u-K(mjx2}ip0Z0e1e{;`B?8Y zw}i%Glkg2V+}f9I2ZOgBjXckv{S&2SVsoT8hs|!CThZ8B9GZvx6OAPQtyA`!;Z?*l zqa7Y8FxGL)|9>o2r{@+S#Jvxo8H)6K04*r(0MSGkJ-@T+Qc^D$u3kkhA)X$VZz;aX z&X2IxOc^hfi+$NzCvEx*0vDo7zV@chdIEsW1A%%rR%+reP`RgOGfknD7?G90m zQ{L8*NjU7Kc@8t_cbPZQ13a$%*@h|3!(^{#33I;zwx5KR@FgHof;QghfY;K%&gd_DH{k$v^%DjCu_> z9TFe@T65Vf!#vRF6`FU7iUKMdlR*dogXI||Spi@KHG%BC5RrNc=g02`GK++qkP*iD zboTa0Rj}o1iwpFdIi0Rij0Li zPBIwMO+e-H`H-n8R#IKn70YT*Dn}l{6obXl;iDX4OweO0QzsPIW0k6DXY2tfW2@~s z&}s@am2KG#bciffCF3F7k%K6eI#%CkL6tMY49oE?X3~d?Y0~(X>GF>2B@>85)8D5h z^;|WMmo89nQy@47bZ_6jA`)##V@)MP@;c4Qdt`KeuRrX+`v&VkK7&x2uq2=nW} z`F&Dw71%0_0yx)DUA$E-zD_m5=}9O|a*~MhL+%`+_C~CBx3vLOwW5(fCjaW;*}Ljm z4gqEmBz6O{7GO9SA3_WhG!K9=*8QpUJ)G!j9SP);uS2d+LF>$(7hRSCtXvv0kic`9 zjmeZ>fWJ_nZ_-(&Xy7AHX{_DJbhUQj6jw*kkcOB(j%`$VbBNTm8wRPL zuZ`6zu+-FUI2=Ca{zUC`P=OG1AA62{oKAhDn%GW;@Ix?Vmg#t;ClJy0II$78E#yOO zfj1eR=$$-hJHQV>871cBwI|e>FJT0>=9poCP$te7I(O3uj3y9-AwyICm!};*;M_m6 z)|F!)A!HB|48~ycPxL7i#RssTbO^QecE%_}D(XTgHa?p-tAYpGEp}Fxlr?wZhtKd` z(U7HAEVa(~Q_VsG7Ek1G=%h%ROItl-BUqVB4zi~NbCn``cQ18&Y@H@iEKXOgVm^=E zJ0tJ@&=huEJV|{4OVAz!plTgb9_ml{`yPS`Hf}~PB2N?8v0y~y|3XjJLkp!K7uZ~L z+SJ|5M4ogxZeU*7(vJg;^2oJ=N$+Y@VkUp@_ubUJx#54<)+L#;06QVCE_t|5{2$UE z(F-09_=!`PnfHnJ4s9TE-T|b!l5Uq1SQuSw?vfgX2wHZlPm~82lTfp!Hb;1;il!d@1q=y+FW{70YuT>32d}I_ zRUw1ik3q}4b)I5~zzH9AYrj5dDYDm|ogc$#e@#y|`Y3$*ItI_nNhKrtbp>}5t(={e zQBcs2Oc$TP(2y08P5TH$lj9Tfe{yah3Aq{gi%W$l{YAb_xpz2uuAD!wmfNj;61a4? zOQ9mBjpfU>?n|BJ3+4P!a~ba*Gb#28)B8pM%b^QuxAFGp@i}E3^-#o?)^>ANM^oo1 zf!WJCeqrZ}Gzj0e{Q&Mje-c;0+{?R)@|AeS3!_9oiU#}TsAU@G^H2E{+$D~?(Krc+ zz4`e$isla&xc@kHW+W(5CIvtfR;+xjFCg`0J}i}>j4mxAzjmHt$#SrWS(b*7^+Fp$ zgH<;GiAH2D=kXWUT)e35Z$%1{@ub>q%}O0qD?oLn3|z*;iE>c~%po-)U>?V*K^f&b z)F>0DZJDS+gAWg<*QWly-kN2SplQnOstTz2BQ=D>1L9`+=57`z;;0GFjNY0^rAY(S z+hu%MV7?@5%59Zg>VGlEjhlc=z5O{}@=!ErJ|S+2K$g!n0mtRzMBq1I>Sjwad9tPw zn3(WT2v(*5In)}Gah^&!w1GafRmK5D$)8KO1&giX&eKT1ZhXy@&5N5mzYxE@AFvjA z01$O=6b@~gFWv@E=_msWi+>_2nC<^OM_{j)`0K)B!K@8bqzXwrGw0thY&=klm9wTk z$uC&?Br#~BMW(PyID~;MI#zH^OV<|ELKOR<7-!~4+Txs?<6HO^6q6^cIRE5Z2c8dr z{qlQ^6?l|07JrD?k@JgfTtaVlh#Zf`T26XKr7UDq_HbeN?ggG**|240q6fa37n$!t z!NTgHNEh&x$1}>wHYZ2%9`XjK1yi1hQ$S6^Q|*gm1hV*=ZM$K^epsRUX)j4P&f}y#7J`0@%&F=tAK}Mf=^6Bm!|^HuF@zyne8s@8zpdGMf0cDu z_8((?o76xtzV)deuL1sMpYj00_qiTdnQVh`$>2{;X63S}@H^myGpK4mba5k2R!UoM zXlibju%wZxe2s8-A+4 z(%6pbH;|o{o3RtRXI?+X0gVi`_t9#4!v*%-OBfF||K;kq16kr_u4j3N9{5(!+WzqU z_OB=5%vzww4r08gH+TgApuN7tS2WpX2UsHY+*;6X)@t|+JFdi*@EcpQ>KjqLbtFXU z;h>V(m}x7h6Ke6oa+0=E%A{^!ASZI%_MLJx`9mKKs=8LidjEk$^M_-Z4c%7}wClK+ z(o~_;e1kNuiMOtHNrzmo18&1FRUh=7Opd0u=~GLrp?TUjYfj%hG^Ri)-Tqx`HI$au zMqjMdr{_Ht2qbCQunBEIfTHtHG#-2siksPg^PSt8t_#!IY%I9*l-h)brh7k*a=Ffq z8L(v`)bNr4`-;x%WH_jwf}dOZtrC^4Pt zH5P$WQ%)ffj^vD+Ia96B>k0TA$f`}b6H$whpj#?VYc#4`>ybZ1LdBtGxAN8|@nd*{ z?J|?ed6+-N8`jZUeCyMt4?ll@A&a|UC{j)tD58xXAEuUx&-@NYeydCH?sKq(KoB{u z=O7Oh!|Oh@X79JU+>Lu5UdD+B{7YWy{of{E(3qCAS8oB_UFV*gUX%dy?9v!jXSGT%=qE`d`G4AMZiS5YwJ zaXINYAmu<;!7t{JyJ7!1y-wrdNvcif6XX3q>tx|M?bA%IP{%{(tKq7>-}ZX-rTf-q zfUz}qzSObjUC6Ey2+@?An8+{X11hzZb-sqa+--~56OQC`8q4%NCfHreeP13ZhOvPr zdq6+`rZU~E&Mt;bFu3fGy5QNxKybA&?4-@*OrKF*0w}4X;@&h3~QtI{!->bf)je-Z?RNqcTru%)P9hy)M$96HOpd zSSw@MEgt$OcoMZ>Ol8h@H!TfK^Q%yjhY{Q3TbPtO{n@(HAVblTy!wTQ+8+b_1P%X?}LS6yOU_RH#L&~S)V0C}UF+J-lx6f2-8+|2tRJWJn0of?M%B>*@sYc5cB0=+St=pFjjl1s#MzP6qi4 zmH4YYBR%ZswzkNG-fbMGxAnf(^E z|Mz5hp@9q5$`cr!avygoShfBLzzlIo4CLo}yvMfyeH5_f%wGdn%BDN^qu>er_Sy^> z|EoL+X8FonNf3VT-HQHV=+&aGi zCxvQKuA1>>GHa&$57(88+vZUkw7dHTqBbF58|Jg}J-jns{!x@+!+p|+bhg4N!m7v3 z7wYqPGi`JMMJD9Q#NCO`AQte#*6xk=;Q5!=$BF!8%`uMC0q>*VQWq|G{ZnD?pF%s= zBIk9QPh|9h)Z#iaFA)|N4Dw~y^)kTWsX7yM+r zl&WmBN0hua=e-KmO&{6tFodu5zf6yQZG;<3w=^9ah1MkkLc}dk(G<6j{C4x77blHh zXH)Q1)g6kV_s?IZMC3vZPH&+GJ2>MmYksr{(^>zHa`0E*E z!CsrBs0lA#nL9GY&XcJuPkTt!Y|)pWzR+<_JNyOdOVnZO{mMRta#N)SjVxcd?WO)c z(<5{ouu!c!G<@}>IkWsmk@E1w7uefd^<@WXi$<$b$Hk&tCkQ9D6`{H_+!VVghiMH6 zC3R@disC46urMh(RCb{l>g(e&vO=bYWSI=g!SY`QH$DTMCWhw>3}Z-?xHeXXb!|{Z z1=?D6Ox6CIGLzrb$Z}WfnTOo}4|Z03s^nukKi7Pj%uEnHm0Bc+zIm5PdC9Mw4jbGA zyEU=kAY%2Rad8hULu-z%giI&w*RP`TB|n_%OT_(`1>Gn=X9{8NZ8P}p?QHiz4h z&MNVlKy+jcU|ukdG5;rVYm?}WI%6EnQ&}JwLYWt1{1qXuQ1G}D2r-V zeaw{AL_l78`wP9X-l_}Cw@{o96oqA1#?Z8kDugs6?+>)nM*NXt)CUP&3I9-@JkLdZ zA~V*QnepQNOctc*u9&abCfO(0uXWkPn74-r{Z@p2QYH5qkKQTQbr)XEtj3Ee=?lM~ zP^4%`5;WR`!6)9hgiH#8D_O7ouyw!g-wA|HWbj>*8xW+vR~jeykhV-zG(AWIN;0(QWWCykA}>N z3Hb(%rbP~U;6PkLhfYhud7%Bh7SK~R5S@S)(K-#?2Z!K<1$kB14q3UMDl(k3p zeND?ux7_BpV5WL|;ld5WW|$CpSguPTXcG3*K<~#xvq4+3htj3ivkJuyoy$KAQEL`u zi0ePr7vcE}^XX1orL%_o`W|d{p-=X$9~>ozh+#*Z&9T_zl-sdwxJ=4~;bWc;L27#Z z1<-J*aX4;-EnazHrX`!4gWag2#mtKG_{4%l_=Y8_v z_mw`|KmQg(o%TXkG_~6M!#}~Q4FLb?Ist*K$0O#+sYpzk-xWX+5|YK>j+D~yMgOVL zXVj!#Iuy>+vp0Uc`1n)F+-=<&hsHk<9-Zo|Nt*-zZ^lt&Mld0*(N@zbflw@oD@#Hy zph=^QU&rlEqnC3n5#{(8EuT~!WYX5Ra*HA7H1Rjh`F*Y;Az0uH1nrl2L>f42ZaYya zS2C(J>F8Q;Hxx}u67oqM4gdSD4!%lsz9*AjXq`y8CD{3dWSbZ*SNqiq{`u)0Q z^}5(U5hd%7^;4rCN}SW|aVDK zQJPsMf=T0`|AY}+Ft#_fm`@8j_4gmLgwVIhgvE;%fV^txj_I)SJ7>0u_wpc_aKCcG zy*7QUM%PSE*P3&-K=b^J{Wor)x?zo>cVI zKLDZX`c`!0+m+D9525?;DT(fD;Tn5bie*lufKP}BXY!I5&nO+Fui6Y}t|U-GzcK!1 z`rn0G4xRZ0qy#JtU5)14D@yn1xA^jqA>LvMi%hp-_qAe!nq0v>;cF8;E5`L1pSVu~ zhU77N-VOeIrHcF~Nnu2U7KzIpxy}`7>ObndRLas6(?I0({%cx{h@Zzf6|wN`$?vo9 z*~Q#id};jg&3hVz_{s3-xKzBP^Lx}IL9Xhnlc_{}hjS1A-#;clzjpXg{T30BOirBC z4RiDUAI9w1IJ0a_vdQhu@$%+exKGcEn!4yninh%oqKvdB!Dr*Z{YP|B2M2^qAFSZ~ zg;qRQ65@9{5mp;bjy^~8t9k{XN!{$1Mz|Fgi!3cG3vtb!IuYd9Gks=@9KIiTk&Lq`eNh80XE$RLKhh|&0{XD-#Ai<%nFRxl{9YBt>Do2V7IS)O)XmOQToD^K4=zRYuK8s&}Gj_;Sag}Rpj;MfULs+UY0^*FAQmbqlF7O7n4~hw zske^{L<>GH##c+b{48;#AR`3Vd=_Xv7+wioUEb)L%QxAVu)O(35(N8yDXG~BV^7z6 z>*T(jqejohiadv5)2nPsxi-K|5C~{MnR_c!>{S1=_7#6Pzj}eTGRL|(Je87wtB8B5 zcE3vnu`}AAQWxB#2R5A1dC%M|Oi;S>_M)cANpkC}4aT8y)6}wPA3v2-nN;y}af6{w zkK^|V%jTHbXre8Mc1BJH!dQ!P#Y|qgC?$pOt>4U*gWB1>PuBW>vgb>!tTbA~WB&$j z&6_9a3eS)(T+PK2%Y2#0WDqTUqi4Fe*$7~r0{Vi2eN9Ldg?kdiM%P=lJkSiMkJPCq z-ANLJvf4UOY8Bq3vS~>P!HZsv7$z=olyo7oRrwt)-=WD+em)J|lw-Y>1?l7GU1o(< z4*pQo+QO(rA^sx_@6RKuq(`Y4znKq)QpwJBV8bL>WshEik>yd6943b+hoh? zTcaO$on|A#bmc?-?c#uGNrTYz2(>f+g=&-dkl`!onX9mXp>N(^b2O{nGLo0s-PcwG z-gC((#a8J@_(M)U(Nz(#%KPc?LD`dA8F;mpPS6{eRPmp`x;;tiA}sr%Q%8^s_!}go z$kE3u?`x%_LD!Lr4(@q z%iE84@5Lx~LTrazuljnwr2v4#dEnDy84Sp)&TGS|y?jTPJ$Q2tX7b+X4${@|YH!`I zCp)J+PRkII4^Q@kyPx61I*=SfY;J#7@2~BtuygyoJk_fH%N(Qp$*pcSw0S~`yI3U# z6xN=`a5y7jcpJ%8_0$h1Xhl?#}J+oV)J2e}EsrT0=A4Z|z<66ny^6Tar;}&Ufd5LsMAh z#x~M;@a-K|n{G<-D{y)z15mXW!f?BEGOj7XMJ^j|n9G%(>wp$pt^^oJxAjjQ9VR*3 zFBO6(Ki6yY6@9zTx;_glgMM_}QUHY%Kz>>F2^b_#`#%CR3o{Awu~krXG{OHil+69n zCQiRf0XVOwN5HrQ(YkcBS8X}Ka?%semWtkTOa0s?eImqV5BZ!nm`ZREE0{)bA8RFW z={HeMCf(nkX!&NG^ua9Kz@Akl{GDFS#E0My#6L>nO9|N%c5HUN>>S4F9$sVv#eU-| zKkq)Botu*Xca>6G2T%dF$~6lTXkE-|WHEGnq;58QR7e3#*jprjeq zY6Tr&RJWd9!h>xgag+vE>MfSw$#4ajCju{9o@YWlk3?D~j<7Q^05{#&&M499v-@U6 z8(5In$_A0N>jB5(?AAiVqN!&YC@5+3;94oaDv1sLOCK#26A^Wn1SmWX*S1ms4;R<; z`gqEqEWuF79k6f{ihhVsT8UN1b)c0JsY1&UEq`lH7O>oAnlwF!vh!SNwAK|LT)F$DiTUcpqj;e>55O0} zw;O%pM4~c(a4yzrW~tjvq_W%&k4H_>mcDVEM=8OJJu- zsw`zH(C}l$+a}>}VYO*k_#_Sm4hx!d=57w{UGYR_99=1CIA=r9@O}i-n*sA|nI7U{dTV^z z^#^z`_ysMc3~2V@Q%(=k#wptxt&CVNjW^QxwcOyeqS-s09#_!PV5fnPptzYkGJG2j z>Q!4&=XXdUb3JJ3mH=87J&N;m%hM$t^~C&H@@1`}8mk88OKMfi?Yz|gumC{pywt<> z2ESG!OyKQ6?h0fXr`x2yR!M$80EEG>7!oK;E^K>hR-u@jv>aeL6mtM79Be1kL@NQI z`YQrI&aQ4Grz9ac7wvJo*bXSGq?ua|>tCX z5wmrM(q{uiTG6&1m$c&4@PSv=J{CC3nZxVcIUU$Z3en>i1&nS$MjiuVJ&~Pdl#xOb=|8mS-qz9C zy2<7TWk3*mAiuM=unrm*p$nPK#W2{&4wK_T}E9_AU;ShE3!vGn}zvCVQp<~8c&BI@bx=LZE4iIDHJO6 zT1w+)4P3#6e;fHOSi#F~1UGFd3C_8|dKNxyr`wj35VX|HGdfE5jxG+r(z*k9^>UBj zI9wP;j~X{R?vO&=4>bWa6GQKl(AibDZ0MWfGv5hGRzL(LQitOjpUcNyMCrUSiS+m-(3{&7e`DBcJd9mweGUz zUxnl5gKif^ee&LET>=Y87>KYDRW^E&WwLBPP`RCG7vCEw>n>ba>(2qCIUap?_Y{&# z7by+VlAQIB?jk z$){e97B|!zG9BNoN#FF&xTRRn$7Fn$E0jakkpT)seupzW*oe$s{Tc1AnHm664QIYF zZ?^!-b9V&P?2b-TqJ+>!FbEQ~fu-Tlrb#dpzOit`tZ9gg%^4`SGP z(EM7>&40);a?7?|Th?jQhhi*cR&<(GN1@I5w*}_Eu8}}Dg!Gyoydw$$Z(A!PEO2pF zI*CxoPYyWl)DMM660TYE1E*Q7y>1-=55xG99sukYp(6b4&g-q~WDUG>%w8lJ~sO zVOIYg-b)Bl7?ZZ>m#2zSQj-$=_2HrhDPnyhNzq0cDNue*>m)`fuH+k3CM{nW77X% z5r!tD>&7GQ?}~TOdKnmS-$3FdC)j;`e_!eU-x@Jx3-EUc{Y1)^?R95j9YI~}@ME2w zb^p8EpEAx#R`j$g=L83q3+xpD{5#zjq4f1KS>vI9nmjKO1q?gw^X&CiUUA40fdaMA z@ti1ZN+4-ZfQBb7XYIQlckktX5rO}U*+Fo^sO+4qVwCpd52GLC+5EH ztkNH(o{-7_rwY~Eh;_>7YDq=n7K|84`4JKO(@AL zkXME_l=tUr)NT?9n&Hp#<@`-}X%Mh6k~ypU5fs}eQv{fStCd$WAB`FQ7JT3Lcv<~D zIn$;fHs+`@fiZw7xLr48e>lTVbn247S7BUvt8u*2<9bpK(mGVD-ux4siwi-xcH(~rV@xwa2*%ulB z$1D>=_-m6mGq2T}b^1&!=ndU+lw3^KtlVZg7Y1#P_dlDR?@5K}ba=x3;ZY?ZJdV+T z0Leh^Y*vlsRF6-EP_KFhq^9LZd@;%5VZnvHfZ4V*f~*Hc+SC=X|QU^G6OP5%?F zsUMg%rj^puZLkjhX*tnB8DBGS=D6x2Zq`_{`X>T_BW2$l0ck|Y&2Sf~L7PLA6VO_q zM;GAKu=J!XAz9*Tpz0X_)K?jZ!Bg`04|mb3K0EU zX=-t;);kB;Gj++F@JkqGgShOz!-FT_fur!Ys-jm%S=2z@vs6y#=vn{1ZdcD_sCb6x zpFAO)x3$Sjbb;e+=Xa@*70+)TGS54Kw$|6HZH^7eu8}#@e1mR0;=$o=DP-3t5yn{A z#3BHp5h&1Ek!lIMDMHbsc`>W99L8ttP4`0bVL;s4rmD6x#cNTTufJ>uI4*H;kmE)4 zY@fLQA^qB_Pf5Gi1h7&;JN&FBi}TK*I(%*jk_OhUAXG zn_Z($R$O1Y&2gWnJIFioxk&kquugTBH~VM<$W}^12+&D4No^*gmulgwfKZ5aIj4A7 za9xCvL)j7JBQSj>>x~_Ev$dFzNopm;X{Qvo?6KheqxZps;@286Xz7_8=tR2}klr2; z)=a`nEP?HQ_ogyw95IjeGVZH!zvA_7LSU&M0G99^v_XC62y~A$O|GJ=1u9!$jdusE zJ`}v9Jy;{BT^6VT5gAh4eHKbO(UPFGRd=HUI&!ilSNOfz@r`?Lo_+R)?iSfp&fjq` zuZIn&F4CtxA@&w@YX5NBc>9+17FQGTVdR_rcfaH$<~UEn07lSveQ)5_>yqeq!*3@6mxwQ)${t)elDcOOVM7BXYQ^~D7-%Q3j_!Y$P3r7h z6Y0SE2)d~T-|87Z`cd&7$AvTFB@83dNK6^-nagy(%mf4Q5Br_J15n=I!MqNr1Im)| z!K~o3dlMi5V9c{iRl;&KKO{bEmRLCVLt!jJQk{_fbK9N)NyduGFF~2}ishR_lg3z# zp*dn;05rr3Oe=*i?gm`96lrM93n(2;8Zo{KqxKslJ`JEzuq?mY0$7ozH!NqX)71}p zM(1bk=3iH7Q?)v=L4sa5ZKwaR@6vSj@`B0q4NF`wJKB3RsJHA6nA!m#sLtnRz z9n5zL%3D_AEzzP}SIyX)7VOEf577BFx!R~j*RYwZPDYl3+fVl(+80}Y6cS($TsGp?!ga_R2jL3veWcNNI?w>S=et!D)cc+c<^x+4UNQ_&{nPHnqaBkZ@n|H%% zEOP-y_ju&|8f(a1)y8O8nGvIO4sJx|F_MHQ@q=u5t*u3;CwY^0Ra^*Zl9-*+ z10mlV=hM&p@fzcd^?_>}A5lT*Z7N2RAX&lY&E@!upB5FASZ$Q@k5qS$hn0E}$8E)0 zAbdkVLaJm)3xk9=q;3N7+t0L3qucggQonUlBG4<*ksf6Z2mFKe=c3*|)TnUc@GkEM6 z+jkm13Nc2U*yghcj%eM@O?-NGtPzf!b5N4TpZ~I7fW?ibcNSwrTE2g}<>pXA-joqc zlaKYE@t2TIO6Sw)LWv$?Ykl1hQwG%75iQwY@`vgbC}UsLlVZ=!-;)<2&*Qj&g1!`8}14#k*nu-uR_lUI=B z&tv8nP|IO7Qk1OYIkk~bqp zTTiBUNznM}S`aKf44|x?PCoS_AkfBIjilEX%iX9m1la-wGC#3Ce0j~g<@w9q8T^d{ zFjXs3HlapM)slb(gI9pj*6E%T6CS9fE5X$U#n@qNhd8{>O}yJp zt9Z=d2MIn)9_-k<4MFa~f0j?)S7C<;Sy`2ZNhUIo?2!ahsC;th<&P4i#*QEe=_!qk zW4T=N`*@FJv_XY@w~`K9{y$UvK-uFP<_zL<>{HPlz|ma?Ji&;#tV7yELy?ksc69ki zG}8wUK1iZ3NJA-h(avAWV%U`&gaFKsE9Z`fB5+@pY)a<(+LYEKhoC?}N=47A3GHws z;EY{-+yQ98%Pe>h6w~bi@aZlK8T0~@Q#NZ(#mH(S2xtBuDR`QkR3yYXb$w#kASC}* zjWt8;J+PQGp9MhSt)O?c*jX(-!CjGB`bIUX=;S2)eE}Wb@>EdBP}BBSXeI$o0Z@EgV8_pX<&iZG6 z_0=#9bl=zxDQDXm_0g0kh1Wx%-~3$dmqHI8#PZJdNML@Y@7kKzk|ojB>iw8=PZjR?tjq`6me`1u#$!f)0)| z|CbPK&EZv%bxZl>4FlZU0=T`bG1$xZg*E_(FF^1sp^z_cAG~P7OzmOh0%?A8KbRul zO-V6{1up*Dh~L@2Jh;(u1FSub1=|I#rX0YEs-2z@^#lZEN*?_I<&TMpM$83nYy6T$ zB9JSri~#KjBU@+oIWBFpZ5qD2`H; z1eZQ3MS||A3DDAnwS57g7o#Y@=P#53W>StEoSd7z!9#+`!9(r<*5e0{LOq4|4{U~( zfpncY6YT#G5L82_9ztT8w*;r8^8;9N`~c!uo|*#!xIz;fM$TsD!EfQeir)6n;wa5U zQ9lkFEoOL-bv!1hBtQDnwW0EhrSHwy9}o(1T?n^D!JX^t^v0vD*jE>S{bP@;E)X+!BRL%t+X9cH3dKldDNhSyTfC@_aLv>pd=+Ad6AjG zO-E!+=BKHQiB7^7pMO1`9sD<}LlP5G)Q*T=LNSlYPL{7O5MQGl;7KV!eXV@%ExCT< zXXY&;2{xk3SL4Qd#X%Z@=|0Ga%~gJK0c)p7C0u`-hret9lcCzOm@YLjH~tDBn9rGz z<~2lnk1{bRQEZ6vz48mqtT5h1qshhFan^f%&7r%uw{`Y~)6?a-`h|Mi5dxuw)Bbm@ zKe1F5)bZF9bcX?B1w5V<-oa_gRSWedaF4XCKgS(ea5rr_S_Qzqes zoy==y$hX*eH~o9R8q^enNITis!hkAVZkj9!6=Z~$q>q^uq$w$knS&0_E|=*^wmJ{@ zA!Y-FYG!fIeJRuM;N3mxnU6YK5E_Af(FO;-s2u-C?33mCBDZOdYT|o8d23i^LDE9r z?ccOqugI|!sp{P|~GDwIE ze3XX>ge%S}&u5#%eZzd3+6>;4>oz2c4|nxQX|i|Z%mD7rkE`n*t~s!PE`1>70fQ<3 zoGeBC7-XW89x!4&u*&=x%+Fo(A;!;U3X*{wUlR%JDg|h1;i!%Oi#XBf&XypaXdETj zZ%4j6j9Us0-ClX~3uz!1+IWV_4;J2}OcaFWAVPpFg#B`3Pot~vDb{=VY3+r5YAo)TxfTX-G%al1wNL83C1!V9-)*_ z_kl(WNZZQ?Zt$>#+(aVaLqsmAEEDx=XBHo}L=t&(kLTv(RJk6*WX~v&mrwjSVnO}7 zOTUqjwNt=0BKL4%!xcY$aBa>sCcq*-tT7Y&_w|S9);E$KjXRQC4w2x9;Mk2u3KAMU zsAIY<|3u4=jKd0~)_XKAElDizAfEU~+OAQ!562Gjw-OEb{%k^dZGMOqH1j~TMJJnEFyPd2+Tg5ppvL( zz44}+3lWLo?SZMfFQ~?bx*z>fx)s0C+%(|M5-{g~ojdG4ZT4E>>+K_iW0vI`x~qi5 z+eJpl0@wYIhE%RAJI1{K3bBONXq8njQPR^RX`Rg#t*h$@G5hbCEkt& zcg)SYCq_t7cgJz>w0*P5xqJnVwMF-KyRsimb4Qg2CXI}x(7-gRHFr$Hm^FD|IxL(~#DE zvIiCA2XwM#tUjBD+~AHY5Zv>gJBZ5-@eMx}FV1%ukLf1BunRJ1nn`V6LC+G7e1d^{ zHdt9JFgEV_nbYlXwi6LZ`1CJD5rVQu*nUjNb~L^Fd=rbKQwk!5el5&1pZ=njTcf1IbZ0#zWR)+u ztc`lilaR9%&h@%&2jC%k&kQSw-1~c{jsotXyV;1GY73Ie)96wgHy7KecZBWmz&7VL z-jydi%vDzAxq4Ok{$liz zJ*CA?&k&@+ksz-H`(-BIridX~8Yb1T7B{K<_^et2s;O?J>#-KunZC8JO5HVvN4XHK zuuzi+=Mo2a5OeArMA^y67lV5;<#AW|PEnK3SGb+iIv?*xSkC6B_7zy&qb5P2&k_XD znG1|5n%C`xThe}f3E9>6LfaEc8RJw?IH%Z%kcSZ}=tb>d!N=@D&{A0l5&ujW^WQga zM4@KHta`m4iV*#fOKnJ#J}mP=HYT|k1I|hn`xomib*c1K>0xrqVm2M>Td&#^^P^EO zAn?V&H=|u5ZCc21ut?fq{{=pVM>QX(PZxh)=`TAWGQ=z}F;8w@t3BtP^-_0v&r_dt z3~5v)sPkm8PO!pm&45KhZVer@%5$6Jik1h;rrn@a(1F0yorw`O0ucuZyXqrM}>Lw%V2Ct@1tv_bb9V-6*zsl#KNYR`N=+mQ5#>u7H8pFfe#vg^^C5 zBD>SgG0|tG=9k3@R4{?4gTN}u-N@0z&DzA%8dV`z3R5&b>DD61P2G)>J12x@@P>lj zVmA`qb?lJ;Z-U}V!odU5goBXLfjY9con{dQ1}h7oQs{BnNCm&v^+=cX*vu+{;Awm* zj7u+pXNyLuW6(ssX{-CBkT2e^JZz+k%b>0NJ{oQehus<7DzbzAMr`l#cac2V#TB&1*G$%>0!g%ePBfwG4Qlt%~!PfZ;OE}kifZ04bnfe zO2Y+=;VpYHZm6lbD65w3Kqc#+ydbkrIkb5d>rEG(sDPDdt&7wfK&UB4{vHI$4hR&! z-D(Vn2iy}O`zu#v^?x2C`KVeTr(tK%Ms}fe7r)_)DYSp5>sp4e+B0t;ge|k63~6vb zUDMzOHCtlZs0BC*WdMB}WvL%<{3uNq4uKcY9y(~$Y&|kU>S~C{{Ie?cu^EHeYi`l8 zRULZ@lY(YQ49n->WxiYoRpY?d|HHraN?vx-jkGSCt9kISzxY`t= zVS=U@lA8-)SnzO6Va0AY*AQ_htg9qEXebtRk@@W5f0`)zCaSR(ww3)c*oCOCo6gt%ipl*DF1x*?M zX5c-1mr*t@b0b>~uu;x=p_Gm#hSU0meE~ezY?YgAYzqEfawr_=is+@h1E>GWvve35 zkXSP=OH4@#J8REJKdhgVxm0H2M=iY6)Vdo4->;I-!Hxsqiyc9#aMczDF z8y6pxAR^@Y{lJM_w-liV8krX!K5}w>JVW}M*Ztu+?md`f<}N-?sJX>>!;u-+Vmn>K z$?OK!Y`yTzJ+PpTxfpU5pyPL^tFJV;>z4w@hN;a#r}yvk?_^9I#r93dMG8`j?18G+ zr)tM7m_@6c&p{c;-Ru>g)ns9r_mUCf6LTV<C2AQ;&1m}mA z>x~`D0X0X?Dav0<9i?d6YTvPyi}27)@Co&|LRq9)!5%}>JMnfEv({v43^5^0-E z{OhB)gvHa{ zlp%NDW3`$Ym3a?Me4-oQ{1_u8Jy0FIM**Z_PmSJ;PwhHUkRy}0QZ0xuPLB7cZe%BnPwqftGGN=o5c37AXpU!(YP1XA8uK}~`5P|DTt%>)>s#qO6D=DH&Vh~& zjhZ<%sM!Wzl9s0T0uGWx_&v$wCzy`g`K$QRJr}R_lBBPt96WQWdcSi^j*2j~rMlD{WR$<`WB$BO-&GMBylA2i}G^+xagu-ak|r#;fEC{Li zPT%9?6jI+fq11Hq#HU^~`y`w|63dgm)pEj{z>=Hn(F#!mZ&@=$=s;Nr75z*0D}oD0 zrR>OX5i^}oM;eXK&WDDy^z=MKu_24eLU|#50T1vAOo?a{1W>;iWz*pqBr(A*buYPY`rX zT&Der9H$ZiPkYdd?SVA00GN)q4%l*uQsW-)FF(SZd;Lylc%5DnPmlcm{G()kkkI+w z+cS4Tp~*gtOI3I|U3;GUvFRL@@bNf5x3o3K6?sUD+wR`zpIf4x=9wCJCb7y7(K5X< zva<6bAWg^vHXES^JqEri-sZTGZK(56nPt6mX9CmzmS|bmB8^Y;y9=KGdK_{OhVfQ- zPa4D#9yg4#`+Qw}s&NFt)xH&_U8r(k66Q}D=QAfmoN%ZtZEWQFdLawiboZnfhSBoA zsX${79`bJX83tG>o1u%iF;j|44hHN@HD>M7`@0<;7lCT5NI_ZNLXOcK>y@=P1@)H*$dHvcDUyJ7bJ>Gy?m)z{$hv3#@C&oSKe(xrUx z5OMMm{Cnf4WRFZ{< z_$eVnFeAhv5YiflSmWU=AiU1SjWX3mtu6C17G(JKJCEe&YCC8oO}z6Bg4s$7wfH(x zEn*y2mC=g3*k)S=g|phs=I8Z2+3f*&Qqh1{Vua986Y{Gr3Asan;411H{;y4V-+&1L zjG-Y;bwKG0i0d*9MCd^?o~$y8xnI;ZU(9FSV&z92jp(|J?g#49^U_NB`{mQ;vfJl3P|1fzrw&KDNO!*D}~C`L8~xkfVQ z^QZE}ZEFl9G@0TA{3OQb5MV@(ykT89eYhY#92WP?X+JLf+*#Qn@!-(W{a9j(W$LAR zsVG`gc;qWZxsdubDkv$o2q@y3rInAD<& z4R>pLlquiTKJng|Fo#A?jBH~32kJS^^0|a+u0{jle~S2Difpo9IhrFk-cXn3(zjuW zO@S*q%YmIv7x8)F$E*NnKDmZkZV<887~w&qb(xc%9cH_Xn0(6`p<0Qd7!t@jmV(=h zw`JnpznS(QzNv^!HEN1x?;aml95~%}(O`1gu^|wzTcn}6-`r2rfBtI<9D*;Q`#ERv zL9%^V<9%+s*ArjwkX;0D-eG3$MkuX|-8B>h4RtM_HBs%`AXAxts{kB@62lH15P1&^T_TA#07abR!HWo7V7uSvB!I$+f5-%pU=8Ez|Y}zClx%`Nqa=kQ- zcR(;Kc#{Ea>)PY($m2})Km+=XD4kH0`0zaEVqq+-u1iyv0AdJ5Vx=}fzKxdwK2Yx3 z*0gvyIQi1u6bcQcKVPHix8}x;lMA5`^O_&3-%o1~(f@M0?S5aE5X9=TH;&KQ@s2r; zl28SCy_4oZG%rXwfgtr=OgjrrI5lGRN|hIn3C>pED@l1z*ks$6tM0qO8wZKu0vT%s zi|vD2%MZaxyzX9TV&2#LmQPFAfVv zJ1P)cJ!wBADP9sEt|^&3vTQoEif{XUMKN=i$fMSA>-V9Q62c8SpnX6Ijz+I?n3IJ8 zU#?}X3|yC-vB%q)e*dZG%6Y8y(bc9AH*4kW?^(Ngwd=FB{+c(b^7S*epCDeBH+DB7 zk#r5)&8`9RdFs=eT+3}(F}Kd}>nt8Cqm;fM6D(~n@w&|Kn5_6LeHV~_jd1dtP*leZ znsTT<8rI&`SL$khnO(i-i3emcSLhz$jp6?k1b=kk=y*8QtFxU(BWye=tdAk2$ZU;7 z28h<*JFkynXqWs$`Gb?(@9pCONAW!m8E-7|#;9G`C+`LuwI;*;7~tC7NowZ!yoa=z zM6!`;$yPELlwd*3D6xZ+7?s+HA$9I}Ei<8=7We?AkOU+zCuyakf(=@NAjjI0<9xEo zJ);Hu@$!H^!Pw6dk-ucp+II%#Z%;2}fURWYm@IMbQ)OTh0zuupt3Np;njL7|Zhse% za+~ikuLvAv+7{AWWnjRGWgNisN9TnvzQ%=6pK(b&{ss1%l9CFMsJ?J1U@Y`;z5nH9 zsCwbipOYp1a&#bh89C3(FiW}G;z79Wp#QeM;g&KlJC2##DUW`l*FXS+_4`c_@3kd0 z!PJfL6YD~rM%E*+nd^%J+5q1DijWm>RY8q8@S*x2^s^Tf0jzK)ZhmTF?2;fp`~VzE zWAI_XU_&RY&2UcU#XzKu?Jv$r^HelEg~Futmc?zK??%0CV}UNCw!I+LpCw{eMc1OFAYw&A^W(S|r8~IKTn`S_z+)iR~2`l`a61HMz9+ zZX!~v#oxW@UgQIR&}n5_&^=tz_wR%?dodj56QmLoq;EmlsdPb(km?>zX-zMj*Ts+0 zQvT3AZ{lu0DDjn!zHbv)ADxYC3ezB?&Yz{-OV` zHG8y<7hc3Kw6N{GlF2+ZdCODnTSE_CZqgNF3`J7xe4+R{ueS)%wQ#OVt$a~y?) zcM2OV^pA$gPor(=m|SMOW;0!?5F@}KzG$~t?5$`87P8jFKx(y!ojaB)3=wl^f{sN3 z|I0pl?cVjA7JPP-??^Jc67jmvCsmlrb%NzIN>Sd+nI_PH4~S`_%k!QW(9|nbRLwrB zGQMX`UXJ6f>rTH2$m7027CM@}wFM>Ic%{9|!4_GPs{xJ_z`^uf&oUQ}duW0351|wxAUL{hI2uBo_BY`z$`h z3y33q+Ggxo*CVHD8rf>Fqn zhNWfEgH@bd7_-IX6oe*f95ILo&+5mj>tTm(hsacByYVpRfW;IRQYS+UdPw*)8aNo+ zdb~mb;zjupcWX-a)gKiX(Z+O^^2yOGm@mH!Eq>1gW#sdoyq2^aU)iuYK3}YJo!}^yh7WL~LTa9Bll*3AJsOPMGcy4Sfp9#H+N7heMag$GGi zN-2e7kC5MuT7{9c)IV>oN(>v*Zu;%wpd6odc`k^;{JgLv<}e+M76Yd3fR#)i^B{Ml zfmLA%X{BZCh^nxJ*?X7<5=X>`HjWX?G&mIUt2!b)Z75VIcIWVTN6NO*H%(7Fw-L>X z>{eb`z=_Vjh4QLBeV;uFBH{{xJ^hp#l=OxM0Vcv`z~5Dttf&){1W3CD93t7?d^SN_ zq!bS7GH8(D-O`-^C|t;qv%9+Jo2ezlHE+8|Iu3uqUZavAwo>N@AsRTv9P-?hYz8wDLiTw2 zTTfJ~>6J(Oq#YAFq{UU2!$6=2jMja%cz$G%;#ol0K2jBq$_@v?0s1wumdyc`wseHL zADB^eXbkFfFo=*Mvgf)lago^i8cOAvhcO|3*f0$Nu1|kJwLd7{c?}Fn6eTt_ixIg> zX(NaHYm#{9dUH_w$JIYFgghTKpvUh-@+XNk&O3%gQp1!o5fG~M2TB^UZzX!oEb12Q zQrrhTQwwZW{@v>8g;$2aMC%jj0gEI*?P`cyG{AG{m69@<@t%f)ikN1XKm!rx2H%bd z5)3-s3t1NX5|kYlspfZ5998D14C>rpyt_-Z5Wozk9}aZ?t+yGwzd#Pce|uyroW*7N zx|OOI-l-d)_<#QNm3uXKa*2cwFIL&V^Evy$uXHMH^Ug42+_2TU04Xv_a{F@(PX<8l zO&sqhR(M#Gk{)J=2|*Y40;aQ*Zc7$_8{itKtJoz1ld!Q(GOMqeu^{^Gx}U~L%Yd|p z)FO*!T+VmS&!NB1BwdE&jAu5+%wZwXNQ4<-ydOVC0exBwvW+|2nO_Q>Xa#}Y(4qo& zO>M5*aWQb~A_bbFbD(mi*lgU|7JyC}AqW|VZrJXcsI3^URq1!a45zY&nVT|fJ($}R z!N*^AaT%olq=2Em07XoQn|V{v)C3NW!v1*x`}L__Guyz=IsTb)ec2&=Kj_7uv&_hT zEIB^NF0QXBvH5a2k(j-wrt#p%iItX=9Cv)|t9zxo2c24#6Fr;wde6r#t3+pZMYP>f zkW=&Yrmu0W*K+LxW+rzq1VFSGeRxZ>0o8qzlSl@w?u17nlmS*St30~hZ#3`c6cQgy zL=|6z0N0rqJablNCYNs$-ej?6`Qc)#C2b-O?7@d;-xB(@fq_5t-;?IV$Up~sdvOOd zmXWu^$xj4wrG(UMKWI;DD>)7HJ0VZrIl4HY1G7B>8^5C)U&ez*JB?3k5bd~S5vjz)~tbK%L9YZU!=H`FYM4$xbicsxop8B&k^?5bX4 zbX+naqfj-O(Y#Xom?%p-$Hz4KB>eixt3Bpotpdyz_p~4QQJA0i(#ScoZvG0ATV{%W zdsEVQDkhI5ukKmG#W?4_mCXJr#?5x(| z&1$PSQC&t7CrK+y(cjWfKQ>}P(9rwx z%|{^7NiiAXGH#U4*&*HQ^Vlr?8)6#<lUSbVdbDB!u&HrmGGTo7^zqKe z0{5#<;^Q+anQKK6^J97&LWdb4Ki?$d#|+HGd|^fEU-m(JS8mW7^LXxL*PixbogzM) z#MfGv2RS-#Puk@Niuo}ECfH8i+$+JRvOpdph`KtSPQEU$9sEfaFyMGYgK?#-9Z<%( z-D_ai+kEbT8!X%w{jHhCYbMZ~R6RTxT2RaB%weKuw4njsXz(~1x;tJmI3 zc~E{28hQnEkZcY#m%9t{8F>46)!8i)F}jy*^leiKcbJFinDzrZ*M&Sdj(x*tODbp; zwj)=EL<^X%Y~rARGKLlg%rfb;&={DMdfiv=#lsfIxOdbKG3%Sr5<*9c%9C?u{N=wl z7Cegqo+&hy*c4|QbBZ`Xa%)F~KA?UHaLi@At?o?!QUGL?qmw0u!!&0HcB_Q);zG7D zBiM9~EGR#Rmr#%K^B)w!G_V8rV0U}>T-yNy6Sc$BFP59mTMUU~XS752$k|6BDEGl| z<=pzzca;9>Pt=Wy$w9KAB8ltz$4dE>m>Ak4zvYgjx z-`Eax4HclG$v0h{FwA2Pxrk$(YzxwuWYInrnu7rpv8;4)pI#TG2fIpUiaIGlC7c+W zgwgRfp13wVgdhOSg23F^Ne*t1!|2X zM4#buj2=gS0hzav08H@fE8{VT0j^8V3-pL+QR|ZDb*dE8Zo&`&_Peo{9A>+a8}?OM zciNQWRojOhz9+|4*B4-KH>+$P@QA!8OW}lsLD?zug~~i5$H?&-9>vOj)4~WP#4v#H ztOMXfRn;Xv|8Rh3mQA@!d>=+6o8!{??fdhuv)i)In5~9=IsI zG*0#J8~z7t=+ZTTz*z1V!4`9 z(INX7biLj-^1~gBKAoB!R)`SAdbQquaS()Zj9f@!U#6_K`n3NO*^zVEjGtzF;*RRy z+M>bniQtA<4BfhKA(wPLsft-aSTb6W8J82oPM!N`R;}_#w+ue&^m&fTgSp7kg#8D= zi_r2AC1o^^5JN(6Fy{c6ZD^rwUiS_EJgipo>BlJre(39ZJp8Ncf&h)4?H<+(6bo@# zQe8ZWbD+_WERWp96Ffmd?uqtU^DIbWvOh435ET)Lv@u${5)X73!xxOfeak1o4*ye9Bqu zcD$Lw<~@nfaK~oGgF=YQbS|6~I!&OQ%wxx@I}F>IoDNGnY#?D`LGOh>AbU$>UG!IL z5Fgt1_6DudPN~XWNT)m$v$7K;<>%*62SV5YAVbT8MUEXL9w2uA;JKa9 zi1~6|n4^Bc`1YE|(s*(N4GzQfJr_RbO2qk|kTasBMf~>{rDF1H7A5lwn-L>rR0*ce zVw?1L!JJCQ*4U=gf%5lWQ(HQ3uUY*Ge=QK7I{z78#fcA3;ecdOLkfzNsd+vSN&qR< z7MntN@O(uSZC&xW>-k?7Q&u+mE`y-t!j>B+?P>st=}b1P_M|AQD&V`1#r-o88yhdW z9O8TaTQz%aC%K^bFl#!`1&nohO^k<+A0oK`aVNK$YA+P>rn>I8XHt4b#kcNSYmzl3 zQ&|tY-q-%|DAGUNC$CcN`=0mPGJLvgIWPEK4+vYIKFVyNSeo66LobF`PwU68weG`+ z|BN59xY+c&^zn)07GyeM{96{5)WRGHav6NN;CdS?l|m9;fr5x3dntV`Tx_9`tAtZE zzXu!0niE0E$$~;QM^MsnFCYcbyAu+$Tcr`ee8nGX(jaDzyF&tHD^03^qgW=g_|P4! z(nEude~)&gRnG9f9_4Vp6cK;!4GGk2p8>C+Ss6r5%12EtfOnzZXurrrB~vZoF=Npi zCPPI{gR|23?TcayhNarlCtK02^5X;}XdrnlVLpLKE6^e3X-~^xnf9-n)ME)LCn?;Z z2EEZFn1eh{5#pmH-&fJ@qmq%GQQKr^^0#IY!2m|#(rGPMexPqx?~woD0zE@VHTE-3 z3}(VABpWS_4Ff#wgFSH2USU}Nqqs-{6dV5iFg9z;@6yLWX0H0V>bwPZh`OeG|Ass*a^XDJQ;ddvD6w(w6In^IiIH6SWwP6O zz+=xBpgrtZCSBua$^lNhzoKL+pJG&SQO%ZCyU@o<(?kxdI6G|01w%lnpLafG_0p>-xC-S5Im%it7@Gv>J+S*w^fAx>;)fY`}i|%hx81$B+xuEv^48Bda=s|KFD% zIM+J&awX|&;cKPO6tK-T8;EwuNU``-(1_0W%;rEK_BMEc%K&e& zsmrSM@F^$>rC`(Vl>|k<&A2Q-;#90IS$w$KbmNd?1Y~WYNo4G9mh8K=j?3=fr~tdG0PdV@uf)eDPFnNIuT{LcPVy%L+N-@-I(TaxFHc>U z^NJT0IQMx>l*vMopSzbr4Uq_U5K+f7S6rXn7->Qdu<(d4m5|=N?q}ET0x!Cf^$?G zBbWR6!B~Y7eU!ZP{n2Nw5IbbPn%)ll79vq`xT^u{&G{+RK^Lyii|7c<%7E=7F(=5s z=AUVi`F(O&c+(-P|3qCieispnz;Nla@2`Kpdn`|ALb5Jrx>5dv4L^6-v{KHvLcex9 zjVwT!nzmeMB%j`}Ev=m5r&G-&O`6i8q-2NlJ;=ht7(W=TQJx`z*QyFdePrSjT>-YQ zW+}{E$Vh_o3bHEysnKfEFW4s2b0xR+j{N8(h&*SmR-?_wVm3`ctY%Kl-)f8bHH0tg zHUkgC1pYqnQ`nuj7d?yLcXz=eYS>~cOCBNwHM*_aNGN$Od$*(#44LFB% zJXdOkaW;yuUZswFH7S;D;WtCo>$IWEb9YiOg;hl}2QAP3Nh+pcH)E$d(Q8IN(`Kcr z6q(V^ZBoY(6zv)RHt?ZNSc>i8-ae94zfTSQsOtV1t!W z1h;-J6c#R%$lfN+P*`**&i;k`cMj}yC@e`u;UR1`(2(0gAa6N`!})D{H09lbkZ^{d z)WxgsoOa-AlVX7E_A3VK__4ivT0+%J64Q-9N;Cri%r(UZ4|7-C)(r>ZFx>4=5YM<_ zq=v-5d=%_)B(oHs+YMe`2p{~s4AjK*P=5vaq-YNt-=8${(@Lsa(5={|{j&R8P_kZ( z^EGMljeGZR3#~ir|B=Et6ck3zhm8BFHp>lPvrgV^_}zJvQQ0+056%d?mFcp8+q#B0 zwl-gdcD=UsgxC6?qa&a+w%F8K<>kn&aZ3a&Y4+akh*Vm5P}3_1rf9<1UamUdZ|C!> zE1iDX&)1WY*_}eB6B+W8_d=@0X97vdIDlvrlKUv*k?frqJ~SEm^;jO4{<{YvbjwM9 z#9a%ySV7WkJk@8C8dgzd2n)X?d?!%2UP_#`f-5ouE50YVrZA1AE%tSBtTJ)*Dald)OfJH~q+#;kdXmk#K& z)yCw%vh%)EJRbVi&LjDZQi|gey4DALzu5Z*iYPeWK_jrx*5S#$dK3%xZSd=MtS-743BOGG#3o85A@Wu@C#aM8ASuN-ftlx^{-y(9J#v!{n!fmuVt+aQopB2R>ls zY0qnPIM4AhaWF^!>zvEb} zI3}PRIcvl7{5t%Za5X+ePt~*=2Rl+rYzxW^qElYjw%gYp<#D zO?^WOH5~`cj?_J()a9Z2=GZgY0C@fjU1QHjaPy^0_DS}(xyG)UjDrS^6|Wo~6QO_o zRh(!@{<0lKG?M%#i4K63|_)h?p4HLEHn6a(4A5 zyNN75nyw6R(N3h9YEz?2GSk^wkS3>aTp~6zr`Hd-uX8mbd<te1F0+W;*%H3YmN(i?@ z!|gvzf8V-(>FqP{>UoMPgi&_<{Pm&ciNNHlcOHCSh?$r12FG_wcZfTcBav%bmDRH- z*zg3h_?<}JD0l_)KeZPTsLS(x)sbW(pULW=annP$+ha1O9j(GTRD@CPP`>+qL?~Bd zFVdGB8qCTrb$yYx#-`N2M#P3z?N&4;Ib1K7BBQqB^#nqSfI5+Ap%~3~wejoeuicH4 zncm#ulKmVCIyCcUm)+BU1}u?Jp3$AhWQmc?-BP`QiI@G+4 zOuQ{`KARJNw#`7mham!sGgRl&pF4Xf?jI?$o*FvcR}#ivB_7etpt{54Lk!vS@0{7q zAt>L*<6dPpp(ZaThKb9Nn|M{@1Q9qyR^j!KUVP6W1-FxptZXVxLM`dW74==bh25u! zmm@ha$=04m!0o*c*R4nTG~Rkq`2{$v7t=Qg%NU-wWrY}(V3sfbm=~tH_hrzlfeEN% zq(j+WsxRG}yE6M}X0znhS6zFbO$14r-z$T{_z_d8_zCmcVr5_`0FK3Q!4NE5X{86dSG93#>U^EYSDTq@Rk-uW-mRyzb}(*%$jO7JqM@I zmiMtNC-}==9pBh}I6Iyyhz{mUBQyCRJ{SLPp#+5gyM-b{=ouy-HiSop!eCZv&ai$; zsx|0!XECTY>i3_FYwX{k>A2apMU9W(O2_F9G^@-(RV3A@)WC03p#>%$ecLjkhu4(z zj#`!HSHLV8sqCG9)J)C}r_(DU3t3CYI&OH6WcJtXz8PQ`lyT=#sH{lm7+BIs-N|%8 zVn4J3L%3^n-lU1-x}Thc7XSsB5jJ#6XeymF*|y2s_-gbRGF1ODq^sdf8^~6^3=#_YrF1MAOGHUNbX;4zfmT5o;((#1FjBjbv1W=KJ0}%(Oxs0r#QU+mtalgIy5*3u6b6%tY!W zjj?U@T_YFg@gTeFU{cknlxQd5kf0P$Z}LdI8YMHqF4Jp7jlc9&o{p?Zuj>80=qLej z#<8z(uSq7jD;tQ5<`@E2F+3$38O6klF50BmcfZ6yYVJ1&bt1+2Y@c5qO`G>Xn-P z=nT$vuZCdNVDV<`mLtO|i98sW&R&0s=9ggCc&#!bg7deGs!mOLyJKVWlMu^7y!i=r zjYBm>O0GOCAGa)yWU_pN^T)#Sa{Hu+NuMup$Ae{WCaB*xSxG$!KjGcU1eKh@7%P!l zF{z2R-baITgBNfNkoaskY_TKS^7NXI>N{KgBzSqa*ApJGV=&$_ZiAMXuY;3@zJHKC-QuHIdY_5lX;cofhcv%sw}!3h z`Na#%SngJoMw+kpEFt>bU%fpBCtADLw8Td&_F=Si0a1f;UT3M7Cc^$#NFY`!+5HRBJ@N7H64gMyvRzk zGgv|ohiC#7tuo&_)V3YcI%q5Ev1D<1S{Onr7L(vzFaBXzE2Ys=meJ_e|4Ea1$ z^5F$p&EpeHN@>PM8r|qQUJL4|ONZ%_yd>@CfHni6+>7gcb&cfOr}+W z40LJiqqqFQrwH0sPb^!w89VWz-*7#B+JY|#LcZ-!7)F7)_*i5znmcwFv zQbe0uQ#N;^Fpu0BezeDFho5D8#l;6_VO`bICZuK`&ZiVPuFSaIihbi3Uf+LFvF z*+QvS!v{pE68f(vRe{%l#oFJEPUn>9KkW?tQy?sUNf&d_ZbNm#ofY zF(fC^oM{OqF1!=|gQQJv25qQH!A)U;ppd7i20G*Y)D56$oxmU5%0Yj`{)hjO#Z`eo8-t-&(amTV!IncOJ!44iA0IP7 zDK*$U02;p?P2rG8*z%n19?4*L=4&2^C(2Cq-7-U+HU==HA9(o~s53(`js zW8Cw}G&de-^k0}MWVZfm5FEe_5Hb~s(9I3PunOGu;q>!hEi+c>$RYY6u2~oPipZ!0Q^(C+6xB1!7gxkr|ip zl^7D}h^{%OsC7yYLpxuAjsKHi`u5MO4t(~tucUCF_rC_;W(|BA1#+yfYr~O`{QSNI zVOmb6FJ9ma#3grk=Znt+=l$@}O55-~cqW_+L$8xsqjdKi%80s*TUnSf(!EF%Y113sjaM1$WmX<~^eF{Dqx~WQ9uQUp| z5N>E%|SPKXKXbT3}9fOr#y&t;iI}K#ihK4ev;i2eR}shYB*6ASIQ$#Go`fI zslUDMX+tt&o=2XQ4MotFi?yIb_UIe9V#Y7g~=R2_Kb z>m#HamECy1`M2|~KXRQe)Bz;X8wx%y*GGh495$c8@9Mb2iJ%xDzszHqmOBl5Qokxy z6-y?V1tPfW>Yll0eE@Z)Q+$VuOa2yBRF|T|>=pA$)P3y0k$T1tTA7vkjzDVv1>CBh z;P%tpi7#efnD-m?&!%P}r_A6?yPkYUCZz8}MNx1+l1Q$3=o}o#EHu=uZAW%e$f963 z+usy`to$QqM$wBUr>b|QCxxUqQRaC zrSEP#7rl^H3TzfC&UxYs0~{UC6i+tA^-dN2_N4ERhesyW6C@=ebSdc;A~>E6>|1C9 zQn_#JN=G`k!8-;Ny^R4$4YxKqSZAJ}=1=UW<_f$n0~R~P_Groc@TK-WNblaOCr>)nUI~~KNr>)IO7r@KTjYN ziFpFcwT{H6>3hlIszb1P!e$ii_-t#(n2cSL3Ee33hQ(B@

    QH7Sg1oXMbWq{O1%?c*S=xnvA*FF`K_TS!YNid^2=gL7*|8Mt`4C*o?MM7& z1ZV*7{cPL_vnJW^=d(a(=6T;4qj?k@OZAx9;RHmG%0a&#fi}Ix3PCA2rt3{BDAmEC z))zF5>BW96w&F#6$=@nCrd8;4`-j`vJT%`Ep{V?wU;Y&~T2S1&M~MP8sCEjfZY;mk z2{YQ2z>XJz{^qz)_VA=$uQNK?UW%}*C$|@HPw#>!*sUloGE$-NBhJbFd4OKl(!2(J zEfBc4XP_v@JK5`=hcZxX0@>x|Y5GI}%qvWHJi^4q?w;n07;FFd8@2t>>2-=1nzLTa z$%>i3c630_C_GBk-C%tU8cI!Z|52MSn91iT$Yl91yBQ`b^62zR&_7d3kbd8ivJ6_~ z)p5Me^%;H#K8)UPxauDaLX0>=`$ImcNM^4(2$CL)IThF=y$XIh7!x8nJq}k^Ig?>0 z7U-^ur`&7z2Uvqq5(r4U+bi4)WZ0eC_ndU~R()8(&t8W~BnXL7=l0hKDbvT1n&5}& z^{4{v{B3G(j2KNAERPKNTsT7pwXQO60FYKBXV_(f={rcq{q0}0+a;LaV@B(1)n#^R z{#kE73d}s@v^q$^-1hlTdK$KfqHO5bJE8LXeexia&flV}$$p`X`wn-+-5??zn}>@J zi&(8I&fG^o=0KbxD!`B#XjbbDPiD0mk&gdVRzykbb!px)>utY3zIQV~dW1d4AHkRN zxPRI|3{7SPK9d4wHoTl3?@&wC3~LPNU_h7&cTd%k7W0}*>8f)9u1ARuUUv@_Lq4mG zK0?Q?w-e1y_lP^ENvS=*zDXCd1hx57UPuY6;@T3NQgpSsV%)+f3V0lea{0Tx{HX>X z@j37A%4aiSp|QF0iNvmlp2VbH$4BAIXTxH`S>nc@QBBPg2_7)27}#+PLrT#1L>T%TqfDyQ`OQ9O6V>~N78t@io8 zGqsb&yj~gXIf#MLfw}Wb`-kAjqGM)ta#YVx2ew-UnNoZNXMHI5H)Xw(d?RmHX}Fe-v*@8u`vDXo>W|Kp9QC;%zxuOegn01)H3sW@Lb1<#{E37s4<&~NF!Wjl*BA|VviyhI+x=olNulNzR*dp7k6?1U zh}`?(l;J;rpd|XPsc;3@%Pl6WGVIym(wI;q@+YU9wmk&49ny3`sy4xj+OAKYxm16A z4#lP3xm1+i*&1{M(aJfKNdO-l%>4ces{B;sf&+UK`;?*&Oo|Y^A5vj=&t$kBB_9j# z@pBu370x4{Q9L8;r1QrOw9a+iXEtO+Dt4>5sn4QwZ3 zuEw0y>B%XFAll0Tcn|A66umR0bjfIFGz{M1S{nc6O8rlz`0IJL%xPtiGJQ4Pp|VTm z3LHwi++b&Am@*x#RsK6&OH)3f5f6BO^!Oo1>wn%*k-+hmhu-S1T%9a6!w)eUzIl<9 z6_G+A5KT>@QsxC5DYme&BY9&}Fee`660Ws`{Re?+d={-y;(kyv=<9PZY)=AifUxch zPsCuWXsd^|(sSEbM2ifD7yJELM!{N(4(`12JjVwux!;@SQf(Q2W#CiUISKO!nrH5D ziUOz7T?l|FHS0fVIuJVK^DJg$hDyh-Gji)CwOVO_=DgwsYc1r)=(Z9+)g`GbCIT{@ zd}W3mf#6U)z^rYOp$2gEml!yvJruP`^WqZ;S^QHt3sA;XSZo^_rS{tdD0q?dp{05+3TXB$#cF0%o@HWUcS_MW#@btzJL7ZYvAF_@^t&?#vW5DC8nhXjV3gW zbJ2e*Ko@^40@qsX6^P|-dukyt&ArCPXM`;*u%crM?i*g8`M=po%=e*1bcL3Lq%;oI z_`Q;&Vq@j+{^S?6q{qDoeJ}M?M#O2*bJBD5C1?HkkepaBff_Jdcg-wU?LW7ouzAyd z%0R=DlQ|KOGq3Q`4XTQ^;isz>@i#!sKhi|iAi0)-{Qf9Q&C!jb_DUNIP>s(>$On5S zDk9}U*Rf7>GHK;K1dj#;UWf@_0T&UB6QOZ1LBc6_g#W?^%`$(UXnb_qIzC*?5vj6M zdtDI4j{;|3p;prf-DUkd{#H@BOd662eDlmi8baMiyn9^3{%b;`c~BC*(>Eme*@|h8 zBkc5Gb)w%YdAptvsW3Yd{~2+ESeAgx1&X!oPE%tqEWYR02w1bdop)E)Me#R%A4J=FmHGT6T`9;0XqVjVE20mv!liME8a2+kX6N2j9gVpD?qm$FL1;zGle^a11j?53^ zjd~TJ+41&x1`b#(_Pjt3A=uWICK438?@>IFy|0F6x0IO-81X=-NFnx08E2Dn0 z1wXjv5M`5_Ln3#dq-u_8KHQ13LeOc+3qhqq!i%+CsPZJrkB-b?T=g5&ITRhq!X$# z74w!@sY5;MXEgmNh~Ac0;6o`oug7(GjFwrVin^j}jE!Ep*6(%~Cb#QVT&?)rLG)y; z4qcp?YyLecFS0a0>S`M=l=95hA!WVOaJt7O^=b%9X8dmQM z&&k@B*`GlYC|XE^~Si#yKRl;-h&8VMuZrRi|d-tKE>w9R*i`tTVnozSYiM(z~VT$+AP8OT^zUuU` zV>hW{Qyh4hsc<8Dz~E^1W3U~S(~^Sr3PCVBc*wW^!76R)C#}d|@Wm*lzjf|swc;1o zT=0M#+)#-Dp(rtze;(>Ry1$5}p;oEQ#;o6M!0il*5=?dDoaOq%J#WbRLqT5YEzlwS zE7qvtK9X10=u6z7{BQQT^fe*8(7lRySJ64dHAIN`9e&Cb)C~er_2!*z1B#cNjD!t+ z{R$(w2_g6hPQqg#@2Bryh_SIwMkT07XqWnqku`LW4L&ubsj~)R$;~N7pX>=oAPhUm zSPAx|Pv7b6E$&keBeEYH!m{q@zmbFGul6n&fvd~K@lg!I6XqQ#e3oH3nv9WfPlJox z0ZUZMuXf$3HMWh9B0ZAQznUJo-P-_lq)+%QJ!_4M6B8!3*>?J@afF^e*lF=_FhcDJ zzt!G%?v_-z>(6oAX}9{)&bMH09|t=<_riwRa@H9Nj~Z8}(|c2y(wS&M;%DKDPg?)w%HqYE#(@2D$wgv<_m6i73xEk4A>*FvJ>f1a7U z+~Ef$V@1z7?VWZ`WGE~Sicg05tWI)_`uapZG^^AGiaj&6V!NVO63O{CI+1mi&f!#K ztjz@e2rJ59)=o?mc_duDc#K|Uc1U3iYy1WPE_S&=MDkZ~vm5 zZU7@;3$wAIj=^=H$e9}1W&w}r+l&4o8*)whmX41EXTKlO8Q?&yRf8TZr3^v*%^yPE z;Mq>H++ua=(gq#s%meUwF+Te5Uh=O45hhYr7#C2Y`&8>&12h?$&zJkTXkypNIlufH zr4k~yQzgf!{C2wV6AAZv;G1Pqe8EwB$)@;e!W?;1HcuB|(K0BLbhM)h8l~(4V7Bqa zhI*R*N9so&#pBJJ8e11gs>n(#jq=SX_cJY?LCd8Nrz(?8% ze07Xv-^j)fd-gmVCGQQU^ZBB`)hvM*2`?l~)uIhe>M7Eb&E$^Ce6B2xhmYI^rOsuvR>Wt~vp zK?kB_EZPQ0%k>Qlg`jt^CDU1&@3Z^xW*Fu_u)xUOVth~f9)eSoBM4}Va?d!d}f5dU9mKVk!OV|;PBPO0~ zKqT*p3UjZ2%!{|u-B@4C=LOZNBTLn_2QxQykjWivfn}F4Oj^G~y87>)lw!eDQZMZh zO;W}^*ohLN-G77p>KQ!;9Rv2XO_dLMUKe&yqg$w^G3S}AGQf@(pDkhwF0@FP*|~UE z8OBlxb2&!Y^MWz~S}>Us7e+^lz-JJ{^s|##R2^UbdpmiYFDd@8F3Oha)PB-b{*}Qy zN6w4xIEc@<0}FnOZB1YLm5wFMuibM`rwBFQpj8mK!pADnJ=^@ z`C81HX=h!C4NwkZsG-9DDFbv37Bh(SDM8l0P1epp6FaD!x5BY)CY+B0oR}>o zYO$q6%$Bmx?W+v1ZIwPQ?lXgz-W|M)qVb#YmrKMBi2vM{er``0n6i27=0M7yv!^UC zEAPHP(jA=nq4X>sJaLT5Cm`kV{btbDv4g+2H!@-ZP#y2jeTh$Y>bKa?&*=+WO2lrv zWZ?e?{x0Y2V zy}6I{J1*F>Y!wGOPMJCt&Ufw5__`KJQ8C!GX%n9d&K)}eemce+a2IKM11qP^;`}UI zz8v8JfoOX37XEG?nBTldX~V{iNK8r+04Y5^Fm;w-4z+8^3Z&h3LPPXD*vcql?0`XN z)uuI$oH&l`C(S@gYWJ{_Bl#X?c4%m!8~MYb@z6adivHbtpi`GFkfix@+0z2l6hDWO zygYi)+_4AE9hF#IHZTh1K4FmDbCB=(?LTlJbPWtpOS;11Y+u|+dEpY?2gM@C))hzB zZs4*RJ9Ic~mDJHdZB3)`b{CTk*^a5xroqL|9!(^h#E3|2SS#40GjINU`1{j4L(lI5 zQab$rNO{8^9|EFr{ozSC-YI_<0?kmDHh_5q+ZU%?)v%v_Iw=QZI%GrUkTeD*Yq8blKO%^zYbBKk?g`0m7a@dkuiAq z=n=x6Jw-CTZ_I1{OaFYLHTS>ttt8o)d~@l#sTn$V?kX5`i{_1S`joB=NXaT#Hsbx( z$n-Ud!Dr&xg$Xb-HKL7adr`NhO`8dCpq~q*w6U>8uikw{nZN#84~7OB$jKs!U9>mj zqjmb4BmqbH*~zfAxrly!B}GQPdJP2VjyE`eHQfu1g4#}74DEFYJ=&;ZL|-k;7;k_% zla6Bc#G{xs!SFqrrf>v`B((D9)^!(f-NYRa@7+iA%V&su@f2Z?AK}^Khku)1J`Y1; zTr?uXpX1U66AT{61{V@u>a@o8tr}F{aO8Xj*xt|27o%hczpGZQ2z9k>NK0Xp36FE=h$9-|2rR2?)%^2zM^|ry-F_O^40I+@gRK(%H&q{v;q}<3rN`Hi3vBpZp zDJWCAw1`xBdgE3Ukw6e_Vu}^A!}fJ5mZ$4CqsTWHF;)&(IevmL0M;p276!{#pAmF%;(KA~`+=s{8h$7HzP(EID;*-U65Qs8N|gh_`XVrWv#G z3o8Uk06V&7qbM`ssx>x^pNw)pmqNqFjbM1x0B`ehkPsV%&0993T*V4#Sgi&O)@{Zc zuRtVF-PTjxexmYgRIP-=8tN#ZO?v_fN*k9iB|+gkRI5}TI+_QNpOcB?#5io-z6}*< zlV87573eKlg#tTwBwe?`wrR6aq3kcHTdg|uR;)$7og0!(ZJ|7UHY$=}QIGNubH2Sh zQq1j(^Xpcv2Hj<=k!S0M6uN%{ z>kp`_iU=hvpsDQLE#;@DLWAmAlZ~L1zmM+Cpz?Dw(u(sLc**@E&p&uzzvw6Kuf4_B zr((a_K2)qw7Gu38^#=dD$7z);x&X)PH

    pG-#@;ph|^bQ0n{duw}zq@lNE?^_u%tMLy5V z#S0hEM)-;B#mxjFgMs@bB;U2Cg_=HD`Nv?4F!nGi8OFBEKPr@|4B(hP`u7c#Gshgp zB$?uM>69bF3tZ6oYlya!6tpj`Ef8)+WMd<|u|}9*LF^T6#GYD%n|r51WAtDQsofo| zYYaxe5v#F8;|#Pd9O2>RiqsoaH&)Eaja|P-#mYbaq&EFcAZ0!SDG$zo-Gn;O>QV-Z zojan@;1y^-TNfKNE>bnDAb~=irRVZ98Qj4h*Ek}js}^u|0pbr1h2gl)=vSi+>Q(4P z?OKLyM^59ChYLc2J&?xW1+HhY!tp~Y(Uv=Q^gL_icwdG4k)zl>XCJ2a+lIlNc49zR zbtn$gh05wva6fegQBGFK@w3I7K)Q$T{U{iL%cc4UQ=5Zrsjn_l`8s&*ya8?-2SE7) zAmvOgTO7UOhUXqsXKr&S(I5!-1F-?J@%m(-(ch#JK+2QbSEFsy2KeFoA24~qP~19I0!Z1o z*>8Z9=H}+;+EoHlPMtgn_d`SIT`z*Q*;RCG(^9Oh<3x^I z+IIlm>o*Yj!-o$>pdZzTlAE(52K4VI%260Q2El>s@ego!al(LsQpCtH!-wOBo2#h5 zyR{Vt_L15?dh{qN$46Z6;qHP#LnR>P=x#j`c>D~F%N=;?9mU}Gokad9DnG#AS6uJu z=7Pb4Bp~I;5yKJS<0bOBZ~OL?_RxcRb%(D#&B@#xc+zwGck3eZ2lnj=Z#PM)?MwF! z8z~uFhjr=-UxO3kxxNM`F-#^G4IMHBzD~4OQT_cK9Wi2%`^lp!rPc_RSlZ zNpphxfq#?Jw26uc_M|l`?==EkTrg6mKp#AK5WL)}Pbm3%xMFa>-Xgzux6W{*HgNLy za;JGB$ts-h>Ok)er679e#*C8oa0C1IhdYe{ryJBaW2jF#zdwx$j{zqh6CN9`TmOE2 z;p#;1I;ER|{!kbr0V(_S?E@D_>A9Rgj^-Ta^Bj@#i^SaFxx(f2r7@IWAMEc#?UF_H z?9dkW9IcVk%^-goW2tYu)~yRW+86R%3O#xT6MOU#d(Gbc`@)gtBA3s7s5n77q}8iu z4>;J;nnEcgH~?eEN{6&M*Qo=W-KtVKG)Ch(NOPlQ>lQFNXGD8_nSw4e0(eaC^gVvJ zsl9A$V?!Vt;vPC9f_USJoko~HUQakAU_SaW9xJD5qjaG-R0q@PbNk|Bu++YYXJ%%I zbF>otmLpV#TUiM{8*XKZSEgqWe_=Nw^cTW%#aJxu)EgZu^+bo36ES|N8nzjk;ewM3 z9{M?>kk@ABv&?Tx^41TjnAcL9J_ks7^&OCML&qBE(Q`EFDD1)DwI^}L=o(VlTR2Cu zW_~NyUixG{dy9C3&w0RhD{w{;0qgrl03#J++&48til+_o zXs;xUq$T@=KjlWrAlefITtdE!A)?PJ!Ef^joL6WJrSA2KzqUt%mLt(|*fOl#br@GH zZ4esZiIkf(U)VUx zzr*Zub#Qw84m>x!hdlcP>i38Ag438VpA}9EK31-b*m%m`Xqn&oU#3M-9WY&lN2(*S zZ$Jn1EZ-DuYWKm|>6@^f_AbWGZV2_Gy)gqRx!s?%$M~DX23_`!D+5w4o-iEc%9cXC z>b0P=>R$pEWGUItQDM~!k7pf+bQQZ1a3 zc-)5)rHFi@G{APWWV`K-T^5&f@O*fNV#{f zIJi@d<*4mDkn$=T$*-bFmfI~1g&aw8FUhFMuD(cd^@9q1uSR)QYu1nfDU$_=Q-!_@ z$2Qc4-P@Fr^zs!_qe%Xz@~cyu_}RPWEk+{MCB@kXYD-q42Fa%!ZF3j3Jux~4sqqP- z?E*+iZQD6*4ic!XnbvMFT(cR?>q&bHITZsbsoiNAC4iK4ukx(=2oWhje8M+^q4ptR8ghztV!cq3EOsTM;!HcMou;~wY5d$Nd{PM-LMg{FT#-# zmjF#Qb=0BpsYNJ;ZW04b>s#Xw5RkKufpPuhAiAZ6(?*gS1EqODwzfW08HwxSdRwPYtp;%3pUJ}i&u7T$o3A#;q^*r zP^|{aQGM9B%|`Cu04dk4Lu6#6$Ukz_Q0Q2GDOCz<>79CM?Tj3sn>eDh9lzG8D;#aD znK%^^kkStZ>;D``xtg!1d;z>%#lX&r_@UJIm^5z=yh86nuAyZk6a(~161!$&;_rM= zm;scr*yG9@4b-YxUDR#B&=D|q z@)X`kx$Ybt@?-7$TEzXvunyKjKTpiWuKil5RQQ-0;W|{MtZr za?`zcKbTnBi>Q!Xce%0ipCq2k{Ori|JRBfdrEWt>r^d3IHOUyt&Otfx;kpl_7XD!>dV`|E3@R^y+82wr z|1!8xYF`x9g%9=r)-L&%+xK3QfRtQzF_4nl{8i{9ZTpbqZDkOv1bqB$`m?rXWxj^x z^$@IDcmcB~9)s=y3)~I4i=50T0ZQfPh;jYII(=D+5pCq}MTKm0|2(_;ZxY)$L+&Hb z6 z2j(wCro9`Y7?c2A*^{ZE?vW0kjFBEg9OF+u1P1<3)su2!{ zzQ)loK!fVL%RL4vUI{pKBLn)ka-k8B0cH0D?52FHXF15^^MQG^46C}iIJc?f%WU+5*z8=XP(RxPQXKVqeVqNsPFXCO>=s-R=D z=3*})r}{N&LVMY2l9^nPZR3jlBy&|K*{f>xYS^u;MDks@==z)Sh0yYg$5yvEs8Br* z-_6J2J9*e2kV@@{g^F)7^r^3pJ_R;h4n*rw)A8L;Kch>71~A*c8*uZ-^(h{rmQXE9+M&-`CRv!$wHDz7d_fA;91i;2#1PEj{#Y z)kYkioj7GOLT}#^{cUM(iq4%SAm!AF;}IGt>2+VyX6nqHG$^~qV3%hSw1cu}uH-^B zFaz5>6QSV|jey`7;7&NQY@DDmXC7*i%vyc@+UPXZ{Y^ezJbQvg3l|98e7m-7 zarF|7WzkzazJCYvXHFA9$|^KhrtUip-P^f1_8icpy7FAv?h+-4ziZsg#xa^l`gaR3 z=g<|@Y}Zrt>(pMo5qk0*;CBnQ>RRaDv<1GWGAB+NkDCFKem#oV$k{vTqCd8Hr$Y61 zK2F@rgU^i^qvWqmH&Qzs17 zLH7UI&=a$*m5Fu1C5av0GU$RG?QNW62piptNN}$hR=8B-Y9xZ{qOE8ep1 z_DeZ!-Ftbv=J z8f-6Igp-v$?z=f5%U{+{UsDJADZ~Iu27kzblo`AaDZ-PqLYxUr6YGjmND?B_So#EB zrRU?^?PQUE<#CQURmOWNiz^q=t&0@pYSKhS+`Y}V$wHW4zlM$-B_Jg`OX71{v=PBY z<8x@)q6JEqE-jo-MTWmXVg75JIA(}nfBjYHmo{(Oh=kY}ye9sueMnO{pp&1yNKZ*Z zR$2-Us_sSY>Q(Vmg{tU3UkR#iFL9imDcpTaJU?FW{ewXn(4#d``*t37`J{+7?&R%M zgtHQa(*4^(m`UsGPo)^--w4-ttI>LQ6JeLkuyVqr-vB9D$Kxln0|xl|V8n2V9}OQi z48Go;BHz{7QP{e;KiEzxx1U;DTA*u}E`lFTnLzmgl3nr@b*~ZgBRAqlRL4V9SN(eh zI2fFXygEEd3-HmzFW zvhjJb=XiGaHWtbF5l2X#viB5pLvjSqDc-yIB;pY5x%BV7!9mK~PP~-!If5kXFnCT_ zn_WSVUfo5XDfa4%&{M`@?_#Nwd2{iE=StxlT)KDxt*IWucD-~7UWPwM zVL={Foj8uhjT_?^s{h9I>ktgnG8NE4 zaS2vm3WCP1*U+QK=-+=+ym#5{A=|q=0**ZcHeU@w+mSQy-H)Zvv2_bvyGXpWumI1V zKE~Vy^YP=)KcQXSdNA3v1@H(!?wv^32Sj6!do(ut&|c_P9`vX`Y;UC?(wiRUN$=TR z`b?4Do{uJaM=qT^gC3nEAZ7iYBeCGPGfq)`ZqQs|J(@_l^u9h1q;-jT3avf2>76dg zOBwLT_PD1mfacj$Q5-pR8Sb{WcPrSv-lugv zM>>D|IP5u=uUd^?%9TU?`t|==Af?P{_=u4s;o~oznXz@SN3Y(}nH)t$Mcll3^REFZ zU%bF;;s=IDj~4Ul4QtmTI^rdA($aC_=rOdS>-o8I;&od8$PuJxWg#Is3EOw>6t>M` zASFknjE)vgJIj_Yk4DvM;P{%&$aC~T*ab5z9zFgYkaC9#-gpM#{<*7|IdG@|3wG+! z9i~>6#9e3#gx~jIH(8sguq+>B!+jA&e{waH4>h_zQmCMN9wP>{iL%+ zphE6fbE^_S$^k=h@9Y(NZZIxx--p)q8c0COWlQliEKCNZJdGwTWI)RClM!iZ zhiuv>>a19Yx|OS-LY2zcMthfdYJV!pgKTqUTWU3ut%bu{dru@-yJFjPDR>Io{d7on z6hO+TsP}=C>$gfkN)rn#8YA^hYudY9RNjX-lz;E!MNIEENYuS^&mJ(du@-F&x^+{4 zMY*kg`t*G1`H%3{TuH3*OROduug zX|@wTkD&ieg4eGD?6)6U`Vs!nBz<@j_eBcAPt^ha#I zoT&ctx%+a9Xue7l-_*+2AySJmEvfUmd`yL^|Ssz>1n&8|CM_jw;hAYOdxNye# zJ-SF_a@IwB8Xa?hfwncY)y<))Vg^lBGaOPg|18ouU`c|g9dr*^VdKi97}R?O8r2zt zw#}wvvVscKc3HskS|}o3{DA`F57Nz>ffzehIzV2v+Aq*NxDBs!U(heiaF|VUxhy=D zBzayEUB-rZHl9lKv2pqk&OV4T zF~!Oeqs2zPZjEX%WiY3;|fRw*BZGz(` zj^S;7E|Pu^NZGm#Omy{77#5B=Ds$tKr6j2Sf`-*=z;M+D6gYY!{+cy5%7By&8aIN$ zQ3JerorCz8DB8epL^;~XH>_P7M|ST;!ILn=-w4L~xpVO|m0z=Bc^uxRjQj_W5$|^c z8)wZH`PHjchK`OF^73+!l#+<8JGTn~sa~ZjIJ{^D@<|X%ykdo|Q)dE{ zew94}NXW|9-8**(A%*)_lLWim%ygtBCz2pT!b{aEs6|4}L8Yz8x&H|1ckW})+V!YH z0&q>r*Vv?l9Lh_-6^gy9)}U&Iil|w;7WN-Jfb8rH5&$!yuYZ_?=-&bASDAPQ)qm+ zF9g7n#+k>J^HUS!#dt7avKr0D(m#HWEgRPfASDAYHL0Eq>}BBZqD2ew^r;+3No#q+ zT_DU8c;q61J6NI09?=-&5p0hzb92}oruBN?Ih@^d4i{C=!$ke}>GFOiV-bz=QTLx?=?c8gB1!NxKckcc#@vUfQL}($Gbid5fmK{07g>~wW}wzhqptg>K##~@?i8G zyA~=Z&cfEu1&?mKBU5;3qk8_CVo$V%+vkWppR0IyTou=s&BTF`W3jC7T#WC%6JrJ) z#@va=uxI5doKrcEfOA)o?8-_=RyJ~!ZMZGwe6?#;W>1LXqb4p7!GqxZMUhW{H4T6mY{=QoOPulL0YuFv-WBBg%IN z0_l1WeZXP`GWEye;`AOES+6Y`{L&3Q`pv_-gGX`J*%1LZJdh*@zJ6_G>=y!3awHPT zSXl%svuo(ouC-WO$BiC|+rc+PzMGQ+h7OdXHOh&NQA?LB#$y?f($3ZzJsAK=zbOtL ziV$<^-|$E{oIHbG?K=w3)ru1p5h61LI(`78yd?ut%JaEy;}sNeQwF4TvVR{)DP7M% zN*na(DJeBawr-0XhYSE$e>m>hj~=q9Q6okUMSu)Q>FVT&{xW6fScS0&l0DbW!xaOD zNPxpJefr_XrK`ZR2)La-ivco#;^?uXi-D2uuFe=ZSh{|6{{aXvH3weBz~ka&4DK%N ziARkY4SyL>)5F6}fDt*Lft3DoAf*c%N~L}n+_58k&RwAAhQs5`IrMMG#;@-%a6sQ; z5TlQ`Cx#A}_GiO-_kqvlYd};ie6C){Flra)5AE3tKE@`Z{=UYSFkBY-lkfBLq2BhRZED5BvmjNkn1qVVwL8@oxy7geg26ZmS;1tGn zlt8~N+qA?P22#G20V(rhfS3NjQ|jXfwEl5~MRr`ke3tkV8}~RW<&^`*Fxqn#=ZW8% zsC}HrGI6A6=Ck~HcJDcH$VO+=X~Aci-%5P;4AEKfsk=@e`m0o+vv3oX6jow<$HnN{ zWC^M%9N{n z5WKcGjQf|xx^`93vHf6F>c0s+7aoS;smq9Ge(;3m^?jN@%rh83$$U1{gI*Y8z-%4f z>J5e0l1`Y{wjFBz(j5(3OvdaD>NsO-0l%B>hz@bZ8?Gm>*?+cfGr#1vhS(s{>IA&^ zt;LC{y%X71#H?kq7 z{`?*0Rj7~iio5aZ_#+fKk-X^tPo7lR{JNTwmGTv^ zd--Z41>8bvP$*Q_ZltFF3G?To7vlu)}$H8HN+sQ)=qr2tau=%7yhdP1h(x_B9418yQC^dU5r zlu?K3%mBzO+qS-oNXbCTI&}rWQi<|o65^4Wn(@tl8mG-vPV|isWIcQaZK_Yb z8a2iBo0OFPI*{^;3`n_r9U4%5%9SsN4a-*GRd6V>pN8Y`9u+jGT}S9h)@|7E=RisW z12kl#dYLj0easQ{1Hx-@*`G^c&4ej3VC3HbQqo*%SfvtvDE)%~QhMIL zQ*1P2-)J1M?_k)+?aR**R4n2 z{xGp}cn73>`_J|pQ%NADhW;^nmz#*X_37OkHrCSq^}qDbCF=YCnIvoS|6F=^j(|Nh z^V`R(K5>gE=X?9!MhbmZKzc z`~G=Qr2rScmIEod-``K4cgTa=nnnL7;B8Tipu(b9qPQ zQhpqy^k;3&%X@?SccWo?`6iC*x#5_e6O2!}z{cDgcW>Q6ddf>Gg!;0GfwEuLnd_gD zm_rg^6-B%w`@-JNGiXO~?gC?)DVU{;!~O(E~Gf8e+m0 zU8tOOhC@I!EFJG*?&3`-SBd1DN)@5LW(~4}ZzKCA$r~g~uq~@ro!TV(X(B&6Q?jAa zzPvm;U#(dS$9C>PA;~f^p1xQ!b2iG9Duw2a8{@o=E-~pSgnRp9!6XJu{($x!JHYJv zRjRB6q?|o%GJg2sdo*g(2|JHog4?SCTzim$bti2wW}_ySsTtv{SrFX)Ug3;+Fczwv z!&u5cc;XtO?>z%vzJkrMW9Z(#z0hGz9XSdQtnGyU(OmBcI<{zupMLxi^Xd677~u2b zB`zG&K?|xM+izFRm?i3shfi@yOB)vsPd*dVhl9Fc43gadOIp*qQA>?lWN z;|65jy^FW6;$Ujwh8fDbn7Hd0_FD(TDdIJ(9;adF1s9CpqJ!D0r(x*+81AvaDc4X8 zoH7^RlN`{oUVWHu-3d7R;K@m2%o0G#@6okWC)l1n2gJl7)ZP&jhL4bRi9LG2{nBNT z?{8*-Vf`gt<;Xt$5XgGe=Miu{eHMM$*%@6wN$AFJivG4TH$@j2kaEV9NqBIZ_1Hl0 z;|Q$NHO80?TG({h6lN}u;X-po>!K$n?>LI7TXk^hiUaZmtJ;`}hgiUn! z-rXdlCzIThf&H4&Vb~hKl!qqu(`)Ll)N3}vZd-w5n|gKY!cavOg-@R&E+7c&=FAgw zrCIalILn~h!U9BuJrRyj1(32`TU@(rLN$DgC-?7Q?u@A-0@$yuJ7T-RMYz7ohxy|S zD4%!6*iBj(uw*y-FWE)y(8T7`cDQyw1@6&rX`Wl4dDq@T_c>|cK!mcMJ1hcrM^B)8 zo3=u)KV`~9+`TF3(L5q%M-}fE-=%k+T3S zc%HZn!)<49V9iNrt~&+Y&8NQ(Z8|M#E9|ZB z$OtEPNW}GHJ+Y~#RIWKalb>6Xt{s$g$$X8!(Nz)AOXzo5Kh6EjcH#F(HU|7%_E{Kc zFCv>c8C(+j=yfv!bQ`u(rVsPdCK)JEMs2`%*SN1}cSGQF*q=boRqblc#uk`W2$D zJ;ZBIPf1_Opp@G-C7Ac=J!_KtF{_0x832 z&3r9I(F%V03fqof7yL+>`04{%J2*Xl5s4kgu84e-FS` ziZUm!g|INahE6gd<-9qw@%#yc;|gF>0!X=X`7%UByZ~+baPpWT8p*&JN}Dz!IW9&x zE!QPJ%x7R&zsXLoC1=7*6Wh| zas2pk#rDL&KtGHeIYQ)*7&a9CG9ab<2SCcuTax|Mmfj;dkaGIuiMW4@ZM8JDh|jFo zIVbA2(Z~^|k5b_h^A?Al?qH_MN#duv*n8C*_ThQ3ewc*$TU1e@S}jzey$d@ZPftst zr8xr!4yX$!;|!!cpr$GufTtzJW9N1WNXh(2|F90;zRpH`^ee2F0V$g{X#%5DCy4Fj zBQiV;OP4J{snVs;rfE}L)i(fMMdO*f2Np~ikDqAo(x7z*C>vaWYh)g-KS;%f6V_r* zEYUWBQ9wA{X}ftg=oJ?0UKRQKi62GE=8A*0IePc*Df&!t&=A~Zn=|cQto04irDZGp z_|uP=J#P-4J>!_6MYuxzuytz*NV$C3QoM=`r|dU4eflJtG?9Rmn>MTyK>jzm+0fI` zMqL?@lFv7>!}!;kY0y7(5Dn|qq33>&icLGB_nb{wpmh-jZjZ$Luzr$`-6n2gpU8cF z#O)#6Y1?Y#eiuV0&&3Zvl|s8#EpSx^q^SL9_gYWpJoQIYqKF8#E#Dx0a7&{gp!>~SD=+f?h z0R5}3JR$fbx0!*G@AJ~L#k`d3$IrV?{L)-j?uY!8c_`avnZL6mdgh73cE`Mw?Vij# z-{Yn4cxdtWTMhzH8bG}GJhgGxlH-^*Qda;vm(DPRvF>F&bg@H9kQ4Ic=UcueFJ&NQ z2+f<@W+?DJhsd+42-vv-j+>XmT5CUSuU&(es|&(>UGbWMonMM)$(<4q&txEF?DhM2 zdL|MP7s8O?6hP0QdNHpJC7v2=h1VV?NH#OTD`QQBT{6HU>nnKd=71<4XXFG@-*TD% zIxnR(zCOWkdpj9kWyC%S+8^PDlQi5wkV-&h4N@rwK|Tk+=x6|PlTPl zip3+w;%BN?t7gq{UP~8m9){t*jU#4`8Y@Ml?A{HgHr7JB6MXOPJ0NBIj&L|(L~CFK zyf0kE@Sc(*@gc;sJ^f@rN*8DJl{sk_5h-uo6#3>gC@ya9K2MPW+v2D>ZVK=PXpgwf;^^u+T8j-OvSSvuL<2Tuei8nH{Lk-;NH>mnBIG!1f(QhYi4gJ+7xu>HpVGV5N-WpK+3CE z(T?|cbp71%6Y<#D0|igQafaqWv-%BDx@;M&SiS160V((GLrQv@unq6pw^zvBY)jt0 zdL3dy?gB}fv}cF{e5q~>pd_A)=dVDMi+G~kGAK*w+3>Zr#e~sg#F@I5b?V{Rs*QMU z?@eoWBoIya#AhHcDjP`;)A1@e1rdSCco~$8Nc#SlD2ON^36Z{ucu z9?7-EEZ4I;KaR>7TTmo`mA{GB`DesnOpZeNH-VHV?Fcl z_SyetqBZxw^sOY>n0#}|($Wh3`bm*vI(Kdd<8w!3KuSK+k@i~y%vUA};Px6tZxdi` zX^cSwr43fcj-6=Zc>c42lnxG#7&=t)RMoC+OPo5XEdx?Yz_*Xp>1&d_y=)|Pa=40- zBc#YKty;Cg@#7~%sP?~_Bp{_76b2h$(ezWeYD@xAR2W|8L?bKX6_OLe-y=5Y@o)Tj z!|#>=P)-@6kD)y!k38dt>V6&#)5U~ghsCGDU~Ljk4`NvF{TSRs4gET+3hzW)*Ik8| z8!Kr3db)Y@25lfGihgU@uqJf0cOyINIsL+OMe18=TTznac?lvyC6C3IGQOjT%Vq#< z8Up<7F?EW9FuF9L4Yh{GL2=OWv(l#mDJMuk%Eoo-;uz;U`yt}8C0319L>bz+{z^iW z;jyDM_9Q%phhsG>@5=pxUrD$ySiKRsPQG|a<*XSuNqBQ%Af>_aV`9@C8xw=Qd-sYB zHut-nI=1fsV95*=97i8SU9-h{s+$~0sb_G61lVju zMZLlXy1pC=KcX$2uxauPD(7d(OUw~H zWaZ`{CO#gUl%Wfw&jiZ&=xntLyg~E$(jXJf3 zfS8(*E+TyB9zKjlA4H^Nr2!wvZTecuhCU|OUvX{nM!sxJc`3gLG`b>In-_mwH{1yb*Q0|RWt^kvP?%3;ENiVCy z+uH9CgF)n8+9GW%gfemY)FT+tPx9c(1~v8)JAMd9wn2s~Wf27CIq zM)R6IQLgql44kqRy624H8|;F}yY9$g0Op5^w%?;rdfr_(y!E+?B%@7uxVaY`rZ$Jx z$l;hfND0IG9Y+7&M=-pf4i+ftk{dO4jwg=g@Tm;6YRj3?ceG zfa6E&CV@N)+&05$^8jpUS^?8a{enH6C&5$M8cElPU%E%2D8QG>W`!?}C*Su+bz|U2 zhzv;SdwTgq$W9SO(&_4-FUnktB@lE4)@V&p=-Y-O-38Z8N zDl4)kO`3#Tw{Eh;3rhu(Pv8Z<*4zIG@U~cXU9X0n&k(F`c`^|L_UG{RZsS4xvwT>0r-j_WUYS{yI1~ zpkLp}9yuixYmS=w=-;M2zWe?=j8qs4A3w?P>Fnf$ z0RtpCWaRMS@NuViJHG%f_6{QIBImQx+1uAgT<=2V50piQ98TAJvB8{@tD74J4I3)* zhjr`%&%?**xwqh^ZHU3`B&RGxsqa0!WQJ9DcMO(AiR9=z?yT%CcmsD=R}7VTv>n`` zGu(BL0lp#d&^<2775O8E!_7kiQU=|)f$7tJ3#6PpXej(ooTIS|g}1>e4DT#O%N#g# zsAvNxFE20A2F@?3aQE@{CV4=bC!FuZQ86j`QXh<@zL4k3m9CsWN(Qj(*RP-O=*Nl2 zgvW;K#$&}}#>wB`A7e&K07V8y+S}86Aj=;+Rx$wa91;06H+YWlT;Xy!pXU(IrNBUr z7%5%Pk$7xq&T$G1x&eiWic)^_maw5Y1bUBxjv8US3`p6tUtid8IaEIP;dq%>z8*b# zz{<)>lpl067!xK>68Y@4-AY9hMV>*pdF&h%drAP*c3ryQ^o0vFWn@6gG?M8adeOSX zYa!KNzAnk=ZJ?d-sLCEqKZVz3d)WvN;Oq&Vqdv32bMkOq3?V+t#Yx~KR2lNh=4B9xDt!iS@bGB{oiqWqA*mL$2u0$#5^7f89T z4=(gCi#4q(qD_+?DBWQ(+D%r)0sV7$M*E3^M>MbRv5}XaCxDd1XKz!U+iBq3Vx;Zq z2Ak>2m}Fdg}=f@f;D<_2?zxU0(3nQ05WTAIuv$+V>p`>c;f3Ix~rD z_s4x&+@o=UjVGO0w=~HWJ7PP?cN!`R_KZPjTA9u`Cc5iFopL` zcSPQHL%ygR-~T~*Uy{B>Amz>-s7NwJ?Mjt#kYv1cXJ5qGxI%g6JX9+43u;lh8d_RN zr|Z8;M9T6Npf-02QmtH(Xy%Ar)90aTS(e%AK=q)805c|$T(p~HttuoF@nIZ}2FXz# zlVanrXXh>&Ajbts3E?KQy1?-!<7%8^yNG94hZ?VX$N;1%1lIxPG z?kQB3%AP$W(^V5uEZJbj&t#*S08)}X!vM(Lvlk+XWZG0a58ZHIcotDsI4r28?IGCb6K=&ISC*ojc+pb zRa#~S4sZlNssqb%$}EG?c&4YO34kk)Po*+tp-i%RoTW3;=~)L!=C56`vKUt$UmoWS znk$;@6}>@y;aQet_*iQfWVrZ3bNO1~{D^f1TWEaW15#4?0!T^oCx&Ie>}+9Vu3N9J zWOUrHQRpbLQc|IPP*WU=;yKEI$>`|lPXkiYT;Dix8ltY-A=}Xhx+~U+2s<2ka>K@r zcoh+W?6h+ zl!{YDK3{)y&1N*IQ4>FxEsKeB=D|5IM1ad!>&AdU$(!fz(Z>x+f0wvSHi)t2?Qu*p zwySEO4$UhzX3wDgdJyeLzJ-)Y^ZBr@t~eye`}mRL6k+A&gVaK)?*BB9GM4&HK4veH zvY@7|k48SyzJ#X7al0yaz8-c5~&ce9Kb^kYjlnig;XETse z``Br;Xd^jm88&nX9Ax|H|I$B~sPF%0lB~)9bBRPnS&{<{8AL*F;(I{Ke<6A!1Jj7! z79>zz_&CeoOYgUj$pal+mIS0M6d+0>#1h4QarzV> z<-3TDanx2`<^MX+kPo~R6ud=xdM+M6h{3s2K3KcN7^@eZf$?caL`Sk+K(a;3BUJu# zByS(}@f)^Be*QtO_fk5||0j{ivh%{RO}o&wOK;R|))uQ4uErg6H^jK#!jU~%=-9O{ z8n*0!Ei0Aq*wz;j4*uA^cnzx4-n~lID%i7wBk4zp2>5$RzN*S{6vX##J;)#?XL~AwedPR1uq{z#>(Z(#bLo_b?U)r`(C^a2*uOu)|fY9jEK^}(HgE^ zyGr9*h`XVom^x*$&@pxF(iIo3Ss?j!Av|v0!<5AG^hHNDpLk4mMx=tKS2S>HO8k-qhtH_#qtmn11YsghDx;{8OPEEY9xPEt58uK zWK>NOAMW{&ic?q(ytQ?llmHPM$|pS`HqB$6&)wRn(`x?$UoKj$gQr_^bll zxc3+{7B0q*rAnb~-TJt+Wfux*Uw{A9MaCP8f40^R@D>SG3XIx9!`l^ zo|h>n9txia;&r&iPi90l{)J1(1Uh*oE;kkGT_B&-z{XTJO6opa{@S}1wiv+bdTsa8 zz(VcGmcWfc%V{HZxGwa$gimTdW-7U|Oes-4ADzMHs?tJM(|G9<=oBJH<^LHXVuQQK!6NF<0y z7hp%H1D292PnX*o(l~%+*nN1e!;+uICRVYaBTA)D)?y1;+axeTc!fI12q@ zSUsr)g}Me8zsL+~OeBP4)M`d-+C$r99L7su*xsP+Lx-ZxVL08yysk-*vLxF@yMVIRW5=YUMOJqc}Ztr3E2{Mp>6CE#StlSw%AMvGz- z9lvWBAxgkg1i?uh+ZRF5@p6L_D1b>TwZoG2f$VWc)gPq`gEVTzfOy6M>9<*MVY>?0 zqK&_y0}vR2fP6nni3+KB;I-ke#9f)h&|)uKf!>S`XV$yb;P>vz-LVtlOxWl?q^;cFb;0fbB{3PA z+d03y()?X(hH}Yl5t->Du@82KtdWbg_{`?<%L&`xtaicC=5xar(^zx&erHc%(4{?B zPY|fzTF^38czk*puus2=$`%@P!IY1QIR$3Y?w>dU1KkTDWjtFtoX==MsY>Mrx_I)F zbbi8GX+7ewL%NvX)XQ2>2$xkmG=dNSm&WYySZ0B(RvA1*y4*rxSV9@rqA<5jHTchRk`2jXBOp>vSAqQvfU{zQSIE^qeo7PHN-1gDtZx&J|ye_+~kw>xlZIi*G z^jgOk)OIqco=nBGHh*{2spPguB=5++rX+?2Xs28`;G)#xUB*z}GbKx(@8o4sJz3Ot ze|txQolC6UY5YeOIFv{zmboJ)#)Yuk*&QrT1j`$E((AF!-WA|l)0oZg!n)XQ>+y(0R3VsX`66LJ7ETwYwgAhv(r29NK6lgBFa~9H72tJ_&s8=AeYi!#gFcz z+xgs}BD%m9H68ND-M$M-(|^-mA#yj`Q5?_4Ar~6#os##$-k?lvCsFRk3v^99enHNA zO5dO}CWUXi@jsv%*-^PuEsXrzP0cgs2~WK*eHo+a2kpm&;4|nk=6}KIe&u0J zd_5z$1&|+$J%r6L?HoB3ZE@ow%~ih83eab**3%`=FfiTFj>)9A)ORJnV&BkAvNHV4 znK^Q3n`Du>2Yh8-3;?-ep1AlU46yIjVL4NRzqxikFd0m8CR~^F$H*t2!rdBCKonzW zr_7YqkO*4%9HFtgRmdLzd#z6-R?n&AeKIymXy)N7*J^R5UiebWCvm&(5aGIu3SS6d zf7Sohd41F0v8;7V@+M~^4Q6a(bS7n^8gnjq1Lx$g8H7A&km~up8)!A*smJaa#1o;z z(>uEUJUhM?{NHY8T95#g*!#O=_mrw^ojZ-}9>gMVa1l+v*T2^c0;&BWQY=U|M^U)3 zf?2EWGNLg_H4U=F#ALazhYw}p;e!pXNkCr&@x{{xqJI*bz4sIO;hGo8<%bI`K0l-Y z>WuYL3H&q;)}EXv`8Cwe;3SnY1jE&0F{~^d-46kvCQTuibnO=tP3+ z>{kCX9}{FMucx5&s%Ud%MaiGTV_9K-OoT_tH0CSaU1=OnX4Cx#vpke}cU(@7m>F~3 z1*{jis?{G>g3{=afD)ht_okcv%(67D*8AKB8}8Qzju;lZ4fI{Rm*2nmhhci|tO^5& z?~L<&2=F`oZ|;H*B9$`!3`9Ee$I{=sp=yZUgXAKV#GsCD0^yp`{`pcygeTP z?{Cs}G$LZ@5WPaSNfLY|1!iQ_Tnb{9do$LNB~qy+Xu#Ar}A{+%s= z`_<^`6NU7qLG+yE{Ox<5BhGy4lfj2Zik*B%dw-zf^Ic}Qt6FD0knEKiJsh%=Kz5x%KB z?*XyB*D8j3#sH{)_k}vU60+Mq@=F{q3$-#w473DK41p8NB_62bQ|IV{Sd0{4WT;U$IBE^2l*>E~VYBRyyMNu)`f*f~0!o4t zUu$A+9<~`JD;lTR<~fD^Aq*x|c+O@Z*bktG{(+oYRV%*N0u=uj#|^eU0;g_Dv$*4W z-M;oA4ioZ5gdt(|d*W`1{F7)n2siq0YO2@utNKNgirs2;2i|6R3K-DB{@QEx*wT%s z&<6wE4}veX^p5wZ8qg?=_1;I)WqNJY&Z&RJQ=)ka%4{>yN~NG|r9fuq=7mkYi3`f< zGTE(>zyN%ZvejErv^V;qJns4rSrC*n{$to|d0o2D>DxkK4v#_eonmE*)x75bzNVBK zv63zd!ztWalXYC=zIp_mnl@h+=FUGz6Du>tj^impbe_X~!4vT&SJYVNC6h&ONy5=U z>`9#|_&lE-;q(~nIMAPhl1zedgg-CLjT0bcue{y;S%WuDCe*^LGc#=L0x524N*o`Q zkxjaYCc%;3ppL19Du-8V^``9r(kyb~y? zh<)6@@rTBN<~;0anq4F~G@XsjXwuV^S~5kg8-xsss&0_n{LaH#H%{)wEI7Ig=ezE? z^J#M(s2MTZ(J2p4b!SF`(y^#N7c$`ArJnNF?j)it1rJnf7{*=F0^?y{cK@Y2wVoLS zrV&yYL)G<7$TMF;ZV>J~!2#r}2NUJC^jXS5Q{1egL!v_p9XB8p)&GV#snPElgs}jw zJBV`w5D2K(`K48!Z7gNVpd!3$h`#3`iz=LlK8xWUT;~aC@w%}B-*Lr95JKnjr-VRD z_C}2rrY4JA>(n%=b15Uw$DId$1#{rurX)wfeZg@l%y5cYO>uKp-Ne$#Q5$KO>&^BI z3BqM{5G=6KIvf_D;&({Cz~t@2^W@*sbsBsJ%qcw6vimEqiTNInKCp|VgksI}O?*vX_g-L_4SmXD z%hD*H6?_9vA-e4(fdJ0HuezLC0`z1ZY^W9-)m#!i@K8Qu1;wFafW#7}-K@nR21O@WTeEPWZZ{1*p$^0LlF|S)@~PGA>kL`dQ*QS60dPTyiMWy;FV{K_(I9~O zzF446-o;^inkyb}MfmpxPjtqV4j6eplxiiSN$j&C00-13R7tp<`A@9Q6(Upm$B5My z-gBK3oH(Hk2>P*){_5)+L-v7^_8(^Ad++~7YvTLIf9V1@Cjmm(Gje)&uuBnneb75D z|BNlM5?kWaR1=94QSZ2GZbFhYqCH)9-L>m98FqYx$7zX^=eP0SIph-4eIjX89^)J2)bKg=~K33-$TLS|G-ewe5ZVM_d*9J$C4d~ zal==(g9MBpL!USigkSgoy{*Q(^?Ehi_Kl6gO0M+YI$KN`<0nk#8#OyXL20i3 z;{EYV3$Ez#;bF*JV`J^7otcak_>u&euC$=s^ap5HzoN!zpR@4PGUiJB02Zgmc{rx6vJ93x<41jdfR;S}9A#*B$sMjmBGC3@W6Jo}@ zYK11{J)AfrIF*-_Q;kTAEvMTVGmJXF_XGA={+GM^CuxqJKn!c@L}T+D_K0^%pWR0{ z%g&LD=Cs6j)JnbE!bzhqvYk;WgtwyU*AKoZ99k^Gos$Mpn71^x7-NF{Ca2Sz*MTMA z^Kjo`-8m+!2qL&Droml~3?r9Ggc)fdORgMY&cE?zA6*;^k~}bt9N@qY(SXXDPKX;SJeUXA*Q_OV+px^?gF+Y3`-uT zxqzqZf<#C2`suck2&Q~hBLj>`B^q7k`VeHdpi@RC#_hTWL3}AkuhW)(7OoP370Cd5eiaY7U1PJ{*cQjEIBF8h) z<)k%clugVUy6^C%rCc79Eln2U>m09d%#YHJM>qvENX~^W1Qm|`CT)b3!d7oAAiCm} zRwDJeh;KN#X>?uJKNixBwA3J zQK#Q43XHqli|k zgojM&;)w!PzVu1)6+b0lE^3Ro-#9uVIj`9n9kBHoe|j0H1DjG{`3h4eRG)Od?IRf; zBL*-=bG1_zN2f039uu!1tt^U=OfCm|9Nir;~r^8JfcxL(p9v zfwDKbU)=_OkC$aekmyp$Z~slg6`Fl`skBX>la7K8p3LW^lwDmVe1CWSDie2j8mv-L zdcKcdrkhV(0l;ys1IJ0AQQqIrFOj~-d4iwF?vT8eYkWaksbtUtI>pa&vLjQ7`qpsL zNfmHx*TRqCckqe~EY*8J8yCxMRYF-Uzd_GKHC3R98f?}g{;4VTQz1;a69dS`md6{8 zNv4}< zYa*Q8ehL;3M4aPR`+q|IlefD5)!UOh7G7}H2QMy{18xty6*V|O z{%u1LSS5)isR3JjC^+tqWMFN0Dgo#dfVF-{l57)^19!pspeDwc%wp2PEba*&d^o=~ z&|xk8GOKwl999TQ&b%Q2r#cdsdk%REriv-UluXZp_-(_-YCW&g84A-V@$wPkpHwQJ zQ{*FvL-Y-7^pOSw%<~?!e{Tp%XCIi&R#c$@vyx*d2=!rCKwJy`T-Il?W#H}>fDafzfa-~H1h@)Iy7izFiP$EBc>ahIUbx&w9B_6%9& z;Nb_Or++Aqp+;kQ^Jr-3UoJckHzmUBqsFuH#~yIZ)_jsBn&Ms;Foptk&V!Vl%uEAX z6n3~eO}dBQvac5wh)MQS*dC-br?eVW#&y!9YL_a%k(m*AoRJ;nmnwu~_6K}ud+M}D znDc?*0sfb;d{9RVKOFog7@FlOF^hFXj!GpQvt>JxfJ6d(9G$*Rp@BP+Km=~L{-cYP z!Tf;@peE0%0S0)!oWnzKO+dH+;?u;V;&2@_A6COgHFMkjO zDpTG=2V8;bJet#k1E#YAHeRm72Q<~Dre?ck%nVAiS&T{Xbr{70B#@$#B%jN5)Gl*V zf%ZN7^_dfFr5YX3Z7!%+Co*$S zOI9ku)z?HB7hGY{5rgMPGDD!#Z!VVmL3_w(=!Zo6Vwfq4Uo*ur`M*CyT5DzC?Yu?o zV~5STuWJ7J8=aWa>384c%?dzaMtNp%g87|eG-tENaJ!Mzn(yV!pUY4J}60u@tNx-a<}k? z7bE2XR`Ve|nbZ3H+vEAG*@7KUI%2%wtC;yb=OW2Zb4L4{6M~p44ez7YyQ13|eZH(` z|8leLx9NA4S{Ecj;$_0Yd2A}FQx!f3mWeM=K%t19{uyemki(A-ReA(WpraQCRB$CeDV!h^Wnj%lNqXOZ-BN1%yZABGMUCnk`MRki)_IVO z)x{&%>zSOnu}a9q-b{uPHv3LtG47ClJDe+uAe>nGeOJf)`ix}Y6h}!nb`<9$&o#3$ zD|LQPQgAnw!SXgQupm<3wor3D?>zgGsoCrJf;-hvb*3c&VSmyOt%JPkU<`-MpP99X+ciO%7&>>@3yX7?^!m;%1*68Z6I@EdC6KX8ec zAL>Xnn=7}B_}DITxN@ss!;A)c#DGv_Ynh|<*;Y=%i6u3E0nC5McD_(+w%e3&dF`V$ zCO)(qptcS^VXZm+kTXZ4HLs9iF7sb0!g^6*Jdb~*Pqr^{i^C#1@gFaj(PNmkVlpdf z-o`EsL?E9-Qzz+7%*;Y__`D)q#6Z^UworDXtX8V?1A*Y$-KbDRf?t6wz*W;^B4zLO z;Y9fQ*h$zCNLOJq>-u%UL|QtB5(nVyO3B_^Z7^e~P$`elY_b%-$b+O~NSBO=94>LO zx@D=_YpPHS;J+*3@MsJ3d+=&wbf_kaSZqp)BZI5MnWA#PorMsD*_cJR5a)$0%fZA{=)cE9v_s4jw5hX<$a zdE8R`lUPhAd%NCWhBOA8%%W$Dlth%K`!!gQ?ruRN>Kp6<-;C!g6-58eDvo53-l>Ie zHGKG%zw5ed!J2JUQ9Pwx-AkoE`{d6t^ZF15HJ~QBja(<`paAtTj66JAr`O9sxv?H0 zGk|x-oc0%;UI@TMy3i&24Uj}*=C{e?fxPezoE6;6Hkl!=uFkK^X&)^|gG6BwtqFTM zU;i|-%20rKy>)Qy&*Puh##-%R;5C`n=Nb*dmE_9taQQifKF-m^C_%B_@l%)22Kzd6 zv*gngAu4*h;I|BPYrXp(aS)$pROciuE(fFFfgVmi?FF&P^tL)wg$|hHSi9qL^g|oY zvJQKR>%G&_Vv&JQ7O$oMM;5I^jNL%S?|h#A#P8kin zZXO5^+Q(dUKq)k|-PDwP9ohSWd^jflGSxLoZKSiQ7{nPBnqzo3TdIE+obi#x3@q#QY#W`&0k61Y=NPT>98?zj>gw*cm z;_iL^e3x|4T(g&)fkvhF{&JxcSCko`;QeYB1B_?5zM1PF%Vprf+H(!bBN_v>XMv=T zOgsWccR&>M3(w1xh#4>WcAMKPbQHO6yJZIGW~^>PwE++H3(3k&CF&G3`LS9{x$dQP z$}1I5rP=L?+Fo&IY(AGvE^a*Y+&MdOc&elGiSvkH=baO>_w&{h5JgY<0O(UF*WJNp zFu^JJR(S6XeVzsoCYCbv554j>7k^X&wc9bS)~c#29>Sx+@K&mWB|n&=q9?bt{UP_S zf6%&S#{IMY?rai8Kt=T|tl1vTaT+>-O^fY=gwUj)hmh>f*%c-uIU_`E57`ipLFRL@ zOq>pPr!o6joUy-pP5k0Izu3)62o<+!<{%e)#=GS z7V~1>b#kIxbkbtGAnuQWF`XG&`aCKoJh0KH(T}CWmU?~A=78N%>;3TxRw8}D z*)>VP=I!xiIBMgwR8TsDBeh2Rmv;n-)J(PjL2NFc2`c9|P+Pix29i0xhLgrk=uVUV2g=`YArQKl>&z0*rQp6IrT~H+S*cW1@ zZldk9zIs#QuGp8|N*^>-&u4TYSWP8??A2zR8Vg$o(uo#)UrELWiAQwA&Q52jJ@P$w z2d0EmGTqx3h5QGG+x}Coeg=s!^Q1YrkRs|^3%{=@6U9vm#i%G0$;)ZOuHwZEJ8F}| z&f&;a(oN{tJ|AGJJqg#KFv5?HtMPNz^{FWwG=NDIx-EE=nzCODy5pZ*apPa@ATf#v zeprPGv}dDciVV%fOQug&NH-oqubE2whgc*8tyecl72m&IdVdamQY3v+_QI#VEHrsJ?Rm^tnoxNb`lh1ZQjhaD|CGtE-xI%JT=w-;WC zVY@%cq<3n`xfjGrt!&&A8|gno|PXY{MARe`|9(YYFM;rV$q0fUw(} zQ^{FiBGa=WsI~QHoRxt*rnS8J0h{$XqyO+PEdEx9f{g;<{(? zsSSl}E_()h+fKeO_`3gvC2`L#kRngZI@=>4He*%bx3MD+P}Y2sfa(K zS_Pifh3qp1MNu;{gwG}4y$`Z!8KVN^eieqm8g*L9|8Nr=k3gTTm3BF0(2KR^Q4rN-9f>d~3}RGj z5Jx}7;}2@7X^2O{3wNPO4gbLYO)dN~D};7*)Fbdj#7Ut?huNzT_yU<) zdx$qXidqB~HA(lQ;xN%9Gr+Q;OwZ@=N_=!ltah8?9sNcM?AmM{P6oyN|@cH{$$We5Kk%O+m(J9+fj2eFnzfnj5(A>ufsceLFOnJ$?s zQ1Z3oMP}t(dp-dafi156Dol`|aZ5 zK=}_bASr1kG)9@Te|#E`Qt#L-BXY-RBt>piQeIHrlX~&xT6joLx^Oaf$Dm_gyohXg z&qb9R!2u2RT;N%?Bl}0MJ2fyQ-W|zHrFL2p4^ma{7E4*m8eMsR{3IzCHgquwWPdB| z%)5Wd-rNqmSc$Q!#@P1HNgqb+0gv|+3+^>qJ)p?(@r_^ILjE{ULvQGcIef?~zmt(} z?2&)E1l)qrB$+-Z)P}b@Dgow9uO!nh8hsp)*&za9?Xe;u?Mq1vC zif&=1veNG*9HVP1as@Y7B{@U|J$@RY*O@;`!(qAo1TW1Q`cq8K+4_Vsecb_OluW}Z z0o?1DbxIr=4km3;aW`D?A*Ia>I&XNrXLKYwW3yFaQ*#74n<#F16CTZo^*!0Lt{?DB zpKU|Q3sv)9!F;S2+oO1Z&rLa^tNCrUxtmBCS3RM^Rr6&^$ z10ti@h<$M5W`-N`to%1An)lOoEBIY(2%UbBU8&||enxUB5 zzlqH4cs~&&$9l8&&FcR2VT%Q*Qr~)oN^E;AE=wrvZBM z6drs}1*`~4%5(y!HE=fTngnxNxFSBPZr4{Jxw@N2VdHv}3cQ)zPSb@A%wgPh1Opx{ zNY!%&3uP#fJ@4S~HMRR`+-OLlxCwbik?kTt@o&zbOImGLly+xa76cQXG}UV^LZ9Sl z47|(h)0RCM+3A)7a;hn;qwNmD&uog;wnVEd8S1;T;ttFLKR_~L!FgK+Zrt-Jdtri2 zz2d64;1E@t{MW;t%@-_xjJN295jFg_Ki-7kg?AMm_TfPLP~QH&Uf>jXD*kE;`YItS z)9Xr5M;&p#4xcR)_m=>nb-NVv!s^LnG@!i>`hm(qAC!CsM3HX^xBDL2|A2sm$+4C4 zx?ljp!GsU?h6Sak6hHtmBhfer?=z$GZ3ojPkGGq%1%zZKliL0;l*MH z_T0~L-`tWxLJJ|`Lt@K|Z{?3Aj~;K3%_U1&gggU1Z!PE=tuKfucR zq>OVUu(ieYhXo%u&`G?RtCKcKs-FM#dm|?QNez0VQGU1IL^-H+ohTWP^v6O6jy>pW z%z7AaJMMI3hhU2v8Lhbvj*S+gaI$XYoKG_(Yi!nth~2)zpc$-4Ha|kiHzrdY0OY&O z*LDQ-plx)02ZCee`Ok9FYZ~W25KreNkq-9I*@ncBTVX3PAK)C=TQcm7{7&_SWwf;& zXRrNXx84@>8PP8CtP0yuaf1DH$qNorP9xLf8o|7mL!djsU5~e?Z45?qaSQYvu!hjDdTfyd7KF3d+GTY{!E3@kvMa|T(onP%(dsFFR zlACkTt__;{p0PP)^UloJk2@N%5WYV9TxxX$+*3;-Qvqv(n3bemf2pt{ zQS%wiRJiP?Q?*>jPu4>(mB+yCZ+01=CP?NSwO81#g`DH|C5*2(S<;~d3J*P5 z;v|c1RoZ{8KS>t7m2Ug|a4EJ)(vv&9DdHwo^kF6tz~Bx$j@}WFT&R`TF}G1F`J}#L z2)x+`N!F;;bWtg&Q`4=3x#JLy^L+Nk?eWFggV<^PtuD&pFF^k zsCN~&MPPkGD3l+7EK{$5Yo?Z$xFoYOPU8`A`eFvc$-m*_01`MZ7b_UkNlCwGg`!^I zjkntoZOBX(i|;4YD#E75H<0iMLTRbLE5WGrfOhI{J1{E0SGu@_uE#H^qK}b<9WVg% zY5W^YjPE-(5b?dfhjF&HT2&Qz{#4xLyn0Jy?^N0~%n|nm4){v_qWxbDxYkU;{;nUZ ztn$i#&O&FiGuctiF(EwB(Ax(Mh;n#^C@HF9XYYDMAkzAd7?gi&$^`J|wdmi!%sg?hX;=al_T=#%}VX ziX@=&`)q}l>=bA2?1Do0hLlVvH^z<$xdl4N9f5SL{93+{g)h&cHy0oRo-RwH0RqBR z*WG*khZ7W>7lEY4KP|%ILSWPJ;Z5M4Nbv)*&w69XNP0t~R7B7Tm(iomj_M)e^*TB~ zxth}BBbl`Y;%wjxNTUF<;CLuv5ZS+q;@}@ehazz>2X~`==n#kl`bEA-Qx_a)$W*B{ z8gw9e9(FrF%^HDQ(fpT|(>r}p9Bs1acX(XSV8G0O0Sh~-`BhM)s|k*n-)MP(mP^z^ zFSkTM>L|8Dof6nB(RjHAJO$jNx%3Iq|K$Ja`(}!L#Vg6>9E4teZU;&150JRZv6Abnow!huZ`3iVPVFxJW`B`xXnpsXO|3yb5ht6KKDPZT5feNN0v-#=SzQs z2Y8+dPp*wRA0J1~v78i-PjcrP#hkKut%AHWP!;<7YxU>)f!JaZ0T96Y1~9ey-ePC? z{76+0LnftUz-kLAi2&ZUsciz}r7(#Lfd>5TDfO1Yfdoi5|0!24-J9O(*#8@O*VbVE zW(BS^Eh+}F**V1yC0%2|*Zzuhxm$PI=d|AY%@vp60#7?YW3x%a09k!a0$bFCA{?=`b<)(uFmDn4>XJ}^cqz+z<*RAJK`^(siUV!KHgRRJG_HEDyU+Mt;g6OdW*T8y+6ZA+=2I*@w@-AYQ(?w?Un-N;j+o(1{^^i=v-INH$9;z)!JGfqEys%& zYN)d@5JY+Y#hZWwnTuxVnLNS9cFv4(9uF-3;5wS)ONF7iu0bc|3ItC6Rke@c@5)mH zTCV%hNn+9A-jUpegg_m>^UWqQ!t4tKBBK-LdhAy*xR(3X@=d(A)(K&E+ zdbtz_Q1kE+>UP=Xs@whhfXE#fof#8#)MjO`)}V4%o~lUB^Ha}!9`gu`@eDtj_!Zv1 zQmG9+@=`I+&xos_-Nk6vPx>M1VlB~mTYu&PE&2L*HWH8|5;}0v4$*~LcUv;E=Tqcs z=tUsWw*OcTyexoK5z!_WH(;7IdY+101`PI&H~bY=V(~NHY%$9VEgpaE7DT!rpN7^7 zt7Oy&`6&ydm3c`QQ zQEFNm17UV|hBH+>XU^pg#K%$E- z-8@$DkxEc{zxYq?_{`^R`!i4;1*b|+o!6Xg(8g24p*hT-p@An~n#&K|hjRo$< zx2f)UvpW5Ocle1ek)iH*PX}H{6eW))Fp&4nl54DseNBzKQc=YX95`2&^X@3C6**~m z!#^x0c%M}wMJHxm&$;szxIJP$018S~B(_oc@-EU+zvz6&kH*6`hIo~31+W<4n+I?N z%#1r;376<4Z|99OGbNjP0%$Sx`po+LrTb*c;f{-2%H_RvLp{z~1{Lg9^GA08S-Jfp zo)nQq4=qg4;p#o%*|#c4%~(1*TXs;ATox!-Pj-h#;#3tg z^%g95n+u3FYdIwaF?!{EJxNrN@DCV7>l~7!TofvZVNaUf!_3gYpga)$Y(_*xhPB~# zBVJ7XTd~v=-rQ~{nwI)zDH`doR^tzo@=o!_Y63ML|IQHxq~pEFA?1?2|DYGHHV$9o zCi!G-1kY$JCsRNU%4e87oz}qOE+7Y5%OA7OD~ zB4o^`vC(8RjI7dX;+6~?qCcBa9>hL>#zsK5A8vkdzr?}m1sDg z0y?59l7IT4hihl-{N3!@SCi=%j^m=-%rwz=P5+aaEF2z zAfFkSR@rE~=`L7La;3&aY_hAPS*k0kFMV9e6b=X)XWWmDB?j%`xn1H9f#!SLg{tt{ zP_43;mq3JQY#D`Xg9;shoYn3G8)3f+Jkh`art2iM?b!>!=YLS%E1wpt{RtDrI!g^I z8%Yn7qH{--d}Y#F4IOlC!Nek)<%`POeYw|?iOu01I(xvV4Lt7HE`PX%_8}#fDftB& z4)^o37bvoxX+Z(*v7Jt~eQ^0qNZ&5A%CY(rP=j5!hN<8l%rFfEFE0=X^PDAmf zCGXM3G%6b@>L|>Ka?l@-rXafWdeb9=Rj%ZonfvGOBj)N_nqlW3Fq?6j0-MZfPR~b9 zlzn9+{FE5fH~zE|{jhvK#Q%C7qX<0zy;!Uo$P(@=1QT&%vI!<$&-IS_Vq>zLA`QbY zC4W}Stn?@tL0THwBX&O{u~+dTszLefoxK3-EBlHY&)zo%O>TLohO07T(%5a_qR-qK zrK&P?kV~H!Lb)v~V0vYMv_m1Sqz*-zGj>Zzoz?MA$$p&7*Cq zv}_tz+^LCaOi~#f88&=xb_=y*V?`w9qd~os3ird4)VNoq*O`IQK;AOG!B-*btAWJ% zeL^o(kxYX!m0j!fEbQ>FnH+iqDy3RDr4XYYmp8A$FdBF=#O(-qIv3PCL8@O+j*m|P zTk^q;2S65mB!wkeIue?AT;`vUE8_wF>f|%K-2sC*=a#~2Intk_+rAYm{~E%QI^xsP zf>g$LIzod!?tAiZpWYEN5G|yj8%v6WbxatV>TrFjK3QC?e+x?+@&fA}^F3~Rfdi^% zyaK(2`2|tGFGxwL&$5z=Kh)?G2dMx7Aq@-E1dDA4E~ppf4(i{6>A8Mr+uBA_NHe4V zoCwKaPcxH~20Qv;?h8j^p!=Rzuz%NCWPs&p3xMt!T;^kRO~~J%3Hl8iw)dghJA!JXpkW1RZV-qHyv8#ZY5+TPv8Zxiv{r`>=sVK_@bzSKNKX znLj&?*qm!85|gJ}_;M0e!myA4UsmEHsUVA)z|TYRS<7!cG^^S6-_5wvzip$=uO#x{ z7pKy?xW*O z1aYe8$Dw<>mBmIz+vN)4&V~2+f&OZAP=unFmzd9wuT^Kkp+R>wP_)ByJ}U#ZN3e;Z zDXQXzre}(PIA2dBMG(Uzqwo6R1#hRupIQD<-+%?yss)>C;SH``^$s{i+8Zb#(tbCA zh0JR%bV(sTW)=MiwkfT>sb$(^$Qh!kbP8+e36hjh?sTjZu1}2yQI>Y{-w+!d_q>Vp zPvm!;LfE~NLSMyG>?31?U&R%O(LZm&_==H4a23Y~7d~`>&1-+vF8N{=(jIGHyBQ=o z7;0@T!cb+e4%-`Kd1D0@3MO*sXWVm{n|t+MEb@u!`G)+6+;BHJk#%XvYu8ZRvMvIm zeW&$FK6Mn(-ZDwv_fw4gT_CT8GV-w)U~_+pgs4Gz#RIaNWKoL zi59UcYQ_w^RD`oRXuou~`g~-8_-kCM2ubYYWYut5fC-D(qWq_bu00vn?zb`(BBPN8 zVD_ksj^q2-56nvXkNo}JG^>1k_klR#nYO99KC%uGZZU1L{HDZV8oU=5)OJ zQ=du1_*-_%%45HPO2s|a=E(tB@`1Tko5~O+DsZKo#17rA zMeoxm^=~Bvr8Pvy`ROzlP{x7$uHBL^=%}kzjiiMpFAVD3Wx@!4Y7+_g#YDouv_UuIC<2d%xw)@yY(YvA{v zANCibINRb0uqX_#@$EGu)3x$1CwUB?IX7e;qZ^k|=zV_tJy;JK8IUw-74CMdQL#66 zoKuVcjOzOG_m3~Qc;PiEIvlXy@}^Ij=o} zIIZ$P2{?s;=NU{+dm8c50dM^!8G}U*C$Fkp0(cB7x2UM16wNpPa z=+lS;y2k3`V?6Z7o}OYU)2b2kU#`iQW+r~ts)zO`8aepQaGp-;1cwK*%{FWpIA7cU z4D_@#e1a2Mz0g51@(+E?8d)T0sU{hDM$)yM3VJC(m?h9AIKWvE`lOaqY?0D?%&f(n zaI+AexF!8dkGVJPLK38vBNkMQtgFtL-3R-?;%+OyQx%15>lf{wPKLs36EF8kk@bs_ z;lKy`L4M!BUI2cU1yybl$=;oyy1Zg9BZYobF6z$zSm+Vn%owF6xc~sr5gVMW9DV5w zLX$x4ThP!6Rs9gPzi!J795O;m%zQRU3B)TIJ7q{}HQN zXPog(z!s^5L(<53la-X3#_i0j*TvC9(M}S5Zm+*)xmW@Cjhps6rvd4XRIP-^Q^aVDda=NvQq-!8`N>aP zg>^nLLCSO^c3p+znQ$V<_@K;=MG|u1@FzJuwj?r@MNC~o5gd-2`FyJ%D{*l(!a2J& zp)<6!vKi5EKXQ5u|EzBJFBcj$A&-Q7h`0n};a3A9AX%B~&BQ+my;jJAMOTT7Rs?@} z;r#-*7JRKYS=|A0{4UF8PddruXVF(66<9o}3pWyiSd@fl=!#9i6^5OT|ATxKMeFm#V5cGjW|N6YTsj`ux)VQ6s zjb1KL&rCo(y$d)`q02Cs?cL^d(!{{BU^vC#|M_ob!?cGr?q2R0r)XP_jO!F#H=|QD z%K{E?l^AE38^$?-DXJSS`0ohg4{>#n->=}zPNeNK68cb*=?AiUi`-E`5kbh3Bygn2 zaG@m8{g)Xifa%fkz#u2m!U(}gE7AHapLt9wL+&ht9;oElRs38JR8f%|BP}ErDI#tG zpXb0io9JPLN#O|5egW3K;ZQ+{l2DkUYt;wZB`o~|c9Q|uVsFP69Z z+|WmZ+b=DmUGcc0<;8KanC{PADWVmb^`EXfu-dJo6-%cMJ8C$Gb$)nfaj<^@RqI2j zDR6A!eM3t_6qU7YaUZ2CZK+Lfe2ACs#$mwYEt#Qz6dL8QL9`L7Wb z6OA=%*NDAvBih@YIDQNT`FRL?_DtxV#evUOt#I+&dAy;0);(H#rq7b1iga$&6z044 zqsS!yL54;c$2vFar@rkw3Oz6D;yiVZVo3XsM5J_feixDQBS1<%6e=Q8%7H5vE}%u5 z*1~SRc<3m4XRjmQ!5ha{ZA8P$RcSoSV(q34BoC4NL~@KaJH}~HpXBskuzl8iB-pwM zd6{KamSfrJ5Zg)9NG4?2aI2D%m@D<_)Wu<~L&!-^!OJI4v3l8Zl%X+b+N>E)o;f1{ zDW88FNLex>B_Eu&vb3ZY72!_kZOofHN9ZFP2#XV5j~HiOeH#7;gzigB5f=XMV}0W_|g>XU@7#v9JT81 zBI5>YW7UUS2Sj~9pQ3R z7Ljt`Askq9QbZgR&i|gfO8VcDfSRvGB>@_21AV~uUHatjC9nHjDgmS{=}3;FWfcZG zqQHmsLxG67ejl$cKSq*u2=ctCuSCQxTEhjZ9=>k9yCxo$2Vg5F!;#qYPSDmM9MvTegmWwy!yZaWMyU`j(PQ_jrgT(8RBJi zp?^dluM6_=lA}kjT}?c&6q?kiiPM{Rpuj5_k1ttZ-mua5>H8nhu9Mv9K_MPJeuQPq zB>)%PHfzUMznV+U8XeFtXqpHT4QsGAL;7r73&MP&6taL8#kmndJ8>nwQ?1N zepS-lekPETBT_2u!W$<)+&ggr)B6q*K*KIQd%%(-B(vS@W-t^cj2C^-yJc%QX&s@p zJrrL0Cor^wWPckva(J;bTL%Y+cM&PakEi=@(tfgtc(nyOb?+wjOfv@!!+qoHc;kH& z#=F!+gfor+ws^uMgqhnT&-WINEA0@`xyqI=hjldGe-5P77l0mquAEvlZ$^8R!4 zLxW#oth@(B0ii$)?ZMND|K;X^CYb2E-%B3?DcR|-JfdfDqP+tW{~Sp99tmE`MC;Ml zBsnjoPmXHLJdUG2GB0JG`Y~Skxy0osxmXFiXoQso%nx0F()^>COnj30Df7J#dFd?T zrA+)A^RodxGzA}(^UM!P&S&{L20u<7A@S1b#7CKLGQXZPP7e!+moiUf{>nU6ef3G< z6j$_11o6>m8p|in!XdgmA|(SU*-62BK+2Etq_078ep<{+iTHal*p}*4^7_9++%DPv z>YdY9X`N zm3|jUNwQMQwrx=Ahaa(Q>;yc&W`oxrH-xR`*XlL#3&}aWZbrWd7jh0qW^6_4qlQuEs^I*yn54iG+};JuABs92ag!1yAZ3**;9x5{D>oq9 zEdY_`4p=*Jny{laZq`)d)!8|C_UJJdEhO2I0#=To1;+&9>tD825 z8MTGm#BCD}im0u9sLhUUw9ZiaWFTd?-vLs#Z!hMJh)5Y0h63u>_aaiRTJ`5ZO6^0a zS+|a47hbRwDK0)pa`wTlMaxl{`mS2VN<#K#{S9uC3>o~029@MgA0m$OO#3ke(H{23 zU9tbb4f;Fu8U1z_zIrF6h?KOqXy2(LF0+hH{r5QJHr6a$gl2W?WB9;fICJ_kUd84L zp9dT;Iwn5v(7L9tMWTPdwZ!Yov}x1n9c_v+ zij#54%0bvfg*NQbH>LhzYzIUHM1*suz2Pf0fL-3QXjJvkNqyqo_HK{CY=AQFnM(CW?|HfG_eo|>V7Fj`E~2TaO+Ow`Q1XKwKLXEnl6N>UrC5E zJa!a$uX7O>8-sm&_XiD-u*U;+G2Lg~3#B-Dc!?-9Q3u z#P_b+gvKp9qJ95i&^dDvuTpbxKOz>J_a4BnZM&djpCLGWn)1_faVIPiOV@EZ715wt zb?C2GLXJ-`V(i_qdG>siFZ+uCQtDFq+3o>|CBbj=v{@36k^}>tL-dZ5kQf^t0i_Ka zMYM>zb?QLp;6Y@wfsF*`EnAdOp;9H(ty&G*Yc?XA>KX6oiLJBdp+cFms6zsm=79sq zOh`a-Ts-z4(na&mJ<+B^PiQEqAi_Bak8ORRymBiVx9WrreFoy#DI?^hrXfBe0?L~= zqf(`c0@!y@MHQK`aY&^4Y$rj!Qbo$IT^kx22au7ThQx$;?AY<{P%bN?IX{U6n_VRi zaZ-7;*S3?aFYSfpmLb|&@l3jhUXUTFY`bee9*fMW9o>_V#+#wLU zI}W0Aj{zuMvjM&BK1E(Y>iO7)ZRE_{rlF+(~`XPz>gB7tyj-Nu??tRg;V^8cnsE4O9DR_~P zj{SNk(7Ib+G^6}IT1W6KE)`+1$xz-)0x``yKDf)}aKCata(_xd%8F3lwiO9+u|k2u zo=MvG?2jfLdSLqj9XyUoL_}gHGz?Cob@%>Mes>(uK8%!oWSUSJ2@cbiU zr5_W2mn*IK^Uu86ao!VF6uQy9SMb(U35gnm5wfN;&d(Tw4Wrg!Qr|ro+I1KDc2GjM zHXG2X)p~SjxgPCXZV=R=^(J&|yA^HQ>_CV1`!H;X7PhWA3DZ+o5MpPE7w&e5bhgH` zE2rSUYcZ}&Xovm18bY!AKr|anWz5ur@&OY(WFRFg0olm+*bZ;KPU403TsTZ_h(jH| z!<2g8qj8ODC||cF>UJE0USsBC>Y`29xqUCrY43-f!9KX^u7l&gsW4eJ2+BiSVsyhA z=v=!YI(8p}{tHzwSKS1sFIpkO-B#+G_q>>X0^M|>ex~{bS^=KAfX!-To|yxiZL6?a zaUTZt(m|IF+UVI?Qy5R#^WJj{8IY0{p6uJlD+hMMI5Z8{Kf{pQI z+_ttr3Po`bNsdI>ju91$7phMZuk z8-qLiuTtAiBHvC25f{|qrMnwuCF*uwc{Fy6X@zk$%b?TuKcHc?hN#*dW3C9xfd^g+T*Gp?0&jn7w)+#^xW7_T% zifEACWrrgsPE^FLo9s=x2(B(J#fNj`#LCFUix%Pjy}RNX>k>dp_Sh9{Xhh=_1e+2- z$_bMv31b;wZ%5bnmIEmj#v;()k9P`i_ws?llv$|Rqz$GlSOM3-+epeSf|-*iMogNC zT2$w0i&nus_^uG%P0Xy(t%n?tser&BS>#D)C-m(v$q8eIkAS}`ts&VtaI~^UzkU*! zZA_2e@MlA2;2k(=8=!w%$?NIp@#BgkSUS176aywljT|XJdz@UT{6Pby{DDJm3AzIg3%VacfK*H5rb_E+NSy6ju7@F?!epYFBH_Sg;g9epEiy$HmGDgJeL> z;SALDm6X)(o*oz?0|yRcfTauo>A`?WnNoWw{my^O^M}d+nS7szw*=Jmr1}n%MXDUq ze*oNV?Zk6~JUua0QBjOF|MuMvrBS1CE6km_7_R5ck>qn9jwi1`ar6{XXN5V7MB9>S zdvEFJh5<59ecT6bGEaTnH{3^@&p=AN zJoRI|_93mu>^bxyUF&fKIj3hMd`CC9Eb0wS#o1UeWIIOp+=~I7l+ml*Ms#Vt9vuar zmGRnE8_}VS656-fM!a?xtt0A~FQgW=GDTJZ)tMa@gwebTHAR}~KHS}!=l)fx>@ zsc{#y=sN);rme)XwcDYirV3MibvPf{4%dT=V6|;5j?C(gg`FFqPt6+W(4-@}jb4J$ zn~!3ffhpXqY>>m?e(oFQwRK4Pmc;8MzzqE?kDcr6nTW zY*7$M&thK2yq1BAzSjUpT@+p2iU|ExxVC92_RL#}-K)0al>RZeJ6hpkpevGYy5fz9 z7ES!n*O=Z%1EiU2;to4G(AbXaD(hf=U@@+%O@aQhepuSMHu{(T9xZ<^hq_HWBU4irE3wYvq9j`gss+gnn90qOj{A4Etp|;4eJB)CZ zaqyg62YQ3*Vl2s94M%N3k0tszWMG1qp7hSJv6h`o{1XOH?!yQ4UfgvV8R|BtI{qgFm4K^xH6X3Fc1xRV@fjBdVuMec$u|vovH7H+=u1}?UCX!sS ziz7+W`&FY{1*pzmB%=B!nK@$jjCrV1wgixp$`L?HK48p-Ka!(VcI+hiDjLa=QP`ur zUC2-@cd?V36p~GnGtwo8HUV4V686QH`D}9O2AbAfCz3R-rnuBOC#w zWQR8~u}CEuSXD&@)v4}mVB0l!F%lVg>EsQyMJpsAC0)OZdOfs{1o zqpsN^+rj&{KuWq^04eER_$(mh%a>CA(a!)u1|2lr=Y&iMf z`e%TYv|kWZ!YCS-CwYq%K+4z@D65j3PksI)?Hi^~pDOkv-$KfycR^QI2aOvy!gt@( zK6Ts#Si1YjG~YmSA@!TQT@0S2cE+T?K}V!tjt?gA8quHj%vWrjgn^U$?cWAc9y)po&00wg zb%qQY1baK$GyIqS(L{a!Ka*rl{+~^jmR9KAy{CBJTDNM3^XHBT8G@hP*iV(fq@IMrQ0PR5BJ$@I@FNERc(q~ybioB{#@ik*!OB%baf(+{!(HU69PN73*8GC-V-y*+yL zl7K6dCn(}};0>BHuW|LlMYM0*Rvf~eGk+c)J$s6RqCyy*J4dolbCjaKS-yNZ!o$On zmzO7;(K675%a@Y?Qc}B9*pW>VJF@v0kkSd;rp*@eO5Fzap+oXWc1ju|!=7W!ij^o^ zrc5z7gP-&C=~FD^1D|pr<#}Vgd7UQ%QqC+s@M*qZTYwINj4okZzk#BCA|homkn$LY z$iNvw@9N)31x?MbG zJi@NqAkRA($2V<9qZ+j&aK`3M!m$j?PwxRKXU<20jhp2BXZ}(dkg^ukNmfovPLY6= zn`J=C`t@*_<_bsWe@SJnCfP_FoNnA0Ck>AxKQjwY?%l_NxpVO|$w+P6wSx&CoG$z= zkWzkdn&;5GdGo}<)so{jWy%zBsG1WWb}R-`ju|8J`QG0HQu1MKKD5nc^85_&^B3hH zlX%?SXVRh6=dXYqmU=#(J_J&3*suW+^nSdTUeo&;MeETWn%`_kV)~f==3k=XNSLhW zV*TQ8A}oDjVx8_Y7Xg}Jun9-6S~O7~!~1Fp2i%H7b%gWOkI|G75^#fo8gmr%WW?V~ zl008e07n??F^TSFVt@&kGj^ahhV<4#zitQ7t^EOXY_p%_GEHn-cnluLFAI<-_e+7l zodBy`-ft{`A{>!&*V5w%FuIBi50cY;Y(*OwfSN$WpK@Y=OR|e~F(rKhy?;HG@j;ni zixQly5br2`=XP=&?Ao@C_Bk;~jg7;e?K@DpQYF-= zQC&C)=kpJ7am=eX30+kEx^4J~RD&G2q z;Qoc{m_Bf*sC(ybU14EmDcU4}l!_BXTl=(ZBX~7@L*S+N4oEp{)JXWqY@`mfcj-lY z7tUwf?@i&Pw-Bb(H=Vk65#`SqFa!_I)1Ha;Zx`tKEgLk%Pd|K*#iJBxzix_rM;{zt zy$Ow~R!14uMQ+-NsA$$*W(mjj?A(sqyM4Pd5)%@HV|sl(Jv93DSMgjqwQSJ>Cr?N~ zN}g*x=Xmb%9OSvkbCTyK&rzPMJZE|C@*L*5%yXLOHqUXM>pX8lZr_IDl*yv}4s{#A zc->YMIukz)k0bucGUK18Hw>gaeHv}sNO~T2`YX2?7biK8@*$PYptL~ROR}@e4|v+Y zMejwGzD>kHx{vX|FG&nO45PJ*);6ybm$7BRQ4!E$cpoi{AFTZw9x5k3SHqx32339v zFBRZRx}NWo-_OL)VBS5TyC!;e(h$6qBStTnpfC6)gGia5aNjVm^yE6uKZ?=)4+-Z4 z9BG>Q4?A*T-Xr*tjO6@D@WS_ay7ze3H%NTeiHRM|#)Kg=IRWmTUYI^}mXN&|pv~(L zgW)41Ut-m&l|o)^Lh`_=QzubSkdLQNo?t%7ZX89wP3zXQH#ebIo#la0OqH!GUAuIF zrG*780!6}k${3mK*spJ2xD*2^-7#3ktA`I8_8zZhrw5#`sGxvbBr6q>?0DVe5;~Cl zA@S;2cqn8g22vWMMe9}~APGAtdU4eXdG3KQT&skJRjY}J!0VMZBPJmpxwKxMq;|Ay z`M#a4QNxDNC%%)xPFzVo;&r)VeQ$SO!sLB=ju048Vk@IKet!EzHpG8 zTVbaUd?ttU`7Dl?C!ft>ASFknlw>7^?!6Iw;=JHr7OI-)+__j{70E@s`Hz zBFW6HWKMV%60d%CiQefy;?)f7C%#+0TsgsqnIEU6r3$+y+eVrAJLj>zcH55as8FdQ z>Qt-*ofYel!?tQOd#s%>1*NHP7-V{acvb<)VquRTW6}Ki!f{e7maBQLP@C@4-evln z*`n^9sc-&|y{iDLYU$dyuif2s?e6aG?(XhDx;sTsL=h1Ll?G`LP!JIi!B$ebQ9%iP z|Fz~Etn2-5-|O>#-+rF;>~rSqJ$tP+>&!c`-x((rZDAAJHgm9K5rg3)hQpiRD|%gq z$ZOZJnEHL3UL}mfD_5+*?GK2Q0}1!}xB1k@yB%?p;-(b#=WNh};*{fN6~)cNJ8^={ zd79c2+|T9q)7Fg}k@WD9Xonp$Frao>3)HAy9S8R8L1uCap6BL4i~7%vsf|~!T6HK= zAG^TW7kN%TkYBzQbqV7eP}@&t`5I)9FAzn2$Xik(<<&?aklI(&9uo`xgxnIgz9UlJ zh{JUg3(1a@q1&wyGgBo>I^-L(@1+&i8A_)=UjM~$loHoNGOZ= zE}cvJ+&s>&2@~8{1SznBqw~uHYf&C@zx~@HX!~EO@L8RoC4ev_%IlKN&dFQiN zZ}IZa(RTipgNc;qtk0r*SIKzK*% zkL*ZEKI7rz{~iDSL9y|_<4ZZDW%9)xmo7P?Z$AkL|MXLPSe`PF5-GX*{Wpk({5lCe z`%N|+FQ37{0g_#9I&|m+3yV{Knn>yH&Z~Y%8gp&i^6ExfQX(bW`_E5KN{E#F>^yk5 zpQi@*K%ra9maSo8BAFEWy@zCXA4vnWapOAB)sdI3WXsQa{#iY$h?Kl5C6G?5EAT&2@@m(flZn;fa!5VN+)EZ(P7F0&V5)xw#@cQ;xa$PQkJySfO{btsyZM+iRUXPm z9pPvnjfBVSn?H7BKi*ymLgsl#>MYGgjqfSFZCjkw(#D&_WMtgD zg*|K6p~jEZ(5`(uoHRdbM-#)!zXJd`G<*$&Po{IhZ_o7Cv znrPj$DU6RE#cS$dyiUo)h0A_eB4dOFN*2&`kAip7Yq&lrgo14#<{mM|GL=&>@{Nao z+FRIMe~9U;x8eI5wa~tKGn`OSM&;84N-3Q3K5s4$4HjDI@O(f}M@%ND*aSJk=wxABDH){qXMJ1Fyeu{$FILK_@ zfjYHnp;^O*(Am2eB~dY`c$$jKPX1UfXM%Z0k3;ROKdxL$hTGKy$XQ&$ti#4wuWSb2 zE7U)3M4f*{dC5z;oUTJ1oYLY#6zAtcNl{+10$}|H&^mM&r4JtA`Q3Za z*uM`=8q`CL+V!zy#dchBy#?R!bQs!S7x6M-r#eP$R>XuI>R73M8peKi;rHwfE=Hwb z;nstwR=XaWH748DRZvotj~9h`P$&O4Y}f#e8Z{K3IqhX}0n|0r#KdL88a1Ix9jh0v zK6vTo3yn1!1i`Z*cT9Kh#q-D*^5bo2?%IpSb?c!4@1&xlgy#i$D4~3`D4!eUCLT8$y7S!Wa)G@XVPKdnON!3QvGiaHi8Gs6CDC!l`dGz?_U!sy5u=}ZUA+qb4c`cmrPWq2hx9p^bWT`n&C3|&u;>wzF%Q!_j>5k zZy=fvoq{0~XJGxjX*j%i3idCUh)pxcVb$ajSUR>p77p)*nS;7uM9&`R-E}Yq4w{a6 zEA~J}%N$l0oN>eV3JR{1Z>lETs(kfZgozzYIE-+kPX8&YKUMJ3=@2|rWwB$SCdLlc zNB>^Bf=J1epT`fMMgkKld7}4T0G*kHN%vkoNevHK6kD!?-{IMin==XvhV;esL8SYz zPMA2LCHl0efo2pJHAueuss_Gq)Dd+$^hby8{V=>=Z%iLK4AW;W#q_oESSw==i<1}d z*p~?;^sG337EgfFvwTf}3p;h`3a zM`zODW)g$Fvgfhth#?G2P9XluCCPxSAPAEm*`Iej$uG`$bKL?7M(f}?rxOhO)Wy0X z12KI5UX0jt3P(&XQ&Eu}Rk6(HPs`=+5cXrVkJxSQp4)j`IB5a{LnBz)IKwOG9{g^k z!Svz{tW~kZ%!B&aYvBgFm<;$otAJV1L#)!W!7NHQcJM}a0k?N5;o@K?1~z!mY{9&_ zxDzcQJq8E(VfxgmAK@my$g*j}dOW<#L`q)p!yQ9MN{Ezm2M65xYL z<0R=lhmD2S`6~!WdxP^a+1PI8j2Wc=cCB-;c8Nm3^;Dd4io`mNb3%VjGkYZS>fBEf z;ctEdWBN)aP^V0vim-4A*)Jp{NDw92uE`T8;Ce6*)&keAGGS6eq?|~<^Y^NB{?6%q z-?dN)k@EWWP)wGRU?&V3jF7YE#dD(^E@2_%!+!XxP9t;}H;;U-i$f5J)y^+IXVJQ0c%r`5-ht*-9f7<9r2ln98kk`w)un9vsBvTAPi4u+ExLYY6_IktU|ey& zOsQ{?no|gka~{IChfM7d`;hw%KmwJk+8HOzq;#1RmvAqc`wCQzP^`_EI+<)|LgrBT zQ0(#%dn*Eq=Fbs6>CmYs2%!<8~Q`5qS$&1 z?rX1t+s>8HU$6q(N6o{uZWGbF`7pGqI{@`-_D9X?15mB{AXKk840RfeN8{G>(YDuC z^d2pbNpp0uVcl`aQ$4A3*b0V(wT1^QptVs0M`vur*1>Zyr}ski>a`u6hN)rU8gsZ< zUPLA9ox-cFlH7N~D;Fii?HdaFQQzW7_pdRgXM409I2Ij8O&5gNy^E$2)=tLGxf8H< z+Gs4FI0TDF^}@WNT`;L%R}Adh2fccX#+ZrAv3{>AbWhsC)zt%!uX>?^Cu#Y4T=#!k z$Nr*_zan{(mCg|kKp6E2{f^@C>BBJDsf76x7-Jc0buey-gh=UaVvko$q`c4V2zz>_ z2{LT9!E<$AD7O0wGbk1tQ~7`O)i)&d@O`sR)czQRfx|~&{K%o0IeZ}I3?D$}0hl^$ z0HzS;O&Zt>QwI0Lj7iflfAv1Bmo@rwv?al;FKX@{}w4%H95b7qJw;HCsvu0p)r zOlZ!Uh^c+2V)FRa*mG1H=Ukl;dLt02(ZML^HUuwN;I9u{+Ji)D=bYU%8Jorr!7O^_ zj3HexV_18P>fRWg>wJUyq&H6nef8B3_^NSxbRRMw>f2A__VGuEu}p%ArXN-vJdRz; z#&D*-RzWEF;5Nlw3_XV@H+f?7F2(yDdlb2-;nv;>uo=-1a(!A*9X$sF*J@*~qAjd! zT#(JXdUAV(7k~IYZKFRbyr=^2N=bN|chwnwcBf%#Vu;gbC#fhY2EuORiH#$6OrMLI z)EE6{h?H{lU#pL1wd+EA?G_Zd`XlqACu9~bN8KO)AtI%=779vBkn_A4^6F|I6DeK% zkn7|Fg{5mgPo$(i7{8#yD}$eL z4gIFHZI`$<|K@F|?Ab5KmTfn68{~KGK~8iW@?-BoZQnsOu2)~OBPA0lsqb1)`V#6o+QL>rq?DIOc3vKym;3^e zvSmx?$|)i*?g0w#KZfQJSu}0b7ED%7|gHb|E)wy zCK*!xnHBFPs}f?bE*|B)pHqMXDypd6sDaRJ?t;?Ak+k^t4;~9QrFd@Jx|Q(Tz+od`>vBa9DTUvdfcm*u`5T2} zouAD_N=;)ku>&gWHgw1kxbe;q|2zKCgM9yAb4X48uRVAX*Z~71b4gvgbii3F6ETM+ z`A7cqM9T8lX+qvq^1KdJN}n7|m|^*?M_5=mX3UWE=NmPu4^0hODUp)x`}{oK-zmbr zSIqV*8}ZAMb0ZnqHk8@G+FdGH8o(Y|Vd`6$&Bb-O} zbvl!s1$R?Xnv;gg$_((%n_~A(mbV!syz3^XSIt5Hg$HjP@H8FIJ%gaXVLRIKi;UEE z+`MWH62c-;`Xm|0HMP-}+NL!recytmNV|OHuO(9M*e+h4?9{pqPHXC-{BbfK_y=Rv zocZEq*q(j*;KJogf)32PIPh)`JXXcKJNz~xrDV)*>Wt|KyA?@(1l)an-YtN)VB!?S zxL(1VCn<0+GDWXWo$=lG-(%(ab-17SRLpvxu{kIDKEH`bN$qbP89C9%DxiLm>Y*c& zoinJvCWw^OPs}183L<5->S#gN8^|l+CG}TQLL;zq#cDC{+o4k@oIGVAcD{V{_%YUP z*nsbU_#WLlbi{c>szVb}5$79-C9~!TvS7a+J>hi15^ocq;ilUa%$ht!_-RD9Uhvj5 z#M^)yxTPD)JWAQsT<@-+qS;3zy)@)$4fuFcBwIHPEhk3$d$+AW~Afzj*aZLZqaARo$Ak zaCGYqWZ#NK{_T5E*?U0rOB+$VsFR-x$zPfD+u==HB@6GiX$>QDGnBlkKyp?lcI?|L zh#wtWwt|JKCjH+N@X+rn*3Ml(x_pZsR2H@l4kA`!;j;GdO9go52p~`fC{2d#5(&^(8;^bFp~ zlApt3sbGb(WRES~%Xz#P{{Cz7{(8Qj{lfWi{(q;KA3cL(hfl+jJ_nj#5Tp}PR=h|c z?|R7#^WLKvc|iY>crR3jL?HKa0@7^LQ0Vr6D)Ug0j(Zf(@zNOjQibi|MUUy6d-XD| zoIMUD*&~=VaRRFS@SUhX#*ZF>&_I9j_YDd3!>D1Bw(x{8Bgs_Be3h5G3;Or&A<}0} zn~XbAJYV<*moM0&Tc-{ped)q^czi#elFM=K^eMD!*<7S=T)PUX2~WhkJZ2__Xhv;N ze&K!3j;+YacqZO;(9u#y{kpYKozmqtZbWg^ZM=xN1I5i-P~)4gP`7psXsRjW)eCAf zWu;^P-d*_a>#xwHRt=aOkwNAC$4Cpgj?Gj@@-y2sYJfAUYQUqXc2{Z zzE~uktL)jm3tXKp37euLZ(!O~$pWo|sg2=x>NJp$f>0YP%+=+R9wKD&7Qcs%r^|IXtCoTuk^XwgihuNyNCDOUEtm8&?p zc?ViltA=l=oOkWoj;!=ll$XAMzP1J$)Tt@#J$i7Tc+ZL7Yces=Maw3QL_Ss0p><1P zH{Zvx#xcjS$1%vU$T7*WSrrEyvmCn|!yL;T)11yR&auvR+=;n`S+gZO#C5MKTf=Xr5c~5*YSx zV=2o=M9L2rg#EM*`YYu=*JtFXXfLtYxTCI(z$e4~mM}eX`Xel5slw6|ROd6cFvfg{ znIGe+B%P%Si}*g4(^6dGohO-SIkc}9x^_~>PaV|ItE+|}O6*@{Ca}d;-C8V|z_`lS z^Ujr1N9hT2rrLH3@%tt1bK*ISK~-4F`7?%dI%A^!JAC{UH$Nxuv6P?9_VDvR#J#^m zPRgAT3%|3kUG&aN7J%or74KlpWyNL2Soy$%zEjLbgrLa%zQEEP!qkeZH>n*)*D;pf zrRzQ|?=ML>wjTtL_@nHGD=`1xPxr2Hlh(ht!mne>c*$Zr zLkIRlfDirW==cz;$B!EE9;-cEF`%EMUY<2#1Y%vN51Nn+Mg7WufE9!JmilKOYi#EH1?MdkZ6N#J2eX?d=h zH4};d39Cy9s|jxzcYh$9VjN~XE-5O2s)8J9Rr_8Df95pCs>6g?KYsT$8dm=i1_utJ z;x6?mL&LCh$r6!w3-YCf8ns=h%#wmbv38bZ?5-nWg{|#5VbguWrbSY0>PdZ27i01b zT_5e}jA_Fqz8Oq0C7FIG%NET?9N`%so^Hzj)TMR{zyGJWa4B9mUP19?PsmaKyc(r(yGDjS=Uk$8chr5tm3tKA)Xof| z_JiMbiGFt ziIn6omRwTcbE6agw$GSIX?@lTT{}zK{e!8_6-3GsYR38{W9_oD7&|}%2lrk^SWLRu z*^}ds36*Rg6COXc`Oio?3C9@6S{^-!{|BEQUqYPyw;dE4|2w{vLs}+Z+~Mfxgn}Z9dLnI9QE?v69((?45CQ^EMcw*#8$qU;ZJGOA?X^5o~Q+gQn~l$K}yW%Ay} z0tEUyV)7)(L_&)eEyasxyjoS&QCw7tg8Y|43JXepQA(ss9K3$@20q?Fm_B_z<@p0z zG;a-MMOh?1iKAy1;B`qh@^X@pm!0@d3iFfk>SY>=3sVpk5hF;Hi)S9ggrVA)JVICO zh&lVu$V5ZTnPh}H6OAx^j4s9vR>AN-av0i67DIX}VA60M?B9L?{@(XcS@Aiz{LjY= z!qMxQZ*VH^HPpkOLm@a9@hIQe?~e4PmrxH(vW+L$<3A4li3JUW#nds4uHtH_uZLIEdCE=(|n2C-#iM;WvtilKNl3rb{GLk6B=!h={Om60h{1vYk!r=C6iperjz8t4| zVL7+UYY|JN2Z@s)d6fDXBh~UC z!jCS5-HH*A8Px^TJ2pYLdbQB#`ycV+SNx)Eb$s=89ei7@8R|6Yfp-07V&Kf(7{62v zQ|Iep`ec2~9LFoaQT;cb&w7|SN(0k|Dr4dxMGWb!Or3po%$R5Z6Im-Hd$~#m?NS4P zr=-_43nc3;h0ok3FzWv`Rt)_KeWou(mxbG~aOqO$E*lB+IbE@DNOO#8T^p@yS3_O; zzt*T;2elitL6c6y(QVX9OkFMmIn`tExa5FG*St^=>4P#R7gYJC%1^&Z$WJ$YNXS>w zb|hwa>8B45JzeZrtcS5f^w7U2uW+X=h?MVkq~vnBA4FvoNEMw6;BNppY($>!cvvs# zjA`xbq5;*FJb3W^*L42o<3W=5!XyyM=kI^4iEmmB#P`ECQ8{(@-CRwu^t+I{k71IC%k@1w{L!^g{5Owz+1%&kItszq*f$GtT~A`i&d~z))1ji zOl~3F;{54M<;*KH#*%O1tx*xIgWGD0adK39>~GT$%La|ctc}W8p?eu7cHVdpK;^~6 zS0*ccxD(vpDL1H$nTQ(ch06O8C{0g5R&E|5pS^(n{ZdiCt5Th#Ky^D~ty)+<^kYh4 z99IsFn=c^~lmn%!8SqLf6FcHi;{z9MtkA!2Z}D=({CRV6TTEiUMUcOrSjqB3e=?DB zoj+L~VXAB&I2s2A+ghazn*BGO^_Y^kHm^lLxyt-*cIs836Fo6liF zHfY^^fuo`M)KHPjoeG#lQ=5!*XB*h(nvpMsZEWLO!^>#VN8&5?L1>_4Vvc?DjxafzcdDeih>jcA z-xDdts>I||ksf{>(`QR|VjR`A2mCb+fuIOP7@x$9{)2^XOr-RyB4mb#VTP1QIdsqf zxH#~HW2JbZ|Kg)pLQl)+JR}rw+a1VwUJkps0^#$s@h^}r?TT@%O`Rwqsd5Z*Eb?(X z@&=a7n=K|(8+PoCB}$esBp;Yj`$L=T=lnSabtx7(CUvM>IYwovtnKc-5X1;Ru3z=T z%vsX}k#b0<&hX?_{K&4`=2n<5B~tQ^lpa+(YSMp#cd%r;y0>lwE7@)Izoy@kD3%{l zJ^48-{WTGFkrYR&@^e|(*K4y(2 zoE2D0pT}rp`Uo{l8KQ(S{gu$KrwWD))WYWF$Kid#9_5UGiBu1#kiD#etr#j5d&mh9r6=@MZcp2%9Hy`@tLtp(zVZSoYvd}Jp zPZXW6o+aGei#LXo5x%7#Huvdzdb{zCTyM@{qxLzHG z$4((Lm~iV3VQeftN9^uN-{T!9W=|r=;UKQ88v(U04Y8?Xd(4}@5_4oMu;+va+}*rU z#EVRD8}j$;Ao^#7UnF3hz2T0^+d+7dco(@jnJCWAr?y}Y>HHA)PG7+0Ni$HB`lA2X zj+9KKr2dKax~(X33qaKEtW`(6Ae-+VfLvEU zC@f!#`qa1jh)7BOJVojCyfcXkU+)o!JQ5~D^6ruiNl#@Bb>vf@Igk2_O7xl2_&23h z8^pEwo+ z9qK#fppfp@RM!yxX1~iEIf5*DRuS2+r9pkUCerkyGE|>Y}$e5b?b{ANDuSQlN3|MR8Be+56zo3Lv4zWLlh?&tXs)T=o;vw1(iR? z+`)bOk^bmPTxYH=U(;K6r<$v?FtB@-#_-SP5DFbp^E`Z9N<{E>I0ln^OP zeXhZH%PushxZ^ULzHkY=u1CJxrSESiQu4%T89hTPJXy*kiTvybS@}4mqK5iSCF4^I z7R^J%tqA(%-#=cIP@EX*p;a46eKl_S3^;#4q~sw6VGp(41(A~MefID?}(HYOr-qz>o&jlC5VWKn^>@rcRu@8 zEc&Xit3+)c9t;0%^LT%?NbLLTh?E~54{txhp`C~EQ|m71)43w*lt%1{5p!aFsVJ*UqF=_q>i3>g8*NV-7SM>v{zK0$o`P!f3;OS`AybGl<1wUKkF z%}ecew;VjX^o-Jz=y$%BaPt==*`9a8dWLMLG{jpy!twpSST^kx7EC??{XHItvwnbL zuS^trWFYk-=f}_dxh}s~c-KvCC$L?-`{wfmDzggyUr33RZzV*^%721L=@A6|_1n;{ zL1X+_y*f5;-HL=KPf+^&1&(tYv_pH;{NV@eo44dICQ>G1>$Yw9v062B>d*nF%}=15 zp7|j5j@X@n$!LN$P$?r2M5FDaVX~pTFcK&wzjc@txCo=Y+6`2=XJ7ghnCm+(j%II}zV}^|jdL%IVT&u{+AmurSP;Gh6I7GNNk_ zcxxC)h?E9q7~fa2Aorvp!w_=vELC7}@G>;RuwD}4%AC>T5P9|j-h{{EvYIaXQd{Yp z@4myb)vFNy@Bu2Q?yx?89$l&5&g0=5)~>~qyZ7RMV zmm!(@fPzR_vxe9a&0_yilwFO)Lpx`zoittSj@hGcAJ|^}7l@P&_F^|S-a(b)Df|Ta zHI6VKn_8aFOC$u!U#W9{7m@N&xMUpZ3nEf}BvoUJJQn+R3;TfNO$60TSKX22<0^K3 zWXbUQ&ywja+41SO2wz)uAMZ@X&*IPShUc+!sVRo{*G8{y8iGVQVt_U#NO$pKLgkXF zhGGXUCQ!~9Zy-pNyo(m^#!d7;yS)&!6Xto^S!(i<|_JaEnUOH*Zx+i zx`*$t+EJ79|2u_kX5tG!OMjmw9$a#i5G9^aoy_D9UcfM(VuQ&YcYIJ09D!WNC&;*v ziXxADzzr#p@-F?(3mks50OA)W_XB{W>tu^RZrd7T_tIHt-K_CP^~&_g6A%_6Az}Py zB4tmJ{t=PV-WJ_{sv=U($K!h+5Gh-|CsL+-M5JsW#;W)3*d`@X@{W`ms9(R1gh;t$ zIkjg3@xnU@ipy4_CZ*M_TML>Rs$wDOyzC6@-@ganeft%fRQnMoTX&-JYB-))XLOUHI_u(c7oKfZq#o7PGQ93RTGzWs1b-&D*Eh3Or` zxSu5RfPzRFESbOa^YXyp{#8WE3Ga!Nj!dMKkOddbosIi(v6Nf^+jCav*sirmU%PZM zlBn*-i(*(98K6~@h63leZ`t^soT00QhV^Po$QiqKpdd9FFS9bCdh`ft{rEj~RcM5h*z~-w`P(Zr%|oDV8~=Ih|viW1a2zh)CI> zA#8T;2QM@WUJ$nA=lz+_9#uq2ibu|8^7vmOQc`>S3nfy1BmzrWq?q@2i4;rudAvh1 zli<^@NU)U8RrvQg$t3w4ZvK++^?V=iw#d6j<_EYT;;e%pLry0A;N2*BaRSEGu|ssk z&X|mejH4`!FN~kOBQz5!XA$o34%2I98w+wJ;~nFXz)}+a%)c{HvkDtO#moOBRanY- zGiF!e`QIsQAKNx_tiITJlXtil@xZ%=GT~K_5UISxq62g$>|`P(V`;9_6M?OWrE3^V z`S;IZ*q0*l)HX;U?0*`9Twfa)?caz2-8y|V-^#?uGZrVrCLtdZDO)#h0?QL-?}(JE zmn{+Lo!htlJdv`;Cq&8#ze1!O{Q;5E(+vX#N{CRi`VYiyOUgei8jgx;=-#xcNME{i z5gy%_V)dC*XfGv3ZX{etxpxxueuH!pgSnMUwY0t<7~pA#wT)`7{+ zJt*}LMd6hI99^~o)u`TXK>b1e^_x+CB?wvkZt#@p?}?Nrj}tUfzbWwv)~%NkDeKpR z&0$&K+ATadXOG3hCGWE^0pH1v>R;-s#as))%!!hBY6kV|{cgc+L8K%c;`GtOhrTCL z5>^ixAbJ0P+W4`E4h`lPzTxU{K`a=+>5GO9$9-#x-RrktE2Dsp)W_lUH7k}P@h)NY z^Z$U=gtvl7`OSMGCF3&VbFFGW2w@^6rwJnE{=I}=NCxb0@gio)iCI2M$L38OoF5#z9dPU7AUV zr7Omb$I}bcUcMHIGlyi*hRTc6w@jajG)H%8huy%jU37lKH+U=i{>eDNya8niZU zL0xLQ)TFpjT)IMRkW%dI4Y|e3M7yunx8M9Skh+w)tVa)Oh|QTj1(z;bqV#17{qk?w%agA3?6*0%Mjgz}n^vPoj~Ts-F~R(zIEj8?8~^#0dhwQRsaPx)_c5L5(UcGu^>eOjC zcg{w5UD`ECdO1-ee;zn{yn?=l*fw`%=99pKPy1u)0|(FWRkRmY@{aSAnI`e?CzF8?RF*PsC}yO2r!}IHA(<9 zsU52r`xc6nu1e_!lx|OQFQF3o(J2VAynyACrsK!&e?aSIEug(m4k-aqh`Q*5^|KeD zI;VH+2u;%yNG^Vjs3)n|uzeS*SFeFqt=mA;&=e^JrMQ!rj)QWFXw>*)B4zhpy>aZ6 zwYVncQ3^I~-z_G;_~lhuC1pHJ&k+%SgNo)fRWmw|0cFxvA*upO(Qlwb`kN#I$LKF< z{ETdS0BpPvgRaxp;H&Seqg|U;&^9ziQei1#lQOV%?*Y`TSqsftw1kSTArcEpa3>`b zTMr&a&AN5btX@MXZ`y;zE8)0v$q(BWuSQL>rD?;)P~N&937%nyqx2mMR-)FA)zGYU zYbfa(A)&AY@o72OapWj!)vJd_HEZMG!WFn@e+9WQ8HjxP7FMLE4*6D@bX2(g77DR| z%0obp($3Rwcb<^Xqf!xh))AX#&KE18Hm=_ga+`MJsmFEPbqd7p#cNQf8l|^r0ae6$`zp|>Dc#>6!d#TyjdqnPC`cY+dJkH3+eNLxNY+cf`VdKV- zQPIHToMJr4$b*c$0-7{xfNyHmN8hD;vBTpjw4PF|kZ(C=j?>@7ES1jWZxKUu)*>6W zJ3T<}1>5m;b@E~3Mvzxl5zl&+I2IM^?C10Ywt6yA&%F`^U%@6S7O2Vrr-RQ}kc9 zpX_cRcBDKka}@U}E}mo-K$iT|xJgqn`Mzn(7Tk(^Ao7o;{Lgd#LZp*YLI&gsW^D}hM|Hj>bAm~sdM0OdK_6k6iq(ZDts-@OF}{nQ+NI<-RY&h5~@ zXE*Asjli6R%dvi!EOs0+z}BtDuzry-*32}05N>@DNuJKgBK^~S9r5sj8G??kgVnTNP#Dk#i$;vafZ4myXR$06E!qUN zxszZ#wGR#t>wr1kT3|@~X6V+sB|5hK30->(!LSKSFn^sK4l0@9g7pPF4t7U*oF4>1 zm3LcWn|aXq(}CpwD0Gk5EsOkn$C2`~#mkV>a5p@Tt&0sYYLG7acGnU_%K4KFV08F2 z?lF;)pLZ{i0+!^4D>brAfXh2jU_1+#)(^mner?dLVFR?RQy(p<9%|LJ8QQdLLAJC; z=k~49rELpzY1I@zHE)FWjq9LwgW70C^;`3vGP2V>u|%+4axS8U~LeAsTd7k{Cj}o^72s|_svi-YYVY3dHKVl9x95#T`IZrrw1>jkz zkEE=kNPc&j{V{nP?uNJ5$$w0KN+%GKcKx4HZ}u$Ji?W1Ga#X+TQr&ZmzUxsPsS-nV zeq=e6ZWHd(cQdMg0;nm&O9iA8cAA|$g`PbnB*SU5=fd~eO=MAL`I3h(#!ZqC;i`mR z99h14J+4N^BAc!`=jeuhLx+h0x+z0O!Q0#xSs`(-Q8z~a&fNu(a>|@}@RsIz-q9Tc z21|&PW5NK|H7}fvWPLK;P!8C=_sMo2l zAX3hpIRoCoVaTQ?l%1P5291&s9Vhf33J;?*$PT=X3+l%(xN9$AyAd`(DLpY1xhJ)gPC2PtbE? z;cjpW6Z`fT<<(-qSgbbl!ZFgzJcsaz^j3&@169Hl18MxQ&)GJ%ajvEV8uyT_M#nyI zCg1V1*f;DWP9HjQG#uQ0L|M28guaWPA;U)spYrwYf!8p8nq)wKaJSyD*D*sj#f*ok zH6{#{#L{3Y!wXcFe7$GTbxe>>VE60S52viGk@=zmq?U*o*7E|j(PHT{Uw^+CaHQru zr(Yrvh}oC$!~7dMCaJeqgZnC@WS{hlSRz;`>8w5(SLyd3%(W?Fm3o)VOQV5 zLvZ#I;Ymp)0wdzFXyrE4snZI5JM@Cy&b@f#cnSFdKFIX;{4B11P8e&SI7!YtF;@~o zbr?@NR$=X@nEFe?#Cow}wg=vZSfbGG2p(xIhWD zbp1mcdY(Qq>~_Iz^F%20?T8gEn_z6qrs&m<+6Zl0paXsG*t{{?H*JJAB(0k?LaPs? zC1GCkdbJ7j8lc@zz0q~jI*i<6gdJKJ;C|5+Mc26v!0idb*=Vvg@H90>H=#szF#MKx z#G;=%P@k$VYPOk;>6?{t%+V1+H?Jb)b|}gPke~0wqU9@jfTP4y02v$Lo#d@4sI1KCCw8h+x{jqe>4(u_sCH?#n9^jAC zaP~R*k>#^x^A}45{RghQ2)xC;>p%(j2Bin#7-F9w{^WUV7(Z1k>hq5fDP?6*r+z)^ zE7phhw%sTS3P+~bRmiMdhq~NXqbx_2M_xzPaN=j!^VdI94pr)XRg0u|eB&Ui+ zbasZx=i%jC^`H-D%wVUp78*QpDI z^;?k3FY?m$s`Qy(ROJ^|#m^|M6EpFTsR z)YL*=dIk!!bD*iJCKeB>OFGCLI)tp$XDG_fg_fE$T@WdcA~Q1+MMXu>rr2oKvL*Gc ztK-PB)yVV=L~%eEbhhrM|9Jz{q4MB($Vf>=aZWCDNM}K$q}V#NcONnmlJGn$2fFGM zS5kuI!6QeI&N`Qth!=P{-nb9RG5LpylqM!SIL0aQ6YUruKJD z%4aX-|F;q;XU?ZKyqh3Kn(jXMABmLJe)tjFW-ma(1s9YB+CH9bg={aRcdsd3nm;ynhOuUeU1lvR;+9x5=A^9(p z1j_FzNz%m>q+QNHo?9NC2R_H^d#_Mg^ykLh|Ehz>!W}MN#Eh9U(Yaf9EMKjNSj4I(jaXjSR zb~diZSKoex8g+ieA(?GR&xoa8*w&YLi!3Pt@Q(=-2$@L8yD^qzq#-LrN~AoSfJB=F zBrz%S14$+i?fJP@5GN`@J3z__!NSTDKTepf`FgtbXgws}MQ2yo(9z1!BRcqJa+wZ*?%XdSQaXJ~q_mV0DW727=1uR3l(H(Q zpmuWHSqCf`GeNvO+i%EVIC;3!e~9X&m}tyeFkg(tjp*JB-Ui3<_QoAtH9v##gN6t_ zC-onM5W^GXq#N+kG{i8vj??E1AB{*eE4=Xw$7MNn^ljTgLZn>29Pu%?DTYd5ZFw49 zJ9ozS6cZaZZ^je$M`Z<0oU%Z>_U%!f`g6Ot??5WW&eE{Wsr=T_J5bR$i7M_GTm&&7UtJQVtv_NRa$;+kYfdMo1QM@um3reMCwJ zLE`-OtFN(O&~Sw7n*$N?RFxF~{9pSG2lo+wjYwJkq8RyiZz0v&9%-&;P~>+3Z+LM@ z9^2zZ;XXH(^Z#4~@r6J0SSFA6@`8F*yBvM)h5f%mm=MTh2Ks#eqNCXTl8KN^e5fKm z@(x*xr!a}bKnN2f_&jHVg#5u|!|_9PF?x^=Mi15z)Vw@~={Exd!nF@3=@)Nk1ibC#`!|Mf_b?&RfVRoSKRBf)~&+BmC+&7;V~ihQcPQS6r_m#Vr_0TlS-E zyDsS1XCO3=nd4dh3p`HG!C^IRwCdUm-MjUJ(LrUh^#1}naONWTp0q<@ zNG$wL*kaa%nW*2Q9cC_H1E1?RQCJ4J`d!6@ne&7{7Oq@}kjUF8qGw%n^TNo9)6k$r zTddl&9XIdXM?q-?tS>ra(CCS1((Wg0*?$DFPg9UX{xd&kk3Pdjqj`s}*ej!m`$_4@ zC@g`#nI*dR8-mtddg7?ME}mrNBZd5=W@w5IJ^Q0WkA6_oH$h5H5uT7gj;d;-O_yHc z9=@0J;Jo%8RTO#m89Ex~XYG*lq71hmCSvpMgJ|5k0|t&7kF%FtkXQB=5ma`ow(JzT zkC-qG4rEKgt2bm@EEcZXfCeqwV0;o`~mKIk{or_$g@8sspwzS&!I@-pCHP z1v6Pq^zJzjEq>~O{YvV1n36$uy@Z~r1v>X0fL1?shn$)Y5;Ag;M(sqSlV{M2u6fwuK$1!M1vB)vWvB@#YvD$ycI1#(KFUu*GA7kD2y+YsN z2j_2L zV~@dOCQ=Nw#a#NYdGW5<(%<=bOYM~xNe_{J)eWhHrMX@d`i4%Zbp zH;V9d!Z2Nt&iC_ujH!%GOh{zRt-{7n@$x?jUq6gw)IiBb9`CT4gmL~uT>K*VW69Ylu8(x?JL2`WM^N*ow+HnG{zztPcH@{)5}$0 zDP!vAFzic_9A7t-hWg@Vh%auQv&6pDtI(o#d#Y=G5bf}9YSzP$v6EnFdl6a1uW&E% z8Fn3(N7J@Hq4$uHIAJZRe`6jbU=!EBZ938QlX32ntH7i1+xM`7=gz2J88dYjE_?VA zro6?~h-l0w?5RgsGimk$cm#x?nCcRKr)K*6C8$T3HEZz-s(%Ta=z4y)Wc=JkRFAjA zlIaT(YIza)fl;v2IEE2}Mxhbm;5x#?NWwp^hq%5QFmgPawj-=QB!@escQ#>`sg*5y z4jw7$%LDQ%qW;V%DiQT5*Q?*wZiuhGt%h&vG(_*dLtu174bMEUt* z-TFZ95aF|51RgpEkk7WF8QIr!=t!6ef4oK}!FN`y;zCkD=f3W?GLySi=7A=QQ$PH2Fy7>i@ z-xgAR-5v`Gk3yp3P(b+Q;2nTbGv=ZpUB7zvQbe3}rsv**6+M4o@4@7!j@Wci1~H7) zR6eY4FDl2TgyDOR$W!^INwC^niq)M7s|kl0j~SQM^p6Rg{t&C55>~y#>i&e)gjpHn zgZpXO*sH9L=7edzdklcNj0VNqO~l%HV9TPl0viWSn2NKGZpb5DBH|uk^_E>CRz^*k ziHn{TD`Zm$`DWqz&E$i&m@s@i+zd{kC@2~smJV1scNxV>Ykc)xbs>E3`W^cacKa^* z32^iY#F$xx+vJbsGZrE2j3e>`qF_UDGjzykfrA^CtVJ~GluLfHP}D`={=?9W;(O=n zEr`G9gG`?Y7|W=md#{0LMdg2Z;~qSA4is^rwO1ZLb?t-poqIuPw+xcK!jR~B4f5L$ zp$)}Yd%}6yt^4uVHHi92Px0o~6BJ*I6Ya3Vz-SZ_#ui3DMqyU5Xn#;M6I3vGP1kuF zT4EYuIxpDNM-VA53xZp8bQI>#nP1F%v1De?X-C%v{XhDy2M^38cR$M`}(1 zl2e}{?b$OF78LyH&AtAr!^OoJqen^Jt?M^^t5Lv zDlGidJf6Se;5f6hwZ%Y+yRRtD#!j9LXa4~584!FU3$lmZuwsD)3M#Yd*?D3o!zYh!;nKzPFf=s8 zd0RW&yLbOjS0;&xPjUH@E!nGrvsNaEjS0f*QYMb(3o_&9box7m38QT9+lnkCK8=Bs zqn)tV`kW2!+==@`Af1wu1ZO9E80f3ww51`UZ~5U>NhTln04D;(;#e4+` zzpz;){GFR)`C?bfn_|89s@^X0l9cUE0NMD-Gx{Md=0|?1wH3B18^ZDN3!J<44D&YcM>XDcqghLA zR5ZZlN6&GNbep&JAgb4{gN6;8V8eEKT=svAbFR^tw`?n_*QkjGjhbS^PDM(81RJ*) z%wM_%)vHrF<-eZtzf88DkITXQT{5U%r!MN&u7@RyH^JsoI0E8d!=3cDBHx;jU)4xQ z)?YS;{Qm?nCH<}GH%}@L|M*upWgCQosVMHCvD3lHWtvdcVs- zJc?SBUbkUmtU65T_X=>~P7YR3C#YWi2KY`8DfeKD>l3Jvj>e>;8R>Y6%HTBpCHQPc zX-1?om&c~d_XUyiyBc*-zhNV+Iix~)=EI)!TYf+pwd*%T9g^jH6=8on8}{_9<@=RT zhpw$%yDpZk-3$9G@v!&0ixnFVqE5XAs7?AUTeBAz++*S35r>s)_n{8?wN`_MSW5R^ zpuAb{RfpAu9W|-^X0O?X)4})QPjKW(abr!oG6{nTwz83xBo)x2&t_B(95ctLI#o{q zwt6I@|BC(izIFpJ@pSo48Q4)=T#U=ZYRbPJm4Ee`wJ>w#W>^N@eV;#74W?E3->Sq> z%3tlCgrI3f&vvJ40*dHAMgNN>)RC;)pb=`+s)Gd-Z|5lhp)q~F-xGfU>VG}ug2LU>tQLg3C9j?gQ?s>oYB#QljSJ{J6^=o zAa|58neidn#&VBrWt#<|@{>W`|0q1@O(KYt?&K$Dya=&}v;JwUoogh>9X&d0VsLLQ zF*vJp5rRHed+0+iQBRisPi=p_c<$MgDcLe$tXK^FU2kEg_QOabH2rBn$ zHl&*YUR{z$oXKunIJ_RZpX#>#y>6P@S3zy_5*Y1T2X9?Xq+W7Ef!9r3CrmR?@q?U< zJ8Vqc@$?G$j0rVNK8XpCkT!#l(;sLL@=q?rg$-k|u*V?uZ8Zwhrfh_lOQ%jAmQ&qG_2uDPZy*~77^VOxsopf8I!1}= zdzpxGL9}Kfq6O7Ij?~=nr#iy*NeTAqoJ9LB5+dcWDRW@t9s!Res!wcv(0|OwM9S~J z`yP`PufuVl7oA~I`LgzB5kj^H_WDDtRMxslf4_`0A6uHxA z(5a7j?yyNSDE}~cQr)V2-V5D^NL~OLIA{!XO&#GGk^*IIYjp2EKnz3-oHzrzuGiuD z>@8Gmz0qT^SsOr&gqv3xBSTe>>nA$W9ZsNvZ`v|(UYKcF;J4f zoiBP1laT%Tj+g*Vdw+PQR6^r|-@Eia!^ey7Rq6bl)A{$RbWMi<^c^KxIj(o#VNf@A zfM-}bw2xgv|Nas(WV=D*u*ot|_}qf9g4+Z#geA(kD^970-*3*i7>qywg`8$)o z))WhzexAA#-W2B?V;pOJhDly3;~3;vQhWjmOqU{H(o)P@-rix z=6pB?RVfxZCOI}aM%iy1vmCqLl)u_J5A+)~LA<=xxm#bz>zsv0L?(=^d@yX(RPkTv z)Mo%>PTIpgsSIa=pJCMwbM)@E3?q81z@|C!(A#T)<43Gva>(klxcWKK*>j5OWx}St zr*K)vMocsbQY7On<1J6reTu7}lY4aiBdP-*(ml5wfvYF*+C?4d=Z+xy*lu`hY{5mv z4LB#un6@4kG8=J9b}OuucEe6r9&V?M;A>|EKO0+kov?+w!N*wZtZ#?Qgs+UVmkDoO z^)J9p_dFcbtzjW&B`{W(Z8~I0?F@6MZ&1U*sT;9jz#Po&w+JJK??xZO*}>x#Fk|En ztRKD{N2V@;{+bmyy?-sNj&6YY;mw5EyKq8T4z?!7xN_bGQJzl738(s#Nuu12ctCct zPk54)Up(S^wd!T0Ulqyqh$c+B>q>cC#zRLNDg$#&9-)U`UA54=tEM1QZe4a97fdc7 zDZ~@yydvt|%Y+kFcz(qY_l_Th&*3e&uwyMOcCLfbo(<65y$;$t)%$0C-wN}ctD(Mr zIaD^V9;=~77_Yf=1KGTh@OB;4wk#ukm%&PA528+;LV;&6l3gNk(If;4GFPCj?2bSi z4-_+@l;bj%%HS61c>MxZh-!H2unu?BWl^`#q;KB_ueVwcCG_kLk3+swBAM^#>7MOz+p6P)QI}wwIQ=*JF+68shxcnTBJi$ z>fhESTV!NqMR^J$rLGQ|wP=ny)CW7Vbq6wI;!ynHDRkr%(VWVy4wdWSOehbC7 z??LDAQ8aJd1hwh;+ zTeWU27FpW2VH2K3#^B|nL>MY4qjj_9s7crF-M{azCsLY!K%|^856QMJD7_L4)13#< zuBntrIsbD+${(p=C)ZN$6z!qnshl#iLi#wP%A8Ipyvs5_19H$Y~^Unp-$ zC|@R2rlpo5^;SNTgR+nuKyo!3DZx2Zp7dXgD8^&oRJa;kfyP=*$SsnCwd`5MImS`n zq5#jMo+B?j4>{L!{!uBsStOpF7L*3xV?NN`pbhy&vN(143~t)rM%wj!q5HFIc}Nb< z7B&f?&nee)krI(7Y_5`&$iGgKBk7qp^YH9e0rFyBp!7i*-Xv22=8@C?;?1i5=)q&* z(Xn@M;*2$vbqry7!4Z)UlaTca$R|~^NzcztPX3LOL*2wYdUo223S1-1IBjhsUeI@P zbVO1TF9q_yYgob!gn??t!s%mg_au)6DKXXWklbP-D8OHdeHh&;m1&q+RE^eeii?A|Lp2}p$gQA>;*xD*{*O~%B&+aa^q3TF=o zA=EOK+AvQkuQbXx4Y{P(r~3RQ68`>kc6c(f$+jFi7e-~_)!mn&eEucLr8Y?pNnT_wUfjt>#iMlKNeb{ZmHOq0)F;0R{mnbku5nXAYTUeP z4HCj5QTikq$2GOlmfEH@DSh99rSFN98>B=^?pLU)P#>od`Skx$R91dZq}0(tF}1@p zaQzJv;q`3&zJ$nUIRx zp1xQxd8!!q95iGI+@!ln|00nxFaTr5N$Q@dqsAcYvMb&urNRBQH3s+TC&r`}Oqqrl zH!r+-oD2tgPOmOq@!hxIV&%LAxE~OVa!Nm=rH7x|w8anAs$t8H9Y}nZDu{#D=WTvY zq-@qq^i%Sqs2v*}57oT~!~&%asqe#mv4T7Ikrj1E^ml5LKUz>f$3R{QFYiA=N?0Uz zu3Ura)PC>qQzx7}ZAs6m#G`}+tlP9n%m;UC*8%5sji?Nh5J&!4GIa*NAv^jF9t5W= z9ugwuo!gi-U-E+Eh<*d$efB)rosO#)oiJXy(B7mz{Sl&j9PkN)mzq9?b(8FfHfPu< zL>@bhH{RE9SymN&+jYb@?S)>LnA2O9zb74PW0Qvj+DFhAUpQ1q%TYTTJGOAB2g#b6jEO?^F}ldZ{CVp z)OTvxv>A-#l;}VF2+1Mg*s*dos!^b;NZbbN$(Yh@iuuot<@`UFkBF2pUJ`P~=f-#cO5yoi9$Ti*ygOyc zDSMn(v&I?4v#?RMhAn-zRym8)3RYrlm(Ny8XJLNy4CFSR0PkouX@o9@_0ytyNK24A zHZME|lS8NBpmh$8x;7#Ytaohy34F1n5arQU>}nKUrImbe;2DXtT0E$1V_*L!SQZBe3Hwce?; zYqih8EbzY2{UWu?Shu;P5p)l0NvAoa!x4Js zxQ#d@ImcZ@Nb0|B6U;$mr_wc=(2j?Yc8;H3_O~f;dyzjs#r5qPyv$4`T(ox z|2~JVm!a}=^tlh8&}10c1YxSD$o4Jh0C!ABZH zdM;sDc*FoB*B!y|wMQ^qtNIAc{%yhh0o2_MCFZtiy)bpkj%WcEJdtHu24SMF>rN zEqvfdcKK8J^5+jFI19K=-8;@---F6n;W)*R5;p7E!0P%_P%|0)CMUO%Dqg=rWRNe6 ztc?EaO4xL`Ts7TB~pHheSfv^TqI-ZJzu=O?txglO9G!5 zORbg93fy5#)j{zocQNiH#vKX-HAVyKWvyA@FhMrOIPI?U|s#gwMdSEJH+Cmv4 z=(*n%_046f_YMm@GQt|FpNHm)w9}GB7LI{l}}iLsADX{60ynH0kaMoVvn9Z>^x%OcP$m>4q;fQa$4Zv zK`U=KQ2oaBkO^VXJFK<~gyWMJ@S*zMz%LHV)lQ50Qo$}r)Sq5tmyvfYR%@Qcq}^H= zvrQF~_GlAcoP@r0Ag%;Ffh*PD@+OxtW0xA{?bC)X)uDmc65$!}0CI#M)3z&P;ZY+P zdfdd-)YtHMSO|IB0L&qrTBUdr$84^`_i7>>++%S--vP79j&+15C$2paIKp_c$HGnG zCrW3$VY?ZB7>5{-wh=BdJ~2))UNLS7Jfiz-<8t7aLl{o!>y7NmPX^*S4jzPqK?yKA zLw(i*dYHUJ1^X=Baq%9tUD7I{=Xp!$&E~Entf|0Z#$(21#%IRq zkFfgji}zTqatgZMx8arX7S4&KkiSH@Mp(B(&K!nk1K>ltT=tB|5u?ij8&}i+*fjVd zeCc}o_+0EKzs@3T+Nf`fGht5=N;cWwPQ!K+drTw@UnhGEXC1B~^jZ=eTq3bsQxYq~ zC{9OhQV{oYyXXS>gZ*R?o`?-nf9$0;&;`#p5jSQOH)~ZXZut6RPPpVl?W)ie7~6$l zrSd7vrFfDxbA^-NLwJWIL7#AYnc@k|r+894;SN{d2O=)CPWxan*}afN{j5JcuO-7R zH~|Xgu9&e~9kU6~Rm_~=75ET0qjL~W5^ysEemAJiMSA#OqxQwEZ1^X;ve~XfrWr&SQfr+j!md!E8#G#Uvh-ObP!kmepm)YYD zFk_?+W{oqzk~MbNZgv~;VI|Od4Cp6FcJ|SK2x!IwD!1Q2HTEsE?@_1f5n#eEr}M5( z4}iUPH!xuOGJN;Lk77lwdDNKJx={pK&qo-(cq_iIR$Z)$!UKew5yg=8dVw@cES zx4~R$(en`3FIlAjSfdu|Hg18Ld$pl)Ee{Gli5MeIuhY1>NLLTd zrSv3>UA2$WYoiY3Gn4a)D1zeEbc|hh5I@$egPQdkV*Kj;koR~DGt$YNY$m3m>yS2HkSBNIOBp=Nzb zr;eoRHA(u!O|qy_w?3-XsgI${_h7HvW3juU5$Vq{V?<(1y7F0kr*s|CdAG|03|_JW zKh~-%Y@f1287kLvp+fmi-mXY_HW2BPw<iLN)u3lh+ISQy{?DKikcP>d zH4~KJ*7|FDi7t*Y^c&RrtVS|_OV|^EZK%5?)Pz=V*CWf z1N)WztHnN#su04TIn9WEGbW!i8DpPUB8IO%fNGRC`*8yKll{v6Wk1($*hG}autl43 z*!3<<`9JbZ@?WXSpUac;=kn!pW~)sqckves4^xER>Zi$su(>~6C;OeVMsqU4C|wW1>@9Uvd6~5;V-5Y;} z?012y{yA)zZ-Sxyw9&h(28Q<0!rVy)P(6GaevVE^j`GF}Du)U>TUjH`mB- z9>4P7Uz#QQREl4 zjftM5+gsA@l~lK!PjzEGq`FDI+aiZPzqooCmErDG7JkU~2uIx6I7C_8!xM*FcpexC z+#>yA={c+)@635c8#uER&s0ajY{>|W>^&8&x~;(UrE;*aw8ouqZ{)=K zI`8ny^_2Flmsq5D63shFh?G6Y&A}eKaOmAG!{!rS=rUYFkgJk!zxy78=B~y5OVM;q zIo29Gp>@wef}q%A^bG7e8v?z^60Fm|gf=|}i9CCZorPWJuZuj_nYf^BZwZmI)1a|f zf6NsI@oz<)W=i!H>#XyE&KkERI&(Vj0BA^}7*UG3%4g85OJ9*s_tDd_^ZYgF#aCj3 zxd+QpD| z(sxcjPP(5Uzw1T4!U|0r;UCVY^Uw*{dfH$3bF+ny@C&E^G-N!sTKYjhwgOvD`Myi< zG}8ub6+nB>^x80B=1S2i@a=!a#Sr#{(7No?`dRXq$`B+j~l^N;-jXmhqN%cbqjw8`FoY zVhZ8xguzM}GejMuhG}8=U`-6}qk?e*m9T4(Hr(}2B9CwggtO(;CU}08vDOhUuesnA z)t8lAZ!)F|`>39;vXQZuCrjz~--WX*yfb1v-5cW}b`jOvcZ$kEAAP%PqHi}%j31(d zP0Nnsw2>`h$<|zY=IdB~4*4|98D&>VPr|SQ(wlW>ocb6~-(%X(M2dOYzV^rta1uCM z6zWN~Ucnol1ZK>K*%@~E<5q-C(pdr5XY#NCrN*!ba&lz%6YGBXoL zjvNu`&6+laHsw>4n}^KQXOKB`SiGRggh}23<*y}D=0Z+M5%qcJN$NkSt=)`*D_4=@ z9sv0@8_|HC%cMh9O3!!oN3N3(6qc@$?nv1Ts@hs8C@w;7LB8Y#3B~1W#WV9= zm`J%Ejp+LNjT=FMcZeaI3g~)OWfe4P)JVLbDK9TCcG=7)+tjo)(YSdtp{qRQljG(u zY*SyiMcB$Vv+db*{Vx(Jn>K^)wmrxroeRB#p}Bb{n$mOX)~qFV3eBQCi@Zai#V=j+ zfk%r)Op2XiDi0lsndYrpiJdABFJ6fZvai@DRP6N9oEHHi z+Yc>YgA8|nJomp2-L1R9JI2{i55L^IZ}%QNOG?7a z!Xg;z>7zBJGhvcneC3y6|287!%y~$*b3v(B2uycqa`E$dwf7!v~#04)(@iZe3amiV@pHYD1!dH?>$Wn@p(!XJa*k2WT zX4P|xN|2eGFY3YKqC%Aa$pr%ccl`c?u;ahxked8odwfYmN}gu|o(oA%jE1t(VRY}_ z1wDH76l6ng2mIdSOCnP8{2jn#(3dF4dknMVIv6r!0O{3{+7-JIA0JP@{qf`Bqes}h zc?+sjJEc*h`j9=k8)?t(&@cS*$O|!7SHbh7e?lrNB!SC&Pd-n4hOFRZBs)-hg4;^e zPD-*%pf&~zwJqrLvx}+7cFjh<2Vs|64$>~AiM9&2SrWxAm)tJ-yd>CCd*(dle<2aM zu8;6KFpm0nG1Py*2i$&)=U&0kr*=HIDS!Oo2W+0Z5D89RC=H3k@q@Bx+pGm@R;z}6 z3zs3y(d!q8lm*BqJpGtRN&Po!4`)zcH?T{J9`2TkO<-L9BM9O68j+AFkqaBqa_g8k$ zU5r$Ul^1^3p}%9F=(p9aRU1dC-<<7r75ToQP+7kP4JfS<_qnNGTbPxD%#>6y22iVR z9ki_95Jq%wiGLW9FMDFg>;m!*}g2P@aKX6H<34g_cybH{H7CP=P=%Zljp^GG23@S>2vvgnsFwWJ@y#p zjyJ-BN%~kgg?F^n`{=rl?)ySy-Z(wX8L5SNl7;q_<)q`zcAJ8^uui-3l1;d{X#(f3QxhgZ8ZwsDyF;`Ou{N z^*NpW6e;nOGWki9{Gd;1AEvYav?$#mwoKfkdGm$1mVe`G_*zMNmE8qWe^42-@2ISf zl3(ThpNTRQ>CvU43|V(BQ_TqSE9Ij{Wyi6=KH%8k7-7G1%&6Uv#7b4VB!)`qyQCb{ z*;c8~bSNLys@S4ua=x4o>!m8ap36_4Y~k|M3NIGr%eLx}zd2S+jCH2tQGo2)jjmu-#R0Bk>=nOZ<@! zb<)j%t~pLVJx=~Grt)APuw8sDfBr})evRTQMgIKmnH=dU{2i154btt@{YubZQ!Kyy zs^lfkojHY()Q)W5tP!@)os2u@O@TWB5SZa ze2Ar0c$!bY@ilzDW@!(VttZ91NsOb6k^HXGp*3a*Fuwraxv^@FlkfF3w#6>OUM5@i z>8>FbG58#Ieky!D=gs%A76ralI$f2|EPFQhlw>`jdW~{vkF=t}ldAXr2T!>CE|R-*_(SZ9TF< zujRrt>LpAF8`Y(_Bj=w=*B6L-`xw>hI@A^r_(jjsrg~rGLl~@nU5e*~ z8#;t50?$A26XOiyjj;QJwu>6o$-3lgwnLZd_jmrN!lQd{p+mo`O49}I(DMwq4H1$H zIo}jfp2}2y>`$)CCG~Zx&|ihxC3-?1DTYh^%J?Gj6X6t<2V>V~v6`-B+}GkfNJo9b z1Wm%D_dfWbP4l4-xXkE!wt?FtoDY|=p)^(uqbUz+cQB?XQ7r0H%pE7+8|B8guGo)^8t zb1k~YfXa*WQYD-|$~uu$wf)S<=f}ua!)UUX@-*OQQXYnc!2(A~Uz10`N$P$cqi*ar z%RqD*F#}(H{S5~9?*r${7r#g%CDZ5 zFNU!D-*(Xd>3_$Ua!AYMi#gtN*Z2)K?*8$F75{}LyD~EIj^)z{wZB{VnPsn@(dTs1 z<@2m{9(ew}yo7^Yi1k+WUDM*@wBPtjI(;`Lv>(q(U;O z_`-Z=CYg+=cO}_VbNG!EFsyv${MQ2 zZ{j31Y|U@KfZEk(IN%(QBX0Mhb2S|nw~ApFQ2;f+BuTpG6KX7%z&!p9Wb7j_WabJ% zq-@Z<4Hg|Sf&q0Xl|3F{)S}J!{>SQQ(6TKS%9>Ckm^wYaPceG=4t!sYca>~~g$MLt zK#gWK&qtWHK?b$!Hx>=IDrwodH&&{jp+@v`sQ4vd%nAvSvToz%n6XnCS|J%Qe+)6$ zcfj=?4wL8vK7+;0BA8PLP0cS^=q%&$2*%Xmu%gbI>cvQmp0ir)idnZ=E6h7$0R6}! zs0Jou+!`iEN{E#64(UPfW+BvqQYd{NeymwrJa_IMP3VP^&R$P2e&rrPa1-f!DLo_$ z>fTQ=Vbxw#W2pt|E^-jR#wFgnX785UBXC&G$J95E%3p)%Zk={9yc zL}L$~WyoIR+oj@n?SQBBti2-7nspjr+Qy^MrVf)9bw;_|Yf_#}BAm8E5n9)?pdFTt zY1&9iqGwp-mn5 z8Jp!u--d!PI(F4=DEmBy`4fnNi$mnsgA_At+llyBI2r#MTIByjR1Sw+?~4wo6?Ja) zydGjY#Xv1Vq^yTYn`EF7oF@D=Yc~@qn~L}ty=)s4uRIVj$ph7gJUIWmR0f>?Yn;4S z25qvB%lRPXuXVFnT&o_GO1?cxx~?LALIr2B0ta<1tf)1DG2aEfxkEM z{5+BC%Ml3MP3b`b#A83PMlW3t|crJmyX?5O%Gabqs6f znPdH;Q`oq~5?dBqVEZC7Y+raBYiA$Fidp8^xzZ9=s`hx`=!w#6{;0SSfRYeDJiqFL z;y^DH`g>GmL0BCHSZM8-RHMpAkBg(LscQ{>n^ zLlONy%Y*6nTOO1rJ%`C8OeA$tL+P=3czk3SOqWl;O$y$1@5J45s9npU`r#4wJHZN$%sltbsOg^W|Q z7@*u_;)J$RB4w}fvvJ@e-FyEHHk-MkU7sN$&t79^;(*_Sp7qpWSDZ31vfbAze zas1vJ7~g#hdH+EQB24A*ZVbXTpL9x2Qhdq-TS6JqL(tKdoabS)dF zZas$)o#hF?I9)Ly4ae?O;@GX1*r0tL?b&af&#;NuZ51H$-)ZTCpQO7ob{RSzyUzH* zl=R$r+V@?0=ON?7ch;ZJ{GHSJcmB@T?>gfz{43H2j>b-NPaGrM*mKGk-ROCo-l%;y zOx~q|eU5hs0}EkBv2%)SF^(>VyibDAnHQ8e@c_a`UO~9?K#A?_gY6bxLTC0(Rh)F} zGaTEEokdyfJ?VpPQZi@90V9R4S?9ghSLu2Qk&??~+bPP2%81LV`{-#RmOAtsj;-eI zBL96v{Cb6X-+~in7y-EQTCmG^cY+nNVzTjB%`W zk?O-S$g#-z@3*~%o>C%Z+wT3u>We4iN^y$tQ!OM@#2zoy!T%qQ$zv3oihhYAod@!b z@4mtD`){#>?C3Upl8E`XJqBWv!9`Kl2W_sQ_t;q?y;b+VSZi>e^nV2{zdWot;D*sd z6fl~wcIF6G!Wct=vy8W&V(Kr+Vktf?o@$7r8_eONe;$vWoCLlx-X?v3p`VwJah4^? zjWF8N9jRVdknZD!EI%LQ64vGu)-uk%$6CVK0B^!kFXZ`pAeV8L@ckpKrSI8v7L$66 zHN2WRlRT3H#G(q^9^jQ%H_kT^b}XE1h?V4n)pJa-cEL$(SZs;Si%((Oq7&FbIJ!(gI3rbxwcP$- z|1h~z=)^e7@+r1{Dpgp_Buv6t#Q2E3?9{EWXSp%vPte7L!CII&Ob2Tgo8p+>d0g{% zM^dy8ilTi{d6R5s65$=fFrKXb9CrPhRAJsd!svKvt1!;;O7yH3V+51z?@)S-CrUhw zkfJ&p*O#`&@ri9Pd-P}|?JU<~CE$+*k+6V}GjGww;B z`5&>C&iCm0c*-M&+AmZN#qM{Ib0HOZ4oN8SiNf0(tS9-KpGW?96L20QpHSp@U4{JGO=v)Ajq5jn>Xw~UH-sVAFBA%tUcY87@p7oDk}?X?Gmx9| z42nl(#Y>WcNU5MGUbM|4QB+iv>{MB+Hk8+GM!ru7^1MT!ymqrl1JkV+o1!h(|>H|{3S?s_e1IRD3~6WL%S9&QT@jsv2)&{&k!lU$ExvDaQlQc)iu;O z%YGqv9k1yBTSVuq+zO$Z*X>u2%v5 zC#g>H$VG}{8WQL?p+D&;Y$3Z6Y{)jp6tNp6+n@PHLM9dGs+~Ij0!gQ;mxT$iJYq?w zFX&)!kPP;6pNwZKUXt)ga@9m;`pe3nO-%k1l19&c_M#G*rEkOx%IA(hGPeD{l+IgW9q6a8zbD;rx3dB|rajdi^0`Qso;ev$vUeLue|5KYt(+ z6fDE*vQ(H`Xro=nmcrI?lg7jUD)p~_=aE~O3r!tmv~F89U)ByCTW-d}Stnp+8ASb% z1iFe}lwrvt9aul9j=xtVEd)Z$OqAxOi=8)c)ON{re~i~b@zl4wP5sgP9}_8? zwGeH`%`@g8;k=XB{rvc@gJ|2N8ERIqj(ybTen+I-$Zh(%sK@;Z>O1A8r6ZsE9E!4X zVwb@t)JM@$RYP%h4l9XjLdZ@dZ7v~FZrzH+hmY{Os2J9kr^QQWl30;QOR`T#Pgjsi3!WE4Rb3qosV^mn zl)OL>rDajS@(A@qYg2z#5GkoY`;z*tDG5xZ+=1%k>kjSO;p8z>ye=%pqdRd}w`!Hx zb+KEI?l^zpf@u52-M@z=%a==tlzsZZ$=(5POJ3n-=rzomHeHOljTkiw-hO_fWp?%Y zHH@Ds8GD@6e-J{<&rk&x2QMRY4C^H!gw7c~4v}Xs;LVL#T-Gu`-!9!GM9OiK5N~OR z3g7FnJ|Ks#&09%`lp8nV$-Vo+A198Rp- z*DkO)YlX76Z}2cN0c$sJ61wzg-Bv=R42?qUS^JNPl-K<-&g$3!>=E|g-Cgq{Je7g zMtt?ncc}i|H;`E|Pb@kn#-MmS?7y8z`47rRJ9qH{upjQSB^Ioc3V(m-2Rx-ZiLT{& z)dQ=|#4eNldun26UoA0Dth@IVqR(GK*>$SZcmcpCbWh|JK^9TibV86Jc`kPKY-6mQ zYx1kY*DaZ5D8|SSua%68Gm(??XW|DFA$hL#_Xv|cc+QwVa~{HW-cjrx>C5BV{F!a# zIb`~L@8V^#NFa~RGx3876KuyvGM&<<>yxhfSUA}T^Cp;L?zrQaKj9b_(f7qud2FAA zY-jtwBw09-Y#ObNg_HELW|J-UnBRnaUd5nV)1ld9NL7M7bcG(<^wt1n5qz7lvJ@6n2U4kIc{PUk#1Z>12vp7VJP6Y}*jsg7J`T!x}7sZ5!iAkwKU zj`936rR$QvI2MEtI3~#7KZ_MQSH+NSG!siC>1rXl&<`(yal{MgQn|4YIi1Uc{V!sI zNi-A_tS2u#Z$QuF^3>scuH{N%B_#jnu|mS1IZa8LE*6$0+q7cJp-9hWV(5E6y_Whz z;-~lVLFv*ssEQ9G(t*>f;zs4exQU^73d@(oO=uqEZbx-iz6_e z^4#vHSovFov6OXWvZSz|?PmM#kOIUP`&rQ{w1s-J$0g9LYeAW zfz?!>8Qh@yGU7S3uNOe+YPP5^HL5U(@)wvz`O61oLM|X((w?CDTa%t^NEpl*BJ|-! zt_fc>scuuE`jIi67Xue{G^J~CI@Q};uZ#Mfp3n8YZcM2J&#Astr~108{$(6u`}E0A z+-4E!pW;!N6pzSGJ;t4zR5ncDBPi`O-K*L_ z0zXNA#%oQ|k@5JqW3{A>!oJla9~+U5hU9Pdy}%&yfj0Z#{#((eQNJl|)6n%gd_5Br zsf;wp77;6Cn|#>wckPd=SmAbvT0F%HV-ubArFQ9)-l{jAOZ-In3V*!wleA4p@u?q4 z{wAO5kiOhT;dHTZ0Hqs6l|q~B7Ht+8 zZU*#BliTIuJt4+HLlRB;tVA{_$5hbuuLW_^gv#55{9sJ}Fs6Kr$>+xOEYs*RoFZGz z;@^rz-UX5JtB;A4QBhHtJ7>1Q&(7U@!pOqvUn5d7p^oR97~7+tq+;XFefZ|PAB1ij zH>}5_M`X+waJad;VDu=-CI$Tl4}s-{%VK_v=gXK`!gl;ql3OkrrDln^_XNw=Z4`Qa z|J}FPvwN2qllZp}jx*cy=h3gPWPEDK$T6^S@({XL9Xa&b-Z2)-=byybff_il=Mt_) zq=?-pc{H3!m!FH7KO$UK9AiwT42-;k*^8D5y=vF02{}1gu|Vtpj(`53GWg%|r5w^S z`C^Xu^ZNX10`K(5e_w*AxBotol1X=eACa=kUg@hCUxK}_rS`u4D~Xg0pKPOqEXtE= zm2WeIyqi4yJ;L`gq4F~}{HjQ8WLy6}BIU>S{yrjQwz%g#3G%NH&Xa+U_4)a0Nu{M_ zh>lFgMcbP=Z61s>CqiIz<~q)QC{|%Oe>R-X;jl3ag0;FU&KE3G9YoJN2(sCYCMRj2bm4pGHlweLJV$g{x~MmMz(c8cZT>)*PE;)!|9{ z`=*vb;k+*`_Di`J2&W#%c^$um$t>X~5Y12CipRz&kz-Yxl~aPJ@Q1Q>!l4 zuigbuwZo{tN#?vOI-^7W+m+JlP1SNz-h!z!rT zu+h7857PGvUB7|+S+{W$)UH_@YsfAS^7j?@Xlz`&2lZ;#L+u6)uwsu4T;kFZnDGW0 zmqRd>;$kxSU4{G{lu(RdiUUpZKNB}6uRjcRilq>W8NVx0*t~Wp`L7;ok^L+8%EB!! z16~jFvH7Sv>NjqRnzid->6X24qBsqDRt_!7pUI$;D1W7Mo(M>Ofspjq(7qIi>6AWk z<54Ku_`olz6rT68v5D+zAkAm__Cs)qO@q&)0&Jr=Z`hFtt6Kjiu~n1EIhC`pkh2o2i5cv-6a#;J zHvEmk*NW>8oQBasD;OWP#xWTin8}{U2{~I>$lJkEK_aK$i7hPU&*PNrIanM$i<2@| zIC=C8PRgFa2@=-D_@E_hNYC50mm~w2NdZ85Ado`myFOw?v=9q>T-LUMgXTH0lB$co zE!>P8;6deg<+vk!j$eYmsXhFUU4XBt1H4Ty!QQJ}rE8)B2*=DN_t0@}wM3(0w?l`l;}MBa8S> z_uTdsP5{~+QTCN0wEc)I@%D%;eaQRLaf}fQ^<%AtN_v$BJju3wzAT+%Kh7LX$JWle`NtJx}{r8x$ zbREtH-bQFfB{a`Eqg!9e&XXgi&c;a}x;OhRv~1kaeSm~WIb!lGob(K*I;sNN=UmZa zz)+FbP|DlXDG=8(-@@wJW2{gxCEfO7i{>f9^904agI$#L}od3 ztsT*8&@f3p|Hs}{z-5&^?Jc{zTX*g5?(XjHE=&Xkqy!YiE)-koM!G``R15?}y1Rwv zn>qJI(PektQorAS&(HC`%zNK+Pu(+TX3le_*I=B~y9GDr*U+RjM#pwt#RibRqbK5o zl_!-4IC8@po%#%v_#?*Sgrx`EXxVdw>e#uj1P<&&<&{q8e=~o% zydJKZ-iMo87?txZx^&?HC(ddnW&2S~uk9)m_e_l^U#Pa%%ozW0GY zaJcxJ%gI;%oB8}b|LsnEU24}JL!^_}yLao0qq^7O=FOY!j4^1C1or!`Tt(FHJb=o! z1zYrJo_iPp-z4BVwf%CHQ=-hvluqJ?Zz%lY3vv9GHF^z{%D`=)eZxkS`M9YIdXJC* zkX<_TfEKOixG(gN-9T>vUi}W8`wtd_3KcPEW-BSG_~9^6*(PmKG@- z$0d(oF~)eT^`XAxe0U7OdF7<&u$>)@hO zpcs>P{6n!;{hY{uzPv6jxV;qlpP+W=KYD`LP};6-XQ}|EnFgaofQ=RKD*S!r(lCw5M zcE@j#oMl;i1Ge(N#(M&kP5x;WUY8D@@~i9u_QV7~HIT)l3Bcz2e|^gDLm3K>IJKD0mpDOry5p#>$L z`K$8C$}j3b_lxJ6p}_qfQcMF8V;F(B>u->0>ks(Qa~_fG;(G!tk$>+TQqFHdh~fm? z+cE(vYt~@NIyG#TKZjeFZy}1;KrCmue7rs>DF^>;`V>eR8%F9e>+Z6YmW`+O&R98n zF6mw6(WpsdXlZMISs*2Ex{Ut^fs~X_b-KSU-LImiiexHtd~&h`q^wm7bx1!^-nJ9T zkAe^%5D58=TTruFb=2VvvO9Mn>B$QuJbs1)TeqVICv@NgX(Z#!)7G-Jwo#RKqzh6hT4=z&DymgfAApHDG5pGX;4;IL!J8d zP=oqOe*GrIQ{G%R6?!h$mFp~klu1cQ&(4DW$&rFy4Q+0=IKmH?JiW&xy3NI+_4Ce*dHP`^<_RIOMEyH~D3jMsgnJ$wd@ zU3<}hbWR3X?pnVQ(bV2)&tF61fT9=|Rmzoz+_X7}zHWweV|!>WU4w?=K%?q^A4n;? zfBVjz0x+48BLODg11ULDWigQQ*q;Pa()~?|FMyQWwj!L~m8`s6=;`Z!08&yuZz3Zk zK1ZZ%(L#zmxpX<+_&-E;SOku%X`p$tW)i@1%1ngaF#9!-a^zS%5D_V#lWs=~jHqOy zG-SL;L9|Z-!kps}>J%rUDE=$t8i#O?1OYA#_e;WC|0Ho`dgGfYD8fIP#(O4mgY)q& z>;EE<($mum6DCX&_2Vdws)zR>B`KJ`;rgYQT8@8<{%s&-P|$NMTC_yuSFT(c z?AX2*5#f*M8{S%y`IUf_xgUU(t^Xd7GCnyThm`lzTDg+Q=ezIfqJH(Im^A7*E}e5i za!NSe#qBG$Jbm!h3i@^VewU~|?C<3(N2W{)rK1B18KDWkM?i#{7NbSUf{ z9R=UP)dfRFNe8Em?a~9DM^2!~)&u4T)X=*{n_mMdjY|M22aQ0`nXAaRbisu!yV0h8 zLzFF74r>-JMyQ)Na$khN;K)&QZre^aRwVjtAm#pjs6uVmkn|kw{R+sW{K9?ii*>$; zNZGgvP9Hjq+^4~KY3GDxQ)i$I%~S0T7I)NkfN=b{a5bFl_G0fRyfT7(QASk+T0lxZW}X!lPkra25S}^c4IlqsPF{+y;eV zQMhyFJi2!3j32)L9`lBb_yDAolb0e=mMx1F^A;f3#Y42mDP>hOZ`7E|Ujdt!uf$uQ z0A!HfrloKY4M=aVR-q#H&RK-GJJv`tv6q09r2Bmgq>RU|eS1-vbp1xuHb?gyEQD{PT?@g`IPQgTGfmaTDB>log>dV@z6wwN_$yeNCmK7C+f zVJ_O>VPGH@FJ1a;Amz9T@HR3BLL*^E?J=l3wFmun{G>_n_VE$xLzDl2l>d>8eg&jt zTV1iOls^{}|NR?4N{)y%sF#KSg7AU6hc}+VZS~6tF`@U8K^62n23k0rxGrqn>>s^; z__DAQe-7PJqbm{rhJSzQ;3ZsCAX5BmbWZ-Fuw`?UOvh8#k-|U~wjHz2n8A~LKp@++ z`EUBnKojqaH$_>v?AKHbM42SIBCgj}FTzme9OZujC**EGYn?gC7Hb^aVkGS928YfG z;_oj|*+0<5uTE@NH&m4D>+1VXWA#oQjNhV)!RroU;3|2H*>o72j^BYH$#UnYcQEh@ z#TxCa7`s^&tB+j91(#=VjxK^7O%sL*z-d~ZUM10N69qU$6~dgB$(QJF=HE^tUNT@G znk9Uka}Vfa;&u(}xo8EGXNkBMo(Ekke=Jbc$LOsZn5k`wP1cc6pyioXC~%VUI!Sq{ zhXDHnfqg_O3|b)GWqO|VE5Ow!7RDz2*sEcPNn6w~Tk#~0SO>s9vH+$pQ?d85Ej?2c z^N6o&{QwSc^KmyY9y`yPVf{5++X*sBC#SYIPrTDPJ!0 zJ}SG}^Ay;>$$_S+H)ihB!(=%v9K7xb%U9`QztiD6ZkV?Fs388#JpS(BHAhULduQ&~ zgQmH!Xb-dCbnG*<$HX0)m`!|*yKb-z$$&HU(H-imGgQWl)V5~S?%bEQ)W+9jeR+lY z+LrpPkC+85HHgv|4{rVWcO&!~*KW;ycgJVQKj@v!PfHH0cwv)JC0xujZJL}e=#O`Z0@;Wg^Be1`BVF2o(;?>K8N#?4}S z|4%tSg%h>wtp`!qapI16kH#xpz~Wm^u=_!g0Q}yjKIgXah<*oGx^jDzB$ucHxJBiQ z_O_t5y-H(@$GkF)Z8=hX4*2E4n*J7mi_CN!)6qh`|A3TVoqiWcSyGlV{RWV-PggY& zg_$ECbJWItD^7|z+W+z`5rLWI5kJTO$}QX=dBlg;UL|?-sm%OMlF2RpE&H4K_d+hx z-2bVZ{2b!4os#*9`H%x4^SQo!z%CPmKe?X#nPscLHG*#675B0%Wq)^ZnTloU4OObs z;qxRf&*Su7Lmb^?B4nxd1~Z)6eU0QleWygS^dQSpmSNwHEai5*Lb8-eci$Oo+^mjC z>keVE;#q7keg++S|F6=VX~}YsWTrjMr#ESi;(7K`JYYrht}DIoH~ijU8_yqG)v!?M zEKb}bc@$BAJCC9vciI>eh(BTf87#fxhuvN|IQ-&+OgTn#+@Z%LYkcycKy#iZJ(Kx& zC~qfvwiD%Z03t!%zS907ma1J4^Y3Q$E4X3x2u|J+ICaw^%ew#q@bdS~zm{>l>J;z{ZpSQuBT{@UVaz*}{1I$Uj+P}#qnH3=B>tSmY(0|Ep z3|)0V%%`d}&sv0Lz?CL1%M7YB&Be#4+)5+^_XZR}p3D21WG2mTCy02ymM6Kn-uf-3 zAGwRsThy^s`3z1vQhQLjZj+4Me#TU^(-Impr=6aO`S<$$NU>%S@<@Z_(JL{xUZFM2 zTHTvM9<5P2kIP2BaPkPpg}dHZseDe{zfsNLy*xT|)rI8I35=upebdQ1xa$7~E{U|x zNF$j?W#@joL1agL=0s#dbb`Y|+Dita>oiJn1vCop#R>2uKc+(kEcI#lK zoHjJ6jqP1RU}hJD{m1TLBDKLBYTKg@B!g(o7?TX&b;S-7NQN)iqYHfl2RJ&t#$B@j z?9#YGea15A9F|_AzkTy?nB<{eq-^~`ZGY%FjTKs7DUnP(PUUC*0oMe~(Yh_kXO;7~ zM(ZhVr?a;_vFzX((N0^muHmLlARKAjoV?|MMGB`#-l<`y_6^*%egX%#Fz8-!#JqjS zh1}e$dk3a=&qQ6cF4$tWoDL@M(uTrqS6GDSz?S5pnx!9R$REd4x?e%(Cd{oL!!;lo zmNbSh(R*@?#>7FA?Yo~)x$o!Uf@cEk+}^_7FADC|cFxo%&QyNq=V@^Eih#RkB< zfu~BVDY_JqdGT0m3(i~v%y<&!OBrTJq1En;Ax zkn2S6fs|$bK9ExQh5kX;khQfL1`U#eDRk}C0|s}E-Xmz(p26+*rHIi)#pyxF8!TM8 zLX?Yb;u|-vLwGpbJHKw?ab|GoBHI4kMwG2{_g=Vk+w_|OQcn2~Ncl}9>VyB%w~}Oi z^3A29qC6D6OA(I1cO0#-G{@5yB`QO1r1)G|Kr5ai7M=fGlIolK4c0db?+R!&Sj5{z z{%&GPkVY~(j!1IGvE%Ts(EH!MBl@dA%73MfB~np7iVD-HPvfXhshs@0Qp-^~iQnYM z{DZ{#QC;a;SU{`DB6hHUykaUWEJAix9#T`Xkd&AyC^_j9qVJN^a*>>xjpT?#B>TTW zvW*Wit-S%yXDIRxg7>A{STc1kYSe9r_H8>se~%K99enZpjtw@>UyfS!8lfHY_o*Ps z!4FSwSYY+k*(hJO9Gcc|2%W8aka*7rK{u_ideTg>fv#z*R?yZzfrRud1koaB&E_qr zP`NUi)T#^ZjdDn^^G2|dJ@zhLBcf*T^j1tAJ9oyJ3kFC`&&G?8aID|BS#08K+@uLK zwT~h$B?G|`G1#_W5p|lj#Lq2$#?dW%kznnC;5)Y1zGxNdG-!gK+q8$yjsr-r@x-ee zme@FDHY!n>8#ZqSbzMEgQU0&qMq$&o9jHWQYuK<6)U} zsIJ*ygiIUQlqaoD4D81-AUMvJDcpuRzl z@^?oF*K6JqRIOAQb*a4$t=foa3s;2RwZ{%B4@bwS+o&N9YG@*g@(GPiz|I2-sK%RY zt5n0mW$O?{-Jl|3o_R{?| zIYJ4Qd;h|fh%j-)TPnl81uIaqVrA5eR+A1x18W zS)ys&sAwHSvo`I}sCjG1A37|`$aOiaql;$kI-+5NrjTE;3E}20)LyRCZfiyRRjXAK zJNL^|zr`Yo%6U-vu-MdGwQ4nNm)nidh-j*FI@G8ioB!M%4VtyW-a{(lxiP63P(OAY zE!uVv{k>OFnff`No|^(?Rdp%qN!6;@wsRL=zomR=d?>4NG?WHnGws$LI}swwUrAFJ zwHvlXneWSE!N`&DI97IT&e9V`zLEVk z!G^yn!?ph!jrc%QM{qQ*-y;70*Ti}7scl>iKDCsMatxXjKngbAF>sM1RQg*BKw`F= zg&;nGR3b~{QoV|a>nKi9Whd@^j?QHc%VXCdxAY{Yk0OPio;Q0eM-M9zWvs+0YIDZY zJ;Ssxf!bunG+io>fr!#245sX)6F@*J&mWNhL=gGgpooD0es^i^HAJMvIb1!YhozH_ zVC)cWtXX*$=g!=Po4qwcJ#CQbL**7gN;c%OBbxvIC#X0-DiZ@K8O#`X8+p$9cyf3N zu8wRA3arIno;>IQ0R3F$#*mmd}K9z_Roij;#Qo|K91ApZo}5d91%4B zMVWq8wl72_ft0Twl9o(s&k`v)GZ&{WUO|t314T63Ig6IT>;6MztZ(Z10D7Pnv{#oPR+Pj4iCuum1p1p3&pR3w}l+=()D&KTyhN)R?ibcXp%uiEnB1p3iMy z=j1At$I2E1WYHx?jv5U+M`vVF{?_!nQB!A#=*JTVj=(+L3&?T`fYs5n7&UM>@#|px zuraXLH=uF`z+6oagE~kjObs797B)^UB7Y0pdl)=a0xJ$1J_6SF97TDo>>S?nhYlMq z{x0V8-^}Oli}}`6u3=-Oz8l=B8!WX>A=C9CoKIcB^r12!C4I+Ksd{b9U9tksKK{s} z<=;IIZ;YQdOO$!kgekCgaG^Q^whm4hF;X%bavPXY-*B1jT--5o`~=bF1KM?lDbmpn zcW)Yt(niqUefr?Gu_-cXth)O>z>Imc4x@Yqj~qi|j^;|b-`)2<@fQmIpb^Zsm6gXA z6Q)ha4?q5Zo^9IVrm{9NTpqyfv>_(-8!R?;_2}CdHz|L97hJppFn;=cdTu$iZqOJy zo7a;ZGNO0e33;A&Vv|N`vh`Eq(>z&D@u{Fbmu^CR^I37)=!kxrLf%du{`X~V>GHKC z{aVg4@yVgXsT_ReXqg`8ZwR?65NfQnWl}@hWx5i;EU`lf1p{otzNd6SCLzC;z^r@Ws z%S3tdRmfSo-nXDNg9(+-5b-w^;kar9^tv^~?(XfdV%|zDRlNj-%htF@b6;lAu0{I`?c%D9dgq6#e3%!v+ zX$(&LVn9mbGhi|%Hs&M1Fia@Rlx2!3lU>#hcs$04br_ERI7oiREAyDkI^)y(x{&_ zw2q)blg6l0wmjsf&qeetn)l5ep}FE8j7a$&NVx+Mlut%>wg61N2U2d^CL&d4W@SM~ zN9O~Oa_iPV2c)F?!zrJv+#Ki~KmKt<%7_SwuYVlP7%*9(0yb{gfH$FG$fo>{pE!Z$ zty-bNPi3%i(u`7olx4Aq){_s;U8VQ=Da|>-G%r2DJC8u5+CE3bt=D*cB@Drr!$dU2 z5W|0vE`=cE;%gDDG2AU4kzrW^To|4H4pAuuh)T*wBvAxWOmaR_h@Y2Di_u(KeB~CR zFy|fKWq&>5=Q9=1=giD^NJx8!=oBh@vQ+lpB&thv8r3ti5Ybehe}kg33K2=fkrh+( zia@HsH<#FgO3jC)JQ5q(pfVSg>X7`B6h(a!NyI?P6mGYF&@ujB`tylo$Nx-{Rr!B5 znV6WOS8vJY(XL%<7+lg5{+zD|q~z^3nRp%Shpk&yp=y;%s9LQ$$@T4c^X3hG_vezA zmp3L&nj-2~uWl`n5{C`;qU_3;y}6XwldfPjDi`tGl%py$uA zWXUqB>-V%)DUY2y)|CKK{=*R|neu<1r0qaC$j%DK*)v*b*S@vrhq2?v!_mo!zWZ}2 zj@G40%8IC0zxd$MW@uS|4Q5X`jVtF|XkE_ZgP)lK6vlpbIe&|Uua-aOMhh@yZYojA z2SRoNJu4Fh8L_Z3x`D}K#-eVux)?QhH11xzi~LO4ZX#L-6l4{A78T|dqNsp=kVEfZ zVjA!&0_m2{&|19_&Fjg4loO`XTFwaB)~+8SQkMS&NV#Sc>eZ-;>a>QIU$zPfHZCHX zzNF_=6+Sezb(@iP&r?K{-!Xr&@aZ)n{XlQqZe%5Hn=9q+T46(wcNtN?tyn0x2B?|05tJN2EM@8buD?Fh8V$ z-mPR2Dd*0?gGUchSX6|YcW$Fo_ip&<`w|f;ZQXHU$3C=a*cfGLUA<=7EQA_aBiGdr z2J*`2-1=jkNB}7{G>}AkRx;@&_CFx%5`_$gB4Vg~f2sgFG z#+ma(r1_Q&8sYTLeaLn4!OLsLST=e*%6$I=+I8*(!<#oGAm#I*4?xP6ZD6Ew1Vx?? z;d{;ylllyhfRsarz{cKAtjnBToH2Za6rpp}@DXrza0K%5VQprPeto4mddj59@bmPd zHE=HO+`f&jJ$i_!j`IeOz>^EtQ9$iyutyPXn=}&qBqCkwJPiAF|Yd+NBzeApxW`wndV;0}iq7%Ln7uuLl(kb)*QLK33QOD$}@X z)Sw}b9??Qpaw^`u3dV*tYf+xsrd8|KICK6y@(SPK1&x)Z%a;q=e1~@JaP^V_-eqOu zk)JPF}~h zh5EuS+`osquv3p6bVOW7^w-3a$;Slfkt0wEn=Jj70f`CpcY?hs67NZotp12N;uYJG z`L`Syk^vhWiHn~#e((`t1Lg>ke}*Ov)50h!BiqK6)}6)!!`qTQm;oQ`KQ0Ep6d$%1 zV2uJVOHl^4(`V9^KWEA8+=B0Fid1J~#M_x6TM!xgJLrM>XhbWMfJOfR3}SV>1kmB%@ws8G{tv6R-ifMCseN80J9b?hogrt(n;^M zb@9Nssk2b6ZX=AFJPqbnHpn1dIh5Y*TeLJiM$1OyNcx+WsA;4>Kc?y9GA%hcvLS;l zc{LE1l8)miPoq5B;ICkz5;?r~R zEaWXV?%abqO|6d7)h5gC*U3n~*w+){c` z97X9J4hKpRp^g&&7&V#})oGtw3dZg|jXE9rp?;I**t~5Ap1ul2VrCvrUc7=%J^P_< z(^lBBdp}-;MItse3tIYT(56#&G;H|`_8vTp(5QGsB&0%F^C+7C(oqn9W*&dX_cUtN z7Hv9rht|onh^4lE@irP;_8bs-x2Ls*_Ax!gB&LX1{nyuVG)$5{dK4=CunRQaEZgvwMwYo ztS#Di>WZVsPl$apuinOB$Nqz;->kJb@b&oFOGu!0dJ-Ha#wd@~uKfn#0+lD3)}R5; zNp7#(gc|g|^rf}dH6t^m=Dvf^gU491Yz1o6Z;X09M`E1nEgZO?kDK&e zSVj%&t080@`-AyDA&;Kf;hndokdFy=Buhz-d@478i&$3io~|e>6Br-4f~^bm#dF8d z^H?61CNF=F*grga;1N;Y4Repf;^1u{F7h@`~3ErC6(|Y~Bkfo2$J;WO$Mw!FfBY0HR+DbppfMVBABM?FS8%{P3)&%)EMb|V^&C*4`R<^9 zJ`@9rM5IBUuWe}#XUh@GwQINUV9@AsV*Z^yb{ae`+(xR)16)_tML(W@8#TkyP1|t) z#cMIoUASe0ZX_3L*KdM#vlipYbxS1JdgJ)cgJ}PAC)98GGqx)zks2O@xU?*iS%zZ1 z{;qswNjE855glkgRntC7vM5FXcxW2K`EUr?e()j5$NL316z~ojPpKX>Z;Pmu^!;I~ z&#LS9(Q(>pRIc9){Ra&p-SIZHM*;kwyujks>uH{Cg8susldi>zgu+zVcbk~P4*S&y|gGUMZHDl~laZpH_<9*yZtcL;J`=dJb z!lcyZUB-q%&AOKX~reFx&C;SD5`ta%*z z7OQDZQ>#f!^yt(R1`3);x#x!fS_7?`JWK4$uHSnECLX?u1AaNsV%bO}#tN-VRA@bN z@L?g;>9an`cIGcTa}TYCOY(Wns0q{_*F-zr)jo~E{fCNnnmc(mye}Jzabrm1rgzW& zs7d{>eC9$tyvp;9AI=<5N9WExQHSLA#sw>B4zng*&kMRc6wtO!M>J^N7IMmJcpaOF zXc{+a`lr#7)+bFGx5Vyw%kb*DIWq3Q!GjmMxIklqL7@9+JnSP`e#}1;j;>*db$p0? z->1lpN)+qRbQ<63p)pAJe1aSo5}JOmNN0HpyJP1uzV|?32k6qHJ8m1@6#zFsUtf$H zEA6vt*0ME@oH+Z{fRr&g61a`$fQPRmv0=w<5fr9!rHa_Fem#O;Q6v0?^iQ9!X=P=K zL4zb)OV{2#aq0G5G1fV;#h9j=FGdXDq}Ndp11VQ**nqMX%Aji13fQ@08zLg!(l=i> z@i@C|a0%_({vz6p^&5jbB(r2@6~4Sp%g41_53pqJc_B~t?lOj}-&+BszzI39o@n8B@lB`d@xl~k`i+2Ud zGRKVsc6;x{`6|TEmw=S-3X-UN|19XPs4$Q6WhW~;=Dwx+GVm^u%Ezks-;=O~`FY4o zPex8c6tdzX1ZBrX{w2zaC8BFiQWWwssjTdzlYuHfmn7#N)sex4@2HMNf4`0&r+gH? zOQklXK9vDBOK-M_y9=oy8OVo|GLtAPvmx0bOb3>hlE&N*AhxgR-j(>8sgc- z)U``zT)Sd`qWm1hM!v=Nty{zj(-G6A=G87`rxarUh*! zu}M)^Lj(E5PY4UeuI<}UrCL=qu2~DmHg2VSypUjNkKGFvqY9O$VeL9Nws|}9DE~yO zd)TvRDXMZlRL7%hHX_f`5lMF}u!jwf4B({a>gehqFFON?u~FE$brZ_|@EvMasR(uD zL&(iYMN)hWcFAo+*)rdw`j0^9}|r< zT9hj6+9e`}@Mc{#RTX4YdD4;-a8N;BIMC|Wtpl~gD#%JtMP_Oev^7-Gpw7o2*e3Ps zKvzox*=fmiPcoEMlu(O)$D3sjFJFyJdsk%Gxj>md*CJkR`c7F<0U6Oz$RtwUzaO>f zS;DbTq7fAdrQLFRjr8fREND&XCQ^f zW{!n}Xg6-Z>Xgp`#REv8`?J$ipsA*c`t_tux$^t>ihP)_s-%c&mCBoT&9u9A z?nFXN6bfnFU%q$-1NwABmzMQ$aQRe3S)2!gU4T$`;F-N-)cPZ0;};vlg6)MP$zGp< zg(rkzk3oa8$1>pI1K8n96C3ilEGwt$;`r|K0)P@}Wg;SGy)iRJ7*V9931V$a7`yCD z5&s*+-)Fj-3xi&$sgXGOl%LbBqbfSJJ&aym)X=Y+da2a6n;N=yIE>Ei4hwLn!kSZX zIdel8EID7kzO^temBP)9KPoR9;|c^gg6M@A@cItmYY3ZTr?6_8HpUFr!iJS+asB*V zJaV!|tgkKd+4;<1gt!0uLIe zT*d$f>D)%DnI1ws=f^ThZf}UME3H})3`^xzD z?%84B&>@09sdqp4pSeWs^9c4@dKlQgqu2m4aq=Yi`FKkA+gfALpn+nYF>%aj_&PZP zIoWV9GsEEi{Y5_G$B%=LC(Ut`9PMl{SO(N&Lz%aStKd7?+hXWo2}n6^+}QW`JJ?&J ze}5_J#PD|Q;h}d*l*d8mIQq*BAVWKLgqw`-s&^X0yL1!$G4xzd52;?R&JGwcTsld8 z^vIF$aFI5{xj8!$UjkB&95Gz{UCigdna|%B^F7>MFq+CI_#L~zRpS^H-Wv~3Ucmfe z9|I|wri>m5AA38|CLY#S7}{5=OaIT(ajeW}$Zgw0#Xj` z)CEpQ^+g?hPF=vbo>D%8hYy7#jVDe%bpQBq5-@Vmz=3d}w&vvP<$;OR7F;&wbDweY z_x2QgzJK7r0q^-fT*qNjU-azS2{sm{#4QqIhsTiMcj*Xgnj4wVV{P)Ji6Vae{g#%#Cgf{-cNY zF@5$-v8k(XtDj+YNKLf)qZ1b}L$;}_7d_XE-Wk6CRZt){Z&-ykP3vM}-wrU<*h%YU zdUlux$xi3euVZgFGyxvT*T3B zdbGwlg6R`F87c}GEvAfrqblipCLbL2O(=Mk?(#FNtS+yxcLl`x1J)I zKIhO}R_IM_Aj)ipTqgs>UR9;Fz;^g+?}79A<8UxCfsd^nB3y0pPJm81-xAOGQuJv= z%D6Z5%D$ub_CtEgoBCF-Mn$35HG3cO;A0vH9X~A+N8`~j) z)^-XDmy;e^6}9LYhgPjelARk8ZCs(SbQNlnp2j-dK}AI*#l#9=B7bIH%}Q0ENcSXK zyCBKN1&5ZBUdKR6daeRJD&$hJ3wwj~m^kQbA4Qwi(nj@S zV(_1)mKGAJZBsJRp-R8y_7*@&dKO1sNzKfFnx+QoaYPa-$DZvw5EBxH)aY2K(|D-g zumP%)uDpBx62y=`pXT5RjkTN6pn6SIrMBYn5FP#&Y4M5Bpnh+_0LbzcAUAI@&6`e0 zclLqihOKB=qn6m1EP#|WF4Czye+o!R<E7JRlKbS)%SS|{ zBt2IEDN6t*1&}f#Lhz54h*Y_mBUKVVD?1yydU_IIvO)!HUbi0MFJ2)lAqjd~+G5jy z08$eF&GVP<`OPRF0i;|{{NUHfPEEt{joylgjZq1Ch$#XX;t@krh={Bx zqgdVyMf{IvmTxDqRXefpLz($1qD+=X{C#eb1bQsR0ryXk08*9!1m;QR%5N&M>6ACL zCFXo6FJDWm&zGX&a`5LA>LcE4_h0&YiDbwBOp;ake>OQeIbp$q`Dop`1*T0M3p3Lz z!v7*|M@W+SV!jNO1X6|s`(fMG)u>*ria5k@JFQFp3Xqb)G@CbXLff`KW7x3%xNz<$ z(o#d{8-C801&T^QO16nfKuUErd0KBYMA@?Cs1Ft*Am9Og_t#TsXebUGkVogv9nq&x zH)v}eL~Qg^`i8eKrTz0j%0D1!yIl^lGZ{#!jSd}t#`oXpLN0`|Hnv(4W;KrATKA1##4--(#rOyi2b$VKwK$8 zWid(Ho$`p{VQh90z4}VFz;>NF!0^UZF7n??8MMNA9ffpDCuptQfaZ1Uqg>gtSUqhP zLQHIs?dA`Cx&3I?pdl)iFOO|A=Y0TD(i&X=DM`|8il z+X!GK^T+n?2hX!tP~`gr=126<`D3&R0eA%OcmwMwRVMp+yQiM`LRyK`onu5WpeVbft19PfRy{i!7mM~RfqP9 z4al@`LijaP5n;Xp^+C%?Cgx;!zG*EsL`Y0>fs@Vfwi42`pN9T zQ>IRZUqAqRvT?`E6kU7w!VkosH+VRnoG$^SY}#A`QjVL1;LCTAYvqbl8+M?1tvcdB zUjd{H3qwXqs?fI@G;SmTDd#RmoQYlOKuXeM-vcQr4{pn&db%PyKS$}`uxS&@S16BG z&0FA%o<8zY((vNpV=Se)jmy%ZQzu-#c|+(EkDflkthsYU+w|<#4JJ2kq9`*P550Y` zc)@~S11YI~-p9`a4nD9`J&HkXr2`4aPniO5U*B&ANV%Hy!%Fmi)vHz+ipyq8K+2&1 zfRx`f@xgOXYz0u_-id4Pfs|~M9ok1j9Bj*=3I=AZn63-GUFSt4N4Cp~Xk84%L<}}zP{vDpVKe6FRjX&}iG%bQ5XrV_KE(FV5Fff}Wlr_8q}*L4t;JL{at!NWqV6yeC`0Rv#OXesQX8A3e&`~qu}lCBHmwDM|%vC z`IZLv=>bPe_RRp1xxgu(XzVl%!WF*=#HCC7>7GTWLB}}+a%RtA{5)0IBMNiUar&qR zn$(jHdsw+-5#ENp0`hZk?zj$`)sy!6bR9Pj3TBURfk73I^RU+@1iL7o!;XePhpF>Ms)W+O5z1gn=z(a4)LsEZ4y zPx2-+OEe`vwg0Yk8p-Q}SuK~ULshGM`6J@K_ z5%O;Bl6iRh`i1ZXpV8Mtqk6RkfBoteh>3WEf}BjTuclVj$|&>wci6RU3zFkwkd;cZ z`oKO^E>}hne`X$kw`=Lu1MTO#sqP`f&gsVku%GevCd zHh-Cp-4@Sr#PKyAM>3><)|Q#MBHEXnSrGI+BN5Kq>nM5Lv%*N(#`@mfI>X+|Lh#+3 z?qSFPi9d88tzBfkP&*q7l0lO1bbQxtcyQJL@O}UzMHO_YS5NR~&z_DKL4iO~0j(9z zqh(VmQv0$+^AY~~rD&UrCw0-Xfi#A?jF}C2lLum~9DAHE#tM(Q!wwNR;hRcrpCP~( ziq0`ueB2Vv2TK0JhBYcfPxCPHv(gYB9f2(y){3=4{n|BfLRUxZFMAsj{C*rXZ%_}H zPwJCulz~?d?qlxM$%5amO-tMn>)Ap)ec*>#6Q#9Wg*weJRZdTo!978WJoI^~2!W9) zIN=t8Z6-n3X8i^#-f6h_;vF84TE^>xY$}8Pab2{cb!V9$zQ>$l{osE|hu#5tr}s}S|NB|Z2uyvLZ z>^J6XY4dt%#D~qYpV`k!fW`P=+~UJ>XN)dgUj81~R!!nLdHKV+ful zOUCe^`(8A!Oc1|o)1oPE8q)koZ~V)^04$g$@vGEtCZZ~z4$Q{6U_iw^UdY}9c40Vn zKMiMt3ZUhm20806!M_!lAp8_OKN;UJK-bRgL_U)`cg17I9lKsxSB}MvO$n9aEazeQ;vKX4EZPM({UpT#Lk*2(kb0+(|vOZ1S;> zv_Y-v(3Ibe^e`%H5xoX)GN5exO2}>{D*NfDc{mxE0~Ob3$eF*uewx3J2V~>olLG9$ z1$60PFBeYna?7J%Z}@(9a7KWcxmPbZ!qjOWvh*&@CUVM0e5wpE1kS?@31ENv}`H(<4HGqc%J0H&jXk#siI?jsoqm2jwZcJ zS_1`V06Ojwv=#}%UH`X8Po|d5$;SQQIH=jZ!d}alunT3|AhDC27|7I0Y_)UAm# zB%6?)itwj_ShirU;J2z-1K0N+po-o{u;C3X8Yt<*mFhReoIS^I$~y_?o)$vIC02|H zdF$6W>Jg8#_tSAQAPbs4Y1l#hp_>k)OaneV;yX<1(g}}v&y%w^tPZK7YZGZ-+SFdX z@$B>^F>Y?{+K)E1q&>d#NmmcKahDcG{IW52t#SqN&g`B%8Og>p7X*gD*XuQuOarme^f`7rMB}J$8jJ%{ z@RHYi-jC^?XFzNWKx;gtrO`Y`ivTx2$zS-0)(Q`U;CJRCrVkh-^xICPOW(Lfx-=hR z^x`=-ZQ6)7zx;yH6DQ-+Z4*Riy%XzHUiHM%y7o&EM;+uP6@A3xuqbSjllEqFq`*xZ zHy|X07K?u)y?a-Pl;kW#zD-70Xae34y?vATSriwOLBp+3{PyCxn;6u8G|HE)h3-8^ zKYfW@=VVJyl1y}M1|PHXLC znk(5d&FjWbeS&|LN&+ce0-j**lI18@z5*IGYyf2?rT>7GUn$W%`Cs~0lB`d@x%3~9 z@>>Gk{WCzy{PbL;ha@7w?=528!w}0SM7X`7D-mBmmt5W;#!$8=kDDxjl+D|;#;G$W@h&eLQ6+$s%@|0zVJqe1iiBbyWsP40DX(5JKw(}E zVj|ySTL~cLiQ{@G$jL$s<+*A78nIxnSEUk;?b(a`7q1ZO?T5_^7vd+%r*5?>(Al#G zc|k7`?-zibtJa83h>fYtdi&&&|M(f=+`S>Ud?hMV{*6en(v?4eyr<6*@8b`-m8(#N zH{ufisJsI5p1%_L>{`86@Eg{vNBjfGrTi1_Kfs>#8^mT>KE+jM#X97g*(33$8TL$@ z{T@iEt#=H$)FufDG1$ol(4W2+MpJc_L&#wuWn2s?TiZ~s%nztR3W@sGZODESgyeun zkl(Ng)v8oMJyM7@_mOh;G8oB$PqBZ~W&y;jU#k{0w(mrCz+)tP`{Tg+ji}C>b*oi} z#`3kuws1g-u?-aFFG2N+l|(-3JLHf>_ow>Yhr;T0s8P8J>ejCZH4QanWu+rEH3^D} z3Nj!iZ|*&eOyXxyS+$R-qha0JAN5_62KAt;r76IP87WCn;>aa+YNIxlL1pW9WITR~ zbRy+#J5Z~74b-N-SKhJ>=?@+w<6$6_H*KYRtBKzzDIG+5N}|X|jAg)NI2d=Ia*&)RY=B~?)*lfYhS*TY?r;dItx~eP@mxF;Px^m~Cz5N`tww;4Ek7qE%^tXt=zo>Xg z04O=HP4kWmP$Yvk+W)MAF6|EsP-UsqK~;c2I{c!7K3&x?XWUT{EsLMe`JQExzld{0 zd@V`*z5ed=;$E(c&!t=9WHxa+Y9#eRlqK-U2=>P>V&$}>7&k-<``4d^=PhGoJmCJ3 z85P-BSWKT9`2H-F%!eJQ4Dw(grN24J17p1NxQ4h}NAW~!4?LB2!bML5u6J(0$H5jM z?)J#!$W;uU_@@9A4DcW-^07vqn}YyPrdazR(ZU}IW&udD@I$79JKlLwJNOtQ*YPrv zj8BjZ(!(3$3kbC}!W$PGBzW2)pQCq*JU@BH7os9BGZc9kQ(ICSMFi3NDj8La6FY9s zo;;49TQn1M<@n`Q zfRs-@04e+Q{s5#5kmboh%DyFll*N3T5uOyJt%UuFeI@;S}NHLId{5W_Q12t*v z4(Q)U@Q3&44)^OfMR^=9U%>!cYcPLU&mM5QMsq3gUFbSo7MYU)j~-r9eO+ChFk*xR zq#QMJ1l<23kkZ}F1*3|AlpQ+5RqZGh(gP2UpToSNBSpXPcf~Y?>g0Wm${ZX9cLPHV z>mo(V95A2{9H?%byr^x)%Ekk?fs+hK>E+=j+Jf7BNYCDIymD34(dUja#>v)vgNF@; zgCor~lzbS7C<8_g8aUu1AmxNofRujJhs@{u2M!njdmD-GLwz!4xU@;ESGUfvp)to} zjK>a-A;G7yVnYgq~x*4>He>Pl9ZstWuMjzq*RxHl(hDpE(20_@6#K%?(+TbkewI}>#Jv=v1u_b z?OlWCH;w@h=skZyeg2Hvsx-M;8u47q^F3YDoXo`rlQ@| zj&47RNkfld-eg@|P%}ihixmo=Qhyd7LQzsa{v9gLhv_j<0F{9^lCiuCpm)Ll4zlde zBlMgy{8e_qO>IA%FPwtAl^Gtp*dvzY9Y^*2H)QQQFI(g|J0s236UmmoNHo7Mh$BMh z(j3R@fp;FakYRleakuplar-2~EUqEc(E^cfwn(SnOZmy@)93u#b`JNPO@FNe`dm(B|!^MY>*1 z(%%%=aG#tkB1|44J*_s?sRrp%2R3X*LO>vrA3cN8b~$ly4(n<1#EMRT?Y1Nr#M~I;K4jhQ|H_Xh8W? zr84YVwHDFT4`~mdL1WimG^kS-RfsRQc0Hm=uTH1?HTTM+A@k|E0!SGjhjglomX6M! z08(Z``{+?LYSI{$%U8hmr7IBO;fsvG7to>n8&m$3t5(4_IXOhc#vn5{7dpBh0Fzs{ zZNpnWtSC1}Z2o-@q~s07G_JGq@}PS{A5B{{N5yjGuzAr^gu8ho>&Z*#DI7vmDklRh zH!WUZv&DM8Ja1gG%|oPrceSL3Q%I6M6vWatw?f+ zeT#`LpnR%nw5+@WNckJY-~9)q;NX|IcI_h6RONB$ z;xRmV>?-^(0wh)#FZ0EG8TvPXl+jUW=)!+67jdqn2X3eRv&fPy4Gifm*(Jvg9|2EuD-=b=!`#3Sy}NXkfRwXm<3ZqK;rYFJ_YOLB?Sh|v z_z?@I%|MW=2lB(Cap9PrI7FuGk3V9~m`MmVxPx2^XBh0<|JQ+(@-iT0)#}h*z7CnD z4hX+ugpCuXi33tvHgAs8s+!29_IT;!hGnzn2(VzgmaSl@se^)`*9f$*!<>neMbt$R zky26ZuK_7-KLbcPa2TGPy@~=m4;buHK-;FWh?HX|BKYDh(H^HZY!_pwLdA;MymB?( z`UD{3`Dcf)V7Y$vO2oW!ZvNco1_CO;SFXbd%@ax;JY?8Ug_6$H=NLg-ldV$xO>j9$JQ2eq!k z!R{$sX}suOw86~n>S#Z99=@+3wRO{awQz#!%?FkVtu=IMZPBvLe?ZFrS5yK>$-u+n zh?G4$tBM2JIm+|=2}hwx{JR=ga8va%j_+c*bRIT(SCQ&S?+eR`Fp@XyH|BYqqbh$o zw|`1}hymYE&*cMU`49n?fhGOl%?W# z^d0+Wxqk8X#w3@hoUdsNKPI`!`%v8iC0WWc)#pC7pPM8zUsF4>O#Llc%AaMvbisjDhAFxomE&{ zUDtM@xR+wZ-QC?SxVsfA?(XhRaV-$66fI7X0>#~(;ske>Z$H=nUjIo>lY{JJtvT;8 zZoRN}lPS2*sk zO!X+0o#&Tj@qo1(|3ulCh*roevI2TOwm)3zaLTm3AVX-=u+q&T%jtZ!ynw}&i~g}- zYoGl&wEIt5=w&?R&r!UdYk_}S{Z%m&7}Bv`_OJ%Y2NIt4JP?)7mLqaR zTEPMIlwIXT6a9Y$aOcn8O?UQ#LtepQ6i?h02r4)mn_EbkeFTS#5?3h7L>!1JOxDbJ zn9x<)GkN; z8fYGx94(a-z+YiNuFz`wG?Majqs1JhA=T`Hg%bZqELc>BjuCYGU1-CyEc)Ej(TKJ{ zFB@d_Ced?5l4^b05twB`T4D4i>uYsCBPhYKHjK|$4*K%OlR)qoi3ka z+CSbOi-_`mb07cR#op`=E`i-;e$Hl`2!s;y=3h>6!s2G!lb4ex6zLoBeMtlxOhD$b z#GlHg1(#F0R*Yy0t!~c3bgWorT3z~1s|Ba>j(T3&N}_+?Dq}dy=x)193X6xSRt*18N`sc~0@Vf#uQ$Tj+O=YLF#2BM5jz49 z40BwE{+>3%I)yzUB70JD3O0B+a+f(3HTxdeVv5QQr%EN`X(EN|h+lX{l_nJC!4I%P zT%3OuQ|CofH&*L4Wb3{1Fs6q}o6^RG1uGyVeGt3Ft?QTcx}o~Nv0k&BSyu{}Fsreh z0<31+^_G*4nw7@*IE-)M%mxeO3`dizo(g4S@rL>>UH$Y%vK7RQ!DS6Ni8f20mS4#s zc7njtkkOs{6k@a}yIG?!1)c!i9R5aR%z%h1&EpCP)J)Ae2OpWPvdY;0@SGCZrm{XS zSj}SlD!S5=lduJ&GyQZ*v%S4k^X!4OYD6L$5IKv7N>*DO@-5S;CwF0@z7cnqeV|`V z9!64htib<+q#wyZLB0&vWIy5;2a=SFt*G zGr+Hp6O#M=Bow_HmTU4qtfUJ;Q$%(|nW4Q!L~hi_S!`E+*jiT5B3&*U7)~~t0|q$p z6uGZJ4lw7FY9ii|lN*9ZuHIolkC_^{32}?yk3KULa}n6u-DLGC%>Tx4#z@)WErXuq zq_TwC^w8UQ91%Ng<2}BWmH20KSS!C^8F-NoPDo09Ub-Lw$-RsVrkJ0`^18pn>q(95 zR~v}G&&gR>S?wr25ZbS`YvsYN{M05UI>0hSkrg!zQMz z%(KyjRvxhrxbAinQtS;!M#ivBJ9(Wl9*7dQ4lx0{2AGV33fq9k@l);_5QK&$9;XDK zgUPPO2{EgST(#(Xcc(oJQfv+qL6n5{2cUHKpb`O(qD~tG4k95;OWUZ^U;8EUZCBQF z;FzVgtdzX?iaOqlX=kvEN8{jo}IpSq3L+f{Yc#0i)K%{FDe z)UMTF479Yp&*A%R3#0xsKjljx#`6KIcmgtxTDf=t1XerIEH&-HRKqb}Kvi8$ z##R3ZU%r-sEW&t>+=w-MlkM`S_Q6DQZf-cam>5+}P3&nITeVzLbyYES`=8Oat{4Br z>z6Z1)Jd>0mGY#ezJ+m-Wa<2JB&K5^{*+6(1o1nZBA@$8Mx8F1awP}mq4$JcEc5sN zbsiG%EY+B{+v;7R$}bHbiS?(rq!S_C5T_DB;O( zGfz62)-rol!DE?V&XSCJ-jsBGlCVYt61eeV_?zDAyg;}=Nt&PuNtU-#0k~lL1BQoq zU0+9n1WpfItFuCe`6|=RAuKO061k#PP@!UF}Z=Q;ZH?lACb1HTVPCap7mSebw z)j*ex62EV8z?&T*UPS2!0dtfzRg)##n-BWri+vKoLa{2Cj3R0$cB(E?jGjlA^bRM6 z=Cm7GA+oToX1%J+iug6m(JF?|hTDfGiZ6obwUcTM@V694m5G1cUxf+g6a|NY9Qy;p2_x-*=T`Gw@8ZIXl&N|ueupBef= zsdY`>!oLdUl!#E&g6m75A^6^)oF$(Tna%r&Q!}v=e&n@;lpS}%Z1k4oE&Fg(FE-F2uX3RX@%`(oO{X@ZIVI)IAvyks za;02`2XJZJIA*6WaIv$|DDT~zRJk}kJ>8TgW1@jqXEEs_3Q58T>A`Afz?;g8jSPRI z?OPm_eOXu=Lk)NWiH)TCqqw=OvQA6dAPiwt5=Jp)O7_yoAY=i zw5-wGvEwN_pXbEk=koY4&kAN&v55vw{>5dYT`-BuqE6sW`R zp3OTNo7`M$0BRSnXJZ683_)&>h~J+t?-Y_hHaRG4mwTVW>?4^J#}tG>D~tNg z;Ravs(U}WHIWe(66JLVgjQaim$3XK94cXM;eTf!|P>AL{AYVxzj!b&;fDzy<0!G*% zk}cLfR4b8SLW&XCg~Pkwd5K@H{Gh$P!?f{a&d(9XPcTQ6&Slk^5J}`VCZD?VU=Ht0 zdEzstJjVcf@v{#n7Omq>J#b${jo)qF@7nL$2BjPFBnsI$C|$!-tEf!gV=^J!oMSNB zYW&GupY$i8)P6A}FcdVOtyPxM zM63jvte}YoMHVIHH?00(<;0r@W9=!<0A|%g%UGesjv)&ET!=Rzehtmg_qU8UCNFA8`BNflX8lCo74-)q8IHTmr9rwV306|5&h;a@aP-ZTN+1y?E!E)g7%dJoX~ z4{?D)q4bavdk&Ch#0_H&<8kG)&nzRP4btp5wb@MQki+#_aSofTu7MQ;jw(wQ(O0+H zxl5wXXHI`oIgO?{; z^#HI~>hKl|Xt5ZeuUbvWhR0ObX&wIDj2z&$EplxF#5xM-m|)4T`@3T0QoC}{U~qk5 z_BUpp_dr-Mm53Akg0RmAC4*+MzZ{nJJ}dU&gnE>t(}ccr%}PL}z~8IAdYgTr-|TNa zPTJkj49PVeF8hYtt7NOg2!k!>;@9wK5dqeedsv>cFThAnC*l>UYtmY6H;y9nc?cO* z++UM`LSuuRq8d?c_&>A2`vE<_Nibare$T+a-cMA)4*yLBxw{c>&T|zE!9TsEK|`fH zsp&dUA4DrhW&1bZ<1|qbUjS#$%;tZ-(W#AjZLN(;{-yU{+kSjh6;hpq$mZB z@Xd-pq~yFH`|zi1x0$rpD86$gH`LWZ;X^LDluf3*1LD)bnOw%3WDh*!h|0Kp86>cjurpRi3K{hP2R3fWl*e(9llH44` zjx*yl+YtN@1Pr(R^P_2WYb1Pr8h5~x*BX-xG7~>NJz2}xEy#04HY??7d;qmoN&&5X zAU1#|M}qL9CQ^2W2AJ4Z@sK6lR9I6Io@D(}{h+>3jnJti7!kyA6N$f|TtL21RZYnI z=dm+($m@3Snvh19%dr@$!^3_Wc@d++A4(magvDOBbHyV#dw|_^@J7H5f9FZgbAWu^ zx94rirso)wD6yb7S=k7V%<-fjsW(IW=8SkuX?)7y>vcZ68x4t&FO^U?uhXVP`9%ID zmax}WC>$aMF|Q~s9v+L4RIaCk+FcZB{nrz!=;$;a#}BFx_mt`j!FMA-4AMP=LR~p8 z5?M4|e3Yj;!ZI`0V;0)SDmSWaM+` zT(82;W8$*rstW7c&^`;m@_3d)(aR=;RuMw((v}vMRKD^Sa9GCH?YV?c2o4M!u2j(m zKAicD5pof!RJ@{`2%FY*z$Uw{S-_gKF|O>);m(MHk}Ab~$M%;|E*9p{@xg=E4l9X3 zA@+BIg!E37j0|)zRp&R1otT)UK9-qVU{IVyBCV~ByYV}K5t^P+ORB2<)+N&YyUqPC;jm4P;UpMngK2S?PwW3H-kkjzrp za>2L<-5p_fxys4H-~Kn#H?-9}eArK4zfwVKeg1u!R`ZrPujaoDt z>$$}OGgWr><#?Y#+NPn&tEX;yN3~R2c+8*?7K2n6S44plOXG%^3w!>Et7oL?_%hYP zRXmV{#kiFW`gt_%&yiNu$Ezo9S2tH@iFdvnZimn-uJ;-sT3*|`LBYa}mGe|S)nJat zWxs@qpl#o4fPyEb-!5S~kDFtjTVnaFJS9>V6~BuuHA~?Aygy!{S^t56*Adoe-P|lm zP1l-kVW$1N{W6Cc#>k`FK?T#Jx47F(u{27=&$Fo_7)Qfy<>Lx3L}cXNqxq`-SL#*s z?QkS#mz1MZnNtqeyj?-U=^~UouAA_}3GoALnOoA(kvWoC8I{oI4=SgESWz>qib)gxPj3R*Z6$e2KXIakYX|3qqdgPUYV% zas~!6z7#I7l4~>XwWBgf%bIc z@ZaJ>)d)?>1=5WAoZJut;f{ykw(in;ufyPHKWZh0FlNnGX6V%`*$4ye-)JDRG=Dz{ycA~5iAUbZu1K6Ngx z?}t4+%`nGAv(j-u1nIr|5cs1K7O0F3TV3v^Z@Gc>XIaPQI1kx!*s8Vg>0gY}aZ(`j z+j#D~DsJ@Y-(tvm0Z#RhM=xTM-fuh1w5uuTuBXZsib9%tA zpkK_lq<{W;UoC`G8^>G9W2QH99D#60=YB2mHiM&neK*HM6o?2!6gH3Oo-r{AlJ+B6y%Ap6)8zS!kE#dvlavr-P=J#fk#e;J`RO z%01N_fMCeeN)`M{URz+koch-+V6!N|Z>@a-${{BRhSc=zXSM__fL9VaYUCbtGKRMV zL6uD!1^*{%n|qL}b90vwp$|)}Ir}W=lk-odkQ?FN$K)e5p`F4_x-?_nMu#ZLuL-fM z9^I4$S7w8lM=#9bE1ktNsG2UerFsNrYvIZJTEk}8E!M&kHcn#N#-wCrO-ZOp-ddBm z)`}Loq4D0wUsh9hnT4W1yyBkFoc*soEmqse##fLSfTN1-QksRY&S9RAk}noSHbrfT zyf*kh8%DtK9bd=uM-F2Dk5o4$m)l>$J&9L$R+<>8ypS)pm$AkQd3DlS2q8*kGG3LQ z(%%0RuB?AHIz?a{Hm4J}8eL6={i$BJ?G6cBT!-zj<^&$Bk3nUt!#8gModm3#*Db#-ny-o3iX5dZk@uv?xg}P~=bxYouX*ddN9@_PW1r&-o2>ik zg!mPF)CKh_iNtFQOT%8QrgP39{E|T6apZK6wFx{lg|a_Ba9kcD=84Oa$Cp@!M)l?F zs5I{5o$*1v?WbS>h6p zpDbll9w%QsP$8AUZ$@`!&KPhr5p(R>=P3b`h22z_oY^#}vt?Iw=3iREbh)l!YfSE> z)Fm$Ku}pYNzka2p62J^GW%tI-j;_PbdH)qBRp^F<5Au2ZA-b<59DzB<>$e-fbxWu3 zKPV`L^#EmZ2Q%Uy925m?s{?am_J{wckwq&L(%%86q(#e43wr7g69KGpaq{FBz9++n zM3wOuA@j=v+L80zpHA5GnfV6sZts5dygYbFEHU2Z9}|;j$q~5`iz1BZHj*2z3m(oz zzt<5KA$Af_)S!YDeP3|(rc;LG5+#()up4$LMB^G@rK9bXC4`Wzi?p)d5-SklD$kQM z^X?bv=nHG4Z1fu0l*^h3Z>_}B;)}Zpp4m}NC{O`=BX>{R*V!%60Zyi12d&h7g0qdv z%X0=_(tw!ZWz>mFtQF7Jx>W#)Spe6fle7TyONm^4TFFb*lvx?{dTr5<$i^i;si<(% z#RUh76=@j#-3mKvGG<|7_WF8Kr;+(NY&A|Zx_4pJEUMB>=nUHt22g^En7tI$-N|~K zEw^p-(6=8|3zQKnJPR|JewjbTJVv@dgR&)VV>z4q-rz>V!`fKreHiR`$(J{XFTqo% zCXGbg(I(}_Ms%b?;k!fD{oP1WFE#5kWos)bJM>ev|{o{US}m~ zbDC5%)G_0Y_7Bz4-8h8q*(%@)-_P6-{w4S9Dc+0lax%@^oY%JM#5kZ~c|~Q3 zPipMRsn_bNsvl8$?*VV$BH0`CMr&?rJotu-&aNU3tp&JYIP-5;=Ox=8Xq$yOGN0&Z ziuqIQN$#2cvo<=4I@)72dtdw8o1Jroloic@E`?+*=D z;_gJaEwxkamgm;2)|2F`Y%BD^;t_08_(1RT%Tq3p`Y1^YSR^cu>dXilN+Eyc>+Dt` zQYG4}h~~|}dL5~_7wjj4f#&)`iAmVJME4h7jD}}7fO-31RGHWuA$PX&cT z5mC%Bjp9_YQFwWA|IKvEzxa7ZMrM89LfadZS@-~-T1TEb_z4E;T;ur{Od|2-WOTs{ zpP!VF=NsIV?v`I%Li0P-diifs{V6^!oI=ji&W|pyI1;Q8ly_$uyX>En3g4rLl^J#@ z325y>IF>s?^1JtH8x3~#YK>|4TcqTq45G55S4}b_0aoEcSA*AhtjTEuw#?NdS69wk zy>RX)OKSEk+3e=3m0Xq&1J*j9Q6OXn(GT0(HyPsE_)fe~u>kM$k(;M*7&&n-QIX4a!b$RiA@b+`5 z--MyzCx!3u!R(HHz}3#!20Q;D<-|2kRHiHDj+{55yvc-S9aPCdRDriuZ)j)|e-D9y z4O(k;IVWyK1rxC;3T!zUwir7vio{SH1rb^@qdt{}wFWWm?VQm|XIWUS2fxh>}Q_k7IW$%6hi(U2f`r=0j% z|96qqAse5;>_fT#nVzoM()T}RFr$dRjy7WQ8;F{pKzhH~tsgE-d)3B=mzR+~iYM_l3x%Bjwael*1rzl(FU{YEJ%dui$#HU|A-=4xSDnR=6k=W?@zxZIKl~NBAG9+bY zF8cLQT{BzTvu{3Qkx_?v@w0bRC8s|6cS9-8WbHrWW7~iIdS@q+5a0%{tB&^a^2+A( zq?w{pi(CE0S2bUt7w?Uh#mtt~hUrqK{1<#?N+#H#$`8y-CwJM zFz+sg3^x;l1rF~E?gWH(8Qq*{EX7Rac^n{{=wNeoJvr4IGgudYW#0A}<(g6PKti)o z(Pyd0c1c&3G=q!&8(MfSBG2+@@iYv0otH+-2L_0JuF%6XD9Cu2?#GGF$PGUe8aX0% zDhZc5wy_7keVlDkuLm) zB*s|C{0cY8`2~hNPr{j1jScC$ueq)nAr%~YxyM#fhfo;5y{|qOZDF4CQvUWP2?yZ9 zVu?prYt?R&Mr)&jVM1~_(Y5;go4!~a)k1evH2wQ)Ujrz58zmEhdHVUFy0ibNn2T9u-@v`IdMA&vc1a=dnPARw({6mBiTG`Imn z;NA_TzEFYAKQmtXm?NQ-wVHkyESXb%EL!?E4-PP-L7ay z+KB7~pD#IOJxoH=ix%CBjr4kF5fFaqff{C+uQu>9?C>;NGJkVr00$~E1N470`ncVU z{M5bzAg&7L(OS&jF4|ETjTM#Lm;EB{tE!n8^n(|b}3@Vk5sZMbU*c3 zi#LC%D1K0H_eTZ)>=PRy<{&GrgatDhWVpu-@O1}}Ud6n>Y%A3VzNQRR!-9qWi%y#K z#glW8?XjMIDFY+%dG{%t6Aj#E*egm3c!deWa1iQ!Ohc0%(}}t`UX)5VNp_a=wSa?b`Z!l$GvzM@5&@5?(^d zA?5fM3LXKY#4XpP-z}~Z69NNlLl9<@m2WVU)%D~q%y#fWZ7KrT87r!Ovz`|1PvmQB zB!uOY|1s|^e;{#q$p)IynWja$&0o1k(ir1B6LNc1jq%|~Fg|+Uvh2qb0Mki>_YWnK z1a!roPlzD?cuMt^IFIaKaVU{V$@W=5(v~Fe5-E9RQe|oE{4-&Z-DH8;uhZXKC6~MH z?8v`K|A<|(t?<~9L+exshbq}i`Q@!tk-f~Hv}`Dv?^P=X&6sso(?HVF8O>QCv!9Y@ zFr#p5u@PS8Y7Fog)$OD+GUB-KI*#zv<(tn^B05lR~;U0jSfFp-EtfFVsL6yCcN z9ti)2h;iYk^f+E5|i;(+VfGm#yRLC!`Z_6=lLYuZE2%9bN3|6 zqutE<%YnZK_a5!G-tMo2``KK|#^lKP0nS|;_T;aVNrpS~1bj~1v$L5$rDZ~so@qUZ zJA?6`L_h^WwIxt>(NIh?P$e7d#U-QA6r#K_@s zpgB=<6>OnoXCY|QgHR=*m&n{Q(5XD06!M_h$Y>9J=Ql7NAkw5VPXCead{H;^9Kh!P z8J|>SpqW{uG57v+1Txv4{^i(0wZYEI1NrN0(KetYbI;B zifbf)fy}a(S9~h5w?KoRQ)^y(IK_K;-IPco$qnj$()lzD#)JvHKS{5q91ijEBHl|j zXBpYyu;j=dv7=G9yj`~^ounQ1-DH<9fO`~(BxEof0E0n69>=xliwoy^U|4D(@Ff95 z-MoWxmHz#33T8uxqFADxIjiBvz-l~Jel@+faXW7QCV$GZJO#_8idF}`zcqwuEk{A(F@wmeXjQ27 zUd3>QSNcC2b$H>qI&6&Td2f4>!r4Gt740&cxwIcXM&dB)P-Ka>w6*d1Tw&+%I+5Q6 zoGvxPT>{tiN##LIB`tRC5%o8}ujA6OO)#!R>qQ-=ob&H}tzT_dwHwyma<5F?SDPKM z9xu1fg>ZcoyS|d`Mc$ejJ-T&9wo)y4lX!cc5#XYdkXw8`AX#@gJ|mtcxhECs(S#Se z%qer>G~8^CT5v58`&=D0>m$svoE6A=*=iANb&NZ+Q90cQ-#4DJG5?8PSQthYu$sw@ zYMbSAxq(O@jOL1b5<}7)xAR$U^WT%YST6l1uHtG`9M?jQXdwTzMW{Sq{!yB3Vv@>V z{5x{k%Dw4yM~Pa<$90W@&R{ZF_R4~ovD}Hqv=v;xQ0k3no>&3L)Vg;s3wqg%4C#O| zXP&5(eH@qX0qnu47{8b^GP`Aeo5Wetlgj@mG5So(-p@!$*}sZUxp2nQ%j~rCsATt$ zcN009A~uu$axph$JT&DTw@RgP9!Q};-OVtnez!=olpWiLwb3%$O|7tO@*Ez}m>Av2 z6~0k0{&}UF)t1*f`g|m9FbU_!cY|*7scv^PCyjE= z>#avNL^oU(+^fUPJ7VY9v!r3V;BV)Wia8<*W53oP$%;E@K%ppT}=e ztxj%A{FH=Zp@S5w)RJ4zHKXthF>aaaVgpX}?^ez*M)0Vzvv=!t*U16}(o|$j>@YdL zTu!eq^~%eQ;*>-ittjNUB;LNOB*(OqxR^*)z>gP53vGHB;*I+$F`cUL@vVJtRMPEK zyMOih-z7H+6TYC{p=@=faH7fYP-|P-#pjSL9>1C?VnNTdP`Aj&fib3Fw;s+hqb5ub zgT}vyHg2Z&=dt~H6idDvgK5-Ns!PP;~; zXO!)?+(>9@8T!%e=PxGfWyBp9CrYTs(zK@c(9~NUKjnP)9DSr=WvR)sZmM5%+O3zH zFa+GsV5-*{qFWD=Xv-#+ntcg#US{yuebEMD!UUq*y+}9k64;#jy{CB`1U!3@`Us=T z+S`SEBP*}*kk{+&MtNH019JDD5YcH-*?!w$sL8;OZ>R}Ja%Dz^iWVio)-co5-2Y_% z_Ro6@ecKSmMOq8Ps4d_6Md>pa5xP}nXH1aZ4j23lVFjetBtfDfxvI(!m zWKqd<*2wSdo7bL}dhgtfu)g-e={g@bm%8~Uo9oN)0m=odyrNMOrGGzb5U}3cY+T6x zzNQ4jc1Z_jSSU4KT>M$8oR#*wSQ3&j=L+2rZ~j0tC5TCspJZjK=l#HeA2_-F@0kj> zOT~Qap6e_wB}i2$A%O|Db38I(RKpkVuE=qnr^2WNUz=qW6|ejm^c|0nT?B8-R1Og> z=3NpQLqW>koq=MLrf-z_^B~u0o&6cVB5?pJ=fIKaM%uSRIa)TaE2K-G=`lCm`ks$f zcqVv@5jXdF!?f&vGf3?m`7f^hmbvl`Db`PXMyO|%(Z;8HY<9))EQMYYW}%I>SI1Pw zdvc7z%|p0;A3qBX2TI=^vx}v^2j=ErXdIKBY}kbFp|AjwS?V$SLP|C&{Gyn)Xb|hi zo>5)jmiM!e7NC7*f~$O7zZF~bO;hGXhu{6;9}w59O|zbOWes4ITcF)kWLVc542j1I zFWIx>JI;E@KKX6-rHHHaMWBA`aZr<&EM^y)?6b@^$35Ql7?5(bGE z3)AZxE{A6d=*BOuA7KlGO4be}6aKIgT^esiyJ5)wTqP8DDU|bfinq&ZrSTagJ2n+S zF2Er>mm`@uFQ@#&8FG~%nI}uMExaR|w6=qJAR)E5E9)|pnDAH7vzbC)m0Wi0#TGlk zOFBhrt(++_DF5x|^P4c)-o-SX?1+(qK5U`ttk}dcFXHW0-&5&mL{Y8u`V(Khv@$%H z>u=;Rr)rU+9yEAXM@!c8f-rpgl@OO%9N@O5e_Nsui5C5b-$d#p8zm=QRM0uk!2+V6 z8L7|8L3kj!`)&YWcRE-h>Nv}Jt{=gTI^*THYt5gV92^1OPoa( zEA_DCb6Y5f?^7O>{U!r}9@vMHF1z`5ZucZoS>*I=-bDuIUAgSb`{dM5snLTkKzV>X zADF7PG301AF(GndO@vTo2!zQq@ z9>0q%F3KTSMGB!0oPuypRVJ7N;$=Ts6^HE6uC|&?1jfDmixdzmVG- zMdKZbwM11BwA{hx0Mbp-Lu&6YRCkodw|6u47ROhAK*80L*H`xVv@O+gDVg|XTv6EC zNjPpaHq&{lN1CYIFX@brHqgy?6E2UE1jhJbKQHbacRP>_iy}zu&-s)=^=%ZPqL0wttv4Q&-)`!p*h^iY-UP;u>j+ z`4|3wr!2zn{8$OE2bu$9o;m8-%ZHl$_X;uwLt>dB8a$fyf7T?Dd7X`iOk9NVsRMd5!98lKbR~>qLg%aO_fRtUgjbJN&KED=ve7G zj#3WyjNrH(8T5$4a#*IuUkzy#at$dCGgRI#BK<9OP2%0+Vd2xB+uw^W+5_>VCnZfi zj)b1P_P;H3V-X4q1po*uA>j?FDsu8n4Qz6Qok}Yye+Y#E#uAah)f-n^{Wsb_>k9BE zT)dLCXGXy6^E3+pq|_8;C$2zx4S>g zgIC&B${#tymIZ4Q5r{HrR6t%HA=@X4cDJ4XTQ~2YtDf&QK1ShSA3E?44Gb4eC z_H*<@CF(1afF_e2nzPn26z@FC4$JVUD5G7r?t-fi@GQVN*_z^yxHeM`Yh+YYTQZLt z1JO%sXxW6X<)nr1ezxauskwMXj9$>lb`xJNEsR_Q9)C^?EOnevO{6MzD%!WEanA`ZJGUim2fg_?BQOkc2LveGMX?T3_8bsJCR^|j0gpMMc(G_IZvz3 z8tgY$*niope@`0K-$)+pQBG}PziV+;&>{BoavU`EKojyNlWvMZ42VFh(uu~>veT+U zW#-1&wJN1#r}-qvQ!T5Ps>J<66T3X3jQxR4#P}yfuY9NNd)5XFz1+dBMsDM5rmLUEl|pmr*eTx%;?u%)iOBNn1f13^d#I`*KAfudW*_cO zR_~!fJ`Z)U;P|+|#xs{czOhiEcP}@<(7z>N%C>L6H4%a(T|kR!%Wb*t*K7)_A+0q4mG(r#JHAFCn!C@B{9ao#gX_v3lA zJj_}Q*OU;y;}o$c^X*qLI>(L39I)g9Md$TD?U`2+5$WwPTGh42^p&P|C_RQ$#|+S( z)%w}TrUNTzdcAW7BruZ+=G8A;inlVj)UzcJ5HZbsSdQXx5^sNpu}G<@X((`qaEN~I z#n?36JemguTp$9XmhUR?L z_#nC009~UMx`Esv&k2)YDKDp8Vbt&iZQE5>%L}Z8Tn7UlvI3RY@rm%7L>_`!J|K6N zFZg#$$^UcOor|z(+()sI%3qi+eW>_Aagg3G`~@({ za_w&mmW&q*eL5FOBaHGdu{iZU5Z6DGzE8-5*y&XNESFuEfZgqOP42(^2$&n~8tHZ9 zy}ytX!U1Km#x3GMqFuJcM>;>qR(x+OTo60l|JQW?3N%+8F@+m(if5#w-0+@a`-{}s zOC2&%w|Ix{VlBU$jNIF)u=*Ckb|w;ISwxiX(sMlLekDZrd*=C@JpAH zm<$}FmeqcHXq)-99HN7YPw)9pT5UO7q@3FEH98(!cL z{lZ*5?EUNEpbX>I+=P14^RGI^+bWd$KzEP$-~2}0Dykzsk0Y*nn`bwy#q*YONqxTG z_v0K(DzRg_s7(JIf&WPi^!!WZfqa@7fLvk?^vo(WaKAqGKi0eNdG3lR$;z8$L8&Vf zu}IGfl$pl1n4YjEk6fOApogr}#}BRx;!SntS_r;8W;Sn=&2>}PB8x)*S1@k^O@9r* zbX7n`zKSP0OnUj9y4#%gQ>ZZo#D@)g*Arzu90ROoP+x;hW@&U-AC&q~q?1tZIpa=G zK@A+=P9(eX5eiJ&Z+*n%_b5NG(L>Wy8&pH7+a8HaHP1}-B_|pI9O4D$1s8{;nl9DY zB;j|jOh<}BeDi^=kZf*blg>Zm=1+%G4vau-GdF|`0dE)UJv0(`h07_i!E)4We7h9! z)-QPNg6{L-QHh&hrAn+EVD+RmDzd?FxK?kmj3Q+P@RBo8FB$t#k%HxXl* zMTqxEJu)MOA<-27ebCA(DmYu;L&`vQ?#272}CzaRT* zzy0nAk`#Zk#XV)bLJ4=cM-0QkU`zLJ7v)ppPUbOFRHUD@yaT69+Q|Y_Xh`;Ez&+*2 z`-QM4YgQ~DA-sA!^rRtGhmy@*-uvOPI)H39ooW5dbt5u|m;avh&5tD-F%9*iwa6Dg zQa;rv(;>(H-14338Ebh1;;~gWB)NpPtn6JFFx&0$jfT@d$Vpm`*`@yP`K@SYQ+;*e^o`&>VV$bNfc)OR_A-&4a+Vb zzNF1O8qu;ViR_;8*5SnLjqrNM>6)LJ;Kdf2)pv>hKogTNgBj>%){39K=kj|jHVUuI zPr`)9v<{5XuV^RV!1pW*%h)V3i!OjE{2G^8Mi@T$LP*ed?iofr2o0R};`Wth;BX;( zpKx2{vmpFi15nT1RAs$dmi}+DfE`Wc0}S8;SjJ9g&J0TkaXjP#1jr0xl*dcCH^%TaFtHz!GwjZtzpX$_;M7Ml0XeMz{p=j{R%Sw- z%NjPIV!gx5>vG5RnX_Uw>FzC#xR%B@;IX>`Q1pjsNyS0|y$iv{SNs;Ib?g9OnLvw_ zeN`Tk##99Zm~?0kSAHP`g8las3ZrSY@94ZSV@C-DtXF?W0Pd4FpmDI_L$lL*XzL+p z*RpgcI+DRwyEfJ}?R_JiG3DhSW<#NH)Y;pswPsbMwA@u+An%$K7Eq`Uui9HWEU-hPNe@TgzEAH zGVm&t0J+CSg&V=UYS-69dqJ3d;&Mt(|C2RkmRsmSrC+*O(IIfnjRyYNn;luqTt8S%SpqNXw7ieA9)9=Qe8i*w7F|u{ZG-?&l6Qq+fRs@zFaZroOoE zPFTT38v8R(Z?s&mZNi>MGb;>K7{2|aY$5wNIix{nGgwg*gne%g(1`>c#Rl)>X6O&4 z8oWeZX3)>kUQ?}(ap>#5D1lKMFyDO#k#JLji;K8d?g+@-s{&zUcMg5*vN~kHv|Qmg zTCa;A5=urT4pzGDJ73V`AJ&lKk_yEQcO;okY9V~?1VuRP3R8DQy>3{qL}&&BUZ+D;`jSR#!FL86HTGQZpj zAVh}HFS#xtV|m${rr&Yrc?F>%Fq~U%w&>Od;bD1No0cq~8U6|i@!LpgbmR-WbKt+) zy+0K8WN37N`GN^^(qSE|o!=hk*)wP3_6@m99ZbEv_fnxZgsE>B*#zQBoHQe+R zmwJxuapZ*^MQ1B?l5h=eqE}v;c#f(~tw5!c_9HD(lHK@&##Zxg0|Y24TrNysw}B^HupcyT`rSn2r!izCl;rO>}R}HP2@r z|Awji+?N?>!9)`r%Q-K^{_mC7Qp>B25Gd&;x4QDKIbo|v65&!|F$evYu-F0VrH<~1 zGaIbbb<%Uj?M-w-L9F)76I1a?f$xDx4l8n}b5=bd>wJ!obT205ETR5Sr6^gA3J9+7 z&8@^~s2vp&M87clKcru0N$kjkJWXvYiHsSSnk9tN*z(%PU+pn`S^1gXDzUTGe9OtC z*M>4*H7~VCz|9`F(sv=wrD&~4+|tO(CxnJ|MEI=wuh{`s z8R7?QT4Cn2?2CR{BuFAK7r751kC>z$wh?H;qj|`ltOpQc4W7zY(P&IHOiL*e(Ifb@ z9Z$`=_PbCxXc1ZyH@`8>Xg2p^;@BP9u5A76;zVWj-hQ%lGUUAIomVI*l*P*y>OZj4 zd2v2{qBQKdgw3oK>2Hhc;tN=SDW7P?@`;`_iJi#14*io3Wk@0L(>8LNvgNyHQS z$mLK(TE9WsD{ez}5HgrD28Bh@cvtxKsNh{BT^UHpQB~O-^>O;sWBB)gltV{}$O7yj zJ8|L!a?&#p8XS!E8#a)VPzf#S)WeBQJ0&3HbyIAZI9;4f+PqauVU)^FOGntNU~E{s z4izg@5Du&3$B+MYAmx=S$j-|{cw{6#3rNZQEaFE*2q(|`h?KHr{IVuT9huE=WhXkZ- z*brJe+DND8M#aTq=k8soLisdo&;Xih>PSyaLiF1R$jQkGfMo+xs`#W_j$RRMb`QJe zED}*I8Z>Dv0V%0oY$)Ench3hPrMkM*16ZO~$ylMkd?cEV;;V7bLa5Rm2 z4I4<&O=OWOMRb!|H3bk*b;)X^m^mQst_}9na~Uwm5g1j}RFO>OjHmqfA2=W)HFCs8 zwg@MGYkAakj z4v9^*9H~-KMHw7*QbeSjzYK{+wn#Fz6Q4yyN(NHWJz|3{5rZ)Q5J*Yo7aMnpudJei zI(6y(8Z|@|miWjhB*(==naaaaT51q~{{eZ#Cnbsvy(*d-|2&X#_CmxM*&vnjQD43e z^{L;g(sS8K{np=196{CL$>El}~c}yTp#RKTCX)Xs){j@;xoZ$)ap1@dsJf;lS!t60Rm^bdIFuwAA46@`C zRrz$cxw4bq7?kuIk%~}LLeI{-GxN`^HdGp5)zYV0EIb%8=Kae6)n%=#QZk;5j-Q@9O z5#Yz5#3DG@T4SUP*j7v;BIR!ZDJM^Xk8Hz*vC&<0>(WX5X5!e<@b_VWVi7FOOwhBN z1S%UpdL(?kB*3AKl?6BoA#dCeKuRAUu@)9U${~Y=(RO05e(*YR0Yz^2VWoBy{o7KR z>3#vE^k(oUU}a^6{sW{9MZbzjIkZGXN}bava`1u8VQma*D>-n-(sPR=Qd(J9pieIe zFv;LP7iY;4Y|Z5vAORGIx9r zK~DCLju%t^E%LdieFDQeb(4UUW5>Wr=5YNSAms?Uy2;Av;$SZTpv)iK zuMeE8Y3vl_!=K7EbMi#NFG(||PlpFbWX;Qmi<1*Z$$*huC%YrZ0rvp796N*2-J~d^ zgNF|jAW`n?kARee2g8=ynv#mj({ zu2i;>BcwX^?b#i+mJ-m>$HNVyW&GYfy209lBS6wL?(HR_oibknQqns|<@u5kDf{;6 z4J&gANO_;$n~9So;Ar>8O<=B|B7l?+^v_{(H)$;W(xDyBT)OljB4r%OT#nGoa@L#5 zQ`$TKXX#T}_qB=TEM4DuTO!NVLdeE{QqD4!CQJW_ID#WP@c3j_-gv@}Gv3_8pvhjH z)kv;>DrbpE&hm9wUkxEo`SetlpT)A4BW?1ju>2j%L6)hKjMTx5Q944-@_l?Fc}clh zEJr_w#Qj8^_viuAv$ieL$GsERL>o&$O1lzrNhV{zq^vELqbzTIoRMZ3h^Xst5PK^G z+4dxN?%(_HJv&wZtgK}xt~lgF=9v8S9r(M%?<8-`3v|Sb+h?$C?i4g{)Bs&cexAR0 z5e2!~h=~ZtwoMyQ_Q&s0r)G6%X{aJMGhLi)y<^KJl>g}m)FPcs?XU{1w@UyiNeAG$ zpqM^Hq$C|LDiYhaZbtbsKcaT^s!%_yjO>h5B*sQ#*N$z1U$a^jsH-R;D~7sF@EAF4aR66{oL4Byu{jAHdPP2da z9@MB_ophbLP-Z^q4)MuJ5|EPgC64N-v~;yhm%E3(^B1GiPd}n+nV+DzU@4MJ>=948 z*Z#SSP?`8u%Kn4{i%1`{bR=?uqAV&$Ez+e9lJ1lg8z&-F9+W?TS~Y74-Ac)_U)EKGWBN;)mLBp2%0zkxbLKhl%D~lR8#xF=g5=PuBoI$s*_Gw zpL99a(Ra^Vj2H_Cq}}s?#@bD!%hwccj~3lU*zhfGIr=xpAJCUxtf5|w}J>;-sh zVk`JZx5!ES3Kg(<_I$z5B3XEB>n=2@M>=P@^4LCO4q|SZBcJqqJ*sd0DwXjQm4D;J zDTumZf_xhn9ACEy4XaiWPV+V6CLxR?Qd+y>I0Gi@Gmx^J1f;xfiY(&mZS0vI+$W2N8oE{|ze6{}U<+2$Z%){W|elqtjhnhsi-Iqh3`@Xi1A%M`zybW&ywjwM9M4#zw*U~^-EEv%n!6aAUV2m)31Ol zpOuOVQ1mX1@{arJ_4^b^sjRe{)`ZgLv>7vJ30v6bCNUkBIwi7Smi=YCVI)p={aVC* z`HtE@3KuVEqkVfRdeivv3{sG6M}I!$pr}v+M@r8~L~PV+oIP%Znd5XZZOk#8JZg@} za0XIJ;L$Guq%^*J5xsj!Hnt8OI^l|;;op;4g{1*0>qtP#_3IHr??N_%GftmEvsNu7 zAmua}kkZNp8Y|bM9!Ef^RTJ`xq=%4xmqdDi0_h=q_zU}V)JVTdBfaQXK+26f#5y+g zn#l(sWuwM8FDH+D2X8#TatDh>j~8G!2}r3T15#eajKMM>W!v^JJFE>j`w6?~I9Wu9 zAziu)dn5zm9JEh}znMR_?*Mq7Hb9Z*LzrvoqIY{KI>d~jBkLp+}(@2YeL-JiQq0F0&ycoTnPytcXuI# zy#JZ~PH3R?wzRjv?|=I}&*YrU*|R%4yJz2>ot<6uA22|yr7v2r0Jmad@wloQCyyP+ zXPdJQkn)m~u%GldZWjmOv?4qA;F3mxls~USThc-M z)@`7^ZVQS%0+3BM^giw@GQY5qxj8CpYLJqij?G)P;C<4~M}0no_2I*KR8fh$3AeFw z73rqQd+67r7tYG5pw2HGu}9Bg-pJ8->tFxIpzpqegQEkD6LpA*io){c%l}UXQpVrD zjTLLw;a_B*wrKJW!cj`mvG5etl_$uo)tG! z@(qt1G|9I@aiGT~ty97W%Dzbs63p{(lmalAcny&9|HJEl4QtYWg&MDC2RNbR`n9KP z$|XM6exE3Pb)b{@J_l%UVCnAV7Q#0>W|)o$ikUuIAJaw|V#*Ijg82RPF?yIWR+orA zAEPhQG!XlI`G(IiLv``%ezCr8QP=gFiwIYpfjj@q79Wb^2wGz`Zb_ zG!G@kML2u$GKP&9DaIA{33+--L0r!UQvQU10Lf3rJ{tBTGJodG8HkLG6yxtj8dtvl z>MJq+E?K-72?+@zNbCgR&Tj=$_UhSF?B&_HYZo%Jv&G&S9YX_Y&qR~=acIFZy)~U;QOmml0gomGX>p}R~1gkf!#{-g& z11T*nEzze>AEBG=+qWS-J&klz4t;$+u`h}bra!oEpI8IuwO13;S?^v_089gYMspF? z@$Uvw(ws>IQg-f&6MLjNUc!lsVo%(E3Z(p|M{k_kC5Iw!v30>DLny4X6bINcKk&!}>>;dS{NMxEIS^A|0|(sm*iZSt zS}c`bIk1rTJ@H|?FNLK<75>*y7cBXfBTiishuh8>Z$Mb6gP%s~in>l4ZGfpiGL{iyHeYx!t(Vq`MdEm@t(001(wj zd!sHX4i))XxSw4F`}jO)_-A6jPcD>#%b*+c2s%+UPz@@=q3c;t4lIOW0$@Z_?yYBT z;OlYH;WC{*`T~nJPgB}z?7S3-ugA|3<81dYzQsyITj)_fTTch#vysxR$bI?`$68Al z=*8AzgN-LX8YJyq`FPkkY&_`)y|_B8GQWmi-|!xof8)y^r(yf48_)@>z*4QV=<@N` z0;|6sMf_vlFuzp~(|ADjMiCTzbD$nl41?Gj7~iagc6b?-{Bxn`mkZsvdYB{vN`Bdx zvQr!FdrG%4H&ENIy)k3A9@HogC65%0S+NIAnzcZScAYSFmku<7i*d+32_qM8!8?TU zZ94bB?ES{j3M$3{`*;kQA>EqRvCpSipmrSEkyY4zB?kQ`E)e5vrw>2JTm?&Lg;&7j zKA;&{4TbC3PzlV3USuVV<7%N7RYmnE5PZ$Ba+u#CeMeMcxyA|f{9M|z^EuUf>-kWs z&toh%x`J-R=lr>xU3Rh1A$>2@JSF7dpu9oTmSLa6Eog<7VfH~Ys%I~}Lw4WrMVlb! znGB7vGECd6kCq*}qR9s>F#6}cQ1r=wYCs+)Y*I$E*6q-&Woy#MPAGdO;_ySjfOM|p zp9dw}T4HzdLZ!BJ4OD0$ob8 z(!drysNb=kJ{>k5>kqrZFt!dx+&`$D6i7Dp;362rQ2!u(>x7q!dT{^HyICjdrV)^h z$y=03A6@WX(+}{&lI>7%OA`HJ(q>gOZ_@$qw`hg$mu`cc>jTl2+_yLnMf#m+-6*6s zqc)DNff4m_?&rEpG4wwA5!JBR@I${*)1|)B_jAlwKSF(| z5?Vgx*rMczxsyyWZG<+a(l|VAtP!S;F{D=}n!CS3nm*20&=eZ)#tu`*$N>r%G2jr5 zg=%6C=nVRdX*#F<12kn6<@24k7)Q6PJ`KBz5y;Lgq50esnnOKCNl6vW_bY#wN{Px! zr1yD+l}OF2q;ahhce5&SFRS9U(Sw{SWLE(67TzK8Sh##6-g&nLTDNS6eS7xPoG?Q+ zTY9}uO&w752*U4~S=lHMvg(Q8@%x4V$@r8K96uF?wJT1F8#gsI+z@gzTljC;AIUdL zKK=fmpoTz7US+=?aTBu^E)lV)4 zHxLi*g`gnsjtHWZ>YMW`dH!x{s4u^kmZTyz<-VwIQc^OCi%b4geG5~H5Mvt)FKurG zS^FY2>J}c?a!?-+#8l6xHz)pQ;()}4z{INjOyq}=d{;P#F%d~;6OnQ;=?_urrDUYr z(s$|g_K5@p8Qg$}iU+Q1UPp{&43aJ+A^l1^(rnX^aw+8xQSyZpl7U{&ry$cU4JEfS z@hFeluPg_TinCCamx-#}42kkyEmc$5HDsvqYf&c2dH)XL<8R^KgZs!YED(!RFa1;! z#6FM(Qu;Ouq_jGPB|nZs6EYrn(aqxM5meXJAt@srn|5qR)8-$bPy3F~1yasJQ<~uS z{H z11X(<9Z1OwavVs>ftM{>plj#O(AUsJS#~ZmA0%SW_8nq@k8d^6?b@PK^On$Bu?{5;UdXxRj01BPi$&;8-Mc~4Kp(}G704+n#6e{xX;UuW zNXd>spJ3#=`$2yBYP4?l0XmZW>U;`qPOh{mS6*J+)YyTH9ktaPQABN&=jw|?Kd%)I z#SR=uxpor@JpxeV83==Id(c~UvS9;#^x=mvA>9^d(&R8L9f}7IieN@Q^;X)lz#_V;N>AjzIn1xoVKe*dge{XZD?XCzh@uvQqt)6l?8Q@jrGBs zl|>+>y*rAXe8f$jPXj3x|7;+oFSJRYe>RYE9S2h0ZwRC;1QKpY4w4{8$w~6Ug`fYs zBu?1mQ^9y)ix;>WPDtZLvW64IUI%gc90>7%h-BjvSk*T1Emy`OfP$T5?1cOk%{9fE z*(O*y!&o>X8-gJhbI`>kBeAf^&NdDd;RV8xgQS2=cG~gh{2dPP7)_rI9iSxwH~Dqg zK<(eB0oo!x)9`P#MgDBGvpjq&B`@M;yEzD_VV0|d2&`;O=`!N?sn_h0a@8Kme-ou% zyMk0FTO>I+;MQqxgqVdR&@cv()}RQ%H6W5aJ+M z;eh0R#jg!PyML89uLNJ<;SDOo5oX(eM)#KQi!pJ+q)EadMH4X*#5k~jf5D$UYbGLj z^B7^d1Mvq9l=weQnF`MUnpcwXecAOo#!R1wj(tAEqBWc07ZHbiGSHoTgE42tdbIBL z;nT7At$+UuE0--5r;G9~v#X@X!QV| zZax?{b3Qus{uB#VZ-TF^tkak5MUaZfXTo@d_*@4nD&cHrhoM8Ipt~u9ha$x41dU5E zaM3Zwuur8MFDFf%g5Y3T0Hm`MhRM=T{AmJ$8UiWZTrvE6$+0_e&=8t$Qa<6g;BIJv z5no7wloKZYgaCiZ!RZ$mgy{>GN^%mGg@#KT@O*C&f5{5LUpRFx!cSZh^0@2r#uLeD zHGbj*_&3}<>EVtaWaW+)zfN^`jKjI(pQP;(x*S@y6KE(qi|}5hHQM$sGkX^>7Oe$Be~43puAQS^=kk zP!v2S`J>~plIB?4F1!%V-(#9Nb2@Iwf?EB90x^#2!2BObH=YLOKu9e7&1^8fzqBdq z`%yo@v*G4R(%A%AQ0Vs~M!>_J#!`9$DW3_Gr3LyCAAbgSae96T0`)EM)7Mfy!-fxq ztG&Hw>&W0RELpKeEHY1^`G&oxzv!P{!BLpAe66U$2P;E*c69vcbBz6QA}$#oLB9V@_@1!G!WoOv zk>npWeGbk!IN{M_-fCY5WZk8HNcv)&{ciZWCUA4t@=6xFD8E1L3QM&Vsv9{qo zW9^IZ?7u-NSM3DOGM?TiJ16Q$AOek|5o{WXxRcijFC7KWraD~_X3z4SRFedHsa)Fvkjnv&Ye1nyc+1!k3WW`g*ht9 zN>NZy2n}5WeDu{Id_H(2v}nvt$uGm*^gJBY&_kauzr`2C*ReQ;R4OYzDIJ@4?nX1R zIXjZwrmd`uvaD?6kD&XQu}i5n^9(6V()v?u#abM*$4dIlih z*#~lqm!su-@1Z@(r>>(V0x}E8rjg^rby~GVyVk9tCVvPeWXlw!rr{9TB&}Pwl5YGb zj4YygM2UA0boU&>CnV=*Uk`@CekGLphM)uf z*}ff=)K$rbDiJqNDv=G=hHTo_RJIb?MTG_VC@L&~iW2duTwb_WBzv`h114qswv@gV z**OX%b0P7I*?!{#btv!F@4t^j%T}Sl!=LQb8&F@r8SN=;bF!nhFI<5;SGYQMwIJL6pxAg^&Ktt?bkK=xOAb)ny>kb$GSX31T?MO?r}53m(dhN@SJ<~^CvMyM zAeHK(w_6$gz8s9+Uk}0oEkoSN$VW2OM^RmiY*Z?@>HFBdWizrKJVa$)J`C6qP|W;lvQbSpZbJ{^zxU3&G=?rf%q2Hu`b5K2NgrPi8i}5tevO^$b|B8- zI?}x(V01_aUw=Ciy@)TrX%{lx{ZZ*34%1!x(Tf8qsZF=cTSVi72Py+1V7^ygtQWjb z{dnc1skn9KGT}@j%I~EiI_@6)5*{LwexFIj)gmY`m#D!J^(rZw%O%Pze~hH;eB8PJ z5clrhMRsPU*nIUSJau(XkeOGA$lDJP5OW)m_tTJAP$Bfi&bZg2w}|2%n8kDP)cMBjNR^cH?E6%X^u-Uz*ARn*b%pRns;67Jr)BMy1v zHO+sXSNjll{LehHD*w+u^-pS0_qa&rOUod7-GP*R7;P!iQevR1qlDqZzQc$SBcZ3K zFV==%_NlM0rF=^$fA)vI-uf{~w_=u~xbOjv9W};;@nbM>;I}w%;Go#t{<6>G+B%xw zRU$dD0QYWZ;7O6YbW29@uZ>a@A0j(F4e_zD(ALn!S6>W4v-dk=!nnCOcg6{+ z$wkPciB4)#5gy#j`AxcaN1~*MImo9u>!WJ!Crlj3NO@!@;;4ly=1n%i?1{#(GI2(B z#zWcXMD@AU&vX9kB<0Vzo{s>(t5~yoDFzJq21}MMg{LRkXn*zL8-5;Upu))q`ZVY6 z*{U_(p}FkZ4eODdoP-*hTbo;2phxeXX!`HBuw(ihWRX2v;o=LORU6QOY@Zf1N9V(A z3Tf_EOtzOI+e>X)k$u$+S}WI~oaV_ncCOexccJiSc5B}rrh5*c=0+q^u6ba??0Iu(1{36$~d;9SrI#-TE-vaz8)C#}Oa zP#3ZvbyQSPoR*G~^h~JA$)OF|a7?Ol@`9I_n1ub?w&R2Mo1inQE`Lln>~0cW|V(u7ID5D@Ko$4wxG|cFfcDUN;wK43!1)OrJItk>R|S z{sdPpU&1$EN$bN47cM~jodi5VJx-lDg-<^D7;pdkUs%0t84}|YNFQaeG&e)fUOmy| z-~Yz8X|s`W>6);g_(sZ3WUscM`W{@g9Qh9JD8A+ml@)8yn)*pwvftHLtVOA#r?3I{ z&YF*AZ@o>nSZ5gN>Y|!#v$WfHuxa&by!-ZB=+mb+tdH8@G0Dh*D=XHl!dt}uylZ!y zqrOrf6pfpwE@JNY(i-!?ZwJ7^mTaNw8pMW%Vfms(g5Q|teE%atEslwP+7yI_Nrx*s*xO;?0Lh0S4r7dsAvM+E{Dt%Q{L6l#-^?B~6rqNe)D8(WgcJca ze=LwPgT}GFjRGlm?%09UlxG7e6REDsii#NW%~u%p&F470dmW0rE=oa^902l9Amy7$ zyzYBT3Z&%QCs)rh5eLng>^+J?@2lc-53_S3Sn`Jz9*2fu|JzbJw~*axb+ z!$$ni0hSHHh))ADUR3ViK>wBXYz}UG=q0`%b>`C3pcg*$cEKbgES+kEWz!9@e1-wl z+W!uIr zxBI(fXD5P2?i2BMIj}|OH=63&u*TY$!kvH+2YRJ;$c&f$O4;`l{Pdzn3mvz?c2L5d6i97UE7qJk6zR#Vv<@ zKl~8y(3rP&&1xjkxbUck11ZhWgK*=0UZ>i)5n1;ipdvd5I_m1^*s%jzHfe%G%U7Y$ zD-gxrH=ww3jo8=HzGHi6YH6aptQ0xfS=ha6Cz{e&&Fgz6gh4e`RY;-vz=n0}X#9N} zANKBzqepCnEcZB&a*2dZUwr-(Cm0uLYDr@3$qtSk?sch4Spk8peQrj2Cpr=qH|0tOr`Nc!M)#Ql5sh;>R1fK^pi z7Hg|asw&EY$Di##xF5}1G)L!_En%=_C#nJ?knZG(&9mm=J;J))gn3q`ri9hSco=&V ztClPg*!bzEpO9>)g^VmK%gnO(|N3j;r)EqECv0KgG4t7X>+VYZiXQfrju|cC*Vr+m zUx?N0pL>ec_E+%DzyX54aQF|1w?2(0?twVH>mWYq&jy>4-mX0mRa{#CXbwNj^9B{X=g6@i@#_L$A?iE<k zw-xxpj2Qml?I52SORAb>Y`@pt*)U@>3e_T#!fkEJ|^3E};AG#^R` zAbW-PLQ7BdBj9KnpmPT>Aegm?e~iNkPhfTrFeWqHoTkagvnfp?u=lJ#z8NDO4Be^s zCs?U{3g&Uukh>Cr{^Mo}e)rG5!8+s1IDD%Xd(Q>nixCo5fB4N%Y_WF1;rLo?Kjw+P zgT5F1Prv;EyDVLCIJ5*C^)8^-XOa!@_1NjyeU_$a4KOp(ZBv6T}gHl8-G~xjL`+zCQe=G$!d6zzSzK0p>520O`XUEw#^#9z0 zhA@aMhE7lpCam2r0_mE!X^&aEv|too3=Qujj9Row1O>M4+zksA&0$LQR`I-#VY63? z0KN`AKEiVKqcFc&g+mT8`1U6Wk2?11i$w}%FpVz9Dbk}2$;^7yCHd82AmmkxsfQld zhxjI`fMGhI81)!4)J~zpClV%qHEbOAAM?iHkYcRWI*o39C4CGWGXwHxgJ2$1h!u)f z=-6G_Q#EwzVkq0iz%--?iw+p0ZRhUdfSVs?uZD(WJdAy_FnfzCTC{G5ci(S{@vC-2 z$3FuG!FiarSpm&jw8T5_e}KUYwqV=U`_LhMvTVlG4-84)TBL8~*m|hM()-&I&w_M) zB2Vg1`z{4zzyt|vI`-^~6`IFMH`S20kH)~C<_JF5VXgKV9F8c*zLUP_H(c6t)BBt6 zu=xn}mAm!WcR2(DWa%5z;Hisn&^}6}*XB(d%xH42)bQhxc2y!+SKB8~5{0Xa{D(FtP;GcdFxqR&DX#`^~5y zY=oNoJ)EF?k7og9RE`1ZMuYNKB3-D&)Il%qG0f?AWOeHitYWHgII;qVqbtR4g5|X# z9iF1^9;I@3ow|;%ew6kIb?WmmmTFkTB(@Uz{*_p-dIJ-uT4Ta!W6YdnhDEb1v1GO- z7Sk)gf0eXw=3zlg=2&C(;*;3C>H@Z`x`=g4PhkUN6!u<0pf5GZf8n9wOsqolN-YQXNh{K?p7>Tpj&k5@%Wh}pKv7Er0|)n~dFZz^ zN7g}34#$?f{-?UG9)&fM-!P-1(E-5xzJd>yeIf!Ic~=<+I-a=@g$2_OW7HrG?A>XL zfQWPyQbjpU!)=y-me_~M`Ea|1#6Q4pIYkT{G)P?JU~Oe3_OAc)y!wa6rhlF{<&m|? z8~5D0b(0KJL+skQfd)8bgonC|z(O$;*XRGrnf7-RpODE8CU#8TiVMVH3nOuhoS~r+ zA|j;IwEoI-=S~8wEKRAt8=g=WTGk|A0@Gwh_DTW!B!ot8NV9)7VN`S)2ql1%|^|=8dTn{L{Uu9 zAELtOU#KLu2vu<~oydHKg`_fYg9cY+JSx&6>QAp1pg+#LV<}0x8q6W7jTx(4skd zbm|Plg9<2*yNmRoaO_;Q2F*#f?wyG*ulSU|Yt4Fb!X0le6oHi2e2{VB8g|W?Ck0aW z?gf2Q6LBjWjfsc+ z)*WcwvK2bEYX|jRdr?H?=7q;Ve&ZIj;ebivtL;62B1)eZn}9>xcA_ocdP02FJ^N62 z>#q3BKv5aJyFY7Gf7G)VOh~uI5jRl~5)H)-Tf}Xa?K*aZiiQRXOG{9|8*#-3+V*JI zsx_3i??S=N+bE2?1La+N(2itoOMJym+fWc1jl$3vsBGSb_Qc~`Rut7#kzY)|;j&Vw zs;i5eD*tmJr2_JZCju$8wa}q+C$wqa0`hA&A}=@+Md3Gv9y+$~AOcK9Af>GHD8R%2!8xBG}UfJYK}&Q^WYSCSI81pvu=t9N-~4Z8e=R(Z}*UE^3_;fgYE& zPm6$w#$+d>D>|ofQS%hes-47X)srGU|9@2RI26~BVL!!41YQj7uZ1DsXo>fHlhsL; z6R@T4vNMl^Ex-Cq1D}1Yj;}x05Vv#vF7?wyzfaZ0cX;8J11R}K*{gyhV4;ka1*|A9>s^Kmoe*G_z5z`(U7!w)pItuO0XP~m$7D_+cL1X;| z80@67_gM+zbT7)|MWnOM1{zzeVYcrmybqs8F*}C24LKl|U!Pz2{jhS)5KrJ_cM;!y`KjQ4HS`A< zT=K+qn%bQROUD|6bC|MI7uziCa4s?fJ{fg59iD+5HZGX3MGajBNDeKgMYE>jR#cc6 zDxBzDcO)ed=Zm&>R^NE70N4JhRX{*E6q7@=3}fXr-oo;-!2vW-Ba zM-GLb2aR>~+)dAgoYiF^r;_z$+@m=qKXD|}0jd}CRn0CSIp!Y8lTLD4;JZ(yQ}jmv zI1)GfB&U^+y9t2F?-O~*thDpxAQT@L`;JNcaS|)r?oxw=i#+@I( z9|nI}dOt4@d_PnQ=J|oX=jScyInc)gV@F8&^!fa2s2s5sdOjbUhb`uILeBNZ7jPmp z1wJ{zg#ZJbUznr?MvC6@*|t6O8*@ za*huoojIGB0QVD-5qTRr=I5y&>R^Tbc~}QN6m>ZhPIEp}sV&wUUBv0gEL_j5ht_E) zd^SMZJT`3LSMYKstYXJ3^@WLJrT#kTn=j#d%|XZ$5ghoG|LxcP;NnPqK*pa$edt+! zJt9McFnPS>j``L!#{e z*Fij*Jbe+)GBFM_zB0C*A-v`E=hROUwj33~n0zxO z-xNKVaF%b8<_$u8QYz|_jmA+|n8-+ZAVzVjtvGzVkyX|xxp zY<7t9$?eAo>wgnxi5O=YZ>{8yi9-&I_7H|K&i)Np%Q(w8s=xh&z*l9$S;kxKEvE(F zbiWmh_gagzFUsS2(%*RmnuN2Ax2*Gcb`Eo&Vw~j@bzjE$`g?Sn#@)1FASnP3E}LS- zj}mq;&DppQb~m%&miid#b|IK~z!>utETH2U3isq{INmMA!81OXy4MJ+)hyu|E^RQ% zOHYFG!98TFG<%vCQ=jggVXm)@s^UBv7oNb@Ck%@YnP8TjIn?Ze;hI#1Yxhc_e8Cqp z_Zv}Jhhg9r3$KiNoDIH@Da+Q2eA+j88+v3L*F@h$ac~&+FJF!(#BW9AX{srss;n5r zdD%FyZx`Nw_bs%1_ibp9eOq-a0VPo}kXyZ4+-TUcc~huU`pSw@l$I1gPHrEXQr<1z z{x{S%Y(!;b3`#>IAisJIn!WWeG^e}{tzC^0zWF*e8&@2Cu~5zgi-<0h9a@`|ikid} zT(os3zA+Zbo5JCmKk6xMQD_(ru2_avYjR0;R=$1uHq z2P<{YV(8-SXx3etN45Q+2{aTAptK+dRV1^f3fa#eypJZNm;JkT2z^(Vmq1Hhh1#L1 zXpj9XS5mzrP#qT!?cKZ4n$o{V{C(4Bq3GgO)Hr%TXYmTOA$i}Uy6mO)Dx~~ts>`9H zrH(e#o_urTw)Lx!og&$6o{ldr%XrQd3)43dBbScI&>sHr{6Yt8gkCr;W6=zSFcA08Gq}fsmLY%6XIK}S&wei z7OcCm6X)Or^=Y5*9GKXKViEQ08G8(9-slJipZjpXkqYfoKA1zlgSq<+aN?2&iW4(Y zmT(V-@`uo~YgaTS8+gmgRY(qwK;`{pn5%1v^@8`CG{M?Mi*e645cL_k2=(-ZqKXDK z?voP%u~84x#laHA1jB#zgwB_ys(NucXc3j2R8Rp2cONLLs$>8DeK>da4AN3lMVr3v zr@FcZK7RgCRMW)9oqJ$peFCAk6OmUdtwO(Yy%BMnvEDea+1V!mYMR>EOMUjl@ncAQ z_)rr3H9veCKwwY^)U2o4`U3{Ow5KXqDmNpY3fKy=v12P$&*P&sG|Eu|~a zQl?iT?bk^9>JBi{c7lfDC9GJWfp0!rgdQCyVdUTq*t*Ud`WkL9(e)OuI;zeuByAOE zsLEfZdO5;O-va?YQK%^8^)B|qRuPq>tT+`Xt=%ziiYewyG7&+Q#RVz!8TS_^4tOm1 z8;B8;^Djbf&MkO&*x}^KBXD(dLRL2WivQyCh$b^h8F+Nn6Z(r*pv$|`df1xPE0La( zi26rWurN19r%vrepv3lxQ;<({;z!Qj&{@6;t={@K-lw^~{JM20i-<-=R4f!XY(Ud@ z{*9Kz*IK#~H8k%nw7rI1G@s`AaEI0{V5XvsC&?+u2n)x$MGHllJ(_=jV_SCuUV%tF zaUM&)mjX^c>ChgR4jck}f)Q_Z0y73l>ww>M?+#~W4Zt@9;U<g!%@4=7>_pGz%>{q$oY~0m3jpri$7xM1eAc6z;5SfvvS)O*ZAV2|6e>w4s$?^M zK(-?jzh_?YjZo}cvJ~%9`nIGG0|Olzo2rqMosLbL)`@(&wP+4&Ie8%dE|T3mv3#<$ zk@3UM9dS-uN30p%adO4nF|uHU4|~JW+J+`qIf!<4!KCk{HOV2LegaP`;@?X|;PF%V zK^B-Z>W2{s3Xl%A^KiZ@)(V+FWx`m*h_&=5u)lO3UwkZWdYw0W2JYUzMf`f4K6M;@ zdQ1BIdCC-|dQm>44=Y6_bZ*m91Qc$aGzGaA9EAO(Pj*t9_udiq-+?*vQDWzU%Bvnw zUc6M;rOk=d7cWPZgS)T+_fDHBY^`==iyQCTk0)fCW&8MH<4oB`=&qe;%sx!A)FCPH z9+oYY`q0OnJK?-OwS8(j65Kp6TNb4Ab)R0iYDR7UFa_~fov?J0biiF>nl*R`B2BGC zzwuGm#dke>3jTx%V-OL>2Nl-is@)}gE%PnRo;Dc?u~9;X3+GSc5GI;1BV>VLe;;j$e}Ed5w|!E9r#~m*x8L`Z4=r`IXm` zFKM5^W!+=As6~8*%dp(#0`u)|II`anXI0J#KBwWdBE1%W=M>J;_jwJN17s;=8?40Py{AZ)+n26L`sJIr8rE1F(@oX^2fWg2UV`jbDg_9RY8Zb9egkir zPbk306C=Nq0tDG-6dWK06uMrs$AGV-ae3Or@wgeu{>3M-J%0wDebig<7tWc9`#k9>a${qyxuSE?J0_2X}$T zHLx}}LAQ?W1b@@oRme$CL0xqP474@GIyB?&zMb2|I%Q>P5mXiA#JVaIzh@qQwr}@# zyhplg+q4M`4je#TViIy9BC%=7Vv%>ZRxPQ|DG01iar45;i4wki*t-YLojy*IKNhmG z%*_At)4sw_&6pA$7W~xzHn{&+@N}X6L=XE)e;h9P_ePBvD*TN6G{$Q7&ppNJD;M!a zKWSZd-nWBs*OJP0_s5xSyU|BBclr6}Wk^k;zCyaOGBZY3Szp<@VJ&hqQt`N|9QwS* zO1S$T^@{_0ccG*x57kv=Ffr6a*N)HbBkR+>3v4V*#rN{F(y@KhdXZnJcCBDxOgKz^ zGA;Rmm``&0ULSmbQzQ@1@$MfzgM~x*W;edsvM+3DuEF)V86AOX)1<)C&s(>_>CH4J z^d|gGCEJZJD)=K0|3;1<#n;rQIFNGclu5YZC(U&lo<}t`hz|6{DIFD9>|PId0|n%| zo~7SA{jM2Ld3<|r?E8n_0D3-Q~hEVjmX2XYA&B{TVD3{yGVpI3Sb{5Mci- zV=4Oy**|)Tu=29baY1KP&ck}|HJER6ht+N;oFdz~0dE;orS!bl>XdkYO!0)+lcY@; z%J|5yFT&2}iPNcWv=VsAdxQ8kP(J)Y;3)enc@EGROXH|6G4wgpgFrI9Gi1M1Qcopd znFN^J1ME|&dGekhIJX{`IB1TBGaE9+eJd!7a^Q>$VedF8FtQujc&cW{5s_1i^F9&y zVZ1c1eAxG6=pMa@sJuFyaQ4TL5t6O>#aG|J?1B@b^Pj-d&I4Z$lJ=Gi{Ol_nF*=Rt zfCn&CGsh?0B}^VZ{wJLF4o76c6X+f}hrS<4woe5*#) zK_#*ls&Rle!ITB@-5-+dx60sSe*;@rY(mFYtwlZ!#5TcB6~C$B6rwGEX`KbgRYkliVsX^-v?-b71HRk~^@5x?DAI)-woWev<6!E?v4p+2jZ! zvLE3h>1Oog>4M+8b2n%!86het5htx|G4va0{`T27{b6#+8PR0oo%9ICsGp?6#T(PO zX|r(ddW1-$f9eW8`b5&xkYOWWWABce)MtY^@GFx(CwbMWPjDb5_YV%F)F*klE?g&l z>O*@x((&E0J^0rvYX1%UmC*G4_XL08iq&w9yoXyk-ihesB zNUBJADo}gs-KxXolzN2Z)#FxHHR2L-5gn3@=!i5#KP*9X0r3fHsYoP{j7J=OF#Jc! zmhRHED-P(I!auDNp7i_EbjZMRjbN-*^M|U*4cHtD#)%UlICeZlynmHseN>`jCqi-Y zLNu<~$HT=jf#zDVxO_GY7fyvpbebvjcj^4eV4OM|`ZKtto)N=QS*zI|m(Gcfg>p2jj4@B}(!c zs-&l`x(;<6R6#waOgN9s#H45ANzBam`bqd>|dHmH7Fi=_0l-?@?U zUG(nz5zMVDQAK$tr=(!>=FL(dW$)fnAZ1n6%Lh{S{RAfusiMj^45{{R*fx6sn!WoT zdiLo96LYiQ52W0)8y~c6fgWw!!*KIXlzRsw-O&R(7cNEfrp?g3Lq`~H*@be?8%U@8 zcggtONH&8F+fnB1i;Rm-*fn#$>_*C7&^Iwgc~vDcaw-KkAmbnKY zlMIhN^A@8;llRfJXAkHa8lsFQdRdfyFQtEaBju_MD81^9tjjLgw_qt+Hv2#rZrU5R zp_KB;cJRP{<~M1I&fUpKGBBXFE=P8L9u6ofNP(0sWH(Y$J~_57I52k!T2XotNU5)f zVk$Q$KOYAV$)h!u+p$9jXsW8AI4>W$nbOUZBEXXRlRC*$vR&JDP(Gx9f~;&5=HxKw*8T1X@Dnb$E#W$L?@9;Y}QXZ17G%qSHhMJZpI&|rbHcdaE z`mUgQdr|%UpuTpq=!dPTT-HObog0ctznY}ye*!6AGx0*+n?k&ZDO<>Vbi-Mk3R>iS zRS*a175n@)HKb!=2PA)=7f5+wal<@Qj2^0kZ@s-MOXfe z13NeXgm2XPJ^G$#(AS#cJG`LFi?a{y?NI6OgrH*=p|I9cobJZKC4530-{AEO$!_!F z_w#--6mqdcb@~{6@%mq-v6Lqhy`MhX5L16N7R2vo5`WfsJt|*My#LjAe}g8B)Weve zxw zdkm8VK<{Q94!9*@%w`R|*Irr_TrhnSZi?Vc;F|pv4E$PlqvMYw5FiUg%q64!TtXQR zdSyV)Hy=8Yl`x5`gKu>Sy~a5IQ$SWimApt)uU+HN0NEgzyXLld<3`=1y>C{ z4DKy$(3muFJi_<{+uBEPBOQMyI~jZ8xG@O5Aq7&pIbFqf-%7!L6UL54aG-SJt-Fg8 zh7Oiayqz%mM+E!&fF_LaxaNpqgJgk@!-v4z$zG(-sRK^lDi?B|iYY)wDKAj~$wf6d z7L_mf7ZS=*$a_R;YjEw{Nqi@B?u{MwJpyG;KW}$ej2J2{MvwksBm%BW4pbjcH+(O1 z5{@1@908uxPe`8Yt}ggNcB3XcqWyfNKuTY4Py8@ka=QIEa+vs^pBvXbG4V&q5!EXx>u_Uj6BZVF+jl&h)!3 zf!D zJ|DtEO;>Ev2{Jm2@n1`A_igW9a6F_)#y~jAZl=RAC<6*4^MQauXhv3vKEtxB21q*B z2rY#L^;fg_C-~X;3Oe_bbo;~L{_t`kyrTXe78Hm{SNs-iq6%;`zZP}%lvPy`b*NYpfPUBi9|uxCLodR+ z*GY`C^!g;kS>R=fPa~{-0lqe-hIFNbosWW?QQ>z@oG#9%rZa}|h9Gui@(s=G)Z`$0 zcJ%Ryro17D10fkR89O=X@&z z0{zw%uV+Ckv;@XCAHg7|28w}2*yoiA)3AIb$d(PVlI}rq-%hk|-9n5V4fJ8pZZOeN zM`<3fvru=;2Xt@b(D$>T98>}$%F`&e2AaXeV%%2p&x0|IQ6*3FwiLrDP@WcL+i z5>D^lg=X))gElQbfVzqj%1eq+T$l$r`2%DFzmHaLzYVozKcn2u7sXCqkYBJwYyjoJ zOSxTJk)JHt4WN{8f7R$PG6qI~hbWwZCuqG=NmR9RY>kFufyC@aW6dzAMX0pv9YWs2#XHxLpeP21O5lXs_Kswrf+oPkP)phxk`$9CG4K+N;s--FNXm z$-I}^D?c|2Rpn%}X{w`L>y~0u)0QJ48MBFh6}7}SB7Ub9&BcbS?bBx=n>U#g-+2Azr~IwcW)lA@9+SOp zwSE(NQ@`VW|K+4b*n1%imZVpcTcCMip6K5ifdw#%Ao*#|s7k-1{q#E!eJSIya1@o~ z5VoqRphvfEXxg+XwrtvjSyBpf6H{@(*8A_jk4@`0AnD$H)Rb4?#L=S|G-wdI zbnk)XB(rx|>{BP&s}5{qM-&eUT=w0pBB&df;_Cqe(YC>n2{rBIaL#NJ|K6fGPJbZ<|SauEueNo;1PU61KWv7+az~aPN4F6%2SX-Jm zcP@Nnx7+{oyt;?RzyFy>R^|WM=S>QvWU8yp6!fSj8x`fe)?9!R!p^Fy>OXY=?3)%y z$$mqwUu{hmDl2kPLiH;yEL{{7m&~%j zaZ5L3rSn|A0X0fdn4c{8B9L;TF>K77#VwV;Y)9hYT=t*-w}}t%Wuo^_3h?MrG4<1O z)YiVdzxjnvCFPTtfvRiX&|kJ1-J5-Yf74ui?YcEcO-{t)nkraWn4xR;F4Ek0>P#^w zu5!5!oz?5nw(0x$;GMT2zhpUzoxM@&;sZsRC$)I*U9_Rkw0>TTN@pMB**as_^w}bi zu5*`8Ff}$rZABT<5+7jw`n7nA=JUNzgA#{{r5+#(-ZKuIrhI|Cv9b{{V!TSc^3{ zXEhys`=PYymGg_WItg44!6kWRd`|Of<}VpF2KP=}AbD=!_^$ov)4sh35?D8R8qzLb zMIEI-bwC0AdOUm3X{VMgptE+P&_%iHb*QdbjaE(GLrWsnm8%7>z|mdUJA9B!hmP%G zV5pBrRTaq0NX6zYoACDA|3;6Ntzf-vH=a;AiRbLFa=a9r@p0$QI4h?F_=h9m_&LlQ zCaqO|{q>h{xN;fv<40sjFeXot(hvQpFFdpjfxt-k8(Lu0XOcd~j2kUB%kjhO!$V~2 z#?vNGL{zwR_@Le8i}>mbX^nKj+}XH&D~{NYaq9F5^!@lF!Cy6Y0+RV)8Isd-$6j=6 z(?)FO+)DOP7THNPWDn`D-GufZG()qu-@?HKi&1FrhO%p3P+qzcEvc`te$+`%i@NmE6DPp{rRU}Iy2`p30+c<&CDFO>pXKK?RLmG{YZ^S_D`&-1AEwM9MqC;2AIe{Q3EbLqK2N?yC< zKuSIwjc-ik+pt`W&x)HB$B)nzK?r;!q6m=S^}R?}@&9q96IeNuZ(}qT2g32Xr&zD! zwZB(*E9Eov{F?I15PhHPUK!vd)^T|)nO~VI{2ht!fKnf4WY`Dd_VHV|Wpf)z7s65C z?jb&}2yjHX-&L{p%(q?fIyU=r�cns#zvty_9{EtZQCB{XOC}X7GI7@y$sg>&flnKZ5}nm-SB6KG7K@%`knBlxga4{^A` z+Epu&LO5FYsQM{Z^SaXx8h>-_Tu|li51m!((XL4oeDFb2$jco-ac&Mu^Kzkha6eiQ zPPb{*0-97oTf#?LI0?boSH89-hz~$@;K4 zdUop~f=)JXSclBC6oIn_y4vW_whi8Y_igOky$c1o*Q20@0rJ+?c1{p zO`E(+-)Rj4ZB0BPJ!PgOVe_U95>~hR1*?6J> zf!lltgm)0m>^_LTZKYt+Rcn4mvgj){;`Zq7T|46)(#_UQ8)?p)ikixD=<8^qeVf*3 z`rbP@xNi>%d2P3>MD&9ml%DfxpuT;22_5tIax&AgW9w$TOLMudojSt8)L8V%l%$7Z zKFNVFAJW|5BhHyUw(nhG}qvI#1L*zpD6|S^y|5;dIZ1J zjgD&%7|Kf=Dlg0XSLMD6dLAENk{JIuSdsm#jj^e zERC^L+){as$cfSrE*7$V{Rm}GXt>Che2sjAUTE$URP#ZMHT7^$djh@K$FR9iS<*C{ zy=oC5H=YKpf716uoH}(1HMMn!jEct08B%cEH(&LGt*xy%&^ho%5cqaz<_{e*7%r}^ z#0NZmd@z#6UFMJe;wxM?w8Ue-NI0nK;@e)*o}4K&rXeIE0*~sR!1mIGrw6t77%~B? zti46xp-B>;N3g9O^B7vuOtsJ?QjU2dZc8+ad4%xzGStSTBG1khdSo;AZZD134aDQL zzP>&Ri%XE1og;3pXCG!e8mG0iv`|u3j^vb7Y}&X{jOSfDlZ|a=hKi~h+`V@nE0!-4 z`Fzy77mgl1ifXb+Vq$NKTlJa$=|_FU!3cbKB>QGO^8j7at5U>csB%yr$;)zUQ60D* z26v=-X++jwrs@f_{75>)Xx6viBFy3#YJDScNm(0T_UtA2b4LD%=ws(m;}eDx2b9p4 zY*^+m9XAoT&)A{TD+K1-_n|x4K5XBupE?Ukmz+@Q76_fy8`1v#_k}NT*S@{Tp!ydS z7C}Q<0Ug+X`PO?FICm5FINcYwI*OY=Z$1&Svc6e%ji_32%cE)(*{%H81=I^~F4uQzX%oP1XRaF&jTDHIk)Gu~!*@)DnL~+w#Y!zU6r(U$> zfiUWKREE{&BrjHJjk`*>yr+KR-;C9X`aEZ$2BBqezgL0#IW$+yuS9ZQB_7cGS4mvzh`28OtN?Tf5C0;8l9-;O*z5JQy5%qA`$xvRJlohc6Q<+1Ir z22wI*REyH|IZn`sds(riQ2xAcWF{hcHQj&j)raK3gHBS%Q5Vs`J|112V>BAD%EA5Sk& zjFD|@?%Jax3=EVAz}R@;a>`yP$L|t5QJ-XiZ>M}&`lXIV@bR_9_=(aYPUr4jpl76y ziW=Vf^yiQ#Pbi4ZkvL%%PB~?nDk01<4PKeoC=U*p9L39 zCoCGd5M7#g!N7js!dTlFxydw5WK5*4Tw79$N5zkRgXlAwkv=MUjM}VHJc%a@BQP59 zk0h&(EDjE&v_6d`qsODk+i&B;zI|aqMou+>Z_=H+!uVN`xn(!p2ree#^mk6Z%urE&TRX~-CA5zacVB6H$XhvoA{E!1F&HrE^WlQvE z(+-Afx1ikVI?^v(!_L_Y(fs|U=-#mt47cw=xsMcJxohDvG;i7z-8xV|-?0~EeqqRP z_QtLSOVNVT^T|Q_IyxxN%}2(=B<$X`3(YD2t|YhqrX48r3PR>pPwe6J97sv>=o%W( zWVZ}i+1c28U_V;4ZiOzb+d^m4c9dQZL6)m8_AOh5mLD{i0x6mA9fWLWAM9VeLfll@ zxoa0_YiOV(D+k$0$vCiYA6k)d+NpILXl>kv65kNyxclJ%<5Ntf_%^RK5tLT)u|#@I|4UA5?x`kM=EE zid!rd4;?~&YC4M2GogA&0qvNY7dRVkO!__Iw7h8g&`ad$WpPdfAMm0c2X}Cg$L#S2;-s`YG`A}Bx{81! z7sN@VD`yysg+F%0t({}~yR>GG36@W%?@TqqmPKab6u5^DcF1;ffW6*n;rQg>O}<5I z@Yh-xHcmSJ#8MkeEb!bZrB0e$XkMc#l;Jg zXU|86kG@2|X{)i++84^v^)Mi~<`ch6lL5WEfGY76!fU0|;%HjLi*r9)df>Bh^C{1M zSV7~JU&Kx1Rn_B?ix0-lT!_wnzr>uStKjVAhXOLxc@}q-rc=h0w>qB$M$-X>h{sU7 z2^id$$}&l%@+hHvXfSUz(RuG?Hfo=-Hc7#zh> zRC2f;gh>mQqti$IFn!^2IJkKupX9$!^B&uLNlx2Lh+r7bC$0pzKxPN?9moWr>WPJk z3)fun6JbB+Gi%vexCVqHueu&reQsdN!WBZ!nM>EeB_K@XbCt@Sx^N}QL;U4y;Sv-n z9aBA@bc@(aPV#Xn1O(&zj?=kMkpg89o=iTpnvw*d2voJ8C4 z>1_>dYH$xwiy{3JUo!zPr|CTNH=GH<*VFmC)OIuA2eVVi^N)l3 zQCrNJwM67UZuDfFQ>VG6S0vKn3dPBUW+a;i^*JRzJ&qT$V*!1tgXl9Y8to*DC(;HsBDa;u)eDu660qr!e!U%g6|i86D#RA(3SXoewc}wT9+UnTnRmry+P-O z^j`fIpMLiQiX^`(2k4O84tdnK$ixuKk(C8N3Vj$&<8&zDY^c+(u;3s1d+C|e#o3wF zfSoUcwd@#6B%HnDAr39zTa_6T7}FSQ`S1e9Mcycs=iw*TF)!<&%!M(O@vXb6mNjI;bd%fzog4$%<-mx~F%7-yMY zgrmPlEDPUs{UTiag$xD8GS)Jl&i~1jaMnT)zvnapXMYE4Irx(UKRE!DoyHtEnsCXM z>=Q>Z*I;~n5pMn&N_TQZp{FZhxHEjM4^#Us!pEO|g|36fV9Z`K?DWWl7U83@?Dx(m zu4>WmU6IBs#fUnn#1Xd97J{I4gYG|1|M|lh402r zhJl3*?x*L7?Z{y?J{%{E(IK2vmW_ct-f7F6lBQIq5s?P{zuDm)hHX$q$1jIsz<}>y zuvZz$F2T6t=m+_Y`_T7`f%szhXlNcjhQz#5+)2;JA#Ee{?LQP>eD?!1Esi3ws1kQ` zOQ4`{hQ0%a;fo>PL&L-h4~cg#s}KqX=Jxhq~9QX z`rY@C*EK{!dbW^1i15%lorv(=mnxn?|EE)(uG4U5MLZk&j87ruw6{_+aX?KQ9}gIc zPrn@j6)NjNb}{Z}7twnQd^%_Z@rR4|%;V2g4G+usBcQBrE@W)re>P|&J{dS1N>mq4 z&vj5UvXuCgk0PfhUSdHxbV=vm4E-LRz8Hex8`QAHISCr1bE7m#$0pRKtaGKv$54)X zBIZelsXf)hD=}5>FuL{s9-j~V4l24vxSNrW#N1M7n%W4xvVP^YjBtneN%>{avOF%@ zf!l-I<+g(#lBk`u_o<;D$@|e)gCVy~4tJbyAlV}fI>i67|1f+sa2O8i7~?kQLo(}7 zJAOHI6#9HM2s;ldA^Ofksc%sqHZLg$Xs4MjhUoge}N*Y-gnX+y%; zF{9z_Egd@Yx*uK(H#ajy@19bC!Qf#dan`{_oZ`x|b0F%A>i2gN_jN8iwWJ1`#un(> zQ`&SnZ0L8mdX*0#`R93+59$7Y=8;wTfA}GQ;gPGJW;{=F@Z>QE9KOJJ^@^yrCS6c{ z9nZjiy)lM2LcH8&2pZS~qR|BJji_G+9#yBKt~Q5c;!*F}=Vj}cpIe427b38I-C4|? zY=#BX%<=OA8?0JrgO&5Guzc=eESqB?BYywtXepJsbhf#WVa?*>*s|&Zwy(X6O+TN* zszt{rjTNP_#Im_8r}Ybo(=3=~fq7FbuwnUe95!-6Xkawbk{%#8D+#Gd_YmY44oxLn zOdo3~f-*G~Z4n$0fwbg%NKL$lJMr;Ih`S}|_J5HQZpI-#Hdeeoyqk#3v?Ao?)F3;v z3dxB@xOXQTcM>vj_jZ z2BIEjAhoy}rl&7s;MghnkZhg38))u(H2_IokOAzeJ6v~grJ?cKN^A5k6y#!Q0onafBmuSIl94z{Uiqt`dzVF=}Q zSj_}!zBdta#T)BZZ$~e(xqci!6DJLiA;bR`{LZ^#`O*#O@#SDlm^=>`&CVc;_#P)6 zF@OFlbf^5M&sqvco6E=wOu*G6moa_jQo)}`pSx4K%z$`YvN(&Mrpy=laDKjLU6A2- z3#X`@Q4?m0a@VcehEQ8CWcbFyO4A(Qeg6}Beeo@}uGxWTTW_R$M&bzZe;hLvEqi@} ze|PMG=Dj|}z!Br2Bd0^QOfc@bgg|YdI{J}bnfN{P;z@^cTjcR+|KaFQy462^9*Koj zh)K%EE_DNZH0XPLH(~u3EjdBQB%o18+<^;kF`K93osw=SQ2gITLK!8Irf zxiqh|_r8J2izNLm*|ZzpkqOACtjAd=Z;Y9}1U*R~t9HsEFzx};%O2y1oeM@zUx40U z4#DP?+Yo-y11TPnFjFzcVA50H0mHFps~lpk1tQTq3VMqA=s$cM*`Yt+&~9ZUknMNZ zEexvrHH2Qi{BAU~}Wps2qCvquwlP4dVez%KafnvuX-SZhCTn=Nm#j80X-?7@#ALVy#6s{`ozNTv=i3< zyiK(6d)+?5yIns-H?qf8EZYdrQ&*`!#lwO0GTjJ(}^Dfshe(o~C zU%CAN{A2G4eH^oQ!^mm#(EZbIXgpZ~uOqf7iFk;rv{K|3*CLAyhAfgPtBU8o(k48n z9OCn?3Z}?=so1!E4_dcri(=x%It&=nWxWTfOycAm!vA^~KFiyx#UC)CJ)uFF|dSl?ePW+f`1AIe0}J-bQt3ObNulO`pel zq4s(t@@~I1ZQ$P-Mez-8M=GK+yIxrNWs7C5rBycR*G?D>E=DS9(5ZT<#jmi z=!wxY7SUMs9oFtqKuAI&GRkUUbI}n)$4^7AF9%`EK~+TFPeU5nwhdU_hj425+TDn~ zavcv{LZP!y6WODDLr| zHTvwJmJvQ4IGpq}4!TFqAd&KpPRqj%bv^X%KNN$8jE0$lK2iw-!!CGW)5@*rL)iHJ zjD-RlSVoqWWoFsA4qO+mlNVvjq76GmT^WPzxUW>y!-;e>d*vp9$+Lgn1eY68qOV-> zx`9axe-`}38+XAwB3|hGjEfJ(%v(-#fx%d_bR&W;xFgf=CXQ-ZU^vZ5dVVz+o8;9* zUrDFFVt(op292JCJ~ZFkrL0Z5$r8HJvpIwQKTgD_guRFK%#n~@i2I}m?gvB0Pe;oh zpWyAb-O#G%rx-bTE-cR4iSOM^$;DopyY&5bBnHtO#pKjwq>!E>Xdbzh^8b+9VE7M{ zV54;yX?}4Cy5xa1%QvGJ$vb+^QkmoCR5&jCCW`8E%wEUv7-%$FGeq1KTNXE-s^coa|;;Z+Nbv^^dj`sn=E%Ess#!{JX zIna^!P7yBi4W2wtVL#`raR$N{`8;mEhJ0{FJ8n$S2twF-$q_>CGOJX*dYTjhzBh00D(CWdq2`>jC4+u_%;tnRGJ#| zDokipEY@z_h3=mZzz>sW zOP#u8kG#rSWRebDb4g~JX7O#59DFDR_dSO8&3aJ}4x}_BovTOIV3Da4KApH2-Dpm; zifp5hQ+6ovkHr<^V;D<#!TMgcbR9y^Q@MUIIIVAuAI44>w(J(NrDHC8AlD}n*6Q?I zBuxIO|1j*`#6H67$n*?@v79yrkbU^+(9uvdw7`R`LZs0=%aF$Rf!~iqk8ej|+zx&0 z^GFl7IvP@!)+5<g^(c!1Tr50YIt0Aof?!6khg6jIvS}~d8cx4EzEP9+_V6vAeAp*rFx#|T{;Q;hW6c_5|GOS( z4ORBfOFp&qy;5p-_Pgd#Kg<{?{IvyX~Ln zO?YH&^2R+JNI7tz6ukH8ryt_zkvAuh($4l6h76WY)9U;2C$O0EIg@a%6=*OrH&j(c?ACsIJq^$mHfs~2&lVGW7jkOckWB06Gu+zVQ zj2rh+nUII_TRA9*Oe0EtWmFWMfr|KCln}_qJ4N7>!ciPrAP>!-wcujpiZq`zltq@I zB%%cQLHWoF%KHtI{qR`<@G=dmohne|H`rNti&q-^o)K+0JQ(7eg}=-#y(3>8&So^T)O zA(7a%dL5dR%-uS7hJk`I%I-WwMnnvDt=oVWA2dg|PMx5C;1J5=?jR#50=w5xdMdXo z^W_v!cIzH8L!+=~&3d$GM*QyGpsTO{OCaUGeQ43DCAzfl0G$K!D7}3jS&=ufZ{udP zY|#Q;I(CBg!9ys$^8ne=aoE3ktKfHT-yYh#_fZ?iA^S!+4y@gPR?VBEQ^$_bl2<^< zy+q{1-Nu2f+tI3JOLS`29-5TCI3^xBq0ul6sjDMys?04cfV`3-TDNT@ z^sc^ZFN$IlkQWsP`OVwVrd2C+XxkQQJNHl@yoJ2*7#t#g+g7cK-wvufcB7Ee7De5H z0oA>C_wLWM-ABE8!$eUP#W%@-r|&Cn--))Z+n^nh%JyC2y9E(9p|oW?+O}vZZlC1f z$pVtCu%cWFq$FP3maU*jX*iEUs*eiE)1KsFITT5rd@`Pj!eXGhWe3_5uTA@QP*76( z{Xj|$4G}2Xh65%yZb2Tk1J_@j^wzOmJG7?y%ahExx9*_$?n7wqmqSOYck4E7a8O=e z1WdltKuYR=Yd35}!acH7`KhOIE|sj#TRzf)+CPrUS4z(WQZ_i*Zn{g0gM5nFA0bZ5 zr-(lA6nTk2%0*@(kaEa3TH+Q-4y544R}QAUq;(1o`e$ILd-^F|c?sh595i`F=QJ*B zpTb%7lj3$pKGk%>2t9oLxdy)YL>=FJp@9KkYW_m~G|}%%KoN8L9y?pz zOwWo1V9xiCkb~YCsSfm>>%%gfQeiqFUQeqwrW5q}F`UyliZg1*VRPUTjJG<&V52+C zcRJ#@;#u)o!{_2Vzsf@*KK+%0N4cCMhmH$^|;^Q&BmBZylJ3&F1!)t!RS<#@Ddv zY9i#q>!3wHdo!9!ThSEAFbPnK1`dSQLR}VgXGx?OP=MuT&XlJ$_8fD?smLt2q}IVQ zAQ2nP>@kPR+GcePM{Xp-HN76r1;Dv{`Yz?EOL;2Xq&y>^Ks6pPNu#oI=#|P;r?U4) zJjPo4JD6+0GFxM>i5*T|i-n7SDy*(VV58nek^hbpo;VSc3m5vHbx0~U9=+cH$p@%1^x^O7*=))B95- zKg)SC6R;8Ml#61)p@!b!$k!jq30`pLM^Dbj|!t2A5&&7!4PiA0BtUh3#ezg1OTUV(kA1YhM-p;(#OK4Lc3k$$0xbrv4W3CKh(O-S!ZH^A-oBK+1-|#c{)Rg%eI` zy%kO>pZEnUWw`k=SljSjezhg6y+}C6r?jhYwicUUxJ<^`FFz%mC2VbswT!)=6VCF1 z2E4#3xB9TyDC0^v%R!!upN;YKKM~_Br)Rvspm|DQpoFt0evOZ6gtam(J)v|O7Q5|X zxX}$pn_XbN|1zaHfpeNi1s?PJ-@;iY##t`w1l8H{;4v8QIVy1W@4#A9%9HU{V~;hq z>@mjzISb6yy@*xkBC*@A7|QHWqp_7S&YZ_3`YFrNxFi?xM2x>?G-jU60~`qp-6_q* zxO}Ky3C1$*Gnlg96hG}Uz_f$rSY>b#I@cm$cc&EYG{)Ic`eV5?hS2z}Mi|Uv9Xo(@ zXxuwYV+fNTVX7ic(YJf$V(Ae-%p$$5)HngXvwm>⪻SzNl-cNjYTTQ#4W{|&M|O! zP=zaZ%b{u)ibd)tu!Q8$bh`3ofXTxoGY9pt z?&XMdA5vR$yCed;uinK1t#eqSejMs{A+RI8vVJwMMPaGd8KGYl(lhIu`C6oZZU=4; zZWnGJ2Wls+vjJF2zrlqx$5c6W9ajQU;dmn*+UEkWjOMQkNoHloa9nv<1;^xCXuHN@ z8Rf(257C_OLP8Dho`|bdw$8agETb|Ps2+pTNgvqyC*x{R26Qh5VL9n=A@LQDdBN7_A+FN= zO!s^smTR6C=@pN8;__4ejbHdDym8qt8P|d{VMzI`qA_ch%5f~Q@x?apTqv-!l=`!f zll0E-4^cZD>QnkaBaQ zKuRNHBXsJ}UaTKXoIVS#0b#=N$SaMnH;}RbyALU&Wov1DYR2^G2o4UWPhS7SYXrL5 z+UU}$qmb>#aX-B|ft2}WP|(yv`_5g3Y~#j`d1G!?lmaQu(5F{VA={7<-{Y*K>o0+n zH2Hf`{r*nkzRqQHAf=YcVRVbkn)v3r2GGwM^@$kx#yRC1iU?igDKfZ z#=pdT;j5~x$rSV}AJ*SY9B9b&>R z>EdZGD%%s6&iKIoQZQT`Z^GT_4xAn0>HjEPJ{R_sY|n@PCSAF}6oE@;LviM4AdZ;% zz+B%QCOXd0Q?rNsZkp@PGRLSvS{OY<8><#sK}*RN76#W~tnDD)s~@@~qs!1x`0o(s zrzU?XrI5eq zFUsVybuI?OE+hwM{8Dl7lpmJpoW}<9t1xwngF{#@PWU8ZFWC=^iND#x2^QCgAC!fo zZg;WE+8v9u&tbc@8?4;#!Z9ol*7UmF%9Z%%vFnHjj(XmweDZME^)|K~b{6^UB|Gkf z2k~!Y!PGGp8_ceXaurTq$7SCnxKLea*m~WEOJEvIWb^qc`%N%i zJAsh^0RQw!L_t*XfEmr}k72d39kefp<5EC6E)!{748cl+%Yyhl^Da{TRL_#_!1lD6 z19UuY?7e$cFggsG1#nk0W;;yvBl~tj)$ee zo$TM^VHwzQ%tPpDH`!jtVhhPGCVMI<4V!G-gr4@3t#gX__KCGH_DjTiD;Kh%&qMy0 zH_m!IfSq3&^r_x!NbY5N7ol|S2F_DmuLNg9hx$Q7Ur{AK*Z;DA8q_b4UP&)M8`?tO zHVjTAqrG1elt`9&)F;?J(>?1CXR^(%c;Ck%()}E&(^8!?&~}R>TlNvo#Fapf+GmOG z1#GZ(g-K8<9C+>Fb{Y0v4#8sLZ#Hp+IgMWq{uwwyedXYBA5ka1O=Tw8_1tgv9reO7 z?l+`YE2nsDqyEKdcAxRXvB+GqnIFSEFcq5{>EonK9|m4`sL!9rEYj<4lPfsw5(lrS ze0blfgww-XIHWw0D7lX8*k9;sN9bqZ zr=vVk?G=gai^<41n~qZFTh!-$fP`xj#mUyKyw6_!fQ$DbdiG8xXUi?R> zVLh4;s^hh6z8#bg*yS~5Zm-6qvGFj})|f(LlO@dbTyW7h6^=AcSkid9$?BRIXV;tB z!6Go3#*aE!(%7`Y++Oh6A7>SuCdL8F;B;&ud=hv>y3#JF_KFD{7u0o8sndizcB?89{E1R`om5_26-Cu&%_lA zY%uV?N3vcOGAP=G3w-6e=ull(nb>1F)lv0oG%nt$z~y^Y&~Uzqm4s6(NgtY&<}&Hy z{JlyXx*UXg$~IW6egYa!F|egF&l0{IxEP2zO4eAaaunL9{b5JCK1-M^f6PbBAs8c# zNgwu!kA~7+ZFq_7(iCM?FMe;J#uLmW3TByahJxJn4fY;n`373a4YN z-b2`QT&5c{%5NiKlfYz}BVD@w5U#UomvQiRCnRnWJDu zd_SBeon3kGNX$ik(GAV{NjJ9CC%7N5F6R(t&QLspx%B&BowJTvrxy~+p=2L{WrXo- zD4ibl4?DuVv-JH##OFE9I%9hnImW;~G#96?C*gn$hgohj!d9-w(eNy6J>nwfE^9PT z!Ib283(0~{d^udH97od4i?Ec*jZ7ZzV&DqNyII=?JwNFu0x1WMnh5ptUhvBWk|AxV zM|~YoLX)MWNE$!9q;ZI`RE$mkVCfr6zY$2uL6g2#=f$A}j|eMoU%n#zdW@MSdyk5J zDc*wr^T{N@)mUsJ8aWxcWYT;c0K-%bc{=2xGQs6K)z{In7@TU83QZR0-F_D(JezVkOy3JWlJA?Qt!& z4z>>}p>j1+jK4fi8&h6R=}&O!UO5!(!k*%@Szr>JGwKNo*=`Dw#$U3l%={m~nbJGw zlTN7q;vm)AByS8Pd1LBDpd#y=gDCkk#qdYiWS4-sx|f7r_nFw@lG`m@56Qq0hj6T; zIm%2q3+ys?#2L@Ka0$(Zh11PnZ0r+0xadW4k}YjaHX`H0QnHCvPW!=@Z2fEgsn92z zc{O3ta;mSoa}4b6SHkgO74*seTSN1ig?g8<_Dnbq`WK0V>h(yMtZP01UyJmu6k9L$ z|L}e;1L7S`rT#-WzsRTW!{O^vU$MQFhkd6!L?2pBeb@YQDB1hz zIOBc?`>e_S<8=n^D>Tn@i^;SI%A4KUy92vqvYXrmM{VO9XyHG~F%FHXyf7c_HPnrJkLy2W;uFtQA=gIu9 znH6<{p7&k--NXTbOdLr0BAvbLdhvhnd9lv8Z#C9gR;8q~hCs@sf*Kq-9)dZO%`ke9 zCgct{Ao6ye@c;1|3i~1X0FytfYYwF313y@2d})T*%l6#!`gHcc`^emF|2%KPBWsg4 z?s0H%#K@6SVB3HJU*gmWQ&}J-JMW5L=urDRNea5FCR;QYR~=5_#~+6Z*}nOvKTe!D z`IiGJU0vNUcICHfsK{EERd4x`#J(grTVfH*v<6;7Wf$VQk3FVM8;`f%`nR}c z)X3Nv<>g}e;ZHx|Vc}T0Y!y1S>5Ktid<7F-P2^|Lte4NOs4Kyv(k#@JX1+3dR7Ul# z&O&WP7D`i6k?MU9@yBi<&O9D>Z0;iQOd^ucCJRbBoAldsCK(S;ry%ikGBPeb5DUFe zu15mF(PW5{<-viJHm9*<+(a~a_g#G0wL2{K$f4Rd3`tj9uxa*uG;PuZeL8oA#g6?i zxRFv8NXY?{X=&KJ<+(sgzNs?(PXto#Q$&@kKT^**V%xMif8s_;Ss>;5Z78RF(l0w> z=dAf?{$3Mw@6{8AhK49FDn@!zGInj>Ap#@2b?piRU0swF79oRlzH9exZ~$aC%13|W zc9eSsA;ZxFyXP+v0hwL-rb<0Mlob>rlhW_mxeF~?v_MzNN0<2=NST#|y?ghf1qPl$>FA(@(&uDnwi@}@ zyiw$Q9jcU1d&;{Fm7$=bjC|7VZw68pkuj?-@!L@S<@X;DH*RwM)m2o5K3FgEa&pKe zy%tkGn(FH4*s-JFA0+;352UQ4={PU0a&QMPObJKjKZ~ocjX0q4Wr^F3gF)imQR(j_ z7F2nmm2bxyK2RHDhv|x73cdky>L`7zo@F8e6XGt|iuCNXEc3l4oST1yssp9(@CmXU z{J3kG1t$NXhaU#(2>O1ows3xO0A~YF;_G10*IFXzV*<&-i;L_yeG3 z(a&Eu0Z@y60_BKWaeA5H(-cK79?*!WlJcZHbQ?YTIhfiswCZWwJ=HVSMLnio@cEVhXTCnQ zwPs|slqa_x$@;>wUL+gI{xm)D8)W!RKDDWiXwxe3szLov@TqOJ>AfDcwPDO-7|CSQ zZPYi^sqgUrLS}l^;J!q45p|_Hb3UR?Uq+sn=KrD}{yk~R_>#^mNuEbgk8Pw|mXjB- z>G!1+^#m&X8>9Zjx0~LrY7|JBNsSQiM`N9vG;Y&)FE#}|j{*PC-%rnD=pQ9^Y;rJV zjGNfNV!HRJ2&Cj2mKom|V;O6i_;m0Cs}2jC$Rey{hbLneW7Ugr^?71UW}Icr;giDI zIm)*$j~S{fF!qNbIugzjHO5&c#(l1@^VO#O^=O>Zqj6o6##vPvUyHC? zFQ%605j3eRiBIKn+FLw#s1xs1DXo;g@@aa0FZeXxY0>z|W3^sbCA4H?xGKGB68`DZ z{KJ5TGsZ<81BI;gzy3{{mr(u1XT%eqF?Q1by7ZZ5q%8lZoBE;1f^eLvlV_7t*^de_g5v&q0LFS>Hrj z^uH=$k4nrF@m|PG#C=uF?WmqgRA13&h_rr79_BxlN2Xh@Z$lp-;{Nb7{qy_=`5Wb< zO`q{xK+<`+=)de(7rz&(gU~tk3zlD*JsGBm(PANIk&-+D{j=pRX^A{n{U13%9niLch;H7wc7gljj8|58=QR ztIMw;kh0;FWDca%KYRqAeDS4_ZQPhKaCMjbiIV&HN ztdEFlexE8I;mH$e(@RcP3G6OLV%yqtm@>);6Nc;2s{tncs84p54#o`8#@M0SnDm1_ zW{x+)?4OJ=gI=eOHlTF+GUE6DP5LEIE@$d!LqStSnJ-Thhil`fAsU!I!33-KxkAAq zP1plEH*0CWS_0)8g*0a^gFemO4cJbgdA3SW5tIXoPjgp&nvWaMTvnInw3;-p*9fl? z^Lw3$YC-&-dAwe#Md@^+ACavg&D%K-RmzL=WoF&v?y_d0e)(tW17wkVTXKf0~|bPWt~p^MA=li+P+6^QatsN@qaz)aLarl8@!r zc&dYE`6^@^sFC~{oDY}7^&o0o4}SkbKBp%;Nhg|YrO28_>7{%c*ZWz1qk7Qi+PqFl zwx$-@(rkOMTxw)z>yll=^6HZP!T$?BwY6>()q~ny*l4o+H7U=Ae6)!#%5BJ>bjNaP zQQ2J2U+a(LBc3FqtgfOhWp$#@p6x5YXDhs)$? z$e+s8Vm-3#R0pn$s1uc;Ei1QiUs0FQ^YsvEn9tvBRBzD^^qIu}rOh-*ue_eYvT0C1 z(4+os$m5LwY>W4sx59S|w_>mJ1DwkMZa$K3 z20<o;Nd6^cw?U=-~Pl0 z#j*d6{dydbFlU0H2*NbocT@y9i0^UG0|(WOL6&+&QviPxKScueFxWaHUWtP%M) z!Wu4<#!a1@kH!0^d|7&J8s~V-`!)YrY~nGpA$>#syjG}uqcQH#SWmdaue^RH_>FK% zti{Rl`|a`fc|H9){WJCB@tS1%6`$+zB0lqE7|4Dv%p>p2`2=|wv&0$8bQB<=f2DOWM3y^XocS& z$I?pDy$JB6d~)0zg}-&(TvLIge4stISz|2a{Zed~PW(;-b7tFMv(62u`4vh28=52Y zt&aK$fL>g^m{aT0xWYFNGEex{*bfv(JQ^$5$HL=>Dq)Ha;V=h|>Ct>#BUENTF!s_o zBk-=VoxtM|k0p)AEgqkEOrPD$QQ3XPP1>yAeL-$#!dF6HjJlSegkel z9cQ^7GCtMgDZf$u8rQq=c-^2=*0&D5*PuGEyc~eX|MO=oH_Oj_<_d@&D@>H8_xu)bxo3w?)^zIhJ{-sK^gr_(VD&6peg#t6S41%`Ru#YxXHJJvc4-w-#iy7lx$BW@S2n;_Y|MDUp?y*kxhF0cfL0t#^~wghpx)u@xL znXz9b?+K7yOH{+R1^(i9;rFkKvWPgyjVZUf9%Z%lsC%Pg*(6~aR>L{)k9}e}q%+pl z>j5PLYd8M9pmd84yPRFu0)>%U!CErMS{8Shg&a}jY z;W{{U&=H|=+2S)^Lum-Y{42!z;$Y0Y>L;imc_bND6_8@w@r zlJ8!lz)PxKuUH97sA)a9@D2y zz}s)Xg}!}1hOx29Uk;=U2n@uesZ&Hh>CmM$G_(((sD!K}aaKS%fl)Sr_^Tr>56C6T zL3v&Z5`AtV!ZI9Th7pLjPC(LyB&1zVL+a&Jq+Ck*O-jC)N@>%?YnI(Zl)1)Bfs{c} zWSrgsLS#2mHUv_3=?aT&dr|G`kEFBq*fec6n!eWreVD(qQ6MGrIgs+h4`FU%imJk5 zB;UD<&Fj~rS<|NI-Ln_W3=C0KP=w^W_poL27Bv0f11XSl%kKXiNcr)nIH{z8svA*A zz2=2&a~Gl6d+(uVA6Xz}Wu*w1+_ruDFM*WC#u7gx13UKY!83uBZn8kinX*92-o0UH zZie!jYNTgpVb`ABXx_Xzx^?RY17jnURaYS+FBiKG9zcuMtBRWFsDnnPvr&nUF_K!Ke*l<0k1;A!qN<9>qmblNAvxQ3?kojTE?e%rApS@6b>Jp_7RP#^w1Vh+&(mF5 z-J@K^iMpyAtuUAB&H<|Y%Ff{b7A+jFhXrGFuy~pYR&BqGtu|pe;F$`w@M0L=tbu7< zE%YMFq2!kXd9QS+2Ij*cx=QeM8}T)6?c=f6Z*Rw=EDmMyXz;(G(551TwC#Fo>#@%8jge zTCU0;<@^5@UzWdbHVlGGU>a5iZOY@I(>)=lyhjpr!%IbaozP;)xk>esb4!G77^T1U z82Xf-iYy;~<^N4@)k42f{=dto{F(o3J{3>XKg*}|@lv@B`KVF7%wnrxbNiRJV>$WN zD56C0O`^)6L~YD`(WcH1AjjWjopV3ovMA4nvN&%p`#1S4^Yi=$*`kS0G6_Dta$9nn z>c>_?h5AYZpZ{mRZe%&+WU?J{z6T@fE23}Q$b*85)Ia6jAHsm@W^?DU=)c^LIUnxV zdJ&R5+_v0TME=aDe1FBKzQAqIa&o<&Nl$(Hh50n%H`Gg&>T42HDd{${RQ!HK-=My2 z5LP69M~0DQ*zcB%9d@^17LbJ4oGP*Pw7xh8Ibq(oV{3yXTPqZMUXTteV4MyA|6o(d z{|a#+B0Dyt-SEK9PHaAD97s8Sgsup1bs#-tKRNSo1^;rTn}I!!3D|e-4zzFN!Ycj|4#!nP-7iz{_guY0V?;KLV{2e?^AWT{ zOQ1kFchDmh2i#L|&@&B+ggZLnG|ti3Vn}0=^7Rbtc97*6m;TPHRWW(}S z4V6c@9##wmpG+JgY}UF_NaIi?jA<;?q%oFxTppLp`5VVQf@TO|1Ep8+qV)cGguNv& zX2+^uE)LO{_Dp(`S(C6?$|nd$HUJN(^4ln2YivT>PGxssf}%cnXSldPH~^C6Ov>%ev4 zIvG>lxQ_oRf7YEI;W+EklJu+@K=w4t>3AC&B=cdR=SR>E%ENxAyEKl+VHbVIV>-8; zc37z>pYx7KnD^_S2#{Rs&yyG)tTjG-D-HH;xNrqtf)@fKIz!U{g6nF`j16imFT+$gh`6N zJl~@HDIX(Qx!R4(rT+7jPyI@iOP^^_-bRF*Ce#PCsoyr#OXAm2|EPyHVXgw{hTDSW zH+ouM&WG~%$q;iXOVX=Ve2w_+>?7Uora6h4X9_G>e(GOpRNfAU+c@NO8(w#dP*Vex zq^CnqSqVM6c16=>&7>PCIgqll@>hYB$;rv$hQPxX=J>ErAH3J331%%=0*|1`Hztr$ zPE8A4d-Ot+_uj*zg$ocC7Dk`E{->gn+RWGxeLw1hx88mW6Q|F_wd;XGZ+x;TJMMC+ zJzg16q3nR=vXhG{p>Aj@4q1Eat$)37fs{7Z*7&mD7h?VX$MF+!kpn5IpYh7}>kgze zusn*-`h6uf*o_}I7Ot*@-~T+X^!eBS&?Bqz|Ljv-l!x015eN--LtLycamNeHk4laZ;*;jk7-J>~oDh#5_VR^3}Mr4aBkuA#U72K0xcq1Q{ z5#{ts_Jw=0;468O%@te(%g72C(C3HTk_2DbKMTfW<5=DY45Da0??v_-@%MOU;6O+P z6m9}q^qC3C$2!ox$G2Pn3NiK2BzaAUXG(R_h^!Gd?SA^M2H73vWb;^%ZKO$d#sQZH zI6%7M_srwZG{^?nPdYkC_0tY1ghfIv%;IXG7LbE|&i5hb@(?;?KOW|FH%hNcy4**3 z%e%5Za&ee#X0owVywW5+Q5m{qha4um(mJLVR-|upl1)DmP@y(E6#WFMq;~^qL*^S2 zU!C~!)Rz1F3$cgnInH0zBN--S`&d$$`hGb$L~Xg3|E z%xy3FN*eTIDnuKbP+PMt&NA+_PmtDu>Af=5&xq__PGcBbNp_l~S0!poqiFgr^=E?Fj)jc=e)iX(kT)j=bM?8IA-VMRJ)UxOvRT9WP9K>Xd4 z^qrGwR8OB|O!#>hnzwF?cRy%_FXwK>TD$u&r@TWd31@gLek=>5jP?eC9RCTV{J%oK z5lG2_9egO7_?*{5i8%P+g61i)rpE`s>FuzQ0wyV+;A0n|yuk|Fmzaw{%D^KRkaEpl z9Goi3;oGP<&_osl@qd~)sDtQ9h#Sg11Ce8QQv`nGIYyzvD*&|tUU(AhPWqzyitoq{ zVC1^99(c`^*Ogy}8rGNZdZNJVs%VEz3(SNclh;@I0KSzoO`g(?n-1T4Bz$XXJZ>^J5q9b_ zpJX(Rsf3Deri4v|9eRWfEV~KSLD@%!O+J~>r7@A~&G^p;%N`1=g=!4pkqoB{iLXxe zIz)BZA5i=hk5p*u2p);D-aevtDcw6A+?yGmi9DsGqpR;b&Dg8d`V+ZNGI@IQe zX})C|SO7Ju7q_MG83*R!aAY}5XkN&37s2OzXb#E!jOAcmvR)g{T~wl}?r{=sGL|x? zHsGir`u`ZEKTUI3bJF!PRcmzrq#yqE?|-BFcRyjaiHA6p)|JeZEa8A`6i69D<0s=O z2UIp5gZ`m6kA5qVa=zqO6oHfvXbev!JdJl3dr~&gXW#YL5@UJP=}W?Ane1pU4kO*V z$V}Xj$cMY$yK+SwT2L3vfrnHM;|L$h{vtX4FA*O$APbVL_Vq>n)hJ|KOh7haYN>lL zYW#gr7v%A4EM;Q1gNHp0oHDWwa3(Ul}ixJpyDN^_xdAwoVQT9m0w)0`wd?6A$T~i%D~Ih8#V zVRf?t$8SG^KGkWDZ4@@03&S=i8gKb9Q<7DiWM^!*NGCaIEaO-HUz^8ns>8wHO6>K{ z5;l_@;hGNNHrsq=Wb3Mn@sw;zwjHl$Jsp2l8^&M4HeFw`kEniZAE|gq^zO!U7l$opLvY|)JWL{raN=Ga%%aHVbGj|@9phjWnv0Y7CHuvOhEahpB)c-(K5T2! zxNRVt>sS#^TE~&i$38jAUyfuKwjCcO?)-fd{RVT*?A!x+U|)sM(tn|lm~U^yV!m%6q_za zQC~@=zEb(?zQVE-HY!EcLx=P&`icx6g=PJA(p)IC6jtG7)F*3TNo`{Ze=znas$%z*ObGVSu|C;dnY zxT`8F#_hXz;Ts%|8_~CLJ3S9s6?MX2)e!9VDv5*JILIxh>WK)XeEbGRxaAfUAw2Fj z+ycYl7oC9H83jUi_V2w8oizjnia^RYF8EXmQq0OLKuB!7&{;st9o)?>5`JXX8NYHp z3TQgVE6^#$kKpKb8}bJov2pcjsHwWb!6iZ5N_juK0%@hS$gZvz0jweb_c?w15qcU( zN#Cy^oylT~|9M{HBXhU?^SlXt7wwl!iz;B{}6mG)@~^WADN}P+G16CllxY$KF?fS9LsZx23of+})u- zaVzfbZovsbg2z2X2?F^G7kPU7PrKkrEmOh2^Wn2D(-a8o^@oj{h4-N#oYq+yW&jX-JJrgyO2zqRf`9Tf_X2 zC5m5`;_)+bUbk-j5lDH^T;iuc!`59pQN32JUk6gsdy)3+nYejU04bX{hY6Ka`1%!| z_I`| z4P?Yz!Jdu8uU#9BYS)3@%5@(ADVO{Tkg_3k^khKFyj&=&tD&x3J#q7-_SPN9BYCpo zuR=v(3+hq+26A%H+OZ3{*KQ;4`fZq~X`prU7C-4b0i>ip$}fF^oZ=#=>FdgXl+}L( zQWB{zkw?86H3eu=Lvb5&q7#uzq_JT$*=CF!-l9=x99aS83iO4}wvE`g zY&Pt5b|S;`9FXV>B>CYBnDReD9|0*n4xEF+0t;~x8iObKb}9yX^y;REo?UdsX=sP{ zSc%{n9{B^01EiDb_=Gwxhd=)>;s6}yPw>Pe7e{!QpA#p^GI21@e*YQR8xry7$3%Pw zB0Ku~ypAasaghl&IF?_iaHW;3Qef%Rt6aP%;O{~^AiXlt3 zqW7$I=)Y(SCT!NmuH!DSOL``rbt32{R_L4%WhxxFh>P)Qf`2q184J}8iW8>S?>hiH zy9h*w-p8qP0a&ubQ1I8O9K>b2aN<9}nTsKiSGEu`ZzS2yUwMKMGEc0+?_#CCl_-Cs z>3Lj;O%>%^h2F+0Ju8ges3G|0V;&*o*^hkAzro}j&dK+Py7=(m9!in=iSgIt+xk~Q@Y$ESV|ubK%quT8A-=_F%MW6B**=TlN$g@)KBR-84iEo9I zEI-M4fyUYY~vnb4Yk)WYV-A)2N0ZagY0kMS;{?}wKT=frE_7tX&GWp8sTlE8_g*+ zUtOX3D2@v?5d<^nZn6mj18kU zpuEY{o=l&ryX;T|-jJ1e-d3FQ$}*jx`dfdbEB_3grMA38dY1LA>E6RwvPuO5=5EF~ zMI9J8h9Tr}34HJ7LDk9yW7h1&l+Btjv<;N__wogQEb%98(tyrIfA~HuhS&Wf=-Y*2 z)@~CFU9p?=={5{swU_kdAsq0CgMVr%+;8V$-$^cWAEqd1z~GWULQ-GA?|uQ)PP<|3 z+I>`}I`l94A^1@-0v;AZ=Tac1D(%ONtp?CP<_6!82k;EM3k^#LOx>)DnKXCmIYq$t z@k@B7ynu#n5T=q2p0Py_dX`S`^}Pizuj|k}U`P3NFhiE#hw^(-e$9(i{&p&VrxA1= z!{PJvHN4VZLCYZ&({>qShN2#HEga!P<#>8tgPPG4bnrB)8~@EbE=%W9 zkc@9A@_Rihfj70CjzbuxQ`=6XI%}ER!Q1-=e5ibuZ92&~jpWz593=X|hn}H(IT+J- z7-1UKO^?bCOesPTk?wgPOx&P~@#|Hfan_6arI_aZS1@%B$IR_|=s#~0>CW{SvV14z z>^*?}&XMpY8MuA*NQRkIkEz=Xpm8C9+PfIO)K>bGhuf9gSA%5p^t}mR>Lc#2ne_ZA z)EDZ9o!}XC7rvnnVQ|tDGpT-pZ($D)zgzGPx(9>f?(g|()W4qow?#QR^!KFosu(N3 z8>;k9`g$iLB;Xbd587eM8fA=GrwkPvclbPfj?nZsF!hMR9J0$MlRPRUk9)vv_=lyy z(8>$5s7)qQf2dNK68|9#NuJpx=VYpv%6UJyQ-AtDc@0CCD9qkthRIv?pmNR^Zd70Y zjCU~djK^$cb4*syhO+5JxOrcPf6#px9rvJe45=J_F&=#H6(BUN6vmD;mbU6(^va#s zOE!VG&ozXSy=ZvM1(P?ZW5Vj)ICAtNa+B_&C_WixJ9eWb`Nn*3mckarFF;C44EkX3 z$6uAo-o2#uG(+3A&BeOQgy~b^6%i?bjGS10zVGXwq;kkldg*Hz96X3t?OO}kCQTZL zpg_{4pF#Qpr2LY8Ezy|$pGmSR|IenRq$}8Opo5hw7eZ5GCnCc=Wbr0?E8k`RBnI`* z&|eFreE8r#4j(><)vK3c*UnAw_PmJVLXK7PtdslEv;PVDtALaeeVCp<&w-uYS#007 z4tsWQfQP#cN?vfxk|F-A)fw3MPZG!QnD}pwPyRXmUtC;-g9lFGyY3UwpiW;*8nXr# zCKusFc3xOO0s?)aapANd6y&Wi_&Xg8?X8P#>#bpTJ^;af(eU+%fD_r+_7?&LIgs!0 zXUU%IXz}-@P&i(WhLdePQG$&4@83s`7h~aYJ_gPXSKuH15Yc4w$K(UPWMG`j0W6*Z zy0;l%SB8Btuc3Pbu;fjh>3|u1r*#k58k&!pW-b`Kat|h~*#*6`?g%D7Avo;?w9b2z zpSBm{mMcNizzTuhNk~Y}hY!6Hr|7jedje=unR{d2Kso*$ba`_t@h?!>`^Z5%wSf*rvA&V5Tdao&L9U~DNnS#^j{IO6`8$*|D!A4UXINeA`EWNH) zK}lG&+W>>;x#N|OW3B6bsF18iRR2Q^G$lEWsQxO6z&_q=N%9`0p?Bauu=UwS46Beoiec2(SGO6?qh z7;3*0r@b(beE4BYw_>yTS-9TJKy=PK9P*0A%xyXtPVy@qx&+Vrd5FxVcJPeG)U8?= zL2~ZZI|*N(WQ2#@g`tHlCQ|*!tl0zg^IiyiT8fbLSJ;2q2b0$A#o*<;FvHLuTl}-2 zd6Q&(EVZ>I$*4!;W8YO8k0iS;Z+a!(1$tHp8FUHs$~z{eK*97hhA-QO*&Ebwfn*7z>F*MKvb@?P?;aW-D)9`I zd=0;}x44pBhNPS_#AlTu_5+Hiv2&B^aqA`Ek@N^F6_iB0_Umf3(P`FZthB!ia~fNb zRDK~(ZC`+t|4sS@ASE9<`W{I6&`+#|@@(%L8=QpbQyaU;~H#V%C>|}HnPR2iy z<9=({>zoju{+9xfd@Bsh)#bE_iN9v z)$9!Ef~}aNq=#ePaiUHxS5l#9c!G4eA|~!I6TpwdG(R4q`s=&I2 zJ{Y%tAI4H0)wGVl-#Hp_v6=9oIsF9LEhnj6-D%E_&U%Lk>PrWz3+q^G;`vj1Cs3a| zT}gqWk(FqVS=)7S#3xSZOqc8FP%yC;vU44*{1Qc-?Bi0fR`;0b`z6YzI1@rPO=cM` zMBc$l4GW>0R%u$|Qq)~BhHQebVevi_p_|qjoPY!AtQZxqa|oiIzC;Yk;zG9AF>2fWDWv;J@3W0!lKdLZDQ`sETqRwa zKsxn9UCMNg-lscnNG(!1>IY7sOSkU$>Z`BOsNZ-@J>UaPY7ckP<4@V;`vRo=Z_+OU zDJ?z#Qu;~<6VQCl2b=Tl&V9P;iGxSu8A!=Gl74^J!5*IG=R^#R!IV0iXs)4oJ==%I z!ux$K^gMd*e_EF^$!>0DeOVF|ghHQinj{)i2{gA4SzVym9uuhKh;OK}f{uW3#5 zGta+5SMNVb^KY7%e~$-U#oWC{Vm{lZW&v-vSR{nq#i1kim_l+7oVylE=4aq{I}`Eg zFJWo#kEv^RpwH~J7_WK~8@N`9V|HDdWH)2Sobcgr{>Xlr)bD-*M(fH5@wTf@sq7 zt`1SytZE^A?D?e6PoDA;^|m`7h*jhp_nW?wd>sRv3%`ye@`>zX?_!y%hp>Ge3Zw;So1*yKWz=LQatyfaqs75>_G zvL`%lXCsRA-~pF#Nms8>!k*&}@O@N>aGK){9fL7xqpGB5W{D$M!%&>m}%mI?Lm1z z9V;X&gKk+jvW>-uC$ZkN%?H9sH^$TF`LOF)Mdb)xO+MwB@LR$!v`~4#SCj^jPxYPuuun;btvq&4Kbd^SGh0eb_A$(>AJLz$|$v?mrIi>rau8 z`5Klkp=6`%#lV@Xu!Za@&x--L7V`w*q{GkCcsNM1>D=K%t;(>EY$iTj;}F>>hp8^6 z^r=C0+wA)c)3wiFz`PA)7i`9C69*`T=EIEaFMBdpBEnLT7?X;`yZMM?9ZGeKrLoMq zG@kn8N*28%S#J?QWAQ9KkNen(bTc)XNcV4E!vRxcEMFy$ohs^Z3k=7TqBmmYlW$x6 zR4nH2r2hhtGAsHkgV;c|JX z=o%s*_NoBua+_t5j^KLm|M|Abw8A$?x|a*@p!+y?=?csb217^N6NZLyHXV zzT7efu1X-x-%DSBl%HRch1u-Lu_C4td`uP|o; zs#d9jR*f3NLUE@k=NEyLJ$u6X^l6k7zd&lrBPed(jB3@Yp=HaKFt<2};?fd4e)cM!80*X9?@r24znzcZ>k&@&wX5b~|e@gjx?Aj#(DVu(DBjwJWKLRO< z&p^3n8R-&`vTj{8;hQH{Z^Co$P^3HiV)y)|s8yvZ8aHbS0|NsTWao&RD&OBcS*s58 zS8qfC)holr4||rZMC}?i(1_YmkNElSfyi+1#$GC?PL*mBkaGVAKuTq0al>N6h7F;k ztBbt+d}L*32|fc18`Q54Z4C|NWo9Ak$y2D%a~Y7x07NZKO?oe~kw-F_7#oX?kstP* zbR*?K{=7eJfp)u18g z|383~RF2?N{dK6Fxt;1%tp?>qE09St=2L&`t=fQwHEW}eTs`bnRYeB1-#-bYa7=eH0qHZsw(UxmBld628P8Ip-_kIE1DW#Zr{2TwD+ zoZ(`67TcE|!sH)}#Z6v(tK*E(CclZs4>iK*L5AYQwVkzf^bn`xVuLZ};MQGbpvZ{(lv{45#|U z1R&kZ9;RD2p>vzI_@-JdOkcDdVR1<)d{qWpXAcY+H4e2JG{J&p@`#9+@m)MHl=-CN z=Pz4@NaFLtft`$BQ?3!_E?$oCxGRG1;U9#lq-!hJ`cZe*ZQLAd6t*Jv>J5>{-pvcc z$4sO$8)NpOWeAN)5PSzu9}J&31vTq6#OzU%5PIYsiUQ){Xm}Vu3>=Oc#Gf^8DngE) zLvdg{oD3{6qTf)|B$;O}U4dX(IZmFw7&&2zD1YXHB?yj+6MSbcKa83%6*Y-JWBy`s zBG||L>GKvLFd|0qUA+A}pEhCw0uG-+aY!OuO^#vo0FqO# zA*Re<1i$cTk>AZX5Mw4y6Y@`?w($#%6nu9oXWZ18l)sV04~axExd4JcWhRy17?Wqs zgKuzzSa|U8561Xu^jwmsoX_QW1%zP2^x1+xY35w`1ci%oyaPiqVH)wNoQcHu4k7+K z;?pPppZWYd@1QWep!`1cIdR5pR3|ydPn#*q<>!XR#AETwHKM-deabQ~SS~Nxiu17S zEEAW>vU43+2Ih0!IRDtmGX$Sy_79E1RIVrwR7Una^~uz^3k847r0H<= z2@vH3P+Lx8K9xV3+S1i0P?W>@r!8C}${*E#7+h(r@Yo1CWP|BHj2CS_a_S5?`vr=+ z2S>+?F~Q}J96wp~J(t5{g!w#XMvk8%#t`#EqJGRjg7Q0Zev&gJ_6lav*cJS7li=v# zgCcrgcr5dn=J)7_v6JB7?oE7dLm8j)^VoNwcYxb1jQDf79Fl(+*}C?wo}!#^Dt`{W zSIqxm^fes^$Jv!l>`Zk(BTxk9cq4`J_(D_22Km8JrvX6lP zQ~p4DmJcmp5GDV;d66aj4_}ae%Roa}pzUXR<(Ek48xNF4x#LBso6w;`*OGqtShs#i zte05NG5|CAf*mYK&c&0>Fpl&r-$?x_o%$)_8zA{MYyQo)C6@5Dlm&0OE^Ie2DD+eM z^+QS|Jr)^?Vy|Rm**!qE!yOd+#^7BP@#Ebj`o+3?qAc1&)Pw6)u4lRapVFCsgbIV) z=^6g?KKdZyq$QS29FJ<%$?t041^VWPkda@62WjMAsc4{4%Qk4=wI_@Y9zv#!zf)Nq zja#-wyDmLoc)$|rd4+hCo(() z8Q86=i6*VuiQgKS9z+KHHsxt1_NZ$Ke%sF71wTFaIZ~cwVV{lx$<-NcT6ciH0@?Ro z;Ye`_#J*LV$WLsIw%vO{k7RpFvZZ9^LRsGs%{q2Lo0jdNw?PR{-9qrl(H|-+H<5qZ z8g06N2VHYZJjpLaYE~XpsQl*bI-zx^ZqOn5pE5r?AFBIJ(1LiasT}RK+wjCC7^zMH zP+g^f=Jec_ox4HH*bGl7Zz?@keZMhUbnJ>2&Dub7%@(A&1mUrBAklA$(x@#oP0i7&YY!@`4b1d?>cn+Zr8=e(|0$KFOER>gvYWN-Ky}y0 zBYJK|;R{%tIE9}52I8vrV=`T_dN^`9>(LGe9^vo)GuwR-a;D=}Kp)gR~gSPFuplOS?*t13v52@eMy(6%Hn=;yU=qmWT zS1aIwGuwR3-$(v$S2StS2D{{Ce9CVq_+7}KZ-reeHsFDSFETvBV6=HR+O_I{Ms3<- zr=||>Kg&dBQ3;HXoeL+#nzX=Y9I9ee+FZ}~K z{t1%m%CW<;xA|1pat-;%62~euHMPkPXoVW&@2p(01PO6LG)7qaQXSuA;lZ6-Ff(w% zlu;&_KE@1(OkMFL^)COKzRy5$VHyexQf2h`PZE`p|44imJT}wwieQ}a*&ae2X#GtvWHuvsazwdEn1GJb{=?@n2Lm3B{*^e&?eHj zN}p7=))fidr$@XCH_Pzw=5xG_zJ=Jsr?7DJc(QM6p%?iR=QZ}DG$0mnCoW*_i1Dag zwFU-_90ey2FT8sD4q?%;m@;P`Dp#+GQGEu&+xReE1;xY1>=;J%AA-u&YGCs4(Fi?a zgO|YxaMm}+;O@Oqh0341Y&l}DUc<{Wz}~?Dg9i=7x7BN-!^fK85WR&d1N(S`YgjHuZZSah79FVG^`Ws$9?zV7k?9r$t+k41(zux*{+oGe zj=tElXcgq@$e~rI&M-N282K+s$aj8<&AWD^c7sOfL_Wol9V#gDkH(D)E|8xw57led zK@alTY*h77;va)6r){xl!ZcK&vD%0HyED5~$UlfgesTum z7W=7xjfu~)n^C>Ah(A;R0vhxhhN{#~i${$`-0^cLrS`k5WrTj6d!TBqI#|4V4H9qO z!i(2$ar)c^bnn#%)oa(qnhl$9>%l`5zI=_tr%t0o_nxR-t1h0a~?ei7NG*VZid;Q1W;PV|tbNrYs(BJnr;)tdQJd ztR&J{A^Fcg1}KX-SR^hX4vSYTNA)`O@E!SpXH}?e0^<;GbqNbbO{6;2#J~|F;o$Cx zmt;rBQ(v!Gvkp~j)|UKOqDob(WB%f$2#<*qHnx|4AV!QCN8_d@rp}x#`21T3S9c5? zI-J_OCT7l@g|LudAp?m6+b7byvoD?~_6_zYzJ}YQH%Kdf_a5HO8#`aoI4dW5?cS${ zsgJRD*A6skP!C^Kt&Og;H)EsIU7UDCbtL0e?7n#Y1xWdyr2hj*dE>GSNXhGmH+{r9 zB_F6dZ-S{I4&TpUm;VtJg0^78-r@HfsgZ@j5ENKPCA%Xwr#%1_GiUnLo+@=dre z@;qYj_`)qbI(t{#tR=u74E*5uqzo+br%1Ne%D@?3FXs3xuQT&{>(40I1up^|P!i&T zx7VYA=VSv=dPI`~&j&ouo+q7o@4588KOl4S63w~Hcgm2CfGDN;^x}o{7%*^<&|kCX zEksO0BJs=MK>BD1>!WJ5(0SKNN3eT!)^mHmvju@N-1uxxYhWx?t@X4+GD}| zd5DdR$4i<#?VViEf6x%2w-+s4jw{L6QS#~yY|dXqkKW&-2I-^K>o(%%-TNpaTjvO` zt99*;+V$#V^EM?sc$9|x!eW>lIEYqlJ3y{c6YNn|MOu0$GIR5xwciL$TDL)?dJV8| z?qWQ?=z`Mt6hvJsh6TyaHvP?D? zE}`U28LnKrfkn$!2-&#~T$h)`k0jkPWA>>1v>P!SD^COoee{2hl_Y7b#F4AQ zV}{mLZ1lSCfjA*tOck^?a{SE7o1YjrFSM4S8eREbo?}-pTFzZ4_2qG zP+U?f4h##RHa4YpRUP3C7-BuyACitXo>uy z7kK#SF?J|zhn$=oYBcYNQCke59GneP@=wi(Sf?1$`>uDDd>_&?nn~}VLI2-Re8-z_ z@$5z(*%MdcyWboW1`HNH;)s5O;bn3JugHJ!Gd+f}eMu)1ej#?}9Zm<_rDYy<=CLR?)!=zi1?q@3?s4 zbm3$7>)s2OwM~SN9d+akW(^xnK6Z5s8#@l}e*WMUq@b_}p@-O48aZkd++1A*pKTad z`iI+^{WHykx5C%t8xh&}WYAvl&6g;=`V57hAvj9%c5T^4_-pc;6mWxebt&m;(j)J6 z_4XZj@c0Sx$?h;Qw?HfEccH6Q)R2~$h0Oc{XzA}qljf}i@!wg*dzzhtJsO&*-?TYe zk-up|erLW{7*Z~~W7oXJ;zE$>tvX}mRwL{S%7V$qV#3dyQJBjV3Uf=f@{^)YDqpp)Z#ro@n%~^Er)f+Xb9QiR5arKxD-p1U<-5bww z=Egg5z!k5ZXpyYaI?X$2orcB^>wG>mVVm1s3|YEO?Cq)5q$LKg*T7c4r?4V>Anr;o z3WBMVVyTeF>3IF}jqrU1k$!wD^r-|AOCjgef$TPJr*ot-+27?9861E)Gp314a(ee4 z2+LFFkXHCwtbFFv?>-fa`8(;q0Hkztb;Y=`V^N*jY%t9ur!G5+m?*aygZn;JufLN% zcOYe1Ss5;0x`d%*+j5(Y8b1jaUA+Z>lG}`LqAagV`3;i{lw`V@R)~|Qqp@P)Nz9&P z0bLz0#9Ye|?aVPj249x{_V>^iAm!(mXwLnTK9?lxlg}U~wMz#aJ8JaV04cpZE@JGM zVM4Z!9XsRj;Uj+#NEv|1lc(V8ueqGou(Z&nI;PM!yrfZtcV!vWzUhAvaaj^^uv35* ze^y5Z4stzm5$NwgvPtdVx^-KanwkmF+Mh|`;SrcY4hb)8v}n-;`wdi(^D&U}BbokA zl7N)bcnS-3#l*=YMSW|_)q#eNCh`g;NA7o}msH+;vN*#SNEr_#ku{rqAMt0|X_+E6 zi?was6oGXC=O}KftS0zPU{TIL?*s)| zIjxw#F)Ad_^0Z4g}97Y+CviwHMCQV?XZ-BzgY&?1J5ZkwrkzczOnvs0Q zYd52a9IYpI9#ERS5H%`SK~s9JF#{&ad3t*PA$E`>TC+w?2}o&V^l2dF{{0e=GW`P} zWu3Y(SgU~NzTrrB^~3H3G9YF1W-u@{K>^8`o=Z-cx+?X5Jqbv;W)li1e}+dO_AHbC zDUi~Qfs|f91yb^jl=R%pED13A9!RO9BLIdRr01I|>k^-Da^xEw^GJTasZvEv4fX2P z697vFF6O3Z2oR$Q)xCAARzK;xc5T~=KFWWZj-0e7P*YJwy$1CmN6ww*ven3S^+%42 zzXYVLDFafj-hdqM5abeRtXK;?)w-Mt6dkJFI*lz};ZO2jWe1(xf?!Ca=_QvcsWcj-M$2_re&V6V3W*h_d zY2yamyM2=sa2f0`o-hU zP8f^C*eJmd@bSdxA0(UmLt4Ig0d8I;{Z7f%(GI=4cM<$a<3=MsIzs%`o!Ycd@17FB zS8v20KTTsJ2_E}R@O@ip^Yg^-zegPNqlvF)i0@mr6#NO}#~?N;T$JzW>V&?%dPw}y zBM=)wa}bs9<>rijvhv4|`T;TFAtL{W{IMg4BPuLd@O|7}Frd$OKjZs)dtl(eeu6)y zQx`-U9RvcS;HzbTfh{GyGn$?oA}29KpN+i0;z3>4Cwnaevq#hhRNjlVaPy)1kk%dDcIi!!v^&i{NerkBG}DU z*joI_|7Sjb&-pm<@A&tEPvvpBoVb5xPnT{*|BzunV8SAa~;b0TsP)( z8?|gP)V5kS)^J8>5Fv`MN!|5 z9V^+O16sF%&wf)eHewE+z}Ozr80bg&y&UYwY%M{IpD)G_m!8XGg!`Vy29FUQE9HD1 zL!9DhOidUgmH$1BS&z$?gq(3f0hl;ac1X{6-QhuV0++*W#bcWJeR_0-yOaIT_&oOc z9pID@6NxD_c7Mu$C*CK1ubAJnYiHQoUKT*g$e37+n>tlgZr=>s4opYUq7JZyaI(BLDxi`f1#>wHF5th`=Z7 zSJs=XOFs3C59qy~Wu3zT(W~_A-=b&4p#n6|-1ZSV@vOGB*wDnm)9Iu)=TH1?x_0h( zQ_625boACGmbjp6BkGdr?JR5uKEU9UdX^~F&4Ki+t)Tn%u82Dph7jYch_y_@6Nhk= zMUtF})E-RSuAkDg{8?^el%M#@pP#DpAEg^K?>;15b1xWat|zc>*-Uh9)f5A0u03OY z3V2ULh@b6-&0tRZ;4;GdKDPyYT|YAb3D(ev1;*u>PXWiy;ObP#V#ipb}Aid|bbqtZ82X3c8YPk#98;sWGnJ;UzpTLix@J&XCT zit>q{jy=1T$gi$|I$wVUgT>46%GDPI_HNiSeHJQH`E_blgT96;US^VgpPGU_vhr)v zce=}0;-!Bmo_qRZ-`x4AM7)|+D?&$Q4@%RYqA)EL%GbGB$zgP)6dv_E6nc%DJ z+)jS@x2Q#BX)j%Y65n7Hc?Uvu!D3Y7a%xn8wx%li*tsam%YwSM%zeZJh zt{SyT5y|r6*%N5&*@^0vE1(LMr80jZ`Q!mYCheumMO~{=y;SJ8g}GTMDaeJ6x(aGl ztweSE1}eLEpfD#BrO)%AOETB0RvA?*d=2HTig^C`5#D5H;;^9sS~Y3-lfG+Iw-$^v z)KL5+4KFjEL0@Gb>Qt+O$|Tz!MFr8`-0u2RuUb_1iu9Y^v*#k;-4`zd!eFp^Eo#&A zd93W3J`?$Nu6XV452KZbU%C0gP{!wScFdS1_?+LE_;RxRJLb(t zE|vc}FdQap*F&!2x2Q<+C@GK+{O~^B0ZFdNiJ^<7?t8u3CYtySGu6mjml%#o7&&UjiMknKT8DXk5Pxiov0+yU@BpBUGzV1Dm&QL2BwFG6_m>=+KWqN`+0E zkoxEmsdxrbTA)qqR;XC1B4#dF1mCCxu`!o}rW{n_fYLuj8~|h31wZ>vMjn)P7)aRy zm8hQ>NEsIwOW*vtR9yTL=}!xg@*o=z?`Mh6M-P9UQd4q}omqnX+&8#!?Gd!pjnS!n zZ&a_^2;(L!z^Mz~NPh4fcb>jN(!G4d-OT#OD4yuXqhj&wu!L0XQZYr_c70HzT0_j6 zvjkzG?0fwCiPyr99yx+8oh4su*r>50@X03>i`C^10-ygBeF&s9I&>WEJ9ibbjT$)u z9`2G|{w4i$iQ4{uCdsP&Kbtsq*{6@>qj%`g2B)nK$l@=g4E}}Kz6_9_n$lb^y75%=1nXi+m-9e z`kHU1OiN9oZ@3+c|M3{!o0m^TT^Tfrcf1Ar&+TZ*$jpE;*|7D*rX9IYw40doQ&&dFJgK>X&vypo?YQi zJ~gMoCP(I;>b4ewzjLgLj+bh^~HUb(x_i+5$3s~QM3yZ`eD0|(< zVp9jS88K7*t`?EzlEomw7eF4jcOKWs(8`j5pbuA$7DsEl0$DCo(T4Lu$4RA?W znHnPzg;!H>-Xjs4Y{Ia`=^9L8a$$4l4J_hvvB&uuHV|Lc^%hPh6vH|;5AqsEQMW~V zQO@jMJ&|m26!4FL;~rIXtuMvG=1!l2+tE=pW#{3H#X+>8eqsK~`E!t(lt{xp7e`Hu z(3pXM#NRxAA~G*J;tlyv#^gWMrTSN@KzCbp#CD5^?h}S#_`9;AUtb=1-d_p4q-m zZCu#C8}JLqjl))$)mvJ7=5>FU^JnSxEyDHiFf5rVtvh~5GscfWyuTm4X2l3_w8sDe z!uSf~h7CcSzpwbM>lqvL?9f*5r;Htegm7v7`$7I|nEG5J*}}2K@dk{d^Kkh=84_s< zD3Jj_el2A`OM}`T2}oI?T5Swov>Ez-_uxg-$vyJXgr;I3W%^y8is4}QLy)qgzCi*G0$4~-^9Z#woQPPtO6YL zmFC~$VfT^ECL+qXkcc9P92G!I>k=fkAR;C$&UzUwF*q}_4Q80@1m&!qsCS4*(N z@hUc*A${a^7ssy_34N*)^aLBu$71KDC-8(2J- z_jQqsty|#oS!*Ht?W+k`GD{X~t6U4;&r`rUs~~8Tj7O78gg&y2%Oic1Ea@Z9yEu8f z4AxYS)%#DQo=hLj7(WtMW7!6K2WPuW=+?2F;Ln*c1-GuV?OBHNHdbicqN(7oSTrAx z?%n2-?QzV~98G2VXycky$VhvHx244}G0+w3%VOPeyCU+lGf+~L4=qhqRIgf5tl#dN zJq!8n+{X{$>wg1EXTq`9F&-gz*~Tlwi_({{k9|NoDgv4=iAYM9e2LqMu~;;Fs(5Bw z(vjy6ku8#+k6RJpm@{#_;CJiP9?tfcDfc^Ei6Q?%X1nz3)#H6UkYl1h43=!25km$d zA~-q2>Io^~MAv--ytc~(oD6erIQrrea#^bS*J62DcB=WbaT@yCjc9MP$!@ZMd zu%N#bqwUbHCC;8aEc&dNbls)cM^GSnH(X4BW)Rtmw_hWa+?g!#zWjznAq_h?O?I{q=?nAPIWOki`#bg0=!oqH%q2G`kRkq)l74!PxO*}ck1yUrv45zfLs^%KTPZ*B``VA=iN5ScGZoV`O_t*9_>? z0RiNDvhNs5zT?mi(mtY*BZna>;>SHUeZK1^_)|uWKvHlJP*@0io73nb^9>dZ7=*hg z&WL%=Mo|f^Yg89}`PD1%_z_LYG|!!|G(*dVbp*ffsF~2CdHDQQ@~tDX$=6K4+EXEr zKN*NMr-HHlQXCG1W#Zh`moT)C$Ka_;#qS!?JbiHEM!XG+My9(DHqVfJ(8e{Z{&|$cC^b`;j1$R|#eAh_wnWs;mjO%0{u^qwo z65CD8XFH1RDQ*v|6GzaZnUsIU@L_mx?jpS#_i;7iHgqmUV%@oDsQ5p^@f$C3ifktR z;KxwB7=tb6qhTEM6z7skVd8iNqozw^<(qH5!H9*MVCZoR{x@GCEsk_w0NI(*B*zo_ zyX==S8)-SuaU$rZup#uluH#0Iv>q1X?}Jg~A9MN5TDFFv#W4Y-WHrq(mruoF{!U_m z+mqtA$Si#a`tN6%Y%gC%@9(5NdL24<#i7&Z1(1^4j1NrxRK5OA;`U<7qBbKjKD)#~ z%G0M#p;HIR?(f;BFHYM!i-TFY&C2763~YQ#_29PTRc~H)NvAqlpNqqs$rc#VR}Z^) zI3VK6Q_;@sk#RiwCT8wL)P6m+Xr&0uMv zF9T9?egBQy8ab%N&(49H>sbsPA{ms;nzewr`9bih@4q+s`}<+SgmJPyZHA*qbW!$} zFU{tenV(f2Nc*cPn;wxNZe@J^QX;92{2f0x4}Lzj7(GhbG~S>=BN!O$|Io$GETU`DPAZ2-d3lJRSgi)g<1G!?=N>El;LGE*I|KFK-9NZ%7 zJSY|jO9UQeQa2VN-aiP7=Py9zDpk<7VPhOpP(rC^2p*nu#Kx(!#Lbhx2&AO^mYcSt z#5E8pHul&=`2~=&aT8c<-hmR25D7>*<97flyL5%M$pMtyd5F}=I4G`MjcQe^q9w^- zuBw6JYj^ONoF2s$t5CgKHMD5b1ZL!bN&HxBLv_#=>V?jqEvQWZ@b zH-@pY8Vax8!c)q>Lw+r4k~|EsG&DB;bs*)Q-KbTk4w{gh20QoQIXyQ$<_dPNS&v%P zs-tnUW-u@_LBY!sr03^ikD4lK*R6|2_2i(xYcC3J+(ky>HSF2EMF9F3V5zra9rB(1 zkYVeAy>l0%4$0Y&98o=EBjgtsAu~4z%Bm{A0;FspZgk8md@cbgHON`4Ump$X)Pes4`dL)~gt`4$` zo+Ix?F|_vUqdqx+b*X&Sjhm58PIxXk+}c}|guE=biiU=$2Lmq|Aj&{dt|!;`J&;mQ z4-Kh5>yS*!8x)X9<>X(z3BB#R#Q3a3G6^7MPL8;3Q_sKvjT-+mKuR7zbpn(J!F zH4tsRbSyc-Ux1YVJ>rEs8IY0#i@Y$(!Ariikr!M~sGP!OeH-X)K8A&p%mlb{{zNnE zUU^uY^cr>Q5?+M3N#F}6UIe6a--9?lqMraM%K?SFXvjghk8h*;n7Dji^rdGo2*c~( zIh;~EE#x?*ei}BKzm3jlSqrk(IE~{}KfZCxOz8wniTINNE^u(b^)}eE*cRHWT;O8hj%;s|@g~*d9ub2!%E1;N6EB4F34R<9=F|Re)8EYFI&xop zT<y9UJe7`1iG}Yne=86)UuXr)&ob@Sml?B^oOqqzpI9X8A{_=ak zWPAxo*-Hk7oH}tlu6zKbEC;-ONGp~s#Eq+@+bOv^+M{<5X;F1DZ^V!RDcxKg z(MJY=oIG?0;$2*Ur|IypI)%PnB^f3T8H_jwCm{6+JjiD5+fiDyWgultR*U$I@NGSs-3Lxcv zbHFbGz8d;J0x8Fg{0We9a6icp8U4esp8zS#fsvzz4?!3Q_deo}95xuCffB$nz{d+i zWq`>K`9Z$k?*Wq|h79@yI{$AP)#$Z)n8JIINA{1j}`MFPgJ!qbx6h+S;Cj&YT=+YV9Cr^p75pCyyaf76Q zV}Ig%9X(EUPeJtgOBmlzYQuh1j+Y3)z7u1F$BN+7*m)16q`LFiVt(Ha9pG`~n2|@op_(7$rhP=cI!g^ zqOAZ@M#aQo{InT@-?~9v98y~MBakxr3y|_ZLmvPsIY4Q+^|%1^Gl)`i!%?AUtw^77 zAd?RVVBjKe{$btgV0Z=(cwDi5WgWfpe ze#Uig5kyTmdl{GXY=o{np?V6|pU|nlMCH1c^)ui8%$uE9&wf(Zmg`yiJV5_Hxa+9U zwMJX5pt|xr_AYjWovSxq*t^)z5?P|Qaas@Br6QH(s4XSs0pc$=7n(ztl26TkYm-;f_t33^);@#gw}$FMiuC3s^U#i zE?yR7LrZlpYE-LCZS@Uw6gJ`YwQG2loD8iE>rsPvHLF*Fw)#H2F3iO%%CAlNYgVm9 zesD$TsP4n7=Q+gBg8E)10RZHnpz89aD2{F@1uAnV@iXzZI1dKYN40BKL$$BJg4(K8D53Ik z?K+OBsGvW_R?Fw7FA`x z*|mHLib&qFn>S&wb+dR5^LI>`fTGKefV(eDSFM2@wJZ0t$kS|(8^wHL(i;*82OFH`=4wGNXeD0%dn>Qd&R?a~aee#PX zz~si^KOmdtCYHx?#VXVz9`jevnvN%7;dpoN0gh?upiR?es8+o?wro|zv$RJjdsTvC zhb_@Y2BcJ^F_unz-UN5l@&H=3XpFC^eP%6MfZ!`h?{7ol?fD#3`lpCj5zAwoj|z&Q zs;^J}d}Dk=V`kB!IY>_C0P~+qcW3Be2-Hql8PnhlZ{#81#-v@_G198MW z82hzcv2>m;dUTkBmW{??_@E8gyvYjt4g6qY5)1= zGmuj6z#%kkDQ!+2IA8!=T=)QzFX^95)b{@~Nmk|m+2ri(g8uy_U%YGA4zM|WP!@mT zH}c;AQl{Z`X&TzcJGPk z14n?MXt-+`pie8w2ADBo1g_eVoqzof?DiX@dn;*Eer|a6Q!Mam2?Bog2Sgbw5U}J$2M-kJI`P|usV+@V82Miy=ahhVM@q|m)x8`vE=Ecg$TZeovyG5StjiaFbLa5nfVl5@&n8+j8; z_U=cYDNC_JQ4`J%;Yg0S4^tfrbZ935PG|J_9*GB!0X|`H*sG52O(lTJym8}j%gG(M zdJAW?bkVL!W5HiFeFjo|15tMEHjb!ipjmx6A^+yd(~*A38LvEpU@XrE)Ko@Q@W;;eBp_v{1`TmuX)oX%ife~XVYUpY(W_GjxL8oz+5F|d z;jg`+IW8v!xF1b^tUr+81;l#dU9`KPkJrk+&}Txw1xU$jcu8L3=BB3}PKdR>EN;r; zSS7Ei9@=9i;*xwzBIoDB;P|jqKFH08zE@du1g6_hh{ISJXen;x;&s9}9v>g9oBkJx zfs$98@h-{}dG4`zVEq7htsWu6F-hD~$=?b3D}a>cf0u(lxbJvf^;2|>`s{W9knDq0 z4;$F*SHp(YD=~K3Y;+$r4ZSBX!B%ZET)oK$##2$(ZeqQ%KKjtSyhY0tw;u4Gws&x` zzl7dBq{DqUHklO9he?&e;nI2Z>@0yiM$&wGIV1sB$(cRw8;8m3_F%xwmDp} zNXU2zlgqvswR|gv&0USdXYEi_`~t=KIndKkMZH=zP_1%BDDT;Yf}AWoFW1#Is-f<; z6`;3d1xnllkWKn}`_!3YZLD#VhA=lb!<&~SNK1KubyPQABW=~Z39OEhE`9r29ALX- z!8{?O(ADS82-$C6zlxQM7YII6mB#Jx-Nc1ht9=L#F?W$n`qQ5D(b7Gni>W=9?=ym9 z+yl~6Z((L_i;i8TxZbo0W8cSQo$PJVLl!@sJ7*?t$kw9IowGsvwvs+yv2-yW-n)Z$ zZ(icqAq%u<(n#o|P3zX++2coeRZsDj}C_m!jfAXlZMp7TGQ} zD}4*K4I5C9d<`!irs3$(vlu>i4aTqBA`XRm`}!qva&lmF>{ znT%yiq!b5@t-sl`Dxg^}Wh_ zkVoU^MM)9N51694?C^wgYDdrGHOE&kijev23AQRK;M;G$Lesi+a7a@VZ&M!QQB(|8 zFI*tTO`E!PVJ!|ih`=4Ib67Y?ItaFNmyWn_&YFhJYotC&hqBQj^qsa0lh*Hpxl<^T zvfm(w^x$vaRZ8RX%&C*;-IH|q*I#46sEIh@=#RUklX)$O4{;Mf%ID-Gr6vM5sBII= z=gKd-^s^?OlQ}jyAKs<54)%|HVzuteZLBCcB;TKdnm{Aj!ItK8yWA#qG{_PCOPsN^v74 z>skg{{sllvruX`^d|%YZI<%a4zZCE7x#^GMFbDXaGKcbZMNAk!UhF00d7@3rW@1if zee~>c3O27>En+P78Z^Q#eKW*mlp-*t0NW0pML(L?rjpNg{E!`zf^NahE)=VGu&!B# zRcm%2<`m7p{*j0@FvZv|lI|Tu^RNHKOXNFdBKVRmhWG9*_~J0}NZxw`czC&^uWbIE zHe?7AZOI3{eINE_=IACnBz@t~;kZrn8_#prTX&(YO#apDSK|@MUH0w`=@iTNK)G3C zr^D;K4-$j#;P6pLOj)rVoyX2a%i+_|Y0MlyVQxj$? z%6N7EA=2ZoVDqwNg5Rux9FFMgqwMK3q{PHx)x5dlIqjM^!xi$^f1_o%?SgQB(6-)8p;XTrVZ*lDS z5wvL0RMORR=HgjUnDB{BcI-m^nl;2B5W7~aLT*GXisBNXwNXJFZd;3N8ZFXUMN#p{ z3ys3ArOQS9k>kuJDyn$(AO-1h3D~l9iHPgft=|AUw2cv)R*0bcxll5*Cf&FaQ`YXr zQMWK8Wxat%QYzMJS&Ff;Vy7meuHF!R9~~8j2@|CChXK?!K1Zp|$S;hyb-n0+y6D|ZiiymaH66*~F#a-OFR|Uke72*+g#e_#tWF$7s}{|K-dsIv zCQ?Fa{5{Qti>(``E#Hg*bJt?`(aQ);eU6ySm(aiHi4n^bF?{xF=o*|tY`_f!+680z z>?Nr5&DW?}sS=hhTn!)RNMt0X<2CuH@Qnl_6M)B0>6yQ*UHR7W9lB6GKxNhJ^i=ajDeIk*4F6OMY8+*^dATtdzYU9DVZ2d$!%F4 z@8j`ceKr>JrX9kVK?c~j+X<10&p>9e$n!^lluwDcy%SWQ zxg=Sie0Is+-T{64N*j#3bZ!r;lV+a{kkY~a6b1~CHfi$>jVDf={L?^6H#c_-A!C%w zY}d9W4qF<0Hb6=bxAXX6xO4+&t5&UX@Sw#X1XB8A;za4BkPaPM;K*TJyne-(W^=%f z%i|#2-$7a8wo9&8IfzoKBLfRLSeFNXUptH)D}e}_G-(P$L+SRvKa;}3!Z3NVw28f8 zLpgDB@4pMAcpmMdUXiHAtp-oCC^$Nwq^G?_}ZT8QBlovm|k+KOa88GQ70VX$1pYtP-k^z(6 zVMw{;0)?6LWI)QszYe5q-x1a-+9(N*L8_BC6z45MwJKH6l74GWj$d&=6dpTE{OVP! zqD8|-FrzXhz7Mv}Uo7#dE~cBdp@{18vl}THNLgBfwDf1#zI!)n$ZnoA*|-&j{tH%K>IJ|UTv<}F5zs@2ex`J1<+kQ~ydlz+$kC8)_aRkmmWLsOIg z8%Rlf2F@{nu1Vv@FwoJ(^Xwd?r#{ARr5&h6`5RMy10zEe6cr&oD+_z}?L+N4wb7_y zL+I=3q98X98PC$ON16Eb>Y-81+R$6R7WpoI$guOo-nmO8AZ630(4%q~0GOGR4P}*I z08%O|i<=tx#zt*zZ2>Ukn;Zp@vO#?`s96hIE7l^{BM5n(!7x$SPW>zeGRvtQIb-IE zJCILpl|xRf+RC-4SF1MUh&1W%_dv=et5C064ahZW2n{Vw3zeY{eqwmyow2_^kCxD(>2KuN^j&I#+)uBrHvq?6-*;0GedLeH; zs*8%I3`og9L~1v2BjtxcN~*sO@wq+f)UFNX-FuLk_5}GPlODZm4Jkjj*IsI$jO;Ar zQ@`r*2FriwMoJz(%;)j6-^3J+o3{`_-_=t_;l{@f#%aOY_}bmmPaH0~L+!Ji*5fPP3Hf-!i}lowAwRp&oS zF>XM#E47ywO0Gp9`@wDTp1&%SoYq&bUgG456X@2ht5|@VHESl~=HQ5d zGEm&)$&(QoDV@OT?&gL;GLYNk$&-j5Ahqe1ApYdgO`sFhK`UxeG|U>9$_Xl*9AnwOUDF5J4uVnK|xY5(9hQwBYu#cEAeH3Og}%2 z93h>~I(pP71O`fg%mDg+r0i6}Q9XJgz?9?+OQ6p~;{VJaNzdhcocMSAd%>sjxLi&# z)Iam)N{h-L((GBY5D_l5AYF@2}C9# z?9fS!>m&8)(2*nHj=pCWH2oDc??+*;2KKGhnK z1LhB=KJm~u6LpWUJc&u)OMb|pVME}-<pCS>&V}Jp@^u^%?JC+|p;E@YbV3Iffa5*&3 z|1El!N$6S+ltsAG#n6)(fw#7);%FW8C$3l>i?!`LB);-Ch; zt@6`4wwxqg%WX7r0O{vJW>A=a1fB;jN}Ht04+7N^+1xxAloQ`vcJkO!yecUoALSYL?Al4bSq<{p8o_A4zW8l!Ryy|W z-bJ>04KyZyhWRB$1;o#QvZ^xK{B_WXd>2FVol4yNk>}_MW%4a+kq_C3{DJ-J6;R?E zf?OAG?3puPfck3Jst#REb-Wd;^`OD^Tnei07UGVxOK^~sxWQWo2Q(JLAuuOV7 zT4+#TPWWT$DiZ(2^L*%2+j5=QuT@>N9Qkg3co%cLow}$+KE3kNmB^=dDxvn$TfPc)s9md2`|jSc4Y^MqqlDVTfMlp!x0V1# z?wU3WId){jdIZ8`odTNFtw;SwKHmJr$g%f8se1rS)@~B~nk3t9<~w*$e!fX^1DcZm zTeEg8?56RPotBE1)Lv%BMrcC5VvUNGuxsu@WI21`m46t_6t<#S{rad;r3!Y=S%55h z?rYB=9N3_UrqplMD_6!&&QJVTT>i>6Xi%vlDt`Mlwr<@_odb8BUAVPlv$1V9wr$(C zZ8WxRqm9wnYTTHOZTmd$8RHw@*}q_8?Pslft~sw6J86k9LLHt}g^J6bigp#WyKgPk z<~f)X1fxZ5CmPe?QmIkG(`v6SHd2D##XuiaRt2lWVM`elAEJ119X`QrbU_q9x_LJ11exu!iJ%6KD_JD5`)z!7xdJ%51G7p23?jOxI?rS52 zB=VOR*?~ove8^Y&vn2i0T!*=;pXlw3PCH8QO_T|H%1;Y{?i6sZFLdXiG|h+;MZQUY zBn*E4+qRrSk+8aYs~tUZis8SUfqY5U!9v?PD@9JIXc2g(_aNYMgwl7HE8x9R7V)g3 zlQ)MzBt6!TgdgGTXGs6{1x0}rc!~tJ$*Y#@WFg;Qnkzy1cfInek}2le1j-)UUU0#C z)v&{!KT-<24>y5=H#*NGva-$gWcGIW%=gf_o{#USQZK^)?h1-;`)I*w5RnLlV>sr8 zc}2%RJ=`hypC~>`{*U!E{b>+6S@G-RiQoD2+*tcvJeu7fKjWqIWE2k%C-?Df&x5D} z`_}j9_QGXbqm;W2QZANVDbcf_XXo{US4gHRAn+qDwasz6A81ch?gsv(c1p+-Z>|5v zO%34pxRwQxOW$i8OU;0kN(U4!r-MkVI!0}};g7R%KPj9xvc(2^)dgh`ygw}0|E3wV za665*QbV;^&QRe8rwBC-^_ki@<*%QLm#EQ%A%C%tu*QK$I2gn7lhlG1$ z$+G>*k4|L5;%jjl`h)_Zbw+*VP6>Ovf=-AkAiM2Ol@qWi!B(q{=e~a$^{nop&>ERl zZEaob5c+DRRg%u-cK=%!WOdkJh24?nMY(0JL)9{qh+D=ywvsAX;L8=sS*sCnEC z)(viI9p1U8Kpj6Cb6Zr16rUTGDW6g2Q^K zroc|SscB4_Ddnzia+_?zC717K*NSQX+3elX3|5=`{pBVe(&MAaPhLhwBv#8Q35wg4 z@C0l~WJjVdM&F2_S?1V07RYUlUm-UiP-M^lq`P1hg3bb55zZ+IRyh5~oQ4P+B(#Ot z&e`tgYWyXYKL_Uaoa0^(5O01y*(muolLj8^jwxmZ`(owYun@yZral&9bo1gzXyoz} zCD?C{Cm>-oY*Ie#E9D%Qlt9FF%^M5g{VhpQ2X5;0mJ6-{C_~X0J>qRk_D&83lv}To zBvG$!fUo`ru|<1ZLH}Q=ZLDX}jTE0d=pRbCB7x6Cst*p!A*%+==vj#8O#FfR{P~XC zQejb4@r(Xm_jwkvZ4ZJQ_79KC0Z6H?>>q7xj7$a{u~$A%!f7__%$A=bKO`k$oL_uZ z*7inM8cd;2lj;mQJqYZ!8X=zu%g1`s$}-rX`9EC94g%u?;1iRV1-u#3?*2IRnbebq z3IYMDAn!eS$V`^0DQTUjJ$4b=jIThiI*h|u*5P;9@?9rRgJtvvS;o7s4Bh5k{Tq&X zJa5A9UT%-SKvXTb_50751#j{SdqJdLI;rQdc7qNeh<*@o4m6IN4y~ELL`WQl1PWe)e>{6N1V7&%{5f82qM`-hY+*5& zd#4Y0)ZTZP6*IY_8JGOqXQ#YRL_lY(+rv(Y)p`+`O^U!~gDcRMmJiji+z%3G9e>aw zfPsNwW*I1=Sx2saf%?PpF<&XW1!Pg$LjYxSLuGCI@p?zJ*07f_&Dz0nIsR}Pjq3XK z!PdEbWWG#x{PP2Iv(s}l3?57Bv8~+9g)y9xC3=9&6&&1RqS|}7E<;Oclxk4VTon1T*Hy0NS|LCjLX9%QJj|ZY-Ro--d z$>Z@nBnF+vP_=rE)6=DXm(K&7WCEdh4u`!`nG6OsHT6Gd8`|iY7-JI?(xoErtIxfJ{l9L%`m8d%JME7T3?%bkIOTwJ^{JkCI? zAU-D^{ciBRgX*r<$?=>DzRP*$cbKGM9e&^_F69CL&e zVd~n+=LcW}4jz$Tq&;3~r%|{f$4j`sLu{nLOu!~v;`4nxiIeqcHJLR0ogB%1cUVHY z%Gmw(h-Lb5aJFsvblcA7eOrp1V7Dhvygs{Z7){Zu$R?3=_v27`e$mjO^!;kq5me>& zsn(Ud7ol&|*OqH(?9HS+fn`MCWiYbkH~lb#cLMT9IoFW-@e#W#QuH(a*-=jGV{(tV z|HRCvR{j@mL%f;3bRcWu?RXhpd*CZczFrUDNctMUqY17qLa=dS9mYw8jb}_rJZ|R>5bw2c6w2a64KukXmRekbbTW_?;2% z`#|hfg>rhNFzLq}j#5tL^sg*H4Da^}2Bg9bPvwZ8SE$!$aJc}WnDq2r!V@xYZ|@>J z@1EAy))BmHqB;sHQ<4*D>g*ZUuorG_F%grizG zP{wKnJuo~@=!HIS*g8gHGgrzDHtcdG-n_Jogmv3Jq1`F0uSnQpcLD75290WbSBQ&m zu`P%lZkP1uVQHl`R~uyd^0)Z>-eC4T9zqzqbaFXyqaORb$%=N3)_B=KyzK3VCzDP* z0+!*vHg#q}y##t9Go8NrIDWW@c7VdnHVQDxop!$yYU-hE9^a z>+v;e5xC=acLG3qf!|#D=rrJRy#$jn>_zQx-PtXx6l;7U;(}3_W;8LU3171~ox~BT zK)BpMH1NCTdkOnKYRBxD>V_@C-LU=J>|Cs&weMnIq{mb+>k8O0`N%0LqSz5zGqe;N zfOm|(uA2PG6YO!Z4*O>P(c$hT<!XId(f^o zq}6Ch65)b-0K0Q-eI8HDxq1K=PYS=!zh`UE(*3lVKu9U=_m^#{ll}cjW6?5xw{D<5 z{N}C|?43$u@GDGI;tQTrC7YqVmAuk@^JAV^lBNGIa=s& zQ-bk?lxk&72g#{T2iN370vJtJfD0J~{0qg$+5gcjqa2y^nypB9JnSN}SSbg_cbXBT zwB_z+pvlUFl)rCvPl*K;fTuI$B`BDcPGx>ai;q_@aekR0H(=9hFoDDgAb{w*xGmc= z6DytP)Y}gHMAwCNlbi|22vc%t)%e3CY{>#eC7&Gtod zN|z?I;_rJCOMTLm`2{g%_j^+9$wW;=h+C~Aom)edV`txFYQX)iDpJ^u#lEs-4*n4q#vpj%E$y1T6C zB-&ZvwHB{1pd`?IEoo2Ik%FWH!T9Nnpi~CkfrS-p@l|fA&M%PlHb==gotD{J1^&!h zWuYQlV(#l-D-s84HEPm!@oWaYIK%n5I)%&n=QDL}E)E!YY7>PojGkHIqV9r;EzHJ-HB>?-W40ydadyFTDu8I+T6yh=Cuk4eluk!Kn-o zE9?cYoTGHuHv3{rB^@xt6rs#jKV5SD10hsY2-w-!5=9#il=v6b)Ri@V5uU2_-mZ+5 z`RprmXVY<2YRlWTtCu{Ed*_CSRLm60KU8m57D1Hi)g!FpOL<-gKdN}M5ksqONJ&3T z6u)~b{w?}h9uGCN=fhH%dPd~{xFc0q`c%}#H#V{qKPuX`K@h!@3ih{UFVclS5)}`Q zt89x=4mNo)gbM0g1jZ7Kk5iqJL|#}uK#Bpg<*l}LOg3f^mX zhdQJKfzf||_cpY7GR5`U%t0{tY!b0>bNf2o=~w~jQKrKHeA?!j?M)Jn`I1y^ZAz&9co@}sq!NTs=YAxtd@b2}wF^d`70>h>uaAL`yFy_rWxFPvOW-%gX;(`7XluC3v8c*;>C^c@+E7B_UL9z`j zRI)Nv-O*T_J3=EI6zm|>Mnq*YpfZ`bfSxFeT;Szv@(5A&ljWbekx}7u_n6|rO*pLr z0$47mW4@K*=dWCgnf#BQpIRR2(y7w_Kg-Wta5invn*W-Ql^@}GiR4J2S}lbx5{G1L zhkPP7SR@+Jm&57bO)ZSQ=--8~&+TQ@?KrOPd(5l2@Fh-0bC6J`E(o#aHn#J^iuX~2q90s`1 zm!P=+<0^kl8yNwV)}2e~E8Mgp)2vapySJw^cui;58O0ZW>+`z-;*1&4os)Zmn*ly> zrabdtlYoNf?%ktX-;t}Ff1{(OhZ`@?uQ_nXTh%lQ=d1VsyXNzkwKer`z6ncS$d5t< z1zzNa!f<;iAFqZ8X*$zUfWtyRER7q>Fi=tWX~6%djb)pR-*;UyNNnazD+Z5)`+9=YdAi_v-R+_aw!G9bj@hyc zwUvQC65gkx>f=07+J|HCkM!}Z;)zx{dU3=wlF0ULKdjweq>poFTH7X3k;^(|_F=4( zk0RNzf0u&mm#YEY^Y@#mX;jTCqYnl3=F>-h9|T-bvU!38muP1)cC^kG#JN^W!G2C| zi@4^lZyP@M=)Q|=Kal%eCAnL!W-_CI3_PzRL2 zrr7OzWz+t^zV`(LyVXGC3*pDhJ@45F4}1GAYQZYA2}Is{Lg&gP1CQ-BM@18)Hk$83ci#^xwOeRU zlM3oRhkf{*5c>%tKr*^t^lx>DxbWHu0z|CHGgu7AFEVwvb+-Y zA?*L49kUs6@u+m#QlAg&SO4W-X11cCEm3XjIbLz3W@Cuo6L$E1X})iQm8Kfu$MYE2 zdbJkAt2X^%$-RJ;4OTk-Im5BL?IZVo&v*WJE<$f_q*A|yrF7w2`%K7pdjKKUvuO!Gf)lE+Tv3G6#!()ScIm?>0KTA4%Tr;ycJi4-tg9 zDf~w9ky9t$?8-5R8Tp#~3F3jguhS?$*wf}MGD-ZZ3+;c!#{ikIV$1774K|TfCYNHtP#YMf|dC7#_@DbI0 zPOq0h{*+S;@z$DCNsy9Pg}dkb>{_$x^-E&qgKGxy_%w9F2bxiJ7ZJZNvP90+t7*kA z)L*K){L@UqQH$L7_yU+edHo~wZCm%sO;J=ftC;pVV?_O{)#}38bz4cYMgnwj=Z%SLRww<*0IFkn}o$e{&QZO6kH{ar8i$iZYDy+rzM3A_<-dOg4o3D_Sx4 z`|r~NlRUHet4ppY%)mn`>4x_$T$JY>iYMzCQOUFJYJ1kJ2p=!?t3d1df@qBf|FOP( z>?&)Fx%dlmG&xv=fmQh?7Yl89Rf@mi1@VC3;y8_C9UtW*R zgPEKMFqra!Q4F?r&WB=bgj+`s>;J2~mdR!?JI|XnUoGIkYmN<45bHq*cs~e4=(Q?B zQhh1x_R$Ky-P~z%d2b=1Q7U4bJ=JK`di4t5$i5zZJBk0wA_v;%?Es)tvf}m=D~L+^ zXjn8n(cWiwO=L8Jq?#H6p@7_rs52jMs*md}bzN_@f2jkf5vQ-C2WOJaCw`bi92yZL zB_;jldAT93j5-q%$hX-;2ClMHNrNQ%(H5MFzi#TZ2XF*#?#J!Pha{uibMZJGK8v0= z0l?J@%BqQvLAjN#fM1&TDh{%-#lIg(9}nL>>Pe7tev?q$k@%0UkGX{T6(qm4sd#_1 z>o>7p?bxW)awT%moX|Ela#4OPlgCE@SKNh3x#x$m2QfF~2l?$&?}=eJxa^fwARxNs zQ$pzxOa>9deRg*ojla*L!6nibfvQYPt7JpvUIEuyyBuOJT z=hlDATF3=9{>bw{kg(96N)jK8p{$A zlbGq2;_yg7BDlHW#He>Uhv3_fTk0v|Dyzp}7)w8LS)$kfQ8CVT*wkd?dJV$UlI)O0 zU2(YYc+UA<*g=`(Hae!TtOlOj1{wH$MAf4Tl5#>fZK^A!1GH6d(d70yqgHHAy)7G} znr((l2@xTe95wm0v(dDv5a{;lznM4h zqGJ>;4FnlM%HTLdJia4%?|NotC#GjZ84Q;_8x(A|O@I+)b=H*#3dRZdNON$^=CDC9 zVOlAnzrj3@MK0DnVg#7|8)AB$$3HlgrR$ewMUOb_A-$9X2Glm18U|%&l<<`|qL%gx zG%0$uoFBn}kFZjIGxF0)B*5|Fbg{v+pT%s=hj@7l4>v|58k>S>!?aS~-Tx8&_tT}x zX!!$}5s4;H;H%YwY0eff&%|Xk2vL=gGpp6GQ4d*noaL#oZZh0HUToi?yq))#b7UPr zQJbBG{NAI2U43i4f}nB-@4AZ-*_~t6;_#RU3?YM(B&YwR8$8ZTjpB{@d(hsc!J%TN zmag7OcS@@xdhFNsY<-rxhGUZ4K6tiLZ{lDpW?Re7Ta%>sP?k+{U|We^o-36caI5)t!WV-{vc5yP~2s&n{(1r2@*Ct<1{?JBZYF;d+QBK z&7WU0dBMfs4szdCtc_p+v{==MhjnEBFjKGdQ)*B_!nYW{I?|1ufPcAMsSY1qq0H($ z!G$GQ*L~xlVZsQEsr&`Ykbjn+K5d5zk%}9snb2RtqjIPV)(k{jWx=A~2d-&{h#blM zt{Jn>#%#yL3TTh&D4|rgU@JcFf(ycsD1p2Aw*V=$(Rc|drx(y-0qhM!9xwNySz(yu z;hK$2erBUSkfH>O$=s-CI!&d=%RG>bhf?pnqw0gZ!yV937HLndoUCGsRYSe__ge}n zUoR|Tf1l?dSls0rhG)FxpW%^e|9#}E_=k}YFIHiRcDv@Je>VyHnhb{fk*1R zH>(ANomN94h6ACr`5l0^`M*7aRX$1+AC$#-$u@3x`Qx35ebQd7hgiQ??!X|YB5}mQ zAoWF(%o`UDLO)1a1)We)PnrO9OhjW4jr&0YAoLXLPwN^`Gvs4+p32Z}qm*D&SGNDTb z=FYQu_*XM?e?pxkr zJEhVy89*x zkW>DS%rmT=QORTY&P9uw7}r*kBk}+HQ1%r862EySYo+-<(&1J-hkYI zf0epwr@z)VvZ^{7_4fCpw6|Oq_|7%~zf@HU)tpv}-N5aA`Yqq@{7A0Ozc7NWL~Tr3 z#ng$1CR@6FuWadu$%@@~hR53!OQ!fuB{N@WQZ&5pddKQpSfX8#DH%U54@CbiPw`WK zGP&)M&6mkZ8%S~4(%sXK-Y+Z>qPD3S^bSGSbxN7LZX}-c`UruZN#IB|rXFV6VcpKy z)xqcK{KJS+@lkwEw_Iwux(j@M>y3Ht?i6?3m@?XE5)8J#t0=Y!E4cg%xP++`bahMa z4`lgBeZ9%1PSmX&u4X&f?da*HI1iKKV~6KgS;ape9}b+9^~xrH z;u%_G+fD;lt0~sn*doRZLj@&!&7j{M=4lQL`fG$p9^- zI~Ai_$WH6W$|y-ts=jaE-+Pp}U|SgApx^LO_CZg+>)S zg5fOt#oNB3FY**W9~{P7t|-3SV)i|zpxX~tzrzzP;<{F^8QXKW?w`2^V?1HA(7MM3 zM7^5vtz2ooyI6xQaE)*Oi7NHmh=yfMVBGaKZ0VLgk*rhvmx6v{2`3p7HC)zy&DA0Q zZ}sYE{sBr%Xyx})3Y7u@4CuetTGs33hzfaJlx}+^oo)4gNp3kF>zMM+TO-lrsSbbh zc~yDnyJXKa+QsZO(shGY3Q^?pNdGS8bwq<7ts2HQG!JwUk?ZR#*pxO=)C`gawpsDFDejX>cYQvZ)zWDas)+@81d0_uY z-3AlIc|}T33g&Fqc5AHlei6U>GRD)O4Zwp~8RZJ%3qSJ>+ z;zybZtyF|C2U0mZRq!dfJ$cYG>Nf``C6UD2T;_aBVfn}#3R3>X1WiMi4#X}eNfs5o-y z=C|z4m_D@}Qj|`Bl;t%rFosy}qaYR^2#aIQE2O!YKH=F{#`@Xr8d^tt#N#ZKC{MWc zW3Vl@F?w85;ZgqimFM5_cX+)nH^R0nDb1X(EVXMs_<(?EE&x?qxd&}d>D@`el7AYdKq&`@U%tu7#R6V4=b@pYPsYKsj{&5D zQ(*--mP-3^?EzPn<$ZEJcaPx^=lR2T3m11MEmbtHXBfq?S>0Z%V5bRO%^JA7ha7WG zxek-R&D^AgOW9au2nfo_$>FK(bSu=o5?r$OfOoxNYW-%K7eaAn-E{AVvAQI4Lz3vLerJ6B>d$Z$&{DTxQgGZrs8Tl!KhV#15mDT@pfKfO!~K5eA@tKD>~Fd+l*m4DZe0cr@H!3lx>_e zA$Zv)wNzK|{V~M3b}wv8Rj$OtnP}iBVgF2IPYC~h>_W9NS{xo*(42sI>Q+xo*AV%+ zb|;j2&r7t~D`p4Wf+wt|G73hFKb=M~+_+)NtBAh7I=#-_ZcAh11aPF&)>cwhMa!|k zoAuPuO-s!2BJh^xG%BtQUn1HBn~%@caAlVVGWJoqbjyVjFE8k-+{ucrG~62T0%OkC z=|QPOdmz6?S_#Iar6fG`zCGT+^05(C2fnc{aM%ectFS}^iK_4c%7t1rpuH-QtknWB zUvji$UxwvA%_BNT_Ee)zIDW;&%&@TZ?B&sDu&k?KOZ;7kBX| z6-ceGdTLTuR@nQyA8`MxQlNp=mU*5f0PpSLK<)l87|=s7T~0n4e{d~isKgAAWZ(+H z3Z$O;tK6=HaRQSApi1|{^r5`2!JJ-xs`iFyajafsC2ZpF*gG5KDgei=)aT~8_uerb zi3(?pH@4}M+yNYWI<2aLzMbw-qnyjJ^iIWr zuWQKtjDpxd#EPHv&?WD#uOC|gCfLLVR{-sIo}ULtd7JXTfY>)w0l29d*$Yo z5cs%Xk*0BbpzoHL1&JzpA`r4@9q(0ik z(Q2=wAJCL8<}X0)6ci%@rEv$^Goe@$lb`+e$cPmB+Q?Wh47{Yib2U@XO?JD$VOVOZkXqK6b5z*PoN(4U7YGOE z)t({DI9X6U)+Tje@&|hC9cQd{V}$A|(ay95s(SQ1KQU>STl6>`d>!tnIa7hfH z2T&EpYoK=aRSFcw2I5(TGb^ma%AhP8w)s&RpJa^#Yfw&GlQI?EbsfA2F@-%*g&aPI zD}A+^jd`n6t|kE4lig+>J*Q>zUjQ%BW0Ycp)1HDFRd2DwAf?uCN#sY)TPJ}}*h7aE z8U>`E>-FC?Xz}_kJwT_a%#HGQ1=Q;FBi`Y-YqdJ@JOtXDwisaV(rF_Rw_9wN2T5Pw zLQL`XL<@wz?5Hu5Cu5!pLi0UYa{q1(?9AC({Wk0uIUS`i`@%Mi4e@*fMq*46N8L4=14XB>Vos5U}Yhg{W)=s`~*st4| zjZDQn$GJ#Brn92O=*L>nFk8DHmd4+l-~(`i^i6ONf3Anfkoyk9Z5#E!UN}klKpmZF zYqgpaRjd7i5WqKi%(mw$xJGYXc4_cyW3*u%14yHjM;@-daK62~EA{$VQ##Eb(%bA- z3kWqF)&G)@*jHXRhHl+`l`0It)oL_C4?VoK)|GiB%==b2pk&=@8-!+Xv!|Wwdkw*k zQZ;9vI-)7mur86i?{=KxtJSKatD4dWCm6v9omZJ%yFw0pESU|D*cR}M zjXkd*^;EWvdS~3}*?WQ@aqk84VZ%L#(At%>=`tM9+l*ZBfC z_lpO|YcN%M8y*_L-|1Nhq&7bM-{iPcZUbBdqW@Yh%o_nZZGh_t50vE$#qQ+qn)=50 z(Yd{(dOm!(KcXbNce8?W=@BBv!ZQMX8?x8PM%%yL+w;!f6STx^cHwA@Ckf} zO&|SPSZ(>k^vDj?^^Al?rcCIDh#&HC1_fIG1$~W5+z|yg5M#7fA?CrCw^5%+U>|R& zr!Sa8c5C zhe+(BE7>p3#M`h)aQMRN$Sll%+H3sSHoQh!j{31U%|xRNd`j={-~C4cZ$2u~7xUq> zuQbnj3&_=$8L_|;(V7meR+razHT6Xl9GahopBU0Zq6qhM&Ca66x^>#W@vD%pVsxjG zwKL%b-gtD=nIuQj$WylhVbCzx*({v!S92z+s|7$aXrR!5{k3G}AD!rb&K1*`z1G133yDO-8AXy(dOe>d1 z!I9ExzlEMr4MFCEZX zooNx1B)C-%+S<+t7fGIzsvDh4^10p#?&QP%zPaY7`m@F6r>#`^P)8 zT|I;ZHoFN|h`BS9r{@zOt2J4W2+&9eO#p=&g_Z>Zl|t|4Y%|;LMK?)a;7c~yR-!y% z4|AuBHP?}D59^v`TyEDO*~tMPk7srD-hU-Z%lOkD+1|e;s^~(M)+)pM{8{?_r4GEw#r+f%R9qv7!mJ3Hxe4StY|1Xh_#m#io`g`7a72YjYKdy zIogXW5)}Z3GZ&Go9{*u`#k*0(Z?9K~tB{rtadTzrc%T~EEf!P2eah72Y_qrPLah#B zr{*tV2`z-%Vziln8`7^|c@uRdcHt+G@(O48Q6)oQPp4`)l^I|1OzP}`BM0h_p> zR#sc3-&dtEcZt(|pRPm$rffh@&*y*fPiN~UPs>R*1~MNi<}sRGZPqd2>zTLdQ4Gb1 zy8r&wOCUugxgvn$Wz1}wV>Hmgnsi0e=Z#yobE&iVR z=BfOc9h{13wN=}Y7)Z8?S=9hbO{qJjB?>QZRl8uK&(MpMC9F&xDHrtOVBT!~_*aw+ zm>E(xrD%duBaln(ALuqJJalT5fK+A3-}T2`_LB=ds%g`k>p6gom6tb^nb7$yfhL7Q z_m}Sx68V>OV`w1G{!JCIOwEF#u|-oTdx%^Qq8wZ9oIHj!Ud**Pj3MY-C-#ED`Oo0G z|JEvcC-hfry)fNheH*RR(wsl~LM%;vAR2Aa`bt7V67T{2FVXkJe_tPPDLix|Ow+|g zk>+-yKOySFwLBvpM58Y^9qms~Ya1_Q`k{Oi*x(px8Yp-FUU0to!jy!7KmM8=oZ`m? zx_v*%$=4*na2-2Pqhy0N{iox;07UinZN&H70b);}JAxN$hCFbmf*6>@n;V2ra+baB z?`b$3HbZFHwwoQ-5God$!yLLKd0evWwiPN`e>(4sp}L$mIWtgWH%Vi$_F5h()C=0j zd2+u*-rkJve@{-Jb|_5+{RK`o@ZF`WdE0a&s2_%3v~^($+G&rUulo&Vlh^dxX^21| zj-{7+rTXLprj&g9=5-w2B%ili6elmc1?AP~Vgs`M3MXj3-L`(CCOkbZC(^6(+sR^W z&GPl>4jKO6zmlDYg56O~-h;2qnwq0AyibXqACzjGbj3(llJ-k-U@AQ%hCFoO(Y&68 zHgTs`@&|;AeJ_Mk3{q`nW-tez?f_qUVcdTR7H}VR3h8{%zOV33e@UT= zm-M5*YV(1n^3`n+{PEIsG)ZcB(!urkS-WZOcCsq^Y)e-$H9(*k2ymwGB-!2PTFvPi zj7jy{W33$yHE=N*_JfH??)i-2MIC7jrZ_l zfCg+lVM1T*%^~)xB69V_FR88^IwUa5iE`KzYiFFf^@6k;*&$~+LQ{N2xvnQ{i--i% zm*}(=IgVef4-9X*hVz02$NsPXuLUVB9i5c-tO!QOoUb#y_y}37Fs$F`%k4TkO4wTN z{V8VGa%|jNCYm-+;7;ili}*`cHYPD8P2@oy^MQfhi#Vv{OUFOU?17=^^{}VTkx@oQ z=1WQFY;95P*qX~|z=;9jxCe{u&DQi4TXhoK6NGhyufvKmMvV%J#(iL1scgH^9jKCEG;=rQ_Fe|Ve%1;@%sxBY_+5#cTQE}xf3 zuP%l=@V>om5w(IwU>6cR(Ol(X^uLLXg{o3)YI0T5d*7W24&I(~wALf;42oUql;UxL zgNKqHQH=rU$;F)&&@8nGk{GP9NJ1A_m28bgy%X?*##4Psu4gQDt z{dI;vX=C@7ixxJCRy{6PL~pMdh~;%!e*pf=FeZb4+J}-tGCkhOYw0gn!Kp)>|8i(! zVv_P1Aiuw0ri`?l^%?yHgYz3qygW?cm(?udXkh?}Of{rGglj z!x3B*EMTyINF0&d#Lt_i^wWl7;pI{Hm@z}L!ISwG@T@v+iKvmi*e+MW{uewyJc!Q0 z5%eab0H;w`69b8JbXibQm2{Axyp5ETvVfWoSn<4AYYqDyMRVKKScXSA-hVjk#EmPM zEvrGYJPyq*cDir1w1?(f8IOB=mb8cZ1HTP~nn^1`~RPhX7)c#R5LIoHBhLuuy=?_$bd zsGmXhH8L)WYl{+St37oc%@mb9fhH!e1#>d&-vO0krKKJRXz7oQCFkcceu_Sq)reMN z=66w}zAsR+HWlw7epY8TDW+Pwxm`^WBhvBRyar0!I7-{&>hJ0JGto-L0p97;LE}t7 z$Dj1B(LS`0%zuzj$2gX4XO)fJdk(-r2R&^(o(hi5Kc)mCbh)-(LOq6&e|R(`a(3=q zzWYP72l7<3kW_H54$SjCR_jqvDIaPm&d7W}Ok zKz9Si6?i-F`}|(enauo0O7rn6GptvC>z{-uaIw(@e34NP`yoAU(^GQtk>P7jr`9&{ zx=VdXAb}3MyFk&po|_v0EA?`RN0X&NuN`@&i8g$vM{qG$QDKMb{H_HWzPEpJ5-;pm zOnXy-h#hiFw&aDC4Wh}fif}H)GTQ{ynbP#x=jv^4Y;7C8kQm)6g3}BRmbvKZ&wlyB zc5zzVOZ}K|D80YF?E_hb{aq4)ELvYjbbZR+wXp#lzJ0czqtF7nl9Jc<3&2$3@pz$( z0i&dFfB-g!)*ANxU?C{Xt}gy|mjtu_?N^qd*{sm>giyj4ZepV>^7C;PY>-KP1BHY~ zMqf=Rk7sz@94IjcvdO?E)RKsy&oOukH1QL9gcLWPACSAux|oXI+Z zoLkiQ4D`Kv_`HhGpLt`MxywJA%KUSj2udhrN9yrx5aH- z@T?|o+BoXx!g5tU{n#E!MOl#8ZIo}e9KglO!4zYX!m9 z8*gHFi{yTqEmyJAH`xjtlXoQoIqd3~lal*h8_TSf1ml9R3x}25T`ANELOwl!#P@bw{WuA*q2kIa43AHxIZJ zd0v6vUml9G-ZLyXBsmgg!#7p91tK{0eS%6bZbs8C+D7-3WS+7+gmc4<%pwNBx?h>a zYPaC2+boDoK?$19bI4cz1yAEo`R;N+`1&(d&^rQiA=E&4l?t+C>0C4|yG6OlKvZt6 z6wbX)jkFp5m+$*&W-$}8Fk8FXmHLQ8AkGNC~RP}6jKNyz9KI+f4k7C5B`e-f+nSMW-W8pi5n z>!6#Q)S|--DD{FPm4FMMR70XYPL&>}1FUVPKSZNX&}Kr5f_Xi3QG8I)NV*+}Z~7)q zTu98(U<;z;A2wn8LRTDV!BQzbuK7Iv+4KCPCk7Z9RtUQ7uo$!&Bit(%c(f|)V{55EhBb6yYnMLHEtliX}hhn`sjmC5I%50YR zw;P(Ez~s63XQft_8JRAN$&LlSOH^3ABQ0ghR{KXi1CBr-84~xXP=Xt~X(y%4*8+Ch z$y($=XW@PlOq?#$clQb;8)t!WR=;r%e7E?}@IcCPhvo9OoUz-sokCfBHt^Cn?q1U2 z*AL6up-bBxkpNTDIFlD?i|$CUIg9p>%B1s+PkE`&y;4uaWv*D=26**I&NHj)E_WsO zn6Q9j+sTzOQFk51{ij@JBHp_2s1BAQPcgDsxBho?_v*kS{${f))Uyb4E`_?H@+;Ts z&W}oohe1E6DFa0Fql%C(dT;-QTDl9CZQnK0^M+33k86>0=7gc4s))JXzk~=E9Ykmm zCq}PaXp_X*j3c;T-9t0x**t5Bb|FwuPfA054sh6WijXPXBZaoCqj&uCTE|4F1w7;a zJ1fCFyK2%<8sQNX#79%SU4e!{=jVJ~lanuuJ`^RBv29Y)zA-CDjyKP%x_mOzBtAeF zScJ4(-A!iCsNhV0%zfL~^u%E2h95fSJJAT;*T_)MW)0_Wuk7Ubx0{)pYi-JJja$O! z0dGhq^UtM^&`cCSPF&XP zO&PkeMaoEt;OpTiG8m3HTRAtAn6XWVsq?jZPX6hn#BF=6IT;04xqUVyyxpSQxu+q!Kc5q~3;FK5rVKlKYJ&r%o<5 zCL}7Jp*)^t=sb#&%XLzFQdib!Q?7CRi_>4KP>V%R{KTogH|Tpw1f0=8p>U}4!zsJ5 z?N|KJ9pUTitJP{_*}qrtgI|8Y{PCJ_w$t=f|=x$8%kb0p0&06Ew)z% zu24?MpPGu34^}{8#9f0UzoOJ=c{;CBm=AdCP=5!@P4a~FMEE$y`Y^k!t^uga^Yv#p z#I)A$ZwDuNr}MQGj5O6nvvguX|16MkDM4wV5r1sTM|;ymq0z(uMz)B-DSa?AFE3x? zXKdJ5<|0iR;<65bS77pBu*nrv%&k>YQ;MY1tSh#i$*&Z;8BR{xyB@ox74U-CX?_=O z-W#qbpLw-zLJ15r@qE%XL2A*>@dye0ej|Ou*LI)Gi86Hw6QlbLi{ zZ{%HhZ>V@G|AKcwM<1EUe-OoG@|)_LG~Np$;dlIL2L}(OkIB1RD~e|H(lzrqYP z5WEeMZdI!l0CX%)hm<@iZSemAKtaF03X4}D&Bg^U?LDBnVjb$$s)Yu%>p*?&CNb}q zYU>L5h099ji;d)VBG<(q30EDkdB$8+sZ89 zKO=t2JeZdNQWEcbe98(h^t*IPfH-+EERQAgIQ^eZUjiw&)4TR1@cr?VC)gynMQl>r zyg?ltTC)%tu9u{_$p_x}2T1vY(jNjTrRya?O4){O*`D?|r)G(H;|;}_pYiUKc;&)!D}0V=W5L*As92%=XZ;GF?!>1^bMo@? z67x}<&+}(~)E{%=IhxN-q`Y%SoJe`q`Z~Jx?j^uxi${#bLkqG;ofS6$YA>U!$t}F zSKu9j^JIrRv3;&m8LQT>!_%1G0aE7X!ob*AoLE(}auw{Iw*)V4a9i@0PPrl*RliX~ zu>r!XtSm83uvfN@s7aH?FfcHXfRx0Gc~+@XMSwH-S!}DHlC55~YLx_}?AQtC$yOGS zo+9qu$Nc&8g)QybtCu)klfAc)&_8)1r3(WoWhYXSjSoF?21TC1usW!L9xYl6Amu`; zkI07)#HLo~&Yl&3M~+WcuU?Jl=x8D5v17;3isWY9Y~8X2NmQO3_5%$K(U^RgT9vCx zr$>`+vTu5ebz!XRBM2e?UaaTg4GBu_ zr@!wxi*-Y``scBlY&F|n4Y}jeMz3s}c`XpjQ7qGcE6Fw;;0+2GO!@5U1H_$;AsY~Z zTu*uj`1-%gmI@#x*@cJRh`VtbiYw=%NdsxFq?q`9K4W2lJnFv^l9RBRft1y&p-sb| zae`tG5f7Y`;sK7~I(P4ei+n;d#{+loV(#3zqCe=!!{`%q3gAa|?u-+%B}J{}Za!tby&jc8dNpm--}g>X&(wn$qRw ze%i#y7};cx(o$bQVV{E7kdxOh>Ko`IC-*IqQl4Qe@!_?>EqQJ5HhH`!eMDcj#p)?D z#0iico417JepQMcLl8-Af8pry5|FZ82Uw};pon6hQ1i2xK0sQlJdpI^#P+D@BYb&X zjBHKKs8OTfO}@-WihZ1KI$^ME(}T}!wY4>RQY_8<=Tqz$5g92??>|rRnE+B!%(`;y zBt&uSNIs4E?)_*@aa~pNJLG20MdB5Eiiv$;uyMQWM9S*eyJ)#&s~tQgAmtafn#XTG z+3F^r+3GE1yeiv3siW4TP-x^=_Vt5?PNz#Rrs3S0dn04a+{cB%W0 zO~Lkk2gD}L4I0$P4(cajVt7pUKc9XiASKVovA$SuA&rtzA z9kgoM0-DqhWoGi&@IUF_o#=h~C;cc%RwqA9B7a1dc##BSsQDG9Za{VlC4&o@zMO#h zR}()o|6MYD=TkAc%ld6%XX?*R^nA0tkWQiePnP!smzO>zd48MzYJcquz8)l3- ziV=PEFlo3U=1)D0h0}?q9mayck>*S?!L%`km^0A?d*sgH+67NMj(i|?R83BJirA-* z5fk+Y(N7-G=R+jM#UuSi7P4Oz;ML0lq&_ESG(HpYvFSt^NQnDh;@@P7&*a1mq&-i= z%e3c6PffzJgc!u}bDumC6dU#UZzC?t)5i}5UoKzvt3&~q#K$zE7h+f0qQX?lV{k4{ zSbn`u|7_ygCx1>%qh{w6;zM#a@YbeQ0dl ziDcJcMB4gb$GRP8*1jvc_Z|$BgE~m@xQ)m5zEItzgpS?&qfB*a;T=<#<}F~cM-lH` z{gHgt9$P7JsX~F)FXTk=iIjQdm_K8nc;?`aZSxmXz*9*& zVRGX(3;lJQk>luvbUP2I zlKibYcEhhd2SQgt14(Y7cx2}T`Hj2LqClqrC?**jH~ty= zTAFy9mW~&(aoD|eE2>wkibfPf=%^jS8wxf#f6unM#T#39q+N5wzIlsLlL8k82x@JR!y9*hq&s>*VZl<=qH@)1*bw^;X&@#& z3(*;`anR5Vt$X%IhfckqxlIxAjsbW|b)mRnH(IsthR*$m!1Tl^eBD(Qjn;+avffg%rA+Q*K9x?3aaZ?uMVZcZQZqG2`@VzGu450V$|)e$!5`5#ys&4PlCvnm!11ZxTJn-7p51Okt;3s-+&Du4wm-xI$eU9ART$r6Y zgU$nnq9y59f%N=@+IWIXFtm0lqhsgZXw$tf)D2CL@$!`zc+l3;l6Khp10ZE8=f8Oa zZG8hYZ1RiP5qI_Ek$CVAkn+Eec*2mu0g*BwrOqcHB?BXQ2iKw?M+Ba}f`e<1Vf`Fa zadKm{wKX!_Yz3fW`w}xOnrsBMP3GcMN(L742~>hVPhb{LVt)DT{}BD=WJ@l8@rhHV zPoVlEigE>F+yswXr>vm3>I|k0H^YR%#+WtM2n#0}mJm1>DicqVhS6b_6o=ig4N96~iabqWlh6y>Sa7A3h?3T?Cu!*U-DC zv}j`bv}p(nWpLw1xVboE>}cskK8Y%zaof(=xaR{!K>*3>+W<2q#XMfLk&UVCnqvRv)(%(FQ!k?2V|0l|yy=XaZ1xqJ((5i1MPi2`X+qrc>@7}n1-5PkCiy%)gOqKyY2T)sZ zbf9;J+6=d~$4wy1Mo*gy ztD7DqXAwL@?qTjqvdxq~f&4>P52>z$gKl9Oy+1tI`aM9(kg(gBK6|csZl_-w;kf+9 ze}I(#jl}&j+n6talsp0HYGu*W&oi&!z$ z9ODO>V#;tM%%51w&QAK=&hkbD(?=Nyd%JD1nK+e_7i95n^)H-lh28v`UHuv{m^F^< zZOY9X(#hLguG>VR^e%?b-;us3d>)IO-1mqhL+kkhIQ^suI=AznE>xnpqDJj{n6^p&JapU=pzRuuiL3Y0{k26IM$X>|RhtMLkN60~+wX9|;~D8U37Y;HFbT_n zDUr56#gU|Q1@~uA4SO$izSAiYW4CDH7m|}{!Y%{IQw8qx&cLL-hees1cj<$<3dTau zI{q(&%nSr&ARq$*wcO&N@Am?8_voWRQ)z>WVq);(^eu;=?U@8!pXZpeNg36tekxY3 zf}yk5K-uO#jz0v9?&jklwT1m8vqs=c(MGtfXx(}RMfW5qQeEoZ%NIJ=3VeY%3WjLa zV;~w+Ts?NX4)%DxfI{GFOjA067Ci@}3FVL9sVC}Tuis0Il+!@fx<64nsERSmw?o4# z5&FR|F=LlDYSwRvij}Hh)FL^kJ4aJl(lBG2I<+s#Cpkwh+AQ)7NPjc8YYHGJgH8uc zUk1f%VK688jqepeiQ2_}Y9AWZPPn}s4yG8=Hw_1c&Xb`+I@chVl4Y8-@34@wafj|0 zy-^LjT&RozuQ5aA3|jUcjwbE9V*J{DPVb*A?T|@54ct zCorQnqivmn?eeZzHQy48rya+FX-6@4su|`^F~hv6NB-@^&t;lVax9;F8tax^z=mZP zv3j8;mds-Lj$l5?$DiLz45pki(g3R#oP^~Ge~Rb-o-sWh&*Mp9=^Qg@r0_pQ#d7e7 z`?sdR|LmCi->{QjTx z*Av-}|CuB!^8akIv$Mm%LDHs7-MV(bxwD5M%^QlPBjP)gG_NOZmMU$4$sjWB?fCO+ zB)e9WE(7p=;_)RF&l^go33AU=h@^yYY~Hk@#FtsWexq2d^k-90P%y=}vjmT(O&dW+ z`v9_DM$;9x9NF}Iov9nXcohP~}M^zJQf+SaaJdsteY{oCx-SAmpqG9aa?8Cv|( z9Mvff*|B36o{^5;FS)N6R$u*dKr(s<&89{mt#d;%Y- ze(cqB(T^83mM@3d3l|_fGD2wiinTSm_UMHQWy)g7u(5b_`U*a{1>*E>MYL=BivUNg zpFJOO_O5sz7!FG%4RmY$`J&gRO`1SQMHMe&;_)gr5o+@LQJ?ftzg`2Vsj1^tb~av+ zKK33^{QN{pCm$qUwu9W{S*TjJB3id<19KBIyw7}vsJr*Ea`|$KV=AIk^OiWTqKSgw z`-r%F1M|mDLb)>K(6ePbSRc^DN0&f^n4HAaJ_95mCF#T2+6EsG|SBO4k4!+qM@iDJHC1p)$74n2)3@4#>Ib1O4?|(70}W)UH_z3TrkX%_|TueM6wS zaVzT8tcwQKYC(PJT4Y(fB9(q4KX@U%IoLT#l@qoz2%Ljn0z zH&04Vq%4QdUAy7}1210Z;9gJ&=FXlgWbf0eJ#MJ{0g#f~RnQR`kW&9JhIH#8^fz_j zFx)Xe5BS}KqnaW5w(dyz<*;DZJUqG;Mz3ftuAQ<(myVqTh=oD*w?prU_v%}TJWbiR zPe}kNckV_#il6J$tb^@ae+#6HeE1NHR;(g<%aR_-LUGw_WP4r%VuPr2_60&5@iEAr zj1rT>Z%DdE-^Cb@iv$GYlPvEt(f7}Y@`|r9sD#JjN_c#YzrA!Vn7%Wh^A3X(O7Zv_ zZ*h(OzU?ezFX8bU-b}LR2Gf1K=$=mwE8!vI^Cf@&3@15w{E+1O`*lO#zehLWbp5^) z-uv0X-ryWo&omaBnrq0NL^StR4?KV-lRh4iKAt!ee|tEaT!iA96WF!vILsB#;ntb!NVMk-Qdw`L zhkGRNeOVd)?Yj9bZ+@n@_Pgyy_Wd4xkEF6bB%XKN@y0m}@s`nuJ{5}>*Ta$TNB1!B zBg~HY6+e$Zng73_-EjFB{6X#4=cv}#fs`{!0VzGbFjCh4jvreZNa=`v{kXp_i`f&W zA<~!L=j=S#oV|n|U3y4BO6ubtUa`f8pnI@5poaFmMxknz&w-SO*;cnewaV47W7~HC zDalUNtJ?q#Yt(_}hHZEqa2wCv{jh8Ca@43?1HlQ-~?QL7N#iZXp9G{FFai-r&!WKDALn-|qElnC&sD0(fRr)Ff1eNYqvmMcqBW{kstUPHrGS(s zG9YE8%Gf(=0bY>Jd3D_dY71AQe&rgdPri$q#@B$9pMx`)C-dfK@U!@tB|u6BXZ-pr z&R@DHY-R-Y-SZbNl592ERBMa#;zYC%cTY?mH(ml~3?Ww$<(S&irz8IbbGQM4jo zqAInOt=qOE>G^ZyynhFMQxi09_6urNsS1UqtB~gEi)`;;nC#exR%CaJ>yb$SDUX)| zQc|1aw#RLd+oAwc#>QgpMrt=yHw-Aca7dTlv1r8Dx?$ObY1FpMp-1QLu(7--+I*y6 z5N1!FDr|3$o;`8#!X;7eZ>6uKc_I_Nw$wj7*QwUk#z9^a@>o7l(Kn1!T(>_Zn(`ppn8k+M4a_0D6aSlkh0USICJ<2 z@}9py!uykKVFP!ru-w59&6JQkA;e{kIqA8tQ@g_;&Rv}`2-DQC{1e$N^2 z0`9Xi9?28HYr`Z&Dr)9l@g3>|M zrr5D@!-mjTJ%pU(6eLAH!qyF&QMF2Sw5;0@$2RW7dq*EcQ+%{~;#3JpN&TO5j3atHVM?zO~H_^z7N2_?N}}X)_Vw7l?xNmpD)U0oz56*H(_6fT+`# zg`DPcd(gT8#f}xLKyJZOBszQJZO~m9?B0ha4I4?PM^pX_YiGQ&^MKltHK<>uCdJi1 zLG7S2UL~ao$nl;g+DcNd6!%slU&0B=6Zq>ZiCtDpIja6h5EAplX?b_k| zvExF<2>&3=pCz@Go)q6-*D}P%&`5-wy@ILKCWNi-+!fB+#v*&7W+@^cNGIE zO^zPHFRdgX<=$C~@Y2c^?;ZT0w_+0-RjV!hIkf{Sc$<=rH_y_bqIeK>>eZtdy9N%- zS&B?6dgq_M0CIW6{?5R?j1TmB(W4nO$e+B9>oY38M&B7M#{kLFJbpv6YkayQKbL-n z2T5sIzik)Fl&>IUTe)Hdo{(ODgk*jFddZr;&OLgG^@_aFC(F)dFI^`7E_6l?v3iQCyZ@?pDmk;Xpz z3><>9)(#~=%1kP=R3@ohJm1Ho$vpR%nhUu3CO})u1M)j>Kv&lT*7gq&7@mShN!duw z&PT@kk3wh9^GfOGYb115B%VwEh2H${J;sdr(uuZJ$-h-l*e4D~`X~MS6V<^#=|@Sj zI{9JJr+E_UElMv<_yK^F0$3;}d;S$b${)DAA9+d3Z_}SG@4fJ6P}%2*F$0V-VTd7i zZ9IpQW;b!s!VTw7x#Enu^WR1mOvj~9eukNWJ&d$(z(CUmhD=&Fh-~O@Yw@?K-c6i1 z>`nIv;q=j4IA-hv6J1xa)4P$53ygJKznhBhKdkSD70=45Xbh!)nltS1%eU050JTYmHAvP!&!tVkFntrztf9fpO z43|!9YFw{2jwmVNH@+oIb+ogy3`%PhR z&J%6{iE#9dgU$sX%s+S(-Da*vxrWUJ&o;Gd;+)q%e&tFqTD1-z_$0}zw%A6_Hp})? z6Dzi!pa(4j!; z{oM$Wzh~Jpak6DS%Gchuo$@2`Dkv24D_5dY*)ph8z8tidtiZb)E_ivx7JH}9LS?$Y zcD2gT+O-Ss9y~(!?Qk4ey%N>xk!YP5B5-hcL_}eb3%)0L|l$a3IM~E8&omB6459LUv{v6yNn9%I!pI~C7hrHa^$a(V$N(U8Cy-G#YC|?#Tn>QmT z@*&<3DU%~yy+T=3r|0cowF0k#Lx9BRu($QZ5^8Hx_nAQHdH`<5zk^f4JE-5dg;|QG zn6<|M+Vsx21ti1DCK$74uM~rtwJMf{s@z86{Qz$tKE|P)6tI%KeDbE^%(=+E=73xq zXB=9v1T{&wRY{)xYuDm+$Zh1_z6bSd8_a+M(hm=vPMio>c zKKoX!!Yk5uUc^IaZrzI7)Ltr){5!X7Kzg#Y$i+D%0xQ&x3jHb`u@mjZ*)JaIC!H~4 zw;|>$8sm~@2y*g^@G>JE>S`+ZxlyCv1yag0kTNwD*_5xQrGsj9>kC~k9y0_H7tJU# z^9COKNdr?nK=lv(*u;Z!-vCnTZa;xoJ}EKmrT}>Om|wzW&9efGa7p8=po{93IIm_2 z3uOy&vZ5aS=BUDH0Spvi1KyFY)UI?T0LK3y{RT)W26?Hy@?a?gJ-(NCQkDmj?>OV> zRaaa(=n9>6PSD$AjT8IN;k^1OL1)#@z*5EHGvXa^nfUvO17~2i_mtR0*J#&C@yVx; z{V|;sb)>cBxcI%t(M#gQFR`oO6O!uz*~myYWJle@{e(<-Qa|iPraX|MXZOT>7(0by z>7kRDM7DO@5o=t!lLF6Y1vujM7>hM5Fq!g=E;%CY6)%n|fYo^mbnVbayzf&djK$s1 zAjl$?fr$FE`H zHZ3UYpFy;5C=io?`yPRi*E}Ke)y~-?;icruJK9>IZ*Pgu=pH=~c!uW5VNOE5&5NQ`s4`+_Lp?Xva?K_&$4qFiu1W_oIkKn&yxH= zUoVUvA%Q~sb!dy5$BqKeo+If&3^b2jp?0W6e!wZ5unLA3`DEvAgkcl4Ls1r!t2iH? zD$1xu`tR6N8ekjJr#n1uQk$p5bvstZzi+SZaB-skhx4f{OOQh;rr1{w|QRI6sj5 zk+D;ziRbd}>SjCF;e7zf8s$&#w^vEO_7DBw^bH{8s+lHY_jNvXkwKA<>6)#-J&zIwdSQ>Lx&B2Z+{L z24FhHdSf>zVbmH0jN7Dw*(#^7&E_H0?i51K<`KG1T`Bs6IyI_c^FC#GMZdz$h-X;1 zVH?VqD?{;21*~5#2M?#axJfa~qFGBtY+0>(HLO!mg-2XAoF1iO(T43P&v6LFs4KR~ z!{zY{_@ov<-O3x|HXg*p)%&1nd<8xpkKpMV2^GEb7`IX$6Xlelan%FfR9>HF@1Sqv zkGTp)n7GFnD=qwSAUGF_K{;4{$_Ep78)4yr!!UEcgTNO>a0rjb!Zn*vk>spWsUlWw zSAg4-bhtcB#d5hls8pdmDp#z4mD~2h?Qt4hiI&s%N^~#BohufsA;04`Tq&+xv3MOS zQ(ww)?UFgmaML;f{=Tu$KE}FL#Q3!eP&2s#FY;@=y`Mna^a`e|-G`xT4`89GBNTjJ z;oz+ttRtDH?ls0#c_XYjwEkJiGPy2~7&D(Db6UR^lq!z+CBAMjeh02vHO3$6Q z63&ic@N$2E9a|L9P!<;zQ|;>2v0?2_xRTH1;d&oyS8f#>CUJeto3Rk~*8T{%l>ogH z4wy_bk6o__<+C^85t9wy#JAAC>@I+tW2r0$E$rbQ_Zt4GA8^xvHsjGsN5;QZqHNgK4j|bSaeiy~yRYm^XnTtifx93A_-mnKXt5g+bn>l$7tgm?CR!|a* zEnF~lvoc1nl82)1S-82}C!ggBjE-E#%ngbdvT7gZ8r^`rZzhy(=VRlgFihWn1e15^ zW92ay?Du_%gF(4ib1oQ@_nBd)ygoFk>>e>xUTSChHogL|J9@=#C}>;2#Wex}elajP zegm_qtqxha7jyKi1PE4{^sw<_7-lFQCH{I?anuD0J{eG=cDeR^2qr5W5o0HcmX5G~ zkcNQgAK?-42G<;7U||`K6UT#a^jHAQj`-p*k=YSHm>vE15z2-#mB6gd&~;;<-h7az>Omk+Mn^@^va!!sg9#zX7g%PYMhS z#KeixVxoF=YeM7DUSz$DqASHfN}jWPRw5gUc+QE(SxT4VTjk^LbnnNs&v<-RKBD5X zOCUe~9sQ&*4aSCQX#BHuLiMcKa}X95Ca(R}^ytweELpNt@T*v{EOu;PD*&mVfRv@n znk?!?vRtf-ZI#e1T`t{c?ICt*+-)6IZ7f5Mr zh890JNA(KTv198_JbV5eZ*t#4M^Ep!CsHzya=#MlRj-SNbs9oTT^p}+a_~Go4ZHU3 zMU7gu(5yj2nC{t+ypa2dbM(N5sq-lIsff0%I^fhP3w$Un#N+6vSh{kBSX|hpeFt1R zYL3E`G~9Lb#_aLa#A3w0ZM(qE;3#k}3b)Q(!9*Ega(JJWE;L}$ltyz~pfE5A^vt(HUmO0`g*^r3c03$IAmFJ5J0 zuhKyYNLi~64AyMMTL&*BUa*DS#M!7?rV?5=Y7X-Q>Ubai2vLr1SUF=BDwZ#gP8~Yn z{E=fQc=iGjo_?4=bv76**t1C+SSzUGqjP`+q%1y>vUeXiA3KGQ_nyG}T@ z?c4{xdZa(nhm(c@26m!ylr4+tW5y!%stt-FqF|+Cf*#E~5Pt?|EJI{el<@5=ua*K* zj+rPH>%MpL!?DfsXj!*0$zK^;sa}&V+98L^rBCH+T)Qr6Q(IBkwF_yFqVO^*7OK<^ z>eZ}|1{G^Uec>8pU3Wq175mSDl$*9A*U=jZ7j9tlq}ixKx@l9tDUNU1hkUA=Cl*(* zV(dhro6e-03kD`Ad=!IwH=Hnc>=dD!J}o-phKjBPq&$5Q%XnV+6FqTsG>hW@QOiv^{N$IU>zy$|p-joRTcbZgyC0Dl>9`taT( z@m_r`@jLsq_f`NYx9_HRrylB5t%L2GwS?)>s=(AiN@38PmyXBhI~H;Y>*zp=sC=<CF&JHV~d_WWI)Qk!x3S2R)9hzAZ1etNV%aDkkZT?t(&$+)v{F~ zw_Xm33Eu)z+T)d#Gt}m-ME&yB$yPUlnwlnFz4``_lKda$#XOleKZBnofip@2DLdi( z#S17P*&`l2#Qgb-MP2r6(E-*vrotwNT)2j*LxzjGA2e(zoMjudvMyLBtQ*!5>xvU^ zayxLav@y-JapMu{>Oo;m2CR;sL63G_z(Agba~B~pBJ$fn%6IQ^?C>$PYSI!_%T>eH z&D)SfZ6)Vj9`ub2(YR?7)T&+s3R|`!joL%@lUSH2YoHbRB*pc}^cj$ne$Q==+a9+; zZi^C-G6rkcuR|sBD}Qa<9@mdsl3QDVl-rN6Y7URxS3s|pop96eq_CyYwjP*A?*{9m z7x{A6uhF~vJ4x76*|<}25^iACNefI8u(XTMb?R)!`hu?3H{;}0x(G72;O|}%lwg|Vpi2bX~1dwv; zqNBKVhMxJ5WMo?!NA{EXKPA4g()YqZSHxIZQD1!)=T%NZciVAjZ9Rc&T9$~jCLb?| z_%m;&P&?#$+avad1A@-jX-9EBaqp`DlhMb_gNOJMT*7?h+Kd*|GwHx8shFy5?;EiaD zt5`kZD?rL)=dp0`7@?0I&Dz0=V#gwir$S9md;(Gq9|k9Psy9l$zWx|HLbBDPhVtpr zzM|JG%1b9w zGLX{22j(PiYm&Pv*$=r@>ya29fwu|CFi_VJph$6gG}*Nm*KXpKjT_V!t&!qtikH+j z?!c?SJ4o{l#@-ccP>Xz*Ce40$I^8sfRuCR zA>51J@3fbH`hq9`0RQw!L_t)rv%G}9U3v?~FE(#r{{W08*NvX{#3GyHvr!xy$j+j@~nu+b~(R13y=(i~7~-L0fJwK8DAl zFgzL>Tlb)D?K=3WMlGl=ScP00k|*x*Q7YVEY_}BgQzGTll{*pKFza7H)(BM>khx-@Rcy#!A4w;mvZ{g;XjFYFspsM18 zL#j7nWqlVbsA>(Nk$E=AT~N$S8$JCu7mdwL-2swQJ$v0mXlSl>ebb zb?{I6QIf1qez^4UV?I6>W{I6Qd9tkVD`3BWFQteHO8#C{@R9`NZv1adqP*EZV0lwe zSddBbaX0;cOW|RO*uT>nqx$J!!8B8xJLw`$Pt46p#_Oy^q^HIr?fKtBFVo}k_DwQg zWyB-c|F!@a&YNP2F#~l(5X!r`Pape00s`}a`s{l&kT zIgV&N@uklh<34}p-$|248envPZBe$J8!h2>GZ6Xjib22ZYVd-4Hh9v`vXaYI%0m9d z#12mYu|4s)5W3+XU~uO>Oz-5uAow*7`J_S7Jz1PQpdIoWCJ}V~UOo=o%7(n>a~$(Z zM098(1)C4>l!Ccc!-tdOS_Vz()W(r*yHMzT3(v3HW5<*!0(4fcW<88ryC0e!Nicr` z=!d@-Cv6-g(hhtDliRs4z55opltY2D51wDK#g?fv z1OW2qnl)guK@R!O-bm&AiBrUa%0`VEz*t`wA6{o8H9iho2(oVuY*Ix~(a!m&qyg4G@Ln7WMQFNyg8#O^#5mg9kFL9IXP6l3A@@xdUcbtOlA;1?R;?^ZW&b|BNqd3UFH)hb zuvhS`QMn@a%56YejI<-m-Sm$z2z&u~_Y^1xWKsLbhcS_6aF%F0N?xfjyjuWs(z&wz zBMg|c9%af_M7?U2psuioct1x@dKwO?D2ZLEYmiKevlk%C+6iy%-Ee5>O4P1Y5!EY{ z!~R7}k>%)t9BNPM%T}RIh4QFIa_-;1m-?bKynUSo4K)?isaYM>DwT)A&h2>lED3Kj z(xItz5OpcP3bnKC8`dBtRtkh7NuS2S=|aD(XV$lIST0okG9mBw3_AX)2uk}XmM)~H zra<-Jel+3}FaPL7N*Rz+U0WBm8Z;ETUN&wRA}=112C;ZR>K`EGe+ThE@;88#4AA3~ zumk{t0U&fQpT=}e`z+)Z9v1g8c=9pb!zVo5&_9p0vrRE)oS~S&Ot80+K$HydU>@|m z9|1`D8)6;&6}sa{<#M1hIU~a{01r<`;wxzG4oG#jg`?30>|S<6=zPo|T`_SyW3<5^(sZKnL-a6efG*~aHxvMo7#nM;uAcJX ztpnAqE8_0o#f^w`7)6q;eL#J}gO9?d>X2efMjz&nJzsPs8w?*Gx(rKBW@<}!aJM`}@fjq~L8HL~g>YFH)&L26r zKRg|8i2T%#fW_lnA?G=_D5Ut_2LkUP&L;w=T%SUb?APU(_hJBo`P*K*fIhvu3qE5y zcR_&3F>$|}ju8g6k;*k@>?j2I`-oq7xHw_(00{s&diYTIdrOm6QogkKYviyY@bmHz z`JS%M7&1^=;8Z%_&%+hthDj%0HfYxaOLZ^8G_n9kq5+NIH$r|@-!$moA>W4bwMpmu zyd=NM>Pe39>^M2wT*Y7+2zJ<@0q}CCwnHf__!j2OkidJTX~xva2$F$OS$39*^I3K- zLvcQrjq?Zf?^BY`0KzdNrA1u*y8Q~f3l^fT-xL8ip1dVLCK>xYQlUlujVbvz`s53$ z%G!(uedn?reo%y!MpkI_tE9sb1A4(z2AJf!9XDDU=;yk1cC?f7sqKuPAPsEyZP^OW zn))Qn9fTY?iHY5%Hq*CXZ#dnQ_=JYs!o*3^$(encHHV{$Cg2^6a8q;4lAXv}t5qk= zQ#&QvFt=qb@@H61ZsXk6xy|cR8TNapL&1&O5*g!XvH@8i(($D6W5poz?*b`hCsGE5 zgkr+98REIE8rH+n-Q-6ykdh~4crx&7ecC_t*u$>-U|J>*s(w z=Xr6@32|yMpZe@*d_inzz#u*b^zkW+d~)SYlMCY1XfatSv(rBUi1Ih|rTzR~5~o>` zZphxg^YK99)iB&W`UrQAMI!c!pE#xRwGW@pX@i#@wx8MAY)?CJIyT!|-ck3+zSBaV zV+ZRB+sUUwf6cajNo<=(50rkxz7LuNu@!q9cf;zT|D$JC$PEfn$6 zem=#|H9?$E$fsKBMpFDr*SB52kIs`h#^ViyYC%Us4Vka9k(iQ-9lIrfU)_oop|)%d z(j9$}c-;j%XU-S#aGkofp{k*dbh5Cb!b@cCp4kH^q{H=lAk>jgYma0me37I#U8g5DEMVT{dPXl zdjVABJi}XQ2+fpsD2$DX#_UDj3(Kz;@B%^U(nJjJ+$z6kCu-KHDmKX3J$oUNZQPLQWMLlEmLPB?wS)`k~|uus|MApiF~;k za}j6dj8~MewN6gt^H{~^>9Y}Y)e%{)w_vnmKUy_!je68Rb}n9tcxrdqE&(vxwg;V> zw?L(OjnHHMW^A>NfF9{wCju0kl3zl8m5TpM()l|fzoLIOc9YIEeV@Z8rBH0&m7b9S z9eq8t>d+B&YS+WoIZF_8?IyBa17W^%A3C>aiHda_qT8Ge*kW}LdJkp%>Ahk8%FI9P z6aPJK&vD568E!m!g@o)6$fK7vJGT(=>3MkkEC&yh-r!O4f0iC5y%zL@z9**UAU!h| z*{>vemH8GgGjsklWoG0cBRvPNUcMC|%A&&JDZ;;=-Vuw;{EuSC*c2k(>GeNJ#d5rO z_YtqTAO7u}_kTRGNbd@Pm-Hg@2`3GUos(BofEysP`#wd+u&N>$XaR~riZw%}RGiIh2CT%A=^n_bjyi?_v!I}~>) zPJ!U=R;0KUio0uZcXx+U+%>qny99Ul1WvyH$i=zMMKVUl$eX>_dY(Cl=|}o*oB%jW zD|Tbr-pmT~uc-optcQosvmF~qSCY-8N(A|GhiC<)_{%59#}Z3#y0UU|eLaD)7Q$=_ z`?5H-jss9!!=VFt&dM0hJ{@x;ubz4nxfOU$>f2&hfc9>5t?43$F7Iy4Kl#m>Y_oBS zKDx#wAr^ycE*7%b;aVv$W(*qMH{+Iu?pmeXE~r`JMiZ|Sl6=<=ZM5(I)kapY)*#_; z^79>Cu=7vy9>qDX*3b5|cv2IC0yn~2Qx40^q0MSnX3h#7vXV^KuTu+T4yo3)Mj&T( z79AKHe&_CT4)Y?lDpH}rS+g-{V&22~cs6*{^HVTFaEsR?%de?S+LIQ$(}xaFZ}dP){7r>bWgF40fR6&+vi`?s=*Rg6I+l$4qec(l=Z~~q z9fqf)jaEmig{9D#DjRMrQxz!il{ss(mF%35OS1Uyk6Pbbn*M>IT}ZoIO+$@%bJc8% z4I5Xn{8 z8vmjev3Jui)w{hsedk+Gjc4fL@c3QM|J>rS7Ho^bK;3O@e%HoHj6>T|+%+1Dn6$Pb z#P!|tBD3`5C?A~{xdxO!4mv7;q8LvhND$%zU5suQn)XAEbfaqs_)jr5ttNDO z?Z_X03JMKke85hekVyWVJYC|TMohc0muQ=lRo@%&Nv&UWXR`9=Zf}4X-5pv_=UnPH zx0VLw7Nu9k77>@Xc3M?5Mi+|W%~CA-QfO9f+Qb}Yi@!#V=c_&ts&NvqB#Sq%nuatx zXkUM61J8;wg=E(o_89OzL*wmk=aQY!21Tg`iS^dQ8Wug-j90DeE{%GHHnPxC6-?s- z^3`az={CYCO2qCpo#63NY}loVGRc&=FZ%U}%+^?@OqAUWR1AB{BmQ`OEV;$ZkFhlc zd}RQ#hV2`AKwr1ItDZ)k!jk0O?F4o%>)PHkVL4Fj=T8XW{YG{8yFlsoN$Z;0ZxiJ{ zhl5?$u3L2<1y_N+_sk)Lx9$>KmDuKp@cIC&4WGAXA3L+Pm14hyWWyxj`|dEQ0$Y#7 zeZBj+8M;88xi}`9p~O*$?<-e2Q1boSRcuKs)h)f0zs@d%V#gaB#8epXyBh;7y5mxff9ZkR^8v$`5gjYlKJP~ zE%Uu@7fq2E4cS)uLea@~#C!#rn3$%!d|okiNU*S=z@7;z9lhw6NmiSCXj?=!*SfK! z4N*VQ#xv)JxZU+!G@6iW`kyvOT3VVnU%Vp(@g|a?(B`xW)GuUIK_J~~SqxuPWNxrp z9U@vM5<98i*G6Bjoc?k;`|@-qE^A;Am7Gll@SJzclKyQKnd3u{rGj`H8YS8fCCZYD z@B%eIWoK|=5s^sKs!Ga1hicFqcpda0Q1!WW!r*(nsy_#=T&Zq+=i9i3-&A-~f1<}N z6!rm|rQ%INo$I(zjy0Jp#QGr`Bfi+iMW=3IwU;!Qm|91n>(de>Z>MkjOx7kMfj2(E z`TqvKzkfH{79K&*;J3}|~4ey-cEweJxXpS|t+Tojd(E$wlK|Fh8| z`0w7B-A3Jy<=m_+;vJ-$Wk9N?hzQJY^*8I;!0>pspN-Z(RAc3V-=Uy6;%0zt;5se> zv^_!7tYTY1QZ|vZn>ddgakb}wox)^5zRKO~@W9nFu~pn8WcBr#r5`AjyV<*h5h&|N z0R@AsXd@P7eTxSLK(|b~8gM394VG)9IAh5;!m-{`6d-tm}l06?$Sc2kyJ#^-T9wT3Sw#^d3mrq%PRP zh3D(u9fn3I+_lDkTp0LZH%}#y4LCWnZ;HBZMrB#=zxrp^aI}PR)uWrdScn~21Hvt= zn%11Nmtfhp$V7OGkG)nEYMF~KJxF1sTW`Lw;nqsG5(em^pV%#zZbyH@SAM2`2exlq zxgZh}tsg<1=-N)=V!UrCh;3Tlk+ zE!E4EG4wK;x|1O%y;89PPB^zC=H~Xgm#Wvo(=!}toWigr=+wEnN`;5`-D6kyk`Hm* zMWjpZLST8tFs%`hxi4@}MLKl~)U>Leh`XyWF6ot3bk_HQNa%9bE=UnJE2K%wCkZWW ztw*dYONUTMh&9$@>3Vev3(sRa&AjIIOMgR@^Rc)rqN=KVF;EfhJ2pR!o)b13-jF_V zpaat&oAw<7(Z3u4Jy=z4yH8_2qrJZ*b6TTo%>!jvh8FtB1r-;$YjX$ATz%|JSE}3N_q4Vo)aS3-^kCsLew~IX22(f2JF9%FX?x zrA{@m!6$c|}4u~$|ch9tKHg_E#o|GDCK8}{`H%I<`FL5t%aO9R;B zUyus1f~g)-m+dg2&fr4$IMrXkr<)z`6PU>1P z)(tyX+T+y~|5qG(@4n4aIS0zb)YsPe@Fe342}yAFVGaJ?&{tkTgg7A})g<21k)U97 z{9#ALGxpSBQi<`Kz*2uDG#kR{P~+baV8#^o_3fz_44}r!ciWHilfcs9J-` zZ$j`~D_3GtsKg$3OpGiXKFQeKV5DcoY!E_$a-C#tk5C~8f&x`r=uSX3>x1=rpa$?k zv{_Kh&|bKm%D^{K;HRqZAt_v5mkU|WswesGrW3pr-VWzTl~_?P`xfM5vezBYagd8y zhVXvA<8N`8%(KE7zd!~;*Nf0i08+P>K2Erzh`Vzi(rcLC>15|j>(bYwGeD4gsSbsK z(XD<%{Jzy#ML2kvpQbe0MlpQaQ?!lxS?h4H$cZ^YJKa>1LY2DnR27g**%_MHH&psjT%6W0 zc9y(>ywimWQbv?X$qnHu$N>f=RMD^A6Vu(G6Od4rvg+v03E76{hz%9U2A0sN6{GpQ z{Sl<3=|0-?=r`*Sxr<~fQ{LX{S`v0Xf=V}NhQ4H{2U@h1SUM-&AYp95DDxIYBYm0* zB6j=yK`ucqb+wS8xo<64nPwK$B)b2_Cd|1?W~rHv*68RLGv|rGhwN9BppsgGYv1U! zRJ(lNJ8V8Q{1{i@Lr6jvYymOsms4W+d%)i#>HGC6D+A*7Ix-bk-!K;x|G|gAqxnX% zRn;|q3&Rjvbz7Fel4A$w6MlG`V;&@Dg{z=Z>_-N?3@OE(rMJH6HY>6-RrQ`u+FH@K z_9CUl3escE`~0HIK=IMLnBR%xDfHcx+Dlm}2`D%NK7JpI)?2Oc5K!rd3-i`Ma(0ixi3;G~{ZD4v+W)ly<=H-N~Uoipw}%L0?oDH-(l`5KJt>! z-!Q22WFTkPZF=0e^@!habp_(f_Zh}ft3~J6-@|*y7>L&GqINjeN`^pw^slV-u2G^4 z1+wRP<1OQ%ouM;-;rD7Y4=OTMW=o_v-* zK+TAf%S$5Ed>$on+SJX@1bgfu(c(Ktf@MA~(Z{VfZ8a%r-RX+=E41U0V|nDvNt46KZ5^QGUi1-Mx$e#8`ar>HdCPcEy$K&1 z=Osc9Lxb#ngXO257BJvEJ?b7j0;HK=<}MX zqJ9+*o5Q*VTOQQ4W|k}HV-IO-#3%NG64{$ZZ6t>@CfLk`m2}m%0?`9pXa9WNl)1I? znfpI;-my|5d*hipj;F%QN{tF5(84o=*ie10KF;AZOX8xekY(-^Cp6 z&dC8m4F{G-40C_#ooG`M zo_6xGc6#OhTza8QY0*+DsVm5N>fT9#&84WTCi9loH@7mIr$^aZ_nTD|OcM(`R;fKl z9@j>&_4$OAyR94Qqix$xfhIPm=#8N~MEK*qM~6E9%?y_HS}T!Ivqj{?hNsBo6p;gJR348C0OnzIhQRKgYBe9FcjRx^uQ-?~5h3WkAn17t&0P z3E3SfCvnL^Y1|6~l0!KGZ<%=%cPAa%@gmtvdr~}zIEMM21ada+qy(%9kUfv-=?|Fp>ho z{(3k5@>UF~Uarm$TuyQ>GVKa!iYFL21WXs1@t-X-$A&89M0|<}mFNyumw_(-qOU|P z62?&|_8jdqzsn=0KstPENAT0W=kxQ7tZ`OK|eD9 zV$C$^yYJwl6ha7(7QH_Hbh|(ZR%pjixSx6+jL4wK9r}jNeI9>N>PT>=AsQO9F`c$L zRF#~0H9rV7DNy(?#0=_R?3y1oAQtk4O<~dgSYd^I+p#l4&0x7<#Q3;i!AX}hd0-P<$8Cm=8xO)5|0Fvo>DH6x;&9fwU{ z^XsE@B~yaUK%P42 z>@)p8EkPygv*G*i4xl0+e9`#mXzxnBIh)&sfP9X?C&ZVGuvp4c*{1a}tw#xj^go}E zE@4Og6tD@i;c>W-qE4y$PYT84#w?rFJ(m-8L`}EWH6RAg2o2s5EF5HM9QN{)zsYo@z{r z7-&z-{Msyj@48Sc<%7;{U zZp?R4mt$J>lewOn)boO0E-;E-_kq|+T2OUJ;GyW=+-(|NDY{5}9XvV(j7YrW& zAw)`O^EX_ELGR3wU?c~nZzglZNzbh)o+#GHQn!@YWJ45p<6KdvjL;yo+c6BQpmy9% z=ajbb)iNpFTn);gB1ur(Y5$=StSupllu|_U(;ESYIpqxt0zp#McLS**Hei8#A-h(C zJ%zC@-CGwP9I^yzLm8`0RjX?sjX)uO>KyeD;}Kgv?Wp>Dga1vcr%)fX4Y3Dxe>`gN z&Oca7MlU9-6q+cM9TN-d%QDmzV3M;oi!oJI8WiH9G@nZtEt_;)bp}rm;T4{-_Lq8z z5ihC2TnI>ZL=&aNW>Y*hA5X*Ju^M1a`DNh;w(8)0hPV(+6E^)rXE1n@(2*ym3Rrqo z18>_pMvP)0zat;AS$o?hJ(r_MDqh6uELFkAV(wVFBKq*VU_2`2x4d3_N3d(7;x46~0?ph$I?83I?C!xvvYO%#i8TsgsGXH!msqVW`qo;vq z@~`wCY-2aJvGxPg?(ng(efd{+5>Xz0pNT;x?^W7OY^>E*L!PKeuSXdG{S03#!Q+a7oZa0vhg@vMC z*UYJa|GdMjPpf`e(v_dT@_!j5uG9V_g))Z!^4-qY79}~Hj&+?#>1?uRLmrO%=PUdQ z7H}4P?t+70$C5hbpx4iD&=VSVIj`{b^Z@@@@|fS*NqIJUi|mLdW;9tI;@i)>&e`1e z;xY8C35ckn^dqDdEW%4fn&)=+0gyQ6Ae=Pv(}Z;$ovhdC@m5&$!!Z{;-hGwHiM>zM zSp`D2UW&Bwwl+ob;j_>68TeID`nD~|>(IqP!~;D=UMt#_88=@lv@y=$uLuP6T|7$& z9atDrR2X>0y(F4-Yv%n_<%%WS=FppcxezUQI*4-YS45=UPG97jxaUjqMvDrMz8FBV z#0aS1Tsfid)^KCZQfT<%y@<<(rWC)E9HI+^7LG#-$ZhA{;`K?9$8D4}-G7;0HN1F*WRc zH53R1!YR&TGZTqk?&G-)vpIb+d&Q)ulC0@nkJ$6ukNgfN zNg{`MJOl*L@Q%}M$}SIIHUH^G2jR;o4Y868u|j-BRu0T+pSyo`Nc#o!J_di|{3Z#> z^%bSAgSm;+Bp-W)GG;HuY^t+NEBKfGWTf-mZ0?gY0+4Uo_Ym^(eLG*i&2x$Gw2Ssc zda&F1A__&Si8{k-FzJw+{+???Wy$HJyCEAA?9pvSNT|Hn`rITXKOz+_(h`hAb06=W z>I;Y1J0Y>Ep5oHOhtcHuCcWO~Be&PS=`3Mz^ZxWUl322B%llgDAKgyE7fVf~*frCk zaC;S$Gjt5wn7mk7l(?$NY!ED-ZadDJRw%PYL$}mH+(c(1@>7!V^UdeH>PpsbFpBqj zvr@b_h;(1JRu4pvXiUUlw0E-VceTxMOb>>^rN)xdfKg-6ngXUfOO4GwY< zAsCjr_VF#tp3gq#^DBC48!nHkh>|I^&j<8Q4irp~sjWo!Z15v@%bZT0lULWHSH)L- z^u&dqvQTOfa+DHxgx3e|rd_NZpEcGWakmjYtD3PnjEK7c```{ZIWO@9e!0(0Xd9Bx9&xWgNnO(ROa{7FpUH*f?%-owmalE>FHt+@x zJ#DR0-)b`X_MSM$#(1bV%T495E3CM$*sHU(mf;BnD)Csyf<{OoW;zr1KSvkYR*o4#Aypku z7jCndvDOz~7j_XgpQyLRK3pSH=mpPt-F{QHlroiC$-zyqQMhRk=_a5wDzuRnSVO&LSe!^?b?yVJk-|)oQY=modMz=DbpGU$dm+4zX*v=1jYeB} zl5*eK39YokE0Dd7u;A~YWU?vp<$m*E*SMtQmtd2IJp8DYvt`kpBHRgEWVs_`;JQKKWwW+jm!QYbPoPlEZ_CdszcC(fIhBYGK= zJpfS)jq2|ldq^TfKc%F3YBZ3;kYie}#a2q(4HB@f&>4Bz;;L98r$25T7V?}S7JB^6 zWPPu(Un%XE9#ilr!RvGub)*?Nrdz^(9|-poRMrY}qc#_R&-v-K=|VJ_;fFYMW#Pf| z*;XQ9>oAs?2v@B`O|svRIMP8r#eEK`qC_=Hq2njdGs58y7m4Q_-ge{7f^dq(bAc9S z>zK@N$MsIbNP4&;)V|k;Q*=%9hyeOph!KDP3lX=QR(Pdr=_%w@E;Cn&m<@5qwyXP8 zV0p78iltCSsaGSm$An)h!Ymh55W%tc=1G=ZtI^wThz&)Tkb;%CL%JTSh2sB`24?6G^(_btZo$8 zheP8eT?0}tg(yzpR#qv(p7TOKP3`Ov!OJo7XLUG}m{)CfubjH(&KxsxgI0ryVy;lo zq~uS=FiES!*lw8=s>`FV8u7kAy9Ph=T*|4y% zH72jFY@}UAx47$fcR2fo+1JNmU_iStgPNp1IfZfJ&Nj}6NUJ7MR@^1QC4$pBEpt4Z z>}@Ppvg_j&YJsj|j*`Rbt@u|kN{nE0o{?#YuUOGjv28Zra-?y9>a znkZ6#OI}8##C9~&`f5}!vNDXk6v5F@}0MPaZT??()Up&qWuS3M=D~| zc~j_phURGB{e(vw<~6Tw+hQvY0Xx>0p-*W;Ntuf39phpAd%#F{ z_VnijwS;tk;jM33Li^C$CK|8piS%T9eN+~_c3OKcs0EKn>8a<&^h3Om&pUEIkny<_ z{raw(;8tl0W9uEkw8vTZMc}t9mK4glQIQWEVg~m&)7dt1$~Rj$N@o5xU#vksmT!wL z$V8b8OmHOP$9M%rYTfCM@|6A>h@{)ETJN!Lsw+mAAM4$PcG^+=6DV-F3gS4R&+b<3jGT6f4)QUE`IplbJbSN`289Zw z{M8Xoy~OhdIKbprR94PGNNS%ym^o%aKJ3;*DB{9PHF;z zsi|Tg5Db=8-tJj%8YktRy@7w3{A!0E11iz?y#|HvlZcKV;Bim0LQ~}6tw;LmWQIdS zeCTkkvhvM!3|9yogM8}q#$7V#j-h+NzY^@1wAq)jUmvuhNyu_1jV%Y%n~G!Gp=&#hLONZa(~76m^tVuUz*wbYa(;dYBSGvR`FcJ(vo!$&@3K9d=YW!hO%AxW9Az zME_ojm2Mb`c{UceE%F{lOWchks4rQjAFx~S1w}ft z=5dcaFD991s((}{_0sz1qBuX-17(*G#=|V(6)7>mjj?`E_~$gs)9hl#5GmN0EH}S^ z6Dt3kUPgHnh!^eq!X203rk}LdhU##wenjzE*Y>$b*NiNxsp?N;J|?>!t4jA+drYgE z`1AV+#oPPVu@Wr(^ByB2<<%zzh?m8uZ_*{1B>31*n_DpYLo7Rc0URJI=JQHgd-WYo z?^pxL7#{Qjl{G`-I3&Pps6h73RNV5)BFsluQ6qmmL3gB`&R3GX)(wfAQ@xJImgv~G zfNq;v5;%T}@^!Fw2Y)Va`JDC#GCeed{@>KdX^c6zxhV`=Qs(8kW}r)2>C0bUN}STbLajDj6mdG^J&&q)Q{55wDQmUkXs0HQNaV$VnQ9O>;uFVw;- z6n}H>o9IWwi?KFQ3~u#Ys(pJgQE?-jZ_cbE6LTa~Bf0s7{QBoMU%V@sbb;;A;MYoe z*c4kXopr(ptJ^qQ=^spqx0SW2+O=MVD>9&(!I!Q2+bFqkwEy-NmqyUN1x2oD(oL)p z*0sQhSy3Cmm_T!mJ@%vBx6T;KJL^(&vK?_2FwWxlkrE|##>DJZxArd#cfZ9;LT=I3 zGN(;}v_&6?tP8$rZXt4nPc0Vm*fV_77f9V-rP-ZwnRH>g>B})8YSg^e-H_w8#raH| zE3UP=+2=~hNPdWzu%$aVbmRKh!U^OHVf@zIj$FE}o<(Wkgx;9G;{0yCVWs88rP^TR z@>xoDmHYWS$_KM-#?5@!$u8JN>exrjZ+{X~BMW)C?jQY=0A3Hh17oQJRS(s32HOY0 z9Mc&PO$;Y^P%3Ab}ym60xulGvUR8(i50ykg-2_8$Q(oXVTrT@ zmm{wIn>B+tmG$3}g{aT|y~QN9{BzLY6UjJdT*F1gOz<)1e-+D7el`y~XIfc{6{(`T zx)tj(r`v1|M&{3y$|dXYU{8ceR<|`W4_}_F>(at2;t&+Mxd^suk@e)Sa+h3|i)1}J z=8^ejo<2#Zv%UN_2ZFaK%pNl87(f{`wL?YM7CZcj-B4D&FSwlXqQ!axth@wv`y$iI zr}Ff71WM(Z5TT?#x!H$#qBf4@q;*bxOx#nb9bd9 zq$y18lV&9f#_3HJw$KF`Ge_u1vwAAJNp$&Gv#9?AvpE6j4~B_E60J)UHBqj^|D33J zjj!AIuSA6A%igR3JU?OTEKhROxywYp0Ga>{dvY~fJ#1kJ$$bHQB6e+47r(jZ!+_Yk z+QOkA0$R_5iL~Zv3J+X|D5>XF*Zh`|XYrp8Mig(_MWQx2Ak~yZn)s$-BwQ7gfg*){ zmx7zkyP}%}=CsbGkM$3PyI<}PW4atnGk5cRMnB!s`%w&pMNVwg4)Lgcc#1aLg_V-g zK&<$?Nlw&HbU4k$f|WXvW1Y;C-1jDf%V`Z8EI_>E@bBV?02MD6DyX~ZV~7}{x#W*x zYe!}K&APz+JpO{`<1oicI{Ef#x^r8MjcdRcyZ(3Fcudme9v+fHf)g5aIne{ppy^}u zeiuC*nKHpV4loQMm=D2b&Njq~N3?`BR)d#&F@;znr$NE2g1;eb zX=<5a_@~c@;8o<}3Xp^eH50)_sHCd4rzxF_MUNU^lE9_Y*J_zVah}~WoArkXJ^o;_risxG2i)r=+RiNMjo<^=R^u>L!ctRzhFAvn zc+dC3e)g*{D%Ri^;k*CV4p!YluTV4FA=c?R^!z7u{Fq?fcJ4>pUXhd~|i}3>}63HCn3L@Pn#wPZk&* zj3=Xsc(y^Hs8S`e9k}G(+nZ}QMT8coSKK7Di-BQ`!0GJK>1w;jsnMbNY6El!o2M%n zW%c#I=`Z>X8rJlYf{eNS2C^Ql+V-w>10@O-^otkrY>%bEdL8_4?>N#Ur7c0BTfy1c zB*Y{nS64kgd;8ZPKcp(nzLr*E2uA$>>w_+C3uQ8u%T0Y2Iyyr;30WX>#k|`s;LVpb zZmS*@4(SehvBZ%b<@-HrYH8(1Dm{`1g!=t<4kj{2L@`QHhxha77IM-2qFCf0vvRRKjeR9soK&_a$cC(qC1QUq~*(gZSy6*t9A-;mtx)MEZiG4Uc^^*58><2yn-L ze!Z|;`A1!4P=oQT#9_>~Kh2p$ppFQvvXJX~=Bf>x03ZoPo$-jTZ#6q9J>3>qjG{vi zEL+h4m0yMgQOj)jZkNyD-aK+v&bCl!Y^i)&M4A2P13K-{<-CQ_MLLcalmQfuIAS@G z(+b$E1we$P3t`x+CaXbYl<9;1@!J~rQDY^~=v{$lIn{!~?JBTaULP;aCpeZMT^Ug6 ze}gqv2u@>H=2-1*-v@PG!VOR9P+wH*7ZXOIS-`N~#APRhMI$B&b)XQA|BXys98~{) z8BcikQI2*Bhq0eMGp7+28R)u!Wh60Q9v{z^aW?VJ zj`ty9+sZsBNvDDAaHEF9O)wvkOWmkqLC=v7N1OUTi3Nf4Y zbcvN|=!xR+5tz;Xt!n z10HwOMd=FTxpY5GtEPn!ZBiBuTtQW~@?^FK)Ztv0V;?2UuJcrG)xhkJ`tjYzz!^|ZCCkxD9otK@Rlv>$ zpSL93upkt!f#28e+TC@}_(j|mK^vNr?kMic=d`0~f^%kmm!QzC&Kf8Qu4k(;vFhCS7aB`Wuy+3f@PXWg9_vXrPVc(cMyx5DKhAx_e zDAGThm3|nU4RWtjPw3B$mD?M!qm7O);##?U5n1AksE>NU>daTcqD+!Zwzj3qE(CFzdkBb->xh^jECCb?eStms+VH|0?bOILT&E>;!3$qF%Xi4#?1pT-K zI^s?f{3kR?P_bhJ^1&`H?KufT{}sd8z1)>CEo2y6^r|$>ydB1u`*isA{2HLkxzJlD z(>I;HSD_MMBMF%QH%nmRS?LcJ1<*064y}{Vu@$KyF=g`+E-7VEU_Q#qpK_!U3JS^5$M(xmku{`3 zd7&3nJ))XotX~YMVxh^=5?hl#o0fAf)X1BxS#4ptM6+BVpyf3~(a_AR=yBQ@+kCnn zl9^;mPZQQ!T;Cn$Sab|6ONztDzL7FO1tudUWaPW(iR4_7g3^{54QvNBncETF)SH8ga zJyq!?jms1F!ea{yh#LKAU|Ub!woVF6Ks*upN%`^oD4JBS=*{gctk(U@jqo0S$d>qP zBS{b-!^Mytl!KXjMxo0l7;Hc&ohi?x(?ZN@T%JRh?JN|Icsp#H2v~J@rmT~AhsFO* zQ0U3^dk)p3j_b0ZMZZ38u*uU~J1JKk#?myCx0BLm*e)6GQKR}NiDZW4AEaC3obk-u zo+;2B#Q{RfM5=!=@LNri5_1W5Dn_h!Mm@Af@Rn3KWaddG2nta9I{1*v4LF*q#Ott= zEp@45iE!aCef$zM5EAaqip1)C$&@|G;X4m6&@pj}2=mI1X3^Z1y)C3;!VNn1$YRND ziz*S~!Fgn*-6$H6wK)n}`!t^`$DOqrk=079?*x>tjtFRj`THY&b##;D^#}*Ff6`5# z%VE*p#ysl$23<5{x(o*Q;q_lGvzorwyd<6U8Z_J`tqzR^r7pL5T8-HKRI-UYF5zIC zwLm$k$~#okOCgYRwN_&sS0)IVVe~5etuxYGw($?mll3w%{TBz@?VWs-kN_!1?08UB zBuh?LoOhF5G2+0e%eMtLV`k%$Q0^2t_nR=jnixSDOU?u>IY10Og)(3>MeRLoh2dAZ!1O@6h>sZfW>-&5XD-5C&S%F#m zApmS9UUqL>)!*eNF!rA;v2a=-5piHAtxopG>eIs-^L<^E^L0YhibMmQ67JEI-!T`J zrN(`nICRWB-G8quzWv!88VU65mG(eCrjf405kS1++VD2q=ny&SYv-*j4OqcmyQk4v zI&^dHbLny%q*~{7in6}HTrN~?dLdmqIcL|I5a4Tk8((BYJrV`4K`6S$>=FW}6omEw zrH_DM_aGryFr5FZ+tDSwrBuJp(E;41xnSUJ<1I9}%z~(@bGcGQfLJ>|01jW)cqHdv zjU45+k%n>s`TYC6$f2C6mrP?L>;v)_*DtQtx{(aYLP$H0)@wK#gShh}U45r}@Mr9s zVSlk03n8OuEoV;2riF6a1j~kPGIwL^%?&zl9KYh2Ng66SR`^CKiPr2)?A)Id4MZWA zK2m;B7pyQyUT!CdK)IMAsjqbXIB?u*)>2(>)8}vwuPpatMYxYnJkymM)u6Xk2d7ExMZfiR{I-@jA7xoij;qno)o9?){UnQ^XY2MMejah zHh;w4BY)w|w6IP ziTPc2m(JR68LbvT*y6NJt8=~w?cEzY#O0cN8hmOC53739K6pS^C^!&fEIY8}i&<^r*gS4zBxH_-zFWR2akDeGT zeyXkDJBPt}SOwpNi|U0EiowzQKI{c@i_VZ${N`sPY$R4Y|8OKE!_R=~xxADztyWlu z9~EI}Vi_i&Dv`889^Aw`DdU0}__KypgiE@S!zT@6A(xz0fh00bQW8yb@t&(j+bLc}O7g*ykESmR0}P4v5Q&>1Wqx8~%|^G77

    K4csfs7ayV|8kla6Kg*?c+Se{W zwP~4qw~Rw;Z@&0nsdG~<_K)eE4|Y#Oi;~n;9&?>(Hpb{rZAh!uz8kNcxE#&6eQ3Ko z34rNCGCCS|z@Ii@d1)?Q?#gA+ICl(Akz=T$QPGuz_#mbOG<+~-UR7T(`Ciqj!{iiu zX4K5Txh(2(+K|KSrTe}d(-QMJAN@!0pi?0MC;BE)RV0$JK;VkN@95Ic=VFtw|?>4qCkd8w3MJb<9=WWe)zr?%R zquq%)<>TGp{uHT}==$u#5PBf;U6(H$I&#q$J5cg=56EW#Xr6CMS>PSc-8Nq03P<}6 z2{eYKZe~A_ne#&qP;9P-@G)5tF+ed6w+z z&y`7ZcleHSu@`wm7~diKiy`pDCLz`Zlm0kJCiAY$6J5FM7G{*ZRhfEIlvec3ZMq%O zc=)FBM(g3LwkbS%coQ<$880y%Nf)%3Eszg~1L|Y1VX{;Y6+LAC{wyxF;3XkWHsHpB zmAh>$es3deHr)xOE7|=K8WZh6@S9~mlzA>X1bMfG=2j4gzIE(Te`Eq?HGEiXYx(o?C7sBw)N`-)y0B@c`w4rMn1;fm&y z_+y5klvcro<61^X9}*~b?!sLxiZG9{`|EWRcQ>WxHvI zk)kK&f*uB4_Iwve3I)nIiW=qd>$k)2JU50)x8Vn)thEn6-cxj5v6!IE(w=51A)6Fj zJ_p3OpWDRByYZX0tb|XcZpqmBoFfSD1=lm%5*l>p>`TE9yFdqzQKwEHIfjT#vi~q9 z*_A}9g+Hka>33K4LQUl z6cROD+EvDDaLc`q!P=APUIWnl#EM;Na1$<^RSk_9=B}1vrl9X{>l!i2KI*+Ze$Er~ z$!Hs|vWf%D79SoZM$eFE{$;8EK{)S+J9f1KDK=%C)PzV1ZcTw3E>#7ud6-yu;>nW( zZCGEi5TO_r=-!PVs94o zEmmvh)A-Y*J3ZY}(J607u6?osr48ff6;~ex-jaZTAIy;yc_l59^bNzzh9_}*9u|3;^UF1HE=|FZ zXsOqcRXH;gy``mut<>apHLdP2_dSa zuS{@xUgn=1_gc`p%^TCh?sttJ`7N^4PnAb=%Mbqxvp`J0vTZKm$*~jVN%8O- z(bwryzss=)#~>m;A(`|kcF-@5i>N;ne7Fx3`2}RlDTXB3SZibH^OKKvnmf|JOD~|EluId%Xc*KkQQ%NUeCy0dnqF*LCOY%RIluO)CWs&6J zI7;f1MZT_Nr$XU_EU<-1w>p&akbhVim@rq){^z% zLVhZvA;pGz|TIg7_Hgia{`P$21?@|DSUtAtR@`o;K#4!0SSUzKb>kNXt+i#*=L zz8AMiEozf$w`BZT59~v*JS5v8dhX}^3j}{2N8)-FdZ2fQV|WJm@+Mv8^p5&v0Izr! zjZzWWVcu2qd7eb6|6wYYBkkQsydhVh)I8V^lX!IZA0XwQPpr3pfRz8F-%GOTw*QGF zEAszr;uw&rsHjK~fB#l0C@8?SYu7Mp)X0(r3cp7+Yt+EHb?d$xAjqIjEiFy7X(OFN zQcTU7HG_(Z%3oeM^1bEb!~nm!bLWZ^e@e^nH7ZxG9A?d$1vfW}d%h#Reft)tPMt!Z zKGMRrVya!cHa2hGjED$wp#G2P&y(?=Q z^ytrc@WQD@ix!EU-~USbGv&)B|BBo*9HTg9pK~Tws|I1ll#>|HX$yX-*B=!tRQpV} z?%liL=+UFd&d!!``2FNVvEcmq^F^6T^BFsKtN`x*k$m5qcu~2&o<3T(Xemx!TEB8V z;-AFhJ^ecpcmyr>wLtaF)v?oNC;4s9@%GhQ=pNEVqn{d~POUmPD1Q(ypTESbf>%&- zQbL`rb!e>V6cKE?6qYj5>GhjLR06@sWIrd+GNu>%=USSzQKwG<(DTvAvK#$SVjF z#!L{p88&Db+#RG-G(2KGFid|K%B(6Q7UADY4ipZGgOvmN_UtR}pEY$B?grfz_g}ex z1zl9Tpxl~rSYo*ZPhLG1iv>>~IgK{0+K6|Sb(8QU0Uzk!xrlSUS#z#_l= z^b2$k=pyU+D`Xc^{N|y7y1N>n?)qv_JF*7ZKHf;b>W0137o&QGO8B`+QyAzNBQHA- z$#0V(cTEnJH&jMTg_bZ6G8fN@j(m!hi&vn0x$@}Ht^>}WI*+0cMTpFZ#Qf9qg+6*5 z>H(XF65uq{FBDV8OD9+k>OTlBH=ISjPl69d8d2X$`WRz827ZZtBH!7@2?P2{r;1FQ zG!0??5@_T4BO7$r>Wwlh%V5EwQF!2V1x4;5IJ->=?He{ld1{xdSFJAIEMT zL-W1Oh5TEuZ^g6RXUNT>7)nE5w3V7QYC>U`0y3UuAgh4l9T!#9-BuU%w%3EYn>t<> zyha+e75Qy@1h~C%qsB1SHp08tc}U7h!lrARP-%T7v{Gn=6T!z(K>wabMq~N1)k2nE ze{O~gJ5=z|JrohnmoZOkjHt^#3Y}nUrB6Z8ZQM7#gyn;$NGDQOr8;Bq66s^E!5D-% zTauFQAVBLBruC4(EoJHwjiJ7HT{)E9OyAc^_m^2;hW;*#aw{o+P+63zMR{c@uNCD@ zr@Rf6w}$eTmO=gP^|AfRc077c0pB;$v#e*>W3>nMw$?-KEw!=j^0pE%OmTYp^eOi2 z*&`P13E5=SrE_PPnwTK#l>~nNl(>H=rccS=-ye&qkE~qj^M&f)qA8On3vl`8>Fd1T zy?cjq=gwl#KS5cqZFu;Q0@u&d*ZC*J$K&9^gZSkaX)*C1QP(bAaM;vT zYRRUlarI|*Tw1Uyz}1|z{{2DP|gO!d#j>F!zrj% zSz1*7HEPhHKK3ihi_IrKNsA-hC@LzV zNfQaw@JH0W8`Rd^n}_nHK+a4vrp!Q~C)piJ-U;3qWi(3k*At8; zARt=uQM{jcW1{gylvz%{nNPHW`kOV>e^B4Se^=R11zW7PAog{vIAO`s+!AeDwSlYJp~}W8*kQ9n*lIp0T1QPsoGw|bW-T1pbKsM$cK&3mHQhD8 zYO76jP4NEBdnDxizO80owY05%^7=8>+pI_BO_fomKb51hq>rg1r^4^1WOvy9upQ$5 zbd>QZVV^j;*t%d~KdJwiGGU6aXPnr+^-%92^v8CO?H`|(dH&>iVHYcuuYgrcRw3p= zjIf(*KiQ75J(9DM6ZVzutbwKhes1_Ps#mLyz1#O9Jt+;@1!SvT)r37_;_sZ7o}4c3 zsZqU#_znNI#8wl}FKsnHn`K~G{;aL$GIQBEu`XCAzp>S1%ZqLG)QM9++3Lva=zgd> z%2K;oaApA>WIhnOId|e5+P7^l+U4q{s}cK<`V#ubwwnFA&un$}CtJMhm-)qZa>fxzy z;*BN0kqV0naoYbhx+--Q`rvoV^YQ0EO5POa`0?ZD*|VqgEE(0UTUP)t9z1v;yR$fb z{k>02NPx1kGR2V6sbYUb#kTt8%g_7nZ}I0i<{w-Ab^Z?{QemLsNqveDIyPU1I@LRo z-I2AOuh5)1Gf1BjFznv#7udb&Dkcok#lCG<5f&6H@{1GOqqAo%F>rwNt`$@Ha`e78 z9flEo6)ZW4&42c!=l>RYIP$IH)cpk%8pFyIT_e2ePWua6x&cHr^j$1)DZ z>Fct`#l~X){{8s*X9*l%OkIEN3X5YF$j^C?q_;^FD{U6Gu8l$)obo$`f}#S%XU1d4 zl^voV61H>+^%-nS$)=WBKtwintnpa5$4Fx;!9Ky5GYKi_4)6a6XotD?+}iKdl7D|w2UFYd-0e_v!!?5HqvDQZ@%j7E){K%e3x z?zg%B=6;;}bB@8df9IHt`+M&9x&LQ7aQ66F6p>tPAJ|TCjKX$cqmT-HI4U9G8aFN^tS7a{VcWRHq%HOI0X z({gP4ciHNUXWzEf>_0JYwoUvjjvb3_b@^gjO|r3!pV?~iH>@96i?Rw^P5ua%RoH5o zZo<;TF#o)CKiju5<;j*&e7ThB>0ZD+agY5Id-T=nE854Lle2Lz?Vj+H*k5A5iN`wF zk9uVR~+^{Oz!iS{mQ2l7#)b|X46rAZFzK1 z?0}=*NAd2%C;$3;#>@B`uL&AAUIL>25mlx5f5nOw2o9D2yML6@GcsUcV1SMtW$%uR zxDVmJg#G^?A(b9q{3D%x`0xRjE?vUVVY0D^KOi3G-Lz>F?%%&J?x_!{U!zN6hXpWT!10e61 z4l^=ue!SO&Y_9x|QcO&Y0GWPio4DN>85tonvv@_^Kk46@s1E)~KT49-$q$(FsW*8| z7Asu<;uvuEb->@hi&F66y#%BzD&(N&JA0w;PQ>qrEN=>m3OE3kc4_)6>5~oM$wCHX za*)EEZ<;9Qhxh!fugUO#qhg*#q)+MOOMYf)VkAB1^XIpBPW-rz}<_|u=?0y~&`J`Zv-6P1`KY_aUa~Ox_z$ol3H2gDhz%vC(J};n6{EQzK!7#D_ z>cQDK;Po6TLxYZ%|phta+F(72T)luzxS&oI}K$rL{5ubxzsn9CnFUep0+|v1)U*^l?l=3Bcw1}_qBP#F1LTHA* z!9gl3U(@o-fN}5}7*V-3==%ZUqfC7CZ;{SPM@K@kpia8oLo&*ftSslTkZc?!()3Ig zI_GO@9?3Ael?~&NoDzAM1plDdFe1{V?;@Y&qM_ zDn})-98!=QEKfPwhv;?*)!TzQ?hL z1<<4Rr9|~~&?5z!z8OMZmRpOya~|_&y%|xPVLh@wi*=|;^~L&Ty|ezgd?KG@E8*i; zN`B7gc1H3``BGhLhEQI_2hsM_{L-+8+KW8BGu*bhjkC^G-JfCmjmJ=Rc?!RzJTVr; zz-=`pMKo&I@Y_I23cA;B*ocQwPw5wwigJL&a3I11xI=+*s1rU0I|};E;`e{($0P*VbxKroox!;?I#d$>M}CiYz06BPh16P!Q1>2b}l`F zg_De+w$U8ECoUu1)mDK11kj@x8aIL>`Vm`~ZWHSJ>|ygI#26l|2$+5}xz_*t-s}s*a`& z*cDO4ioN$<5CjwpcEsK*78C^p1u4>dQ4z$3ie04l-iy8W-h1zw#JvB^o_iII$#3!{ z`OFvhdB%I4vuAd8cJI79hn=%B{u9_GUxFp!pgm!w5BaPB@`=9Wo1Aj*3w&}R|LK4D zHSvFdAK{b>`7b;2xr-Ao!h*1s@!L7|3RV(+uO+|ePrk@5;k;n`gbTjp8=c6{2_2|SKba1q{s-MYlf!(L zBlv`=zmR_q{)9ULmVks z!#ufeQs1RMvX0z`4}7^yvZrsMGG$-VcnzFZFTY2D*X zI2%B^`qA3JndAyP1oR5qY7>ZLQ=X9z_pyxWA)HiF?N^El6gmV!Q0?U6a;`u@C{x4xI z<0s?n;aL2TpN@M`M{sfD6}EoM5z!EDv?k>a{H6rbD`v7ULvahQf5!@{T&ut_)v?;I&!{UpZw zOr{56CC3P&t>22-D5m%rQ*r$8F{ToHdED}g*pIM;;}ec8MZCfB(i`%X6r)nSBw|sH zm%b3=Ia6%5oN(ZixJBqsai7rtqpg@cm`^^LuX#`$F8EZR`@*p|ljs-eBI2Loe8TJx z@fG!p<6Mq+JqjKPIUFzgk_}f=y*P+l6^~JwFXYe|FF#2*NH+JPc_QR6pLFJDBg~g# z-MfPC&2cE{xSDM1CGl@6Y6n5jc#Xg~Nz4z`L zvm1TqYOHsjW=QZ z)#cQw({OfnrFQ#>{&nlt{j>h{LN|@>;##j>dT?}f!tvvuZ_fPnlA4-^*|XRg~x@*hsTM>i^q+}uXta1e7UbZXuNsci;DKh?*9J^ z*R`;)qa#LUU~!2 zU84)>)*4;AYQV^777`Pa@Sb0L{uSl)>9cTgaYgUmeMJAdcI}E`!-k1XPDhVQ8}$B+ z^21L*VAYmYXx^(ilo~0a@ASUdn7R?Mr(_V zNXG7SQLsz5$EZ!CFm=aNilLSx@mwNyX6%HOy%k0b8-W={GZ3^nNNk+nc5E9g;w{AK zM6)C2V9nw6NWPSg(4?&}wKT)zl@!A@synB%e>m zn(+0QJ$DX<8w`hql?Ara{mEyO5wtf5Mqx%6v2g^fQmnD_%x)x}PQ{8%D=~H2G>kGF z2?tjP#N@{y`gAl*6HU>;y@A+}sL+*2T>)yX)i8O{RBX=+L*m6$_!KS2`0bN0Zv9BO zZ(V@cT|S6f?FDDkc^E_OPn_~~7waN7Ao)T%HXILy zNz8l<4>832m<8B)e6!&5bz_sc!hYst557P7QW}Dfti#M`V~kjDh(#NXuw%Omk~a7w zz;-dFj~a`SBSyi-eJR57BauLQ`tMnR$vdWy{-d!p%@Gk~qxi!~@DB3D#0e8IcGMU+ z`#6jF6LTg8ZrN@aw|ShHD;~LCh^4WQDvE`ZmkY*>9D|7yC&6cppUgHUV=uT_q?qr!gBsWU2s7pSJMcG9SrdD-jmp2fI0DFc>lnO$W7v!YDItM&MUj1)RJ;gm`L~YiKOL;cvBtC0dX?PB%%pKqlsoIpx?@VSe3sDxfgR$c&QNS zr_+&oG8K6j@{oTiA6pJ=!LW71#P6({bu}!BU4pyMKlRs-A3Vm+?K?4J#ti88?1^?A zJEE(m7N*Xeg&6WH{5Q|9Dd#R-hKG+Y`t%=&_MN+sj2_S>A5)w(7=P)S4&juogChhHkFvE$LXTQ_KGbt3HTiJ`;C!EmA%hR=w=poyEI z+1CW!di25cnbQ!TlC3-B-`}t)0b@Hj3XyLPrCW_l98K-xn}0j zU>R-NL9=Z~=(g4&=dwe`(Uk~KOS6`CBQr)8}Z4)drt!wZUOx4 zqga6a`0@>eu2_YUeFmUo!)EBzs5!LTkoH5fg73|h2nfl{?fL7|=kI*;rO?`_^lK9dYD@^S5b+JlqEI(T+~N16vx&ZJ=H z;hk6#zeJp1+sL_zw9$RK0!ooD=-}NE<3h*5EyE4rC&H0{M^1r^pzU0H>vHR3+*rnQG)J7Rr6Rv&0YC8$5XU>|1 zwYx%)axMcwhgU;CbO7~%?7+!)O^IqNpv%B6a9it!Wb*0Tj%XjaLkXMkBx^3 zw@)Wy-R^ZTo@XrZ%iPi&TN1Vid=B0pj9I&965fr#qNK&xetH*@&ZJ@G)>W8JK5-=B z;}VA@2+Q0hbX!)qjBu3kXcX+z>=Aw{9Px+Z36H!nk+5P6Rv#d&K1W!c zNLal{!fNg__nmFPwqTnG+eDFV4w7wX&9rVO*@og3fz^x1Hi;i?Gm~sHf^8GO2s@7K zK+=&UtPEL+>C>hQeQX?Ugnm3%{0sd**k)R+kO@L$@o+}>y9)h>)MT>Re@X+BXV_62(?5kl`#4JP|k3xKIJp8=$aiY)+`uBa)r!rBIQGUvwZ#h!R*zpDmnP zz&_qy{C0}26d~(umat9sxoi|(E=0nq1d%wSZl{hC3rf)XWdw>Y7s-C9vO+)XoU9yJ zEw&;bNj`ymRtKWN!-gYp^;+D%|8*w|J$?BKTX*ilX!?CI7X20;bs@hpeb#KmCMM&D zuMCyXUApoetAC|jz4IeB?79FWi)0KMvjV*b%_5oo1eR(Orn3CvSncfYh6`7u#QSqs z-@(Zc_$Hy`s%&4q2cbnA#deKj$}U{c1cqL+cnfnm4`s6IQqD zfUa#iNLVMsQvTkpSsS#k-$Z=3H(iKhg@yFHAnU&&I&+NzY+BcxxB}cK+Y~>R>)C5$ zM8sm=gsISIF3Her+3q8jQX4w-y>sjK=+LneC6O&1sEJSjw2%(5VrItQ|srcrikclHVl%yE%a{$iiIs)42=gVLiu=94j58SV`u8 z7sQ%k({ZX#{I%gBm?Oich1L`+rIMeeSZUS4HJBMbOZeZ#iHou0#114LC#>E;SWU4b z``=%~YL^Tb#GQ^qL}3Js?Tt~pQ*G&_e2yunDxij)DvSaLW7lS9#IN>;2d$CD4jYMy zlPPvwE5(ix=cBPS!%@Uu9D{Kz#xYq~UIc8MZ6!RKF&zOMOP@)_j?-a;p@iF{FY6tA zXpM;ZMC?d0Alt*3V&rvUGCVr+5s&zpzz3|32*F%a;tw^1`SfYn;_HOu9m^2BV+m%3 zjK}beBe5uL3AUdNldyV=gw-QR|0RS+9NTh?%dzg1ol_;OPW>HNP2`^ANwH%BqV~iQ zR=ZN&vA@9TrD;;^IAuFwbsk~$DZ=WsU07mISWUW2H<}^V5v(JxD|mgu>x@}pGZDNe z7|ExSu_bmh%q^tn3?>WaBQ$m^QfN;2?puZ)YqZ6>PQ-o<6`)vG5xqzEM$oPxB%e%% zW4a@nc{GEfnIgIeYGV1Gl}I_AfwkL1Fq7gpUO(A7*dj7JQapE=7dsC%9crSKMJe=O z*B|TltwYM;6ohOHfr-&P^d8U$)d$s-l7ne(*KwhCKD_V33MYb)sLXrp6?_Ryr?cCVhgAE>9UF1mH?Dz5d{*N304k4UQi zn)2@LTSP@hV9Ml4B%=%3w{MSLy?T*tXJU7F_*cKY>{~1Od3ji9W`-VGn!+wxn%zIM znGU*k>4HujI$+4)K?n+5iCZ^s%I^N{^76%V?AX2y1k=0OKarr8r{<`Op6bcCK>KMWXRf>D##VwQClmTta`HHY3Inc(^Y!QI#X zE%ouEhuF9w1S5tUqEp9?G$tLyi|x$K&5@d#DqaTpEtfyzZ#3Ux5r1s^UcQYY8KzNWu?`8{>*V>SN5j+guSNSq$|?#*A*#}A2=KXM}FJt7YHd%X_7)t(_Kj&+y&@iKS0-TN zY6=WiCt*WyA~w+HmXJ*B-nLJ?@h>{;1a@sXfXyKV*t9keo7UwabY1?h64%+VDx2ix zVCU|`NZx-3g|~jdp?mLf;_@pT-ggrb;e{|;x(dCgErOw?Czi(*qTuE`B%OVTCF`Ql zXO;~N<~qV>bqq4HFCZ@EBy5-M!l3!C7`-$Q{z(UsdFw~So__|LEvXo^&Wv+OY0J_tcO za*$bY74aEoVHdC)gBQ4Al>JKhB^*Hd%^whd;Ti0nvP? zqcD{88A0uOtxrT+)&(S{pMgW5)UM#KOOW_!XW&Tup=MNn5%Ec%w7knm%sMaeP2Dlv zW(7Rs_ag1~Pe{D}22SC*7`oUO!_B?mxjK&IkZs96jzRKvec&6CfSlY5NY6M8#}zx# zf0ixT*B%~$yOEu71{rB5;k;}I@og}W_|7ZCkwP+($v!T@F)$#z8CrP5oxZ1%j>##f z;6m~Zs7*r)AGoiHLkhK(y}9p# zPc|7!W9PIx2T507BbEB#vO60C7r3B5&2>lLtw>KfA?)t9VmI}P=FI%1*c6|M=Wl+% zoAVZIaNG!MQ*R8Jya>H!Siv-K2cnMN#Gbo9VSUC?jJI8e zo`kg~zM+UXbPap%{DhFqW0+{S9J*62F~@r&cI>-?oLf{s=M1K~uP2}Af(7IQcOJYU z_@RXtF?ZQ^^f7ism+@xkG}Z)q(-*_SZ9Sr6_G3@pC2ZJ|j)@CBMO((co3Q)96`{lC z{If9f*Z_T!X+l1B$ARlYW=P&SOedVypXUq{*AVQ8K7ic(s|eYdkLiTT`tw~dZ{;p* zm)Fldiy1OG^Ooq+vB$p%{w zTthC^-&AxFGrdWEaXu~IHto4YayJv-8ODBFv31Yo4|3%7=MaC3;FE2MKTFQ{-zM~7 zIiY*6V3zL|!Jq551v~d$#$H-SZ7n#9=`O)S&l#?(v90i&;PWT{pZQargRznN!|ifA zbA%jc%py4~m*wv`d<*8m5kh`qNhAU%0Zx&FL>of4ns z4$mQ;Gdm7mC;l$MpH6Gh5Y~Us4eW?Jgn4c>$H)#w-kY$c@VunY{>w1-3PDerGn4EC zurcd|;P2Xh1v5zx)_;L}5cZ#vPI4~H%M}mul$3sFBIW5^`kj++koimrYm51y@APfc z*CbMM!XEo{s>`P!9^SeeG5%W->a+>LHX8_UHz0obHsR~p=kqCx$9C)%r$qDhfW;wL zW4i&-^tjnC&%UJ7KaJ#xl!T|8NO?E%D9&%Vic6uF@F-zF{T`^Fvg;pS zTJU)yrTnD@0&9z5>2DE_8{;gE4WA%=bo*|ROu62EBQ`s1Cam3z`MZ z>u=#qF@^!v9d1QyM2eSEiI;f!CB?ca|;oAmwQAWQbH-P%!ye$P86klguc>#Bd0|yaLpJLRdn-a;!Pbv0(1rO5AklHmMo!BO9 zn>1QK`6nO3I0srQ7}-K&vL$rra}>pXUK^5-oO2PWv>uXTJYNwjJCSZ|Z;qikmL5)e z4JDaQJ2R1Z;Th7XEzgL2vbR5mT2Sn_Jp)M>o+I_rOStaHz@Yg~q?;WaHpL_T!V~0N zdj+qsObjM|KeGGM4Y5c&{}_2U-oT$?)KN4CdCoa(Pe;O~7f7Rc)H{A3M%b>PIp+=s ziVqWLe9|c%^(H+>l3j<8o=Zv3gsgMo83xDOBPj+SLUvqAI>cXmj`SNpz$bPu&GF?V z-%~vMV15RTli=HseD3d-6r^2vDqiB_8=ftmY4n|C1KSXa87>k3&X4eqqp`E_K%Z%g zVdcINvGGTck$E2eA;}m;<3E_@B|nRaOFe~*?2GVQpCq2K45oG+sNGbugXnK`z9eV5 z6_$8xL~`O0GTjgIXDEMC3C#{g2h$EB=|OddDth_#!r*m- z(blUi%9)iziFqZ^XlWzp2k2vv{~)wl&C7?cA9ooLym=QKZY&y)kn1!@+ zY4Aw(z^JvOFn-l|EZ?~d*=gBGPECeWm=}-BH?sA>{IMvxWN$9 zHcrFZ=(Wht$VW_446L_VizG8n6xg_bBMPn*h!Zp{5-ee`(GbR4=3z_RRup6wU}wTk zm~J(L0m)eqYlfXicZ&L34{ycX=y`&_aGNE<6C+SS@;An8#H>xTFf3#ktP-pceKHz( zm-Df9-#Sd+J%jWa0lS?`5ucKPyo@|-ir<8J+vlNAa33^wYm5pO6{J&@42k+Fpv>Sh zs5h%Vv^{h&ZR-pK6$B&mVm8vxrot<3DaQEs!#J-2@ZaQ$tdtC-q^H4kw>w5|7>!9g zCu3z{AaX9{An{Bh9MT*yJai3X2jK z3wzAjY>d#j&B7jG31KkXx={4nBxV7&AK4-7vF*rq%!`>X_~zRdVt2xBQGZixC}z|B z!!{1b;$&+?osLHSr97<948)8TBVp*E3)_GNh>M9tUS=MGW7l9x=rp0HL%Jgp&n6+~ zVh#dwS7Ku5WQ+_R4cF~%NTuI-W_l+4!u>EVWE{q99s{pzFJxRuNBX&Rc&2+{bjVnY zUp*22yOvSxnnm$+3S7cm#ax-Ra|!~B*fum*P9cB0@4bR{F z@`cv-Zr#Jd0|zN?B%hE(v3F`J_R;?z{tmywyKBy0yogNp%jA<;MjH8;;*`ujmHjn+ zKY98L-u(^?dj9ei4j(;+6!P^fgFio)pTvHjuI1(z;M(sz3HsKZyC@(GPb8dVO3TRj zM0P6SCd*Eue(gVa2#=n8{U%zr&AAH~k&%`CRkk^G<}BIfYttKmvouWWir&CJ>JEIP zPGfgU5v@Toke!)EV=DC{iLsmTBCntT_wVt39jO#u`4O&>SI8e-K;p4yUrab*+vFD( zAvq-(S?NhA$jd-tRuO^{&%rkGF6_c@ATa6>Vv{p*sJ-(=A)~Ru`g#IyjOcc!&n1KLzPf|Qh9W7=>miG2GCle zg{oFn#YucB3sun8qb&?W4B?vQDw5&yF6Uusk^|~E)Du3V`!Y?eDOwBO;2yd!6h>Q( zU>Il!+fZA?)0!eTBNwZpR$*&wUYl$ON4tA%Nc{t%e$hweGMqhQ<4(r1`-iIs*YAg8_PBrvT_J)Vr>v{ zlyI2vXglE%Cup#p%(j>b++sWmB|Mt5X$}lR3`Bxp*E@LU-V`Zz#=;9~+rbdN~!tm9@g>CqAWXMPiSvLgDU7L&F zP-&CWn7nB+j@|s_DNQe*yuis5Cy|qzgCz2|iR8C(^73)zD__hbFSjYC{D1L?PO^rqfWesQ~V>iL|#N_!U3daWC<+I%#`|3+%^|3$o68OEGLXRP%U6)W^Cm0;$!j(deg-4Wi?L3^(qzKD1o!3Q`>9P@8$_q5FNZGxC$9pR2wQJHl5q6?OM$J=@(<$Brd>#38HC3vgr6)Y&6_?M zPnWMoQpgr0M8zPN)+5*Md|bzTO?iF)EzTS`gDpF^V1)GuR2)$eiX#=#)T$W#8 zYxPB9q!PuYW#*R_r{(rr)emOTX4rLP7mBVHVf~&E^jNMf{D3;Gzikq11%Ab!iidUV z65)T1L*`;j^cLYycO~wk*wI|XMDt@zMKZeZzlX{HGBy!^;Wi8GP7IgDN}DKFnj^(Z zNfawl?8yFi-QIN~7B*Z*SiRjAafD3*t0O?`V#fd(9&rpNj~%CMnug$L z36EG0+Z}cyrkz39xt=hT^^Ky~adD!R=m*Dso8m%6|8^4|nQtL`tTVv8sCmK;AFw(~ zhSg-RutdTmij_jc)?v=FaWL@E!J@5m5P4`9;avej4sL`|1jX1NusT-g!!a$#wjy>U zeFYwUz-q#yufb}H9lg@MMeI0k^@LAi$KqHWP!J&5<}}6C353;z6;oFeR__i*UV1KK zQ{rH=(^lxn>xz&AWE+9ikysS9NW^M$*3Lm_6xk-D05PdCaENpiHf!(S0ctkVx=vvX z(EtSzU)GsSF`rXUac?)@Zm7JZvWPV+FHuED?@k!CW(?fJJdl_|z9lnXyfDIahbj84 z?uSP1jZkJ$87P`7qMA)LXn1R2(%MM~jHDPigYb&{!t{U{D7T;jln8Uj?ih!-i}6Ug znu2-BCaCOK1q#DSmxe@)rA#e}_+Qw={2ls7Lgu(!K+ckDj6TlOpcedX<&H-xt*kVgJAF(E-b&q}8`T%3}Vlf<>` ztSnr-C_8QbE6aoX_px{H9!ZAm+3ul3hj5?x|J3sG6RIr4=Qv;R5e8Q~<4dkV!bDYW*@BJ574*e4|J z5S$~g!ZqnBcAoedCkgX^`Gn>FFE?ool%Jo6B(hgh68{|{TRew;`t-}WP~S@VU;Y~> z`M2-oTPdIl7RZ~anZdwT1xCIYizQyUw*D>GP4%O;4LaU~25V*(@4^s9} zP@4$TaWhc8W<9i``hisc!HYLIedPvBZI+^X!=`B6tSwerI#B&0oC!;U>7<#crd}T{ zTeQPUD<{Ff7?}n~RXx_Xn{7JXFNrgBco{B}2=b$F()~r)k_^sW5JJgr+x9(w)y%WiAjHYcm zz&B_O?mmBw3%BoKv9r67-=tx4_?p?^PD&vz#$>{J<^rhKqx#L-z-OTy?j#oAVpImK zjOGh|lV+{qZElO(iTQ%Rg!r{d|0Y!5i|XGdn_P;?f(_|eyG}zirha*?T7z3pU*gie zN3iwuLG5O((1_agoNtX=Nd>qZmkrz5W3bzvS za5Xv;_Opmj`ZR3Z0&b?(xRI2PtMR$8r?IHpu$jc4Z-tx0yGr^jowE@2nBSx&To+J% z(x30~U*v$+tvf=YTtynY5>P0wf_7~?V}-S|(Cr$v=QwW>>QVdk8?}J*{KdGQn1^d5 z*J+Lg>eXw4`mNf*$v*(s9zMnON6+Bow;c6awn04_C&$_5xSo)U8zje>bgNJG>$PYD zN8e>s{|RnBeh$|afoRaWJ=Jdvhnc3hM*7}}&4HV-B^uUmMzY#ssn0T8eL(e}yntIk z5E{~W)}{LPRR3yR4sMdJ?lg{#nzTZl`b}X^?Oq`}-Hgv8yIB*zCF(S23cESx!rolp zgY46o#(~F!$K^7O508^aV6c#{uHFE))8^rFWIAq>98Z(QXw;w?YBy;PM^7)@d+<SsAnD&co?*vY_oP;mzqnU~fE76ic7q6Da?2^fif;oQS~*9>tO| zIAM~LId*w$hRL`BPdi0}-AZf7kabL7++$lHGyFMjwLkqN2j)qk*%IcnlGrb+KoxeLT`f+f^Vod2$`$4;Cbdj#Q3a6Z<1e;+8s7w3Nl#^ z)sIWfLZ89IP*JTW^>sWl^7oMb5d6MEPjz8~bmBiJetdd1`VAdUHYffV;ur42b6VCV zWaUAB*ho=-=%{f>%PkUe64G;^FVknp$gxPxEfn@)+x9o0`XpyC)lX&lBqu4S00V}P zmiQyaAeH|A@<$+<%7aPMm2h?8)IC6We6mw3)~%+%I%X%PSJP^1ZA(_km@m73{^(agzkUUyt5MSP>$| zE}d*_pf^zBQ(N(wxx%(=OST2;)|YG?mzpVb&dlE{Y|VY?GtfZrx!o+XrQz6#qVIjk z_OWDZ);VkMK^W2)Gru?0=dow`Jmx(1+%C_Nm=uZ6bA;y#_mTNLhj`B9>^m&x7SA!B zYdq(k=N?7Qx*cLJ@|@J8cB4qn3#y+-b8sZh73TNsH;Cpm`BLKN%J>zlQhoh_NK8o; zu*nZFhZz_^NlEF~6DixbM@VR~M9RB_xd+K#GS2S#c-r1~`Ulb1BvSHqPTXVPF1zn# zZk%{Yfw$Q@Od7Hr=97Yu6tE3%@~J*!=#gZ+B<$uBiKRL#U^X#GBwW5^nOui4zL?B^ zEOBxqV=3Y2+uTgtjXH{pn=XqN7d%efhoARQKN)Aa&KK|h4@ic!oV@xfFCLFGVu|AM zLt#3NMGA^G1jESC0M%<`omEs@UG(i+THM{;Deg{-ySq!V;vT#>1S?K)E$+o#g9lo) zcyM=@+6cQ20dB&S{GJo=3%f?O-S$r8KRjH1=e75y@B4e>mPynnWx4v;fbi^EjuuJ{ zps37vOUwjjc92c~wB7q7^^?ERp4<8mhOu^cDA&naw?>%)D(|;t)q}AH@H}Fo#r|%r zFkSEaVOeK9tuvJx(n+?+OtGCgIXiLwVIu~@lrWolzSFII@jwzxc)7A|>uTDAb8FO!+mK5; z{Ihz(>)XomS8Nh><1x3A;s*HIVNEib074+!af-N?!h+@z>aqLv8*ZD15qh*ttaw|0u3CQ8Gs_$o2|xBr zW@nwU%$a}v@EOkQ!{iYEx*reCB)lQ`Q(}7?fiG}9pTg`-y~2gZ8r(exBUbL+qV{sm zv#@wim*AV3bd5!ai3n-_xJK=Ta2&2y`;yKT5d|hCA+!I;dqEp?p}u66tx@1N*x3PI z^McO*yj++yV@$1h5u6(S6T*SE0AvSV2u?erZRyfmFa(273C<(}BX+A6iiBPnzRfw% zcs%*0V=NfYxC_oAE zx8nlBe1!>5a`4@eifBQDzJmLMfl4!pmpQ+)@brn|(1%JeILwgWKEBe6)V!sFI3fSx z!Nxu4YDDcD<(qW<^WKUA(u?N5AxdBGmwkNajDKapzG(w7K9Dq*-vrwqwHKhh`B)D; zrmsNXH-GPKn&zpMHh}T6b7BvD=j=UU2{>asc+nYJEBSC)$K1#s%&{?XeG7N&xzhwJB(x4D0Ie6S+t72_MO zP&0O)-YwW@3<}*??5%r{AQW$qpHM;tHsNvcx-#9F{A$bMl(AU&66&P;C)_+-``UT&wtp`eaLOtBF%j$acyAru4Fn0#MPbJ? z?*qP9zu?dksE%b>MH`>HMM8R!`?{ow;b(Ls@?rPgE;k*C)&%L&)FTPCvhAnDPK zb{EEff-PUhgy^}vwzYHGJ!F??=_Ed?IA#}2u5xE~IpLFqwaN1 zY6O}3T1*sFQ(0sy-E&ynr7tr%8Iw$%W?N}(F%+UECLOA3X_!q~P!vO~u8ruj?;R!b zTo7w1u$hACWH^2{TubV>1R`j8URTqeTO>*z(l}NOgPX z*7vm?*Dpj}fgS_5a*Gid8xv63$0W%(Da>`N(?iOwz#T+s)3+GQl)6}vhjXeINznIg zi9YB7raX1E@8n8UPmyQ=K;kkhTqPu21wLG*&i8q?)i9`t>U8=8_kul@1Lcnf!qIiL z>0B7IpTEI4JzwFJOb~gsOYXyMK5?ShTvIru<;K00Y zt@%zp{q!ERX2N;d;YWN6e2WMXFdg(bYZF+xrxOA7O7Ixj)=PxfU6EZ5!mgU~&I-iW zg~f8o72+QE-3HG?Rp}uPJLi#gBrv0k(#RoNh?;TKW#xrs;U-T4S6&0uI>0K&O4M@I z>cxuetLRtbuV_m@df%%!WurKnzkDULo-{YM?j0AL08F$^JWL2q0w&ugA0`D~>8pe8 z_LlW5_*$og>)FlX=jFDg&Dz1M|68OR*j=m_zOy@l#?I%oT|4Lp?)Imd4S%D6>MU2y z%+*$eD|IbwWqcR~UA9IqN;h7fLl$HX22ZbFHrpqzBlo$%hxtKoFlv4N@ajc7lY=G! zN{6SJ4o%R=ByyTq3=t`@60sI+u`{CgDGvLr2CUQpU$WF-YpvRT^>ezYIo?18ijMoq=#o1WevA8Yq{RmoZ;0p}o0+(H(UoosopA6s-S!xh$j5x$eUki@ zm!Btin67kGp?v^2MiTFRXAY)sq{bilcIOf|U%VJMGJ7m1VeaJ+wLn?_w7TXo01ZU0 zB9;(r*?yu_ZGf(Vub|d}w!xD@kHgu718PmZHoYc`m9-b!Ctx82E9i7k-mBPipmV<4 z_eGL8)HuwO3}Ox<0U`+^IU+Sdgq;DwLBOCe=Nl)6+tw}mHZCYNPA_hY4EL3ydQU(7 zd8&c!`9LCWoo#IuV)$r{vcb=KPmwyCD{%#K+rMbZ2a7NO9Z4->SU{d)25hd{ z@5eG<3C8MU78OJ>i3rGa!CTG@@YsF4mTU9drBlsk z?JGrnzIfOYO2WFoR=bym`?nwC9?a(kA!V$%yth@W-Fj+974$hHt2OORum$HqLD@K_ zU4T#rJvEJBRJY3)Q_%odT{=Sd;N8==T)K0PVDVr-a)DZ<*KYUQtWilQ?Q7uU74!0G z+`)E)hyTUqI!GqfZ$eALK|K zM0*2qInGvbHhzK@$z*`-y2gG@zVrWOusWA zFP;}{k3DkDX5A0oEn$U?=yKpNo0aTus}6A)Z@3}c7bQePq57ZiAat1GT*pfH&@Ygb z7IC3v&7gk^rN*0nN%Bm{4OuZZ^Md`x?+4K$Axm3fH`}kovzEIu}oKx_84$A_UQA&Wu5^e{a3V{dFdAj?P_rI9DRcG7mO9WyjPBLe31M(MG6 zMHqFx#(W-ww(LD=Yt*Q+8_>dc%_rOp?b}Gn4-4XcBspE7 z;R}61KJ4P4MSu15Lkbe8%+L88SU6xcZ3PaTcxGjZa~Ps13Tx6C0!nQ0UT4* zBg^M8sZiyof6|I~TgLfr_oA=0;1Zx#LGFHSh_jwc+`)e0sdFLC&qOz(#j&UymBF-3 zrnX6=u)MW$RkL8nZDH0Fw{)(MhG&o5H~XQO)VOBp0GLlz(${LyeicoGzNeSI_f`wL zcW4W2ruPE*s-y}KgP|-*EEY;djnT~Di{G+nFscn@@ZW;O0ryAnXIf--4SmwU4Hmud z{0x!x?w}AvAD8|CM{z|h#{$`7Pcy^1-cqk;TwvWQbGo|LBX6F4^{>e0YeG%5pD|Gu z4f>)(>cHj7^1j%wJ%P7miA)Twjl6{1I-gP_GQz~xSGO}yQK|{YEn9FDFG@0jRv{pT zpY;?H8U#&g-$6U}cv|YLat-#684$i<*6Qq z<%tEoLo{;GX41kLFIDOr`-W}0+7Yua;L>N21kZw{_On)q8+ykkTITSQ3*$ld@+8&? zB{`4d6~{a{!3|rD832;9K9p7b)kuO+E{x?t6tK&%H?03?V=tz0=%IL*4E~L}zqlHs zZA(Vifh9AIdV4R#Ufj$EcF~xu$=l)s@5eP*$N7CF-3URuRRP@^y}I#gBee*_kK%Yx z53+Ht2GGvoz&Z^-sl=DK4~bFxH$QpB@g_9Ps%uz>0X@ENA5ER7)l2o0K=Z(n%h~P~ zz%zuoYt2Nx)Dphm%(3SkroC$SV;@JNCZ3dG7Iyye-4gf*pDo9I|bZaB>uuG`T|2k{)x+(cchwd%( zCy(p>fbWYmKjy`yWPD{M#9$({aL|>J0a;@AY*ScfxUO7_`1C&64 z&H4NU%6B^%;KL&mi5<*v-WuOtY`d0KblooL;-JreP4nF6Yn~X(;RqT^6Tx&lgU}lb z22=PP3f%9Zn>-+ltDP$>A||>$oY3#2tYMgRg(zW~q4>Wpp59$C8n@pQ!G=>u90C1id5+){Sp~k4e3WRa8-u3xc`A>_Ox zkaoQ?^mw}T@+icW&rC}z)g>ds&3zSy_V$t-@GCkRX$S<06)3uXePOVF+kx`r*G(J; zv2ROIr!y|H2@4x`y=Hqso8P#`oGQJ2&Gs6`hT8P$N2iD~nQCCu;z}n@A_vr5tF`EJc-x9uuziXhL69Gap#%_m^Le7 z=X!;J>f9QkkN_FCrEnyRH#hw?ZhzG^>Ud4C@n5L9JX4+T$zf8&N3 zBOn`;BBT#g_Zi3wr-x6qXU|S_WZ0QmhocZUnS^&a_*$mawlufYryL>au&)2scXUNp z#E^V$Kb4VKqB!F^W9rg~E{LQ0ows6mL8@wxlqiqcfW5%Bs?vzKTrt(fk2H-UJ3B?w zCfiwbSMskY2-HsAz~YAgN3G0C<2OEwkv9HzMW-g_N`i~rdYY)d}?;59O>B7&@Wz>3Mj*zrT77Mpk%N-N&U_zh>*Nin1o?psK zg7logW#GG6&Y}kCE`>CZT@Re%q`D-s6g|^TAyg}PIA>A!v+ableo_MtaR$#O@p(x4 z2wUNj5q7uD{lG7_Z1qfCq;tM?J29QcDoGw1)dELl>FZRG>2IC{J1h+j8WN5|OtW*j zA;!$B80u9mDj`(QIj)Ts7L59!+Gjo=4c6u#G%0$c{W8ES^merXjf%F zab6jNb{7Mx zYZn;kpw&%zWg~p_C^qD!pFbjVs+B`{vr>DMr31YuG;NhnKP`h#U!zTH%e;l@={Ok!C zy(t4*_m3L(WZ9wrc@6!sxtA#KFA&b=CYzc2X#iHXu8iS!k{_fzbUMY@N-d@fQV&d{ zU$Xbe-y6iRCJ(17aB~O7bm`Lk&1SL_YaF0S`k9_EH;FcsB;K1Tz#G|#eC!jI;siM~ z#JJru7fc41ZT5ACE&2FU>RQ)Gnt^(lxJtsqOS?jHU$LLx-ywGi4$gwv!@Lhfj-U*>dL#zO3oAA7{Fh1yPb>F?9Za6|5ZqwfA8Pq!A4?y1 zY{&M2L+`}#V@~=wWRWJ3rDscN9^@X2$Fzx^!Oj>^yx^^1avCyq2TL}Kvb*bls3KhJ zY#1hSEVNZfYCunht zK&-our7MD~`}bdn8l^XwuDZt{TF1U>=3gdfgyW2>yHs0LyOMscvc8jwzRK-N8;Z)NIE0Nxr~3O}=VwOnB;7X<3D9hU&JrxYEusb}sCt zv@ags9K*Ma+vsm+E7DLU=CDYMvwTJi`9omSl_t*n$jl8ujMytxWooR2?# z{mr)YU3JG6d6InwF2y|7?6nMB&FvQTtT~I!5t&InY^Yv~Pz&-A*_Zt>Z|}!Ri%Nmg z2m0&Z_C*e$!)5Q)GFJ2duxMtp@Y49$2(}%hS(T)NL!lfGN`Tb&ek<{O$}aajoaws5H2;D{Uh*|k?l*Ao+Vo3)a+z^nu} z(1S9`96CV0Mbo?k%tvO=@VQv2ihv<~6)qN7{lrbd&3@$8lf( z@fx;UXa z4@34N+9UqHWK&CuTu!JU0*H*hXk?B#Yof~b-4Hdmkmi+?$fE%JYtOEDOz$<%r;##9 z(5XlAv*d`#h}cLkBuM2U&XTToBq-^1dmrq*-20XW_J*P)-^cVq^Y*u(hyP@pn|hyo zAI!c~7Y9&`=7-oOIXx_YszXfv!;Mv+yVRad$MKQ`hu2`zdN3P{FW8a zJ_SIh1D!(npP?6z=X7t`6D>|FL&i(3E|e7FI{_Kcwg0AD?yuPXx0JgxwvA-k3>QoI z(|nklkZdV~CHZVaC-_!=v9iJtp;(5gxlx5`Ik^V*KtNER=M8a@dW8Yyj`z;PCLwF$k;f zFSZkVq4ULr>^XxY=nm%1fcIV9CrSCFQHvaPv-oG*gB(YfdN}tgId2M9{#!5a!<`M| z>6NOtwzp$nUf{X{pVQ~x26vC4l3sLsv78cedX79XlTryx1oqgU#3MbJgGq^_(-ac9-QSHK zWikbvb2b;XYr?7I#<({v1!~cyy9kLlbDpj(2yjiBiVV;UY z!_CI6(y5K!ZE1Pfx)EAkX`)0eQ%=HR{-pc8H;r#SS2XOIyJso7%9tW3J@(>kNnw>^ z=|gOV*07C2Gk58xfE7PX)>Vv2MHnoV9#-JI$;JJxaZ3~uMP6qz@ zwHl*!DJ%@1I0!Kpv;4gjVDxhbpqv|`cX>#&1-2O?O5)V7kThuHFb&tUo&GP9qCQtZ z%~8EZ+I>}idP3iTW_Pr~GNnfGvC*};zHj2M?d8pb!qP0!C4&(@y-ZtNZZu(%0)RLz z&U()07C4?CXJV4Ym?J+X94$S5nNnaZsqy1)n*wBgza+buHL1~ZS<^K~f)boaHW*tB z8uqb6uUhpK75AE z5w~S!OTkobZKjHkoy#5C6^w2wBl0&XN-&q?!E0$N5mGym59?pZ%hA%HI~`7 z2687fxukBZOW+eb4zti@@(9eZb{R5gnIlTbE^VD`rmGP0&~zF7bepvUFfuGcxm5ZK z%LHI`eSR|$^?yYX4+<(Tt!1azWPi((f2=FmNRc0lp&G_v-Z)plW7Nc$zm{6`&a3A_ z8G%QUb!A6y%cW0de!O9*wVKI3`duc>^VMrQ8BG;Oh=x8sKpx?x42Kbb_Y33 zp8%x{bpwcez8@6GU;SXz4w6UM0&|Q#<=!aJ(66L`j*3{5uHG?fPD@@Nu?#R`klK7N zh8ft(*E(lvOH(=R1p!nEWJ_`{_t7y(QvL=5CH)2OxqC#D8cR1Z$wl8qVV>G@e4mWd zzM21v4-;ygmN;`1X-sO@KO0|=csl;kzd*#CgZ_{wrX-J1J^d#i@Y+L(%ZzI|(_WS8 z%7nxG8utALj_zM)k%tpAwa2@$WyT|=nAEHAvG;+u^>v(Pqgy5I&g3hoLBWvoG(~S2fdT!=Ip&=m6Ga>ck$_(8@Cm2d2r13>U7H9Nk=eU_L(b*`;az2MFG{r zIwqAlW+s)M_wI0&I6}l5S>&rDnoJ_UCdBUCRM=AM9igh^N#U#vOeXsMBZI!%f{%v{ z{-2>HT!|?NV|1lOYIF=^4KCMPQ`3xNjbaq`Gl0^HQ_i7vRr9ZZr4^qft{+oUM#NUoeEPn43ev&-1(vbE4m1KP$GUXLcua}U5uJ_~(np6+Ee4g14e3Fut zgcQ<2nW%|EiDK8C-gfuYNy+<2VEq2F_G_-BM)^s$y=&ubA<)w`8QGFzR#mP-kY!^D zxc`Gcmwq~6xx&POtIVKBzT{*>?_#CZo}NGEzZrkRzrjD`eW_V+ym|Cc(X6|6weli1 z_6Z3%7xGk|)yqidi6tMp{{}YonHke3F>rIw8IJ=OAfCEnMuf zOH}ul0MW~{wNIh|S3-hxo8K6Esipv{9xRu{oW7K9#I2q_?IFJtk)64=N|J@F%xx1` z_vkEc1kyh!?Wh}Jsmfud*5&(*lSr`^m6ld2pQ&A%IT-sD2y=FcI?&Q$qiX-k98a+l z0>V>huG6ql|1Y-n>1zf$PI~4`f%~!a$$pH@8+E0E6p_8$&8K3hESXG z#QZ-ZB8ptXkz$uk@sFSaZS&5{9&&b=Qpl`<4P^U2H;0q90jdodw8Qe6t2&YawiIR_ zMN4tVEVvsX5Q2oirs_F+LvAF)6Vp+ks61=YyuZ{zF={6q(!94Gt(<~6aj&an;fQg zPxdsWz*KYl_8Bxx%Jt!u*1FB(WB6v4G}uWxrgiasB*p8l7@!vKi5HWqRR$5i+|Zaj zZT*T|shf<$N{GST_e!quK+RA7rWIErt$4{z;ju~^7zDT{nRpFUkKgeK^hjyfq}g>) zExD1rWl0-$8T8C+fZe)>l!A0MyDKXnwSc)2OJc?qUeLw#8}xCKMB zm7Sl*i!-8CCPHI786BOR>ODZ-#=UP&IRjUFr$%4*_xhIyQLou*u3wO>Yue5C^lZo0Y;f>r!+vqVGaD}WTxy|i5C(3iAMVZQt@#oBwD=#0 zRA+alP^!MEDJ(sm!0@+S$Q|Cjy`9|)gWKe2en4VvEjuh!1YS%`?DCO5+3fGaLgcSs zdyL%TjC(v@=j-HRem9?C+U)SFJLLbUstVJ=lI}mNs}d5X83P}1#_HVG>P{(xp{qex zZwvqkqU^A;Sj-;+N7?Eh!s7wIi zo;dE!?{&6E$-kUmH$u|Oa3zDb=(bl9zNkgIxFkN;GK`8*-94Eo7?#*2s)|=p^c}}b zv0!SHpYwvhZ*#NX?qud+@R0hJ?C6Va1P1R3uewSiB)SrXX}O&4pNa$G;Ag3W&ckBW zUSW0h;uexmMPt7iEI$O;W>1$_n-HVGzBu27XGqlinIqrypsk*k#k&S6VjP9(Z%dqf z!bx*A;lHeAnf8R;k7muWES}Y#LoqmpsLkpP-P*m9ttbce#B8# za|wN9)z0(mgi9-C!fq>y%@Cg`o``z<1cj%L!W&g4P=qZ1_D=HWNLL7E99<(QuFna@ zJT&3W{wbx8tfc@_n@Y`i>hmzo{c`ZaSNG8tv-3|ncb}D>3gIhIkAJwun{joNI`BIx z%61YcKdgwkQxaf{PiPXce8}25*k8ar3Y?4RPRn8`T>foyaQGLOoNbI^t>lflRZI3h zVvWmvP5$8DQIX<3OQ>0ki9r(YSXs>PpDVIU@sHoKz8%F33G$8D*W2D+6QQC3(?FYL2{T8rC)TzAME`xpVE>xJmv-_u%J++&Y6-2+M*O{_liJOJ5Gazj*qWv|C>wPr`(6rYevzM%a^}WU(Q(AaCfe^E|;? z_V3TFvQslP_NaEh?a<@XouUt#WIoyPI>b1uWW^q@kqSh4U+W$$caY!w^Te8~Qt`j} zrZQJa9*C2q+f5B(s}p{8=VG*B z5@7ja6UEc=9c~OS5FF z1N-x|vsPa)wZEAuEENarU)lBk7>Rh{V607bHZk060!E?;8+hXY76be|QXLfm(mgsl zdiW-eou3!g2vvUZhFH=fw^kkL$Ov>g&s@%Z3F8W<4ewk9MGlf!{-E5_D0pwEYWEmY zC$3L!IBgjE&{>aYvMr4834KT`pe_f@ZE%NJ&0wO)#}pD(MbY5yfN z^dNgHK^NzG1;1^mLNnr{%1Nwr$N4G3CU2^M^mEUo><7N5h3`cjG%WL#;b*xO8y{pP z)r>Ibk7h-!(7p!1WS)!ja9s7TWj@R|jF4LbGd%`K$DB*Vo^%e@z_I#5>}3xgc1@|^ zW7w(sR3(X_Pzz4k{H^IM=?7i(z5?s8nT;X|KhoshS?bHBYyn7m2eDj-&;f8yOK?NPx42#auJ~REF^m5$;n= zzqU8Tte8Q+$T)84Ah)8=hzVcDC|#@P0u(*42fdolfJYtk5xu%j#VAukSwzIVINfl5 zh5u--1jr;ZQ)NFT>K-(A5&oNUh;lzIU21UOAD=NTVH-{$FjPM*pn1UW(EaR>ikxjY zZ6EWehR(6F!~i*$APHLPEo9#$oq{s});-jLH#tSBLe3^pp{cf+w5>i<(mxe_7wLdD zyIw4&2&NZ0IbOod# z3nph3{@h;Gc;M6CvMWB4a5L6GcP-SiLzH3#IwQzZKl|J!d#Za9w+id<5p(hC@h)W?3@)RLn-rayguVQkGxpB?>G=_nBxfkMLJ#Hz}Ey1Rk;s&@-2NW;tccPQnM-lFA;zo^|ObdsfV7WcAv7}wq@DnRwwAR)$xwcA=M=YcxhW_}f!%EL&ekEzW!C2OUo&p7zlDgP zh{*O(3~poZ^ot9@n-NU(jQ=0eGotAs3Y|1Ei?2$mH=o`6+>k@{`hzL9*xUa|o_`dA z>ZGqs6y1qr6No|$`5cN|%mTwBPT!rF1%5&8DKk2pFWH}G&tTTp8no1?AfxZb#nNl#3Ghi87Z12dN~_}O`V8GW*bjp%Zl zY>A7%7EuJ#D~v^~-bvx@F`6HxHk6wf<$g9mJzl5*O+YWE)(|nsz9-EpQ#TncV(8=V zM1U~CfAO8KiQmRkbRJVx(!mO8kU5nkW9IX7Tr%Oz1fiy~0@Ln}1I2elzrW$bo;(#7 z-*VjTHpG=-PfVpsY0`rT=vc~E8$G^ZpY+(R&Q{x*jDDe!%vD8get*{mgP-V4=MHuW zc|@C$z_LGK830)8UB2;EdUbu_DL3QkGOrz8*lmtNrV@d`s(rAPxCcV9(j@~k;bN=Ypy#M->L(32FH-)`pIxy`y92{WTb=vo zCh>M*R@q$P<_1x7c+;e5fwR@nWlN>hy7D;TG@S;=JGd@7Apsvo^TI7VZ6*Vh=HrEa z?%?3AfEOZxI^&RtVM%TE+IL=+=MrEt4sH~;PweOf+YDcRJ{8sK;s;$%N*7Jn9N@ul z+;Eo;Iu&pdR$8KJ(ySyx7~`di#RP}j$NRB_YI&Q}Q`!1o?cc+Mx+Xs_KxgOn zV^~z1$Ek32H9@f@J^q|_#m7405kOvMe5b}(J%s=<{zRG-`aH`;mIKiC5WX$@M+kjN znN+DHymp;7ah^8Rz!Bk0hRy)Y*2?+n_n2y! zqJcL^_vfo2HQnSa6}qy`DEM3aUIckVwvaELEGP1+yN$c*&9Yu?9i3ZagHZt2d-fCZ zbV;2Wl*)9y$cZ6hfU;NqP|0BalWFf$S=o2q%F=}Ij|7rYat(*BnU)`Qc7f%RJEGPM z6tmrlMVecJz6jc%n2Lcw6teieO$~Ppin1Nx`J|SPF2Q|coSYl6YaT!9H~bj01{yM( z$&tYwM~w~ZC&%(6vIQ6B!^ewtG0~ulceT#7MU<6a37-}IUQ%(Ps%c(t3j0%3Ccm&nT!KitqpbTT}LJ%1} zFYHtN*1Csd3lem}YK|AeLyKr+}jR5*omuvg}_kF`#xiEZEYQ}bS|17sGqEK>W#+5#}|G! zR1{>LPE=FI!kqglQPPBP_p$l|_Z`jpvXN+x&6~N@2fWi=LZO$q@CSgMraAjV7-C_0 zx%#LAEcGz`m*+PK6>MsD>!T_amk_d{SP5NU$kP+k=s1BN!|+H^l=3u;5oUMFtTbBk z^un3{_@TTGMiG`&b?Xry8=FW=M$`JESy;?Sk9*{E1nerf-C<0mnF_}DG*Uv&f0Yk% z&STZ!;Y(W>{c{)__}9CJ4kF3XQ7 z_F~iYFtEeHc)&vLGu6Y_?4U3Nq#o7l1^H`zh7oqK3;xZD!nIR>s!VD>tpq| zvmbkqC@!eS`VfVY^uT6FJLV8E)6U8C@q>thLW!Z6*N;p^@mQ4qkxuzK9ioN$J;MIe zPGdGqCaKeVZ8uE0S~I~O@%v=QV2>aBMVrSrpSzU7frxj5eV;4A9zXYaC-&PXJ+dB6 zIz6#nBzD6Uf{yV)C z3d?5rwA$lCnEv}s_g7n0x}x>NbY3DMvu~RkSENYI+Wh=n`1ubl)a3S&RT7TJQgMsR zdJ--IeCClV1+F~8v4FQD`ZMu1Ob34>?GnD=cp%=y6gPH5-x>h8JfaqYGK?|L9C>kb zHN*oHI-ey8j;TS{W?Cp$bxQ)J8UPe8=ejTGmegMrcPEMscgHU>oh13E=-2*OC|zpO z1Nka7Cbwk$#0xX8-yb>(SXAOQX*g~j9fdO9pKbR~8P%ILeymJmDg36x*Cr?wlX*Zr z?5^bG1aB7qbO<>Dg1~u@trU=Jfxk|bjto99BS+{A@(@270%6DQ9fR;DvjfZSZ%MFSVhTX^O4ZDbZ3?HVE0#H#CGSq*Vbf54c{K7_{rO5Mf7LzvYtM>OXwOz5HJ6 zDYZ1k^3Q&T`bJxOYb$`qJ-+lBefB&fodVycnN>0Ym({*cSs4@QkHxSq4U<_d+2rJ; z>-LCOzgZ9WJFla~;tgfyObp5}(phFeZZqP0R91~vAj$Zw%gEd++G3sQ@bNyl!F?EI zjy~|AeHsoZQ)klleNipXycEhkM;l}S0q47fIxLpI9WNrG88hdhUS3lKoehG6O(lGR zRLO(jh;gjoGh-{`o&W>UY&3Lq{7mCc=RoDs2T>2GM$+7f+RfY95WjfR#n0-~CLfBy zKm#ga&oi35?kT>!u)*I6Py&?aHdt^vP(^@m{@DB^^-z9tC00&CK@D9<=v?Xb`BO~3 zy!%Q9<)2j}@=)h2F+09&)W%Gs8p*|XIUQLj>miQ0F!I*{^}loAR$;K$?MTf=Yeg?3X~u-mP{{U zz!6Y(ev{i1sHv@;93tJf)yVbrjK`%L!EG)T&fy%$J{2O4Q+wNf z%Bde=G`JEA;J5~)P%yTnQ9-8syB>3KF@1|ET{u%+D0WmDyT0VF>}lZxu2~uFE+VDZ zb4Ih@`+lH3p3KJewW4wPx|2c*2&1|d`WXhh_dE(Se&)}-Q8Y?Thh%{Zuf9}7HU5WJif%{*W#^NJhnXWV}r~~%}KuhcLdk2?B z@Mi+9lVD+j0BfVzQe*(|V=FkD=Ft<$IsbGvJo9H#ns2aD~Gro`Fg0&lT#Rs#|uEbf_J1yTiI;@|JWyko^mItsmun&7} z4@uX8TfV;TO26*m(&NWRPukUH;U=zZY4Hnkl>kf_&Je+v>EP=qfy^LNIawgRf(=jd z*sXzWa*ds$=sMC`*n_C-@cC9lSrC(I$?@Ol4(v2W7MVHV(Y5GfvRGTMDB`0hXS#;* zp3hT0qjfXMjDTCD$q1Vuq38%__UH0>hpXX^jYAij5prMdDCQ($Y^dpSYa@pZrOK`N zroGftU{j)H??8{-S@3c%XKx#H*Z-&4Ds=oZBJe%b>@1jAU`g)km+xI!+@}B_*0b^m z=^gP^O7v2cyCvDPxoUtFan8$B43lvN%-v>yz*t_NXcPPdwcuO%{>o>?bUY0^XRm&O zJH}I(VsW1xdq!YaVVJ0OiDLLNTHt2l(NSwe$T#q=W|d%@n(==DH9^Y0$un*iR;WY~PjqSnqV}Htc#HTOGlMVPg^W<2~b@?8?_m5yT+llS>xjp1I z;O~st@;SkMW^89ZUzcOA99!jmRV8Bie*~-9mU4NYV>S1c=L(NI>mw)nzhY;a%M*zB zMPIG*rX_Z7v{UyybSdJ)So_&x*^Gk@$_&B6-|z?jQZ06wQe@n`j{Me+8=* z4e9z!qW(lRh!lx90f~QypWB1=V10Rh^Zews-{&&F)BiLnDk`DF!10*BV;?*Zzk|`5 z6g1QNbg##E`kRUUDf?WG5%~AYKI02(-Va!+M!#>4(b%8znz=Y0@e52oj~$uMu^anq zmjAi`W#7mCR~{qEVEyVLt1{ewp>*7*QTOvcF+}%6!HJd91|# zsQ6l+NggXP2Fc^<&uzo~<9>e$k9aM>zF3Y&Y!Ajr)`#0?{TM@8U)F>5=YGgzN45=P zusrr*Tw;I9e6|bQhxv><9AmN^zVAy|&HlVNR>Az3BcVOz&so1lJ_muwc7V7Kw@~srvn0#|3JUjv& zJ4z?JG;7unA?udO5-B->@4u0B$EW#G+wbooB76h7c9AwwH*VY%>(;INj}s~5;^LvH zDQ)C#(4Y>20zL8S#Z|h({eAXDo&HLa5=Xi1he(Lq25oI=lYE^zbrBG-QfzMey(Kj@ z4SIUghV5Fls=?3K5zn6RTgADZ=U>$0uOum1l-quc^wizx(_6BC_3Aa@$hOC zz!c@ml|zI2^+h5j&#^04q(sW{Wtra)fveWw^}C;N<;HEyH#JAO^5vxj%jKM4`Alpa zbhNWa^{UlH-{jP~VKZ!8>W0^O2XQ?%4Q8XpqkPFSs8_E(0{oZZ<^6}ae(nP18=Ig^ znF^>?vkv^ddsQp1iaa<1WM`yxr+%%|CyPP=4iHMKy-N*f#w_#6XRHcd0nwLclV#1{uWbStld%5<;eOcOjzFTlgJd^pXT zhbq)>HDwhzO)|p6$P}VfES+eC%H=E3J(XZTd8Ux@D3%i`XQL|BuR`@5Ce6Tuh-5sX z`cC6#pmLdtP@%D~vv^ua3{M}uUV6HA~{oL;$dn&US#ism&roZtWp(~ z$X+}ycf*tLl=So@J$W;Ar7BfmOLl&6^A27TKh(_nmT|`3H$dMz&3mo`_^vK9abm`Pt zJYX7OIFk5BI4=y*(Q)GC3H-+w!y!YlpVlcqzkG$XxC9L7E1k$ECq>0lm^$4EM^Bst z-HMpFICSf#A!Hg1HNf7zdqwhCOiV1gbm8PQMGPKnKtZg;k4s2EcUgks(C*sUvwkb} z>o{V)1JJc8pXjKFfkTI(uxO8{FC|iH3i(3@4M9<1k>DpLCPGUlXHftCD9p$LUcN?R zL=?2T$r2_94Mu@1!7?c|1wC|hL>~tZ9EkjU>LbZXPD+MO4=K@cK>q=>Mw2H}5})cb zpOZ;*KO|D7LASTGPU_#TGje@b;pg-{NOfO^o-L)5B=rXmLQcLUCp9${J#~8ue!o8Y z$j*}ZX_-0b)laf*zqTEb?Gp%)oOF*B&}+r9gd+O%ABe0RPWa@6$sAfMOYQci_W4CC zf}fFz-ZH*kuRipDnuk>QzCHt_q({AW9YumB3Xfnf*?VknePK_&CMQFh4}9u6mz&|`P!lx6lPR#GossmC;=Fc>Cd8;>~zbp|^S5NOlB4sYs@7q`U@mRBE8zlM#0S8WF|Arkf(3DQd)a*`j zqDcPhcQ}w&fMJ744%O$k%*DpW3OPK-d9JhkZe2AH6V38z8t*%ZVZ)>oDfx|9@$}o^ za_Hb8`n`=1_1m^>kM*01B~sqINIr$^wU_Gd{R5IiXT6 z^MDM;|LMf|$vAsB7C+>t<6iU;T-Tqy(!-FSJ@aXANIE%!{s!%2UmpVA(!Qx@JY=c!yu2BN8cP zF8Rsdw22T&1?7ttU&Gh^+bJ(F%xk! zK7-fk8)+pVZMneu3o)~*M!Z1fveD=+>vV9x^h%jf6mcP8k%q5)JA}zM?CwakoV$iqkY%_eT_?eW`zc zCGlM6w)rGaj}^gCCmdtl_yr`quKiyA-a@wj*A!Wo|5fGKv19O{_28gEgT&jh`OVP$ z9N{~Cr~fq?I6$J_{raPGPkl7k(nrf4`smh6AAR*dUjNd){|y>EU@(Rb7>d4nebJP4Cw`&cKX+d=cteYmntrql`>7&z} zPN>qpiew+&(8`-&SzkeH)K~1PC~fBCjfK3)kv9~QZNw%@BC&~-H&OB?P__vt ztB_vG&6LH7VST;(qL*tgG#J_dCF+(y*=A+YYH};|^X&&6M;+7|P)BN?`@$PL<#yuD zrNme3sD>_cyP*Fv>h}^&>GTy&4B(BLyqTB#&6|LELn+CqrBw@>mYSm9UCg?mau?~O zPm%n>2`ju|l@m)FDnLnH3H5r_gU(_d=m+Sdoku&ApI`pNn2Pb`jk$dC6t!2nZfP_b z*aUh@_0Tt?Kq=!=QeD1>>+^% zm|!>oI>U6JI#5+4bksGgi$2Tyz+i;|y38gUcOV<{CSu;ST9NG3i0m|~3Ho^S!Kk27 zm|#5tV@8e3`))`iF$VRpyj27uI^n?Wf9Fo)|c$3)?W=>dv-GvpL=tJ!_l}ynXxdpD4LfS1Wj7sk)7JfP{c~B` z)P|-;7j*B|8GR{kH}c&K=lln7D|~{vURyDs=Rj!It&i??>O#9|3-N--kJJi1o3(^) zvsUQdqAgmt?MiL-K;sTtXy2wYdNgnI;X2p- zzlT_c+0;)EP?tl#?R}Bl(oeKHlV)T?wlV$tTq(tY%E% zL=?t5#xhQN;rfgnoRA^MCQf=GT@;%V2I>uv)&J;<1%u zFDL$xj9MDC(0#tNM(N^BIATs?ET04X$?`ezg4QB`1gjelXpCO=z0fb9AKJOMgNiAw zrFbm(9$q&v_HyD1uXQ+SiLsF|wPMSP=rXkn#;h14PJwRe*-~2n@cM|?H#{deA%)j< z)NZ9kgxfx3rJT5bc*1CGF#mUZ$r#-cc{r11p-$w!uWd8Lt4E&trSjnGV z2|HVK)j+fd#rtbNe!Z87DaI;Fq+pzh#UhEc}ps$FU^q$NDk`5h-fX zwTX0%eJx`t$CPXv#xl~E@u=O@b|QAvcGO0Vel-MkDK=IVCv^7nqnL83jyOr0PXw;m zwj$ckrkI&xM-6KYRPI4~QS8X4Kesh*EBe=cad%YHqO}Og=aZ7Vnst@%$h-?wyQ)f9 z$_bckC&mo66RigX9`z@Sd-T@+;1KSj-|=2rRtVK;~|7c&b_`4 zt3Qh!8#@qIe;zx^Z9}$JX+gG`&<^@uG$)qpO0gr`T#k+W$#F8T*<@JV*{C!6`}G&= zta`Tfpfrotc5HL5%Sny=@(4}}<+;LrlD){O{?hswuyOzUWbSO<>T=0OLlCgT{CbRGd-~N^{Asj`h$ppr?o* zn~!fUP8Jnd$O)tTatxYtE!wugi2frmYWOI$9oiP92bM;eab?iTt`!Cc4aPu^foM6Z zCCW6W-wr4Ca%@U%@~NvlR)bdz#@JtDL}pG;l4bV2*}?a{YyU%0!w_iK2 zRymFOk#fjjDXCReRSnM0F8_HV<$EIj8QcX9M&)7RpwTE(vNResX@pg4g7N0< z8(hA08S`ctqnuJ%G_2hOt5$8{J?yxA{V7ZqEJC?*<w6EvZ0bxQv!0qdRxWYCtD~oJC2J36j+##O%cEksTJW@Rz>6cNaU*vR7EYOo z3MDI`u1Ym5pJj@d@maXKEf#b7j-vXdphs8ziVy#1Hs8QJ#slSi;}TnZJnDpDflv^nC0$va_* zupTuTDx`l+lJ7NjE}llF;?B-EEEzQcmB{8bYSe(Itv#NS4euU0jwN$ViLZhhW!2y@ zc_E%eAHd!4LfDL(jmqUKqI$Ke@Sr|EIeH5B_8ozpu_-E3f2)_R0=G%#cpSAK_alm6 zH+~LO%d3b@jjoFp?B^^%6_Q`AVs*HfJK@o>J9vEJ4*VUw(V%YqPsXls z)jC*dyijZ;z8{`~#UrMpghEA>D5(Z(6D!<5dWP;l4d;bcs8Uf4RmqOdW2fQK?nFEY zOM=zN87QexiSDU{#iNW!uT;`66)uxzp=!CxP%EPX$8po~P}EO`%?Pfq0wtv?ur#*B zy~8K*nC$LmxdhcJRYR3h%CH|j_0vSk%Btd&6>*|TB=Kpy-6qW@dsHHORfe6(Lfkua z0#8nyg}W8WQBy;eN|oWV&>C;gTn8@Q!*V-!)T&YoDoW*GJz^s6l0KYBDNd?XsRUJO z!`a*lZ;q4CJa>!ctt-?k*F;6q$BO39ojr%~jO^gO*ao$#R)tFC%CK6pMB0@5^clQ8 zyirT77AjEvSu^M2)LA|qm&(sCfon&A6Pdsv-u$10pZ6vR`r@YZ@AU1_A4#O-&%;Uh zp)diLq9aA3ZrX)sS#cs!@>XIrve)ducDGOjS%<)P;W|+- zXYEcr&yE95k?d2P48lo5F;cRSESd5jd4kp_iIhdSvh@Pat-p?&VJGp5Pd+?A*AKXSrDW3;YU6G|mYV&vGVIC%JyERiw=ojYrgzf#1=k;8G|pe&IxJQ7{nbrK0( zBZiK{f&J%10$4Qpl&;;iiLU^|-hHq?JO)3Xy@K?Rtr(~&B>{eH|rHX>Gs zqE(}gqJGcbLy?=eN1Xnb$m`%PvP8=MLr_#8OQcLrf))kKEN75TPZaJ9Cm(nfiNPD7 z)l!l(aKKO$6iII(k`gJYkMcyy0$CzuVls3zWQml01|TmdPt^ZKBBktv$&}PI=<3Q6 zDO+|zu4f>APANdDs~>u{Xe0QaBvK})qNkRWq}Q+4AY^CCPW#N-hhF;9sfqpCwnsLf zzDRP?U6w(wDJNtqqEBA~WMv%^^)oZ~Lr+gi8tmPt*ol;B8R)GeJCRbmKmC8d;AdqY zL?3p?NpNZ`diuE-x$!1G-Cn)a}s=sj~W+S$P!0NIJJ~uS0W%d;yh$ygUr- zD@&y8rY**R$0EP500V~%mJ%sDbw<*L%|hqGuow*NA=zB3O=l#o-YCYJ$DGHW`I_3= zVotCeo+CV0xR07Ncj9BEL`pv8bP%6-N%D0%cSH8}NLup0!O5gtjOZiTO1n)LWQFVk zu0O%)xD1RUJz1Y_n%xl-PkxW&?4>znFi^5#w+=lJ6PZDL`g!g<4TE8$sJ;?LJ8UeJ6qL}qMLVnu3H_Kz`RoQ&$R}S-Hk0|`?^yc}BObTklSs)Y3p4f} zNW#;M7@XL;6UlxXvBPOS*4wPdI=c;s@!u*=f#%aG`Nak&cZDOCcpDrxVwvT7_*<+O z*nF08oKLtsL-Xf2wL$V3oBz=#RLT=62}?PV@^0i&oZoO27dKtP!?*+ZsfgDUgtLEZ zB4saBC|v@z>otP^iuHK@0=RkWCB=0PP^Q?bHm$Gy7B8Xr@FZ^K?Sm!7hZRdzfV!$W ze62hvPP>bH`>(=%p%d|H311_pmi3!rji(=8T)2YUM^0ezocR&gC~-l@+ecLIvh-GDW*D2G1y_)%rZeGihXKO zjN)NwL$Ss=+&z38HuEVqQ&B;6ia9(MQ_My&!aa(??B)=kVxQ_1E4xu#@%YFo+&_2> zc5_WcEW^o#u0Gy){N@$zKYI#$M`u(~sfudlRpBykAs!t(fd^E7=>jvTQY=$dmH1{B zczoa}9#AaeK=P|_d{u$smIao0MDfqV!zbXd&=OUuRDl|`;WUBbwD2T6q&UrK+zhBu zyi`TRV>1Nr(e5NTkDm&)@)e3DQa;9`Cr{u^`czd>gKCAUSZe2m2an$4@iV~1%^y{( z)}S_2VehaM_a8sPqi4_H>gIuJRjNa^bS2muEyDexi+FtS8pU;v6rU2me0kW7orHV4 z;zhi^%Gd(Us(+eDsZzQIoF>o5J&Hp)9`&3uM~qJ;ihXS;rsY`p@!?Z&m}iADN@|2X zm0`Nj7PoHQ6(>@9dw8K{m6}i~tAZtqq?0P2Jb4BuXK$1%$Md8T=9@0T&Aa!+$)rAh z{uJZa7P0=~Ni%Vq=Gt?LM}3yqL7m2jpACpa%Iz_D9+?Ke$;MDGTN%m}b6Q&2kQ`Ye zC7&=^w=ODDA1#b#;pYCscyZ<;{B503n_^C7l5ausZ{+NuIeiMttnE;zT6LQ16|r!U z<;O%y-({#sur7Dkc*FMy9A&u^P&kEDuxqjabw<&~AOz+xe;-nuCzQU(N~eyw^aSE2&u8!y7uD^I|W8Uq72ph1Ji zC|AB5*=N3#NJ;gB0)x>&y#dNm%xp5pgz8_#tJklve3`#^M!{n?zfsxU)r}%?{6%TG7t^x z*Au#R)6&7Vm~SVM(la0!wd*$&x@pn6Htu^O<=+-*<@JkZTo;{0#f&y_m zCBKM?U*5$3|4!fOe}xK(_7xRiFHvGvKKvqcVX`R)=9_b{DmD)pIr-R6*SOA??*H2< zzW|5!9K!KE$B~hofyI7{(P%;=Y12EOAf!oa`k^YA<}eLOaY-o3DT3=3S2VY7E^Q*@ zL^wkQl$c!t(?X{q?Mxc-&*Z~8#2R(SQ*6MS1^HwG-fYPAd2`@M1yov42@7^D5cT5@ z#KFkl2&#svQt||Ez~{Q0puzWdQ$XE`b>Xnx0lDXLu{Cci`q}n_Qhy~ho6!vJ;qJ)4 zkdNThV6-!7Cz2NGPN@s`2#Ft(9)iBseWep4cw;B)zzGYS{J|R)sju3W+E|~l9{K0< zg}i1)%|y~iADcedoU>W9>lyBecC$%7CyB^6in5-(X_q%$5>Iisq9mI)aq^}}P7aYL zix7R1EW(=&`9A7%y$SWOC}a`RPo^X0U<}53jYZiZWl>{n4OoO&AnSA%cJJAZ;jY7_ zK5$YDCkgVVPu|$e`t(*nr@5Wr8|5qdu`z2SdRg_7k}G(_X>q%9Vh`CvZ;>9>rLIH4 zg#xUIUx5zuI!HF*4ZEBq!hPXSlFgeh4IK@!J$E~D&*#E9(HRYvH25&4Vsj|#!y8tq zPb$MyFx6)&V)n%#<7@^NhA%`Fb6VGPUA7tP!t;XrLG^2msRgrOGo&6(MZ$>$F`q=@ zCAZHybA9eBZ&0QBO{X@6!xjf*pUy_up)eQ*8%i6kc~0`!u&n4~~^+nT6 z6TykWIC$kCLidG2*I!qv%X5|M@?7Cfy;Ps)0nfJ$nHz*%{p0-6W^Nnllr~OC zUp-SjY>(WI{n`8B>F$Xp-J3wEkrMhE^~Hwd4M;kX1lt|9Vr~i9oQy;AD-ExNk)9(F zk`sc0^94A1@+kJ??!or(?HKJb8Y;#rP+p*naUtWdecyI$F4~M?!NZ_5M+s$SmVu$0 zAvW&Xh|Hu+q$j4+`ZZ3x4CFig4HOe2ea4Z^6XFq@n26XUBL4ghN=Qyba!Mki5)!a% zdo1Ryio%rTkyx@m1{)&cKU|Odg{%a`C&UuPATlK#>kBWy_sAP~?|F_jyZ0j8do|*1 zoe^*2gxIAXh;{J%KygG#OWl!3wAI-k4qlrueOUx%`-j2HV;y!o`OtMYalQC`f>@TF zoAl|k0e(RZ$soFvii2*d`h7wso``5|j<5E8d6gKN-iXxOxpd_DUhPTVKI+iPww zglrDM!Cdk^v7zYa*-yd*_Ji!#$@gkmXklIYI)Ps+;#Q#B{BDxpXTL4SFZOZl>*)L7 zz`@vga3^xkZR?8C)mwQ_E#HQ>` z0-tTR+CXiLn!t0dMOp~W2}RD?9N2EL73+sm153fs#Sr0p!;yJ96P6n+p*EW8b8;Zt zign|@aKa$&1TFkNjbY?OE;5i?fIKzR0n9NA8ZV!)gN+O!1zAsJ&6hI+q2zSUWVeYbw>@dze@+whhlC)``~$Wa~N; z>cDz~HS*5nA@xiurfr#q62>K@x;$T*_#W9@Y2nxc&PmS5IiG`_1v@d=aj^8;;3O9& zlG$!XJ1pC{4EuBUWBbPK=sm1A6zeOZxqfrFY;Zy9$y5ZT1wqe7PfE_^F(Kmb{9*`? zXb+^HPREgRM{sE0AtWUv!6MKC4b2*gf|!7R)S)fY)gtX-_Tz-yxy zQW8>-m6V0VIPwp2fAyXIT9W%${?5Lau z*cpdtlEc>-Q$N2qE+GyH@zIE(d$;5q!SX{-MIz_ZcQK zP9_sxMmT!I*JBNg2}h?9p4xebBGh>~5**wGp2j(Rio=5J2xFNTvsQ;7Hk>eo*AGme z+vRKN1C|nrwFudd@hOe4ls|WcAvPdb!a{p$n{iW)rE)xFjAZ@zTEJ@Q9>zNU3|u3_ zTe_dGdn`ljs&#a2x6~ew6Mue!qfE?`@flBx>nqop?`7QQ|A%Zwtk(*}yZIm^FaYVB z1F?4f67r|IC_jh%FZ&|)zvSndjBf(R<&G%KC`3wn3T6e*LgfXOB_GHBiF|ME3AJIj z%}!uJSWy^8yN*WL!DS_%&zL2TL)edwRX}UU*6>UB6WF^UZ3FsQ_mg}K`#f%&{jxlE zq&9k3^uXHmwW7Xfq$gU;Y$0OP-b;Fm*fHl^4y-m?L3K1?CdFbpRyx>{w*|RpbK$b1 z7*;bba55psFpPtYhlG)Q`mVrB=5xQ;ml7$CBtG*PN15a=AmHnaM^l=>ev3V_&SYU% z(Ju70qZpXtjtYhqV7A^&@OKpKz`&&gQDQ&|G@9B7&byqEcRo+_r?Y8i(Z5;~Yr$!k zlfd4!X=|amP*d2SV?~Y`8IM*bu0%(Zjvr%3*}6pNOTN9-fKo7UG{E+}?Z`Wq2bVAx zG@RN{ilI0bV!IZ@uZjj0F~xTZqW4FCJyx$GtUgLueUh+xGhwwc&6Qt?9ffT|?M3Vu zemES%R})sV{a9}v1J<4I<9SBG zASNmXdvf-`c7rWyo7F~{d1Wwa{U}5qi$>asG%Q%N0F_2ok`j$MF_-LBWq1{s`kEp; zBO94V~Ficr71*#)yj`A3CY)a$Oa9TsmUq2sFhoZ3m z{C*rgauhk~Ian6DOuS%9X{Hhy*fhZ6$i;|05)I!pUvzY%c|h}0eZD&82hhDSw5CYT z5}5koxBs2K(|?H)2_KW?Q8G7_ZCfTvBlm^G#X1{ z@4a^g5kWels9+bx-n$@(U`3@XMX-0VA|NUtcKrVN_S|cbXky-bc`xsOu9@7+o;h=7 zXZOtP?A_TJvS=?XR=Z)-u6^&X^LN0H-T&VTOdPU%_imL)AtE9Ij~_E;>;G@j|J?Sa z{2hwEPyTkr-F+XLHPbdW*Qrw-8`m$<2U2oN$CHl+iv5+K1s1-*ySK6MaNmfQEwwB- zwQAMI`t=+B=|D4!ZD9W9 zo2po`Vx`J1_-6~V>inbv3p1edSLLx}(JUlAy(w2n>pvAp$!90P_m~?xcM^vpgANrd zeuae#7a=*B+n@gN5*QeWZr!>gW5!G48QjQvn z9GSDDLg`9azI2`1D0lN#9LA5Iss&P(FNbBz(*#nE9*3M+a-e*videdMjl#cm`w=Eg zosJy2^PpVe!dN=V7|)Le;lBGJSPveBV!5@AY6hrO@ms8NS&NjXNw_0COrAIyIkV+P z*?dK?c$^VZ4hJB5w>L(0>MQs;P#|Y9%pGlv#l0P#FzVV1d9r3hsj_9@ z6j~*7K0?@HrmGK8N%CC1B>!5Bjc1X)GRVqo}LP(;R6!R&cq z(QlZRd2;_T*bg6*E|Bt|3b15m&Z5$v`Lj#CJS+CVaXdNf4~LQCP=tYzg$fDJws;o* z6mJt>z}azu+BBOtQx0k406Y{rPi36V7JSM(e;(m;=olH3!FYQ-0*l6(prnl7JR-;G zeTN`s|4}3!3BcSj6H!9=$t(9vH#hq=kaEsklqg&Rxul;)N?*JWq|jQWlB zft2!j+I1t|`Gw)IlMC9{(}EsB7x(yV~pF92Hs5!GpPv>m>BT_G2KqqC z_GtqtebA((7QFY9&ScLOO~>H{fje20_sUGVN`Fb>IcTUPr>MoI=!Hq{4Gw(fxA$4;sG!^eWrs;w4G z*!J5xI6i+Va5O~ANcpusBjpdB;CoyPj65dzty{NK_#XsP9yy9OE%bqu&D+V}THvU! zZ;0Hl&qyh9Ix6kK%U3w%7l7Vfdn$SIngKd==#CR7(gaer)@P(_`fDKN(W58C2h(_{ zSGO4s>=T)qJ*C*T&wP_oiCa=VR zP75))|1um{v_-p@0g`ervs9kmxD)o{T+ywqv&v9OoYY{Ub4BKAdV9>V^IsoG$skFw zr>{;PL#$gQuCKp|o13m7!Rr*>21z?QY|B6t4$C{>^!oMfJz zEdJPinWr0f?Tb8_vZG}2vY78Ee&C~5h`sw14%Tx~vdD)S_N#vN4OT8+fn=GJKe%@v zCMKrHlUr!!E`&wmbEeANKKWn}tOkxozHE7vFY7pLoH`We{>}rK(shvNmR!Q0_zy!T z;)#zRVm*$)tk)pq%bXL%ij=@?``LIB8?SshGgDLK7oLk2DT3K{_EH~**gN+ybJ`4* zslRA}qL^i6hsO_N5hwi2G@XG0f?uR)QP|IRz~iJu#3dwXft2E36%qf(Zr~^+h(8nW zbqtn6MxucDCWU!0%n0%Eyro`zJga`g#mCEyLUNC-_&Es=9*Un911oE56ckhL9}q2o|8X948Sl@G>3|K9xvY8}hT z*$Jgem6CCm8%C3-;NGohBtL!v=XuU3RiX@XXUGPlU;5&%v^`mT)CFUwpmc#k$ek}Q zrkYH{-TV4LN~Z-VBQnV)KIbO`DLZ$^ooyaS@j8LUW2T~Pfnvxh@5ZEVeQ{gpryL2y zl8MGBTc`+f=E4?|mPHO3#}mZokG}OtA4s_x<;z#p0x2hq$1P@_ zWB}WeWhh^&yx`}+_%T`_B?H@*3qKXgmqX?(S@27*zBm{dil<_Jx%%~=2&7~{AfJ8d z{v(*#%|?k*WsoIvX7uUZ3#URtKpSm(1DNa^IV8da)khrWE@rj5$v{-ykTi^%?ers$jerF4ur6>N8q98>Z6wrhEG;m(0A{$&z}+Wz@$&6U zYzp3lTDG;c&G^LWt3#RTW#H)Jh)2&JA?ZaDmijJ5rJ0p99Bxu&aD*Y_!yp}MSk=H9 z|2262>N#SbiqGR|g(5~pwClWw8wt6wk$=l)vw6+1@60~6ZhP+DIrLrKS7o(iFu|Vi zJ!%~)G&)pmaAbY6xy|5nURz%~b@LQ@uj;LBQZxi8aFZ%Og?_fN*)VLwFr2-2R?#87 zI`(ytaa2b1S=C3?zfFCsHVQVK+f>`U$^a4aM>_fqukXQ7_eYQ>1IE{uUt`6|6?pdY z8SX#552Ia1$TcAs%9@r{vV8vfIqp8bi<#~-QFvomygl)PAJCOU1

    $oK9mx+Ca@wk8~SJy89vHtrIibcxUVgg!TIk{;=jzE_kN zTF-B-$-y8G_8WPVzZo=X?rx5IPwwI6>z673u(oY&jUMT<{lw>YxrZAv7aUoDC(obY zNs9Phhn!JiMg@(YVNCEIK1*n@?>QD>)YoLdcc+g|jr(c4r{U^D{qK$X z!f{}-#{>l@7gX)C^|Zy)=TDLFEJ2L}1|t`lT10(+nDkJ8*O&6&RNe`kNdQ(~eusHc zsc?^eCw`rF?T^ZH@jLzEfF&2-!TEFw4hP=H(}43*873fO)juK~glqM3{c+$$_=Lv8 zIr0sbpLvO()A#Wz;OzVBzq$9M+{@oy_!%YRH2#U6i@&(UJq9AL$a94!Up}90V>{Bo zap9S~ojM2HmG|JS2!R`nZw8~siXNJ7W6*)%WF4CoLpKaX)Lrd2 zMe3=x3)^bCk9ymnm#O1K*X5s-AJcYCL-eC)yn6cz+fHx8cMjjZ*W2&)GVuk|LS$i*gWmBlHZTH(M{SucHr}PSKf9dI^;e6Ox*(Ta*8&8|x+Um4=mcYLa@TvMg z0==E09qzxTKf-T^D}C)5<*DW<)XQC6x~h8Gqn)8Ul}(^MAGB@|F2!6@@>mPPLmdqD@+ObRjC5SJdSA5qtEl?YGLtk@jcX z>a%HV^(L{^7|tcztc9;Mzbhe?IO0CnLLS4JmTy7{2#T|qpTte!Dyjb73nCoa zf9|O`8Uh3e9pWT^=7i;Q3hgp&c&Nzbggh%iKKeHBsyi^$O?RqDyMn z)KKsBi#IRSeA!~3h2{e=z>T^@^euh1y%Bqr4|g^8Dkf~7pyulaee{7|7QNPaX=gRZ zXW^WmbAP2{sE5<)WzmZUTOD~fQrRZ=2zRC5c*sf5l|7Yj!RPV-6xxUEJfpn1_|<+3@HF));vdAr!o&jk^X5mf!o@J(em+tjry%}y zJnRqKqu8`!zaGw@m(z+9E0k?dTLNzcDm%+I*RrXF-C?^`J;x0*LGxe?`uYqY{ZhV^ zzhmK!vs3Yai}=q@XH(&Q7kKnayY{90_ZR)oZC}dYq3HYMZ&&v1+lS`*>?8H+*2KmQ zOaCB{^1dD(r~tKhZ)LKY8Y>W|2YycrhWa>#`)g3!uXNJF2Z5CPHllSaZNqu(+I6vE z!#^KL$&K7Uv~8z0u+*&i4OY1t0x3C(|5za9hwV*$^Jm%{`x)(JkRhKPkAvPj(Ei6( zYNLMDYSpoFrRzT!Na>4CojR+)!YWl56z71Xr_4zCsWuV}ZG7+-w9yb)n1JKQ+|Z?S zI~7>?)mN3VXwl+-K_F!ix_9r1%$c*OETi-1S|K5x87cY9MAfGBJQ;ZXcze^g`NQ_6 z{!x3=22utdK(C&iktIuJlq**rj*fHx`9R87BCki%KADh*uCzp-W;KyX-jQ+@E5l{Q zYT@AxZr{Cwu|^Y+rARiEtx*&%Yc25l^;z7$bqwRiier-{Bg&L6hviFG;PuPbxO49= z#!Z`utVOb;O!XpIw%Q!8UY*6A+s83}Qh#L4o&}}zOZ~(dcqNW|%zc}x7zUW-m09z+YcXN{7hqHEs+By%M`?dsm6HjdkP6YM=)n%U(~9R1uYvD z#uhshyzx7YyB$0E1U%-Dinc}$#kTg3`4?UU(B7*54EagMvK;^v3cuaY2ypr zdk}-k7ADAEGAD|c$q(mgMtJTgym=jmQIGz}kts8ZmnsD(8Dr01zCuhwJdEvTAV;ZO zC{{WjoQ$U;MerYbpTM+!Ly$9Db`;BB5c9`My*T)>-oBVNWB_ssKSiq)!@Pxa@GL$7 zu{ZC)#Aq6F<<5(w1i@0g0}YxK z!phYSNO|!L2}#MYoIeZsDilQiVmV*U6AvjDC@QNJ-l zBM6U=1;b{-1Qae)00m3uht>FTcyuI4`Y9MIEheC0y}YPjD<_ss8G>i~597(cW3V4R z21QB>eyO~$8a)z^y^bMi{|VR+87=M0Bkjxu^T8vf-2u}6QVp+uhi$eX_a zW|+@J+{1Xa+0|)@6G~Jmfm|hXW3=&jT)uf-y|!;3MC111J-EJmCE^ck!rLHEAmWg~ zVIZ8F*clMO;5#pX!Iggm#3O#F+{X>-e+Yk)KH(ptf8gV^J_dg|eZl=~`T~DMpR$V- zoU=YiKJI~Cb2eaLrv>QPVjkv9aK+WVZgNfflMC=?m<=rrZT2x2jIId?Ew_0a(fdbd zwD@igW)549bK7>wJwp42T)!?LyfZVU$&eKo&}lJdjdO*+>sG}2xZ|n64-$fp;YsLm zya@9Z`hrjSg#N|y`Df_!lYjGm73iY>o+gko`G`Lr?1{piZI=+gF9I)*pAa0jOYqX( z`!V{T1E1nQ>L_sOBwqTtW5u*F_@!^jZ4ny22dSwSuxHOg)UES1GU_sko$HM= zVUg;+-gm$g-?eIp4Ec2EKJa@)MD3N@EAa4eL4!u$svmB;HEx55)!TvKvpBSDCEDU8QD5eDI*cFN z2^Y_6^*lAOe(MI%<<{XR!4C=#Q}zA<-ss)CAu3naVa({CaQ>{encUOo02;JusObOH z>nDVSYW3dU2hpgRmNC3Ty@m*O*&y;c2k%8o(5PNDh2OqUM+AjxfrSj#Yuvc8!f*d$ zdj$Dwn`sUmJA|e`HdXwz>(&kdAzCmYgW#Grk$S;z`$Jp!pVWQ`K78T`nspLCMDW|T zXaN5;^4!piII_?c&8ur!>3{g~NBH?^bdDT9hUOhwDg5t$u8)&}8>RLtjviena|A8J z{`a*S;^eaRiq5f>YtXWZCeJqA8sbEdhVOfHJzBP?tMFU3`~k<0Y75`T{ZF7(=kFE$ zmL2QL-$F<5PaNBTHmx-JEn9t$W4>A-=;^2k^c>n(#y|#jEn`+4zWG{*X;XXP!dXpL z$Nl}#T2HfS`{p=!RQr+cOt>G05Bov&(SQNXaq9FjRevHl2tRafr+9ACt{Hre$U812 z>P!Ge3~#IO`}b>t;6NGIQv8F0(7sy-=<@2&T<9P6)$jxT1My=!E%35&lP2&vsMUu= zhM{BspP>_;o7S(1gNv4_z7CQ;?@*V)w>mVGzT%i*ITdyaoqKmyZEVu63A~TUyCmgw z@M(1Zsk6ebR;xN(Hm$_fM>nw3cQ0D@{6XEW+M-oAEM0uG7w*mwr|ACxYM;qNM(s zpUO&lW}i1^j+OVnz9YJSKObA|)*;E)LpzM%mfU;sAY#1TvC3jShWxSwV|p&b2J7{> zvU@j@1y2Q3l3z7f`zOW^=N5`T1FydWioW1~jMm5C1NqCn{LVUMXZ6?91X401Wx{^( zxwc=#{hjBL=o5msfg&?L^ZkJZY3}`9Fwhqu-v%DkTuQE`<&*UnMaP~!hI3n&VQBl- z$d)l9ij^vY`3t5aDLD-H?w^41^r6U|Hy29fFNy_YczDk##2)a4$>71rTO=1sRxbwU zm1am0A2s3WY0OzP4W+&*rgVw{s(x1$tJkc=i>FD5yZ-<)Y|N0SY(C^GmJO2zcEjn- zYjAR{3kLUWkF13O?SN#kVvWJP5f8 zi7)qcG0a{%4@obc<59vB%$#Y7{P`G2SqzSI<{|l+)F(cHxq}6Am(Gns6$`;(;cO&5 zPeHQ_o zSHAUh@$q=*&dcLLSZg*Ojceyd-THa4Xvs|BD;|mBqt14AMBz$Bkh4TCOtUn_!`L{y z690Amx_M~Yv?%J<&WQzcrsCR8z_kFb32<$IYXn>? z;F4=GYi09JZPV<~lTzvc-IdZ^A#!`$t_r;sna9*_p#jBM<_JWyU zG_Wro>_37R$4+6P(C1-H*>mN>6ys@la6bkwU%tdbmxU<#O-W>vu{wFiWZaLrkC)G1 zV$tFyC|RbAyfc|FscRqH_dJGIfe~12J_Dsh=Gh8m#-u@gaZh~dm%gX5WP-dG!hbg5 zXX4~3xO?ZG(0_#`uFFxnT3KW*k`d!gdgJc><07B4ShmC*Wl9%8)~wkve%vJ7iN1?h zuU=vKn&l`{y$rI5e8w1!!L2*D@Z$LkxGY1j|5WQ z*8{)DJO^T5YG;BOAT|wrP%n57UkJVD?>?ZB77T%ud^Q6q&F$xitjZx<)~x8;r#DWW z`g?3_N=iz?!i5V^`KwCElqs{y%!Jy z@A75?%Q%h7y6 zb7Y*55f!W|z-*tH3O4bG@_>zx4TfwRf*GDORF* zNB%udfb_=-d}>qV$3VZ4vW!I;OxZIdvX?xVR%Km{$08BP>B=#y&FIYos=~9=UJ?D~_+g*ezqxZecsM ziB@F{p?nORRoP$sE`3zju`cYr?Nz^epY_IqLklo${VFB<$J36fFfR3&m(Pv{HSo>OI*R@^P8@U%_%*LQ_!NSO{%MRl~#&~w? znX-dEH+@iNUL8%Y%)Zh~laslpxeC@vTaw--!NSV|g{Br#@7Y|RxvKt6>KoYYw^NyS ziWwJ!y^lR!sC_?Q%747Pey1In{YpOh{ZsHpfB}EvuUvl&Y`Ft0xd9x006gQi8pCyd zroUIMl?#ZEbNYTNmY#bH=ZKd$aUmJ0(Fwr4I1TQ`e*|I$;_&uPBK&UwF7nKEH-WR! z3}6sO?(sSy-F+Q zTW!#%v}1f0`J)Y_Eu>8})YB%WuQ%AvkAdMD^}W!i{{4Q*_sZ^Yelg2?7QV6jM%6c( z-w2C+7c2Y1Oi{-Bj4^cEP}us|Vt4p%)fU>%Vl#>%%OtU1%i62J8{%&d-wrb`u}5pg z9@&aL8ZGuHjl7DQ6~*u^!xbIh%f2devC%#wbX+O+$U^K9`6RC19#yce0HZxd>L7!( zwz}=IwkTyIF?P|HypR51x^p zDqwNYTCvp*Vyh?UZ8h=#0b9-fXHeqKu$_vRDLba1)HLB)`k{(>6%}~cZ*@P^on051 zC(3wcTgabW=R9xpw$X4q8_1Z;0kH)}l1GNlZ z4E!L-_pYnDBJ_5s!bw}UpV^LD_O;YJwEybRPH4}5F$Ei%h{+IMYVT!`0x z%l}gT!-cVh%9aYGw}ELPjjenlrs9B@g2keScZf~B%_$WlCO&0L-wM!X$oJ^@ci43K zE#^eL#-6h&h`TN~-xJ$+pY}AJJygJY+R6l6ihhlaVuqH*b%t{y;k=^&T%@q^(Ko3l*GNQ29=2eMj0%a}RS=vaY0jQ(k8VLOyrX zsZB6)H&XbHM;vkB+yRxL-o#CBtEt1NQ-9AcrnRvIerFx;G2F*b(vkY|R^>5y_he;{ zsArvyIbrzr;h3;z0#^F3RDKf=2WajrzVyV5C}&wt@xyGto@YH_f5=|hQrgt@!Rr+r z`vdlBj>6|xpH*G?P|9~aw;ywl&BdUtgV1nZL*$*D&UX~s`{S}7)nP%(9*K`+d(c+- zO|@s&Rsp7}Pl+$~D7SHL^jy;u8-q7~zOCM>x7E^b8v?~v?=(WEC7raxcqkX*r?J&j z_e|C1SmHabJR!DvtJrF1vDLJD>HO;2b85rkpo5x!toB=tADn+s!IQMRt>(4D;B|xX z)6$<%(yXM`C$!momh?%Bxh=5Z@B+mb#}&udz%>K$-JI``dund2-}pR3AF}^sY-S#v z85L$$K)dDbFlP4{EDl@@kINp|d3Gm;Z5gKg`D|mdq4Sc?*c7x$%~95etjEMX6Y<0H zA5g@sh~g#N)NJUpqYt)T+KSD-oAFDZUywzY1?BUX$A)Y-#%*RHOzto+Ag%QDwZOs@yn7o15BCjd-Adb}tp!qU z{MQCj*7#ZDkJ5iIC0ce8=m6rAGViH-0!uQV7SJuTJd=M+R$Ek7t+us;2qx!(n~;4pci`e z?DVeRGkuiV9b0Y=)TK;-sZV6 zcYz(=UgLtmC4~A6!2%~8RxQhen*nyf!&ux8zl4!9hC)|YhdeFwVD=(=ytygw$AhZ~ z_Zg0b^L21_&5xUx7c2TPj}kGOJU0;h7CEqRrHxvwND9A(Dg8$%p7Z3*59fJ;|5iro z>l7HRFi|P)b2rZp=M`3Jfg(BL28;)fQTVyD<$#lsC2%g3X-?#5s>AFhSYv1FbO=e7?7{QQvO6$1MilToBqK@@704-Pit@Y**R zZ&}Zbm%?kt3>jfIdNN*|llS1>Lo5&(7H?l11)Al;teGS6>aaiH8H`2!C!%1+9IAb# zTUA8g#RD*8^KjHOt_xj@OeoQ+5S(l#;f>ITcPJJQF+!oNc@%z`8U@gIa%&8-Zi~u& zi$hmi`l4Yb*q9B+^MfY=uhUpMXbMVYFMxcx3&L`SEm9s)KLAVjEJdk~rI4#-ZcJD( z2{&VJer__JvA?n;2n#*b?o^+WdKU7$PO1;~38 zxbHW>dUY+>6Dok1?gRo?g=Sj% z3?R5Byj(k^Xz!V|8a-RjL9=h|U^Z|O&TiT&{0i+$2c%DhPN*jydV9cswHtQN-HCPP z>)~qTf>kqCVe@iNcpQpA;F)WU}?S`UDydYl94;_j_BE!j-%IrPdUO zJvyOL-Tb1*Gox3l-nb$<2@jKT$ZHSk^=k-SHL;7L_XmvCVVz4>Tn!s1DwceQQBiU58fVe?;K< zbE-b+(Gl$0QCs1Ad$z^fVAPOqv8zs-~%5Oz)b5$c%W*Q=%Bw`tJ^Vg6bGr|%hGd^hYn#ZT)Atr2=f z`$5Y0fYjI40ufs^ZH3b(v_R0~Q72G;q{xK)4{a#*(%11XaB`y?8dTK+DO)sag;OW= zC$dEaqrr$a3cvZ-90t|E=O&YY5zcqdW&!0w<(d`s|<5AhTd(!e(NN)exW+@B!42RYBx6&`tEVB@@74ly z=IG$Iy8)g*(Sl2lp9w>gQLPnz?Sb{-6`*AXdXpFmFZWiePxtPuhvaxI@G>we5q_4q^_KTh%DFoi@v~VsnXhC(%Rvp`=d)LA_A`X- z^j3XO{93i@;P8HVXQZ6Jbsk+!yQ(&}7~KLV&dB@3`cvoe^N&9({EP)Mq5Y(vVCrs; zzODmNyiX}LX7aSmjLuUU!_=ui`i=MjB}?U3`0bjuhrf@;=Y{C2=x#=~fw;bs3PiSP68R*bSz;Own(7Ka}iUQs%7E-qEdbFj(XBRa_GGu3Ci| zLwaM$60`%%mD9o1a$^=2X)AMeUe7UC_O{a z>!5!JeP(R@v-GLMKZibbHFfuA(5EfX=*zqIucUuU^t;$u+J*~Tx4~xEVzjG23xj`h zhL`g;u_Z!-cJ`KxAJU}kXao6qH3W&bFX7zPo7mzWis2)-ph+zU^lUy0+bmZi>4=Q= zbHX!itvutkpC>NubcgriJ=kQs4a=vkfs4@!xXoUN8+(PfNEtsj1 zI2MR^%=Q~3&kf-mLgZq&M}f%dW1y$6p26{WR_rv}a-MTpu^lo;RXhqPo{4u}`c3$Y z+OQaXTWV`hx$@~S%drL$lLq7d{r)gEsv^Fa4rTLKf{W<_nIFfAKXwx{=a`BwSqP;% zXTh?)P33Jj#>>}JuwYv&lhPQvrRyzMj4Q;Sr*tWG{M`Ockn7I8kVCb zBa`UBtQj-IZrn7yJumgwZ;4Me8JQdEkfmid*lwPMH{z$gewGTWnYPHBB`dNBeVZ{x zcysX{Uf;e8n}x>6(j*fyH^~TV`OZ3CvmGn-g3IiJR%SM0mtNsbYAS5k&qLPc`H)HG zDwb=$!K*jpWgamRc9u1fRr)BCE<0upnTD64H}LjqG-f$lB3siu$S8jH%=xA8@_JYC zN4vveLRs-o#YX6Iz-*)`o}axV{`w=htY3oiovJ9Ae%(=ranp3z;FI=!6w3^I(ya4rdOG0HQD9rO46N(Oi6RvFn0QpP#-z*8sQ{z%>DmQLYhi zt$=F=&MU0d8Uoi6jFuZC@p+PTD&RcZ8F_MRKID`MMo5a+HVZCvTY^08#OBqNdYfT* zdg+pk>4#V}%^LZ#37>*LdHhs7jp2G4uxR%picfGY4$EfPqd<<_3V;0QiAcDoZCF_5wiX3{ zEUoazI+a60vdH4?RJbgtk3t2wCXfMRhfl+!J6sC{R(h;N;r4|U|AQR{<9f_>yhwS0 z6-!)DsX_&0$(9Yj^zILzfYA4=X$(fYC*v?J+)aL~fWdnV802c&=d8Ms-s5-DRO#D$ z&omn8Y2O!`T#Y8~g?r3M`S%T^T$Cn|^2aV+;eON)k3=!B%?v!uCSv~fe!sMiqXYBo?%wN3-nZ=m5c68IRMe;Wv2 z4eJO9uT6S2K~uA)s6VehD%w>w&hXqdEK82uLK`J1UL3qrPkbjEIu}ABWeSCJ65vlEh zwkmtyzNmeOydQ}}mkz;ZzYRJr>4@sq)lp!o%)J@J!Oj2uw4lx(7yYO<2}V7Lg7*b) z%-B0a(ILI;6S5=2=nSf@3~cDIr~~TFs;ALOzj>8^lQw~J2BB8J7j6O-rj_)$!ZLV|T=E#V*Fr)3EWdK^c=WT4pHrpYTQADL-at;W%Mt3*!1; z^~dBLlT}7V75u<^WSmguWoDE?lQ~UPP$M^oGQ-8e^9NPH2>VR&!i}CHH_D6|!dlL6 zsm9f=&|NBv8#9-3T;&>{OWO=f*|6Q**vk#8(nlOW%mT`wOJ=e>c~1KYsj5{~&ECRRe(+8RRfX~ofaPGgld zYi;0KrQ2wGmmOcG0_kY0iBFxC)_y4qJeg$@V=Dq1{b=L7&QQ4xh zntP~&2+S&~KA=n(sLNoMfa?L6ymK=0OwOat>DcaJIyKKLJEJU`IyO~fYD>r##TNsG z`mOD!^*f(Ke32{XfnS+@r7~nPc!hn%ep?x^63v~Y-F#03uc&2Fb)du7L%v4FqGJ2S zo_1;61yP5!Os&_FuA$fZUfR3WUJvrUOa1vX(>HHg9J|C~g z;q{N%WP_c(fh&;@upvANbI!cR&TDUQH=aKP(e?=NGrdiuous`?euXm+-eQ%QmIY!4 ze4^js$>Z0*UQd4?;TdfyuM6H^5yucKwvu-7j4(x;NBP~9dBxLbVkh4MPm+NvJY+y@ z*6}dlnAk|#N7`M3%}raFk&^OamPp!C+TW0Kzzv~E+x*WKKJT{Je?Eg*q)9tS;FSCw zas&6hyg@}i%pi@vr07C$ci5iOlts)I$Si_6a_592+?@VV%N0~Zcp zw$E(kJ5u-4#?jU(8q}Afmw2$t{DbomaXUi!r<`kZu20)i$f%H}!wmXZe2Rv%8)8+! zDy82KUOcF>`BHDvcT|3_;OCp1Pw5-#-?<0p;`mj~Hqo|E+&)p+YWgp<8_F)GwWXhe zw6>JrKZZj(LNDjUoXQWU@5lpYwuNp}&!>+^-a3DSMO@8P*8t*cOd!)Pa zr71u9Je(_(GAX6`>4MKW27M^nBL?XoyK+p;EfybNtbFwJzGD&NBB*6sOWErAhvy^U zW`MH4b_eWG*0ii|G&|M64C8naFIS=|R7XFXIuY!2Ow0qX~#rd>^y zp_17kY0HXEEsFZH>MI|6(XmB1a`_0{qTDcW!$4)%Nh`Z?cGQ|z3w2EDAkVkj@0?#3 z{TkaAY*TxznLx+km;n( zmMNPVDeY>jG54i>DSyX8vm5pVa24~vP)yFD7%`83g)RP@moI^oU&>!z^nLQTE5FT1 zX$Yj`b^=3S;jf^A&{VM8{WL*n+}6QhIDUQ%4A%rVHTE}j(t;L9`CQTVaA!u!e`g@2 zA;|Doc+aPR)?O|&r)|^6z;I2p>mRoFKNU#%D;Rhq{MtrtIbl0K)y_0+)Cb3Yx{c`r zDgVlhl%+9$uBARBC7=0J1wE$aCvAIE--y-w2inWv%ulvgu4~VJ{MQ6hfue}CUWb)}2NcD_8~HF+90uYSEmQ?A z&Rf)m&)64_F?O>Vbp5iUsNgR@N&F&+y_pZ==uioMkpf>~`JDA4hIfchio?V$Gi7p} z6S;)m)b%=CkLEpf1$GGl*B-`Wkn03B!Ou6MAnbN8#Iq#6zcR*Nk3(Fn4o@Bxl)o1U zyuppT4>80=!_O=D*1MdMoFt7wtl&@7@Dqr?NI?AgxW^d2da7C=%s(n0795(7cd4)O zxKnCO+nHSCn=OH!q6`n@N!+7jeWYkH0 zo_uh!o{M*nUm{UtXuNwGG7QdyyaTdfp36j}o(e~zyFW}i_g8_7d4>L5o4H68{G=BN zn7(ToG7ij$JR|a8uJ>%beft7QcM@Pa!33EyWJ2y-x#4K-h_^{^k(~Mhrf!bNG^hY_ zi;QP`=|sQfL-O4`Fq@=9=1k(K6J0hdj+06<>H5o^|v$eC@w1=#b=klZ3SK;Ht_|fY_Sm@vLTDWlns`Mjn}^) zsSIGwE|5*#OB#<7Qed`iHVTa@f&wE8Vfq$(#6IG?#4UbyNO+tJkM790xi$zmm&+b3P86;ZfCg;=^8^p0KJE|BKxf(Z_}wxf8&53a0_!g_mW2N7rDKtD!VmAfPGj+)DT+P=DJ@K_k@PSbsq&8} z8Ca0ieoO!25&7FEpZ79L82_ex$s_3y-Unq! zeTWy{27BXe;6bGNAIH0s@_w8=1qAquJmlG@Wo$8!lEIG#{uv|x| z@#4fDES)?7EN6u`9W-cef68zhxjmHuUK-4i`_~5FG}1Upk2fHtmt2 zzYe`zbhsFwTWX8r;GV2#R9h!@C@Xq)7>SDkR}{Wi)M0#UE_3egI@CAUVaEy4%Sl<~ z$`}ES<|cnHRGIdz!f9dO0lpWs?3eO1JWr~Hm>3;WUv!YawE)PiK|ZKzQb*x8w{MT5 z7qoidAYLTbQTT74x0AoMA9uC~A40Y1brpU)r*;T`pw%DVcNq0*X@QPyn}3h6BO-s+ zpZ7*ROAY^r`9C1^o)#E+#N){Oz{nq3Nd0lG{@Ar+XkgnwEmF3b+XkoZYMa=Pc}jg9 zsTcg#%~~VmnD#@J?{!}^v}>s7x1QY+Avd+)y5swfppia1A_Fgjk81oJzi|qU?0!=8 zTh7rT=r-k794EZ9pmBZC*Mi@&;m-*2)&d>i8h*2e zUF2_lCeK@8Xy(vG(Qi6SCx2&A_yLEqqIn|?ze$5mIO(I&550dL9Tp6b`A`PbouR|J zLpmfs=6tA+fYujYXM#}QvW22q+q@C>hRT>!B^a+$TB$yI`HTl%X!YJvA!uaXTJij? zSwrkOE#p^}Q+V^dwT7R}125$Ll5*sHIKH3VNzI2^iVRQQ6g?#6^zqa9zQy;7XZF=$ zkts{$!$@>lENzr=+rqvXj$hL1LyrXEhvsb*e%){D;*h(%cT%DrM&XwwzsNYxfaVU( z)erS77lJRKPsctAUzb6LOf55^xKRn@H!h$Sr^!Eg$~`_iicc(r-0icgteM2`)Vvb{ z4+JVYXJfCT+tLw2U;5BaheMaOKDriG2qSxDM^@6$ngv-~6+rPR#kGxk{82(|d58HO zaPqp=-fL0UF?!e-lqgadZR%9Tp4q0_dw)m9ChaYC0d)p-hv*RM0qU&xx*!d_*Adhi zq>)~qqyEc;#2mHEMWsXKoZFD`~6^x6ZgyO4qzdvBxbSWmQSG!H}D&W4-4tFqn7&YlrF zB=$tvANH@%yts28oF=V8-?sBGvF9QjTqHi#DZ{e_cpwG&r!6z^Z;(SecrQDHd^m?O z$l#CU^N-M1wB#8aOQ+KWQu4aN&I-Jfzf(gFsDR410Y{MPC-#+g)=%D#K%sF;`iAm1 z1X1$-Pr&->$%A38nVx^r;=vy>7dX3V8Tz+wFM1#o@)Z>SXPyp;N!fA#UN#s{ z6@8IihcZQKVA(A3IiI{0f9xsDcFz&tup~-N&|!(_*mvTiro1W!rvrIVdV$fVkK-`H%p!yg=aF4a9BJZ`3L3FaC+&GZCEB`G5pZgQT*p|rQztl98bB4jD9{W z@iH+#UMClq?@j_QaW^IbV^)h#*gqq3kH`%NpV{JWzeY-23T#YlQ6N_V6e~~+&UOpL z$4eC-?-|V8&BR~IEIwN?vWriX3A6f)#%rHYqy&lovv3HqiVvJ~ zWN!82;H%fq@ccm{ET@_yYi99bvgLr?bZfkdmHL;7uyUJ;Y(ugl`>^b=^|BQ%U*bi= z3s?zGwyfEZUBG6Vv_<@r7q60Fz0VTahh~?1vZ?Q^<273oD}~E@tc17c!sAQv%Wb_D zA;+*{$SSh3^w8n?yMlQ2q%iC(b;yxRCwe(AEV@lWisxy(3J%AtrPGnKUqNIUro+sA zI_aOh;*aKqgBkr(`l$uQznvp~Zj$)lZ^Un3g_0A#K~ACHf3XgJk>b}T)6btHkR(3h zbC_>3l?<7PN!&v-WrkK=P8J}$HTJwxF?NqGyiopX_MXdbZ-88K$v zblgp#kIE2MXFPsd0P%OlHs9(mb3T7OJsJ-4*%OhoZ#L!Y)90t}&ouz91#nG(YXe*( z;93FK47hf{H3Z#&3^3YdgeR|`;9bf)IL~rM{=7UqBLk)i{*!wgN5Fz(3z2`c*h8^b zQ@2jS<7crl#9m{Oof8TOK5gOTF;noE*&L*B19HJJ;=2kyH?}3bN>I&R?6?>O3lviL z6NimM0)u|>7E6vULg6t|FLrj~=5ctG90Mf2#j@FpP$<9V1CJju0ddh>n*x@d*oY$I zDl7bPTXcwfnGbkX1k0VcE+p@RE<45zn~B()8b2%iR-oAUV#?O?2j&}3Zpggy1y(Fv zj!NZ!4Wv967^>bJdiM-MyU&2LG@u2eX@QgsY-8YK+SRr6z`NXJ7m;3Z!I4%JhMhY1>6GwAm04NEsXClU`5|zMKP5NPG#rO`E&jhR zkdpEs@9{D|K4_OfTDfsNK6&@6eA3Iz0ETNyZZ9dfG<4F^7nKR73!isefl6vmMqGq5%|7+fiLj?0r=Z5f!}omnMW$n|NQ~39@5tR+d+6! zkVSZ@k`WoZWJHEB@;5VYaFb@*jhO=67{@Fh>Tmw8BJ)pX#Bw;`fP`lWc=_ffTu-{9 zl368fV<0ymat(>UL2_f^VDam$sw43p<%)p@Vi9=qv>7IWN(&2mO@72c1)OV>WP?H-l6+LdABoD;rCPm@Tkd=sd z#OHksXi(Qlk93J|;E8SFC;w*szZ%j$#~?S>^E+iJ{seK_1dxZq#MA#kZ+%arWL>739EdEehYzKFUQO;Lv?VcLd)ERvWAQuKKABz$$o= zYjyOuiO+ilpV=NqZyt?HF_-Y>?HiSal$%oD_n$#l>{sE38(%HlEpYG2JrxYNHeju4 zkK%*)hW6{nPC3(ZDnR7v^QVYUj>kOl+gPXgB@mxHv8}=%H*;z9{=BZMpmSE=+ct zpy1?!3i!S}e)bq~NpUc9GgE<-MWz-}fuIkbKG5IwrF>AhNsy5G7l5IV{v+`y&Rvy> zPAG7cfd=BU^7|)2t{)G*VX4I?tOOh3{D0_ zWAUkEIG<0&!5HydhQJZVp8#3{II{Qr0)a%Z79qZ7MlP> zUY2%Adk+Nyhl2qBaB*yJYXK?5k9!P6T+(Dq9R8*h6sZo$MvCC1Ew4lncSInk&jZKO z;0H$mw;24vwZea*B#O6r`6l2O2Jp8w{vO7@@fZ5RG7kJAabl+{`pjyl1yWLH8FYz3 z*HH&hSBMUyZDlqr2B5Jpn1g!hy`D;|-{l_aQ|h?uao3g3V?bp+`+AzLrcUIu_#C~y zENxO++3MKDSR}nj!gAl`zuIa7`7!8U0cLSpa%_phrLAW0-EV9)`T2K2TAA_F@O-`e z7$`An(4$bbI~3VxxynHimJ;@p5iAVD`o_k1b8EmYa*_4vOEg?r2Z}DFEv5ec zjSWl-zuL-M0hh7!bb`t%xi%~bQGqv*dQx<>y8babnk{{IA`IvKuVP)W+heTf&_dsaWcG2qkoUAzH2<;v?nQD}>!W&Ro>wv#rR_LTfG zuro;J1c8@u)5`-Ei-)1)#3Gvhq<)|-NvpS5M?EBZhJj`D9a*SXXe+ai&93QB>PUm$ zqW%*a402<(L4yy#pfrU;eCjPeM{gUbW9TCod`J2Y%2xCF)ISA#(>i#?*=V{qY%*DsVk;vqXq&(a1$rjWgbBXgBdGC%K;i z{GrjI%5L)@1P1G>brs5qv<-5Sw(uYzW_DI{ytgvPJH1l{ZmNEwY>1ymPUM*Zz-%+; zglqiQpoUcqjSu26Gcig3tHxYd^0_V=2L}Y|7qRt%0xu}yLKvd*$b?t1_3EaFMhQ|rl@#a;m zqLKQRnf*SbuWkL1XUp|{?wiq~MI*&q&6?k0-MaPvbRgw{1Kw!UM%z?ety*Qcu3UhW zXUv*Ny3c;Y&-?bii9^!UXxzSa2ItTEeX_l0BTs1UmCqa3LXi-E4X=N`oFd@5x&Rvp;O1Uinj_CDq_Kcg=%BbKT`q% z0@1Z=H=&sUWy_SrTt_RBI|E0#8vI=KU0QzFPV%bSn{*p@Z%5(6IsZRwFURt=E2j`2 zdj+pv#t4l^pJ*?)*YMfN2nsxi?%idQn?Z+CrAlM=>^c8}K*|dO%)amq&R@y~lj+$| zqedw-Y1#qv7OX(z^|Lq;9f+wOwx~6yFxcfo>1 zs}%l8;(HRm30f>J2xmS1Nx?TV7W{8DeCH)=5P9o7PTmWFk(a%~Z@#D?<{j7JQi2Z8 zQY+y3TRYr)5{W|>LNI>E4Ah!k8;zZtW2Tog0&bnfqnF}5!$hFAKyv{n+)F--{TG5T zddGBy-^i&s%=gcS|IH{pzNtVR0cIhYEA%7ab=Dtaw-dh(nl5UJxqkBz5f_Dk$Y4x2 zn}IsD>!N9srf{6+h=|J(2)Gjf(*vfc<5&kx7D~O}JcP$aA}}fhGiI8hZk@WK3!7rj z{J99fCiO9=Fyp8t>N?d&6NkE(y=E-J-PR+})fHy_2cvHFnrPCzIUJmYj^GD9I0f@V zGf{V5Jv3g>7!D`qAT0I_g3e04l?Ce6t%pVp8)4S$SqQrrhTyxWG1F%*>WQ=2Xh9Lo z5*dcZ>JWTRhndzod{?)G;J3r9Im-}wB?`eY;jlRDEcmU_$hj!&eFgua4j~tGupoZD z;%L~QE$n75LFna3gv3O^^2h?zpWg-zor=Qthz_Uk%RNHhX--bGZu%99R{9FM@5Esf z2daogaf}kj_~^ATJW*M+90VBH!C(y=JWM<-&i*M(-EED!j`i`~yoNA#x5x48k$Cii zfj;(n{08cK{EIX2z7&i;>&GJJlsw2WB{%xY_k)*D;qj~Uf-_%${aFimI0o@2H^FDq zYV@<~hMW^}BG;ts7`f;d1nygdsIA*EXY@ogs#O=?HE0NH2YZBGia?;qeBA!Y$ZJ{v zImczj2&Ya6a9@pc+jnBw6mzs~&;n(?DUTv;ilf-bV#sGKPX9!4?ndcQXi8p8T+$Q% z?yiX3x(!Pw&rtYfzo~#CKa@oAv87Plq7({Q6+>~WQfNHqJIvlV387w_5VCO{ruOfJ z!X@$`cbR+`Idu#IBZ3g|AOgnwjZtWNA>^NyALa+m5ife?+2a(8=~ z?02%lg9DopOued~~L+zqJ_$AC*R<_Q-9ehY?cVpE^`c_7+zBQEc9#igAq zab@=^Toqcs!YaA8QeC^@z7CIk_u}oJpr7dPf!q6kkN)aU&=34$`Z8}w^!F6{oBn{l zc8&DokL|&m@T0)R&!e9d;E6k*OW$qnhx9KCjf)?le@(942*S(olki$|0OLn*Lyw+o zVKrqnj;>sdTQdIcd9D(Azonn-03<=%zPATBcLXoPj>Bt}C&munfF3>8z{zqat{prA zToKyQA;8sO!Se&c58#F0R$O;mgH3kJF}(LOwEcM#ezrJ`2|g)sl-Fq6>01c$+J{?v zUGenLW~7E5O2fZ=rstos;WeS39O#9+UYkWOe=HyJ5O-u3UWW;vpGiL{U_YWo{-pE3 zYYSfaGvGqx8}6%ph6*hP#1QyBOn7GiM!0;QlJ*CL0e)xX^Ar$rLc@tVuJy}#KRgRQ zfV}b1y${R=Dz*qOKMPb77>pOGUbq-@1xrtEeUIN`%^++#wI4Sg zUBz2$6}(>rstOFm%T!NXe0UYh1iynzSA{=t>p*y3^~9BjSFml@HknIF{nt`IXb?OO z3(rq(VB5u==(@g}!XL0@06eaE;A-quY~Q^d-MUHrH&Q=9>b<2t{wj8y-+}JyyDR+u zTl!<)<$Vf&#~!KgCiOzUU%!5E_i|VGJJ0V#4?TXrt^MJC%@bD>ZeW+k9`x+lOX2tJ z+gJL)P2umlupd1)j1v5B(05aIxLwlWYMc(c+;xJVL-4DiPrvbSJ8%eBAK$?4E8gg} zX{^HUvoSmNN*@t_kB1JudJ(@WdiNe9e;<(gtJrh#0D5m6qxk8yF^BxE;qMjvKE1Om z{64+M!p+l1;ctl8frbm(LpLr1zMHSZno~N&zSK#7wMEM7t+*y_-w^D9uB-Yfnw?hk z#7e(iBJ-0B;kvYOU9fu^{2o~0w-c9Rh`&$p`n|`0husQ)$%)OV zH&=YQ2|Co7Uk9$IU2#R`s$Sk+7&u^{>Z2ardtlezU8*m(p52P(OPg!>PIa*&Y!$AE z%)Ji#V9=n!s*T-bUcGbAPFxi}x1HODmdjeIO>(v8)rQL{7e(Lqm@lS`nSvVCY9LqT zT+lH?Iu~W-eJE~G3{@SfqO5H>WS*8yTSS(3NLO4Sj}EQcw!+4(8|8hug5Bpm@%{21 z@*ZWuw~jiYFYi&j4*L&DpZ3)u3x5P9G!!r_0CO>Tj85jbEwM3j1Fpnf!49`QXwpgx z1TCI753Gjt#`*0l5O;7JZhNd(I^v%9Ry+$jpmfLwdLS*l*AW3qXT%;B9W6S9x`a9< zy`D*{gYF&JqS2=gCk@)ePtp(6=!5uOUv#%dUv%xqY@wpB>1gU~>Tc@rKc?@h z=+id*Df&lc9Ey$mL;7;fEJ?P^#~UX6}FuaS3r4VGK4mieLftXpoHd^o3|ZQ~q6 z@%}OX39J|ToKtXa!8yzy$%ofCC*s`b&(M!OD&t4`_omxAJUOykJ9vOuJHrHMH$Sko zVgr?(6$q8S^@9>nCwbPFBwmC~VbrAjciwnM1+ zS10cVW9Tj;E|kY{ASj4Qtkh-LO#zUAGP)GH0}O9ftb$-=fjt zrm*)H-!(o8VVBRq#?B7)zpIZ1^&7%g{GZd8!^Ou7gY{7x)OTut2J;)h=7eQ}->88_V`YQF}6V5#$^~9em^*%G;e_wpX8&R0M zU_P2PZH79vq<*>y{6qb5=D``vJvkT67Bxd{$J#LQlKR_HfAtLJIn7h}wFQ5g(DxUg z^Gw`1%nxuz^To{-{ZH(*f|H*sS}g92 zT60Rn$Ww=t@*YLXd*r-OhZfBXqSm)fU}S73_(F&L$T;Wt{dUf`a5(OOhp!$Y<>@ml zp0@~POO-*!OquaZuRb^u7^(spx%&0^4G{M{^;dY2D(@5{YA%l984E(9jA|h|x!|lhSRwP+uN7}CzHf_N`wub3Uh&RTU_WS=fT7K?DQ|J@ z;SjV1IFC6Q9_Wi_{Sm3f6m8(`$ zytQfF3J1Jo{`95%TZ_p4f2Qc0{6AIBpFa<0(Vabd^g!FTZSj-nC$2wyfiLj?0eW`V zpktR`(BS)ysL-e#N`ChPN`3b|DmMH9HJks47C&}EmtVS~hu{%DM(5uGT?Kv?=q%8q z8+uLbg+BB8pv&?u_}1ZDWE+=F+f2u`nnBt|d}b)(=1K-DmYH5gZL%vS{v0+F z)!ODvW(N9Epr;nFSkJU6uv3{IxN-0oJ@nN!sd58ezg7KI;MlIP zT?&8s@#WfPOKxm5WR{@6$jy`bzpKowqBi|AgW-~+OSDagYy+?BH;5YAMZTDM!{xY( z!nfORhsrZ6Yk16ZVb~1IO^CdQ&*28gq3ME31=QaHYO^BOwhS9K^&1%9U;jOP(k8F^ zjj!*Y&+BZf@Wfy`W`|-R#G&(tu=3}k-n6{h6v_Y%!s4Tg zRX_#h$N)U`TxRtkzO;`UB4;0%t%6Fqxs_Q|c=!r4aH#B&lmlh?vw*aZS+f{y!~WS5 zwnxd3!KvJQsWy{RW`@2Urc;|n89+(=ZKt+jrrS*PSkVL3EURgoZYg`xmS^yO(%Nx) zhuWyTK5)ItlG0^q7cE;B*CQzx^4?vivT{t_F%@2Cy;MdoJ}c{(tZFkV`Q%1mW~5Q~ zQnoxKj`zwlE1OqVL7qP6eAMRQ1xFTOzLa<9tx)}_|Ftk1 zi~S*cbXd>Bf&Bbk5S+7@@Fwai!XsngdL{*q5wEfL%v0Qry8Zt8 zNAG35z_Y09;%h&|;?NX0pVJ3Ye&(L#cyWFX+)e#8kTO>D!T*x-S{}yW49ZFMgT4>m z%TNAha3g~<*gk{&E?n2@*?(~Y46b3Y1rPlUhyWrk0#~D@F;BE$%(Uf=2qNJraDy2m zg%*Qyn3Sn`x%*=tz@Tc@)TLJ1&(Oadal~P%gnMKK9yQr&qXcx27 zzSsYxD>{+^1k{B_JB?HT0(B`f@NvVx%GgW&PhCiU2>dQ}yvATY1|(7k_gdLY*d$fAbn~3_OedWZ)$25!=Xl27}X?wUc&T1%Vjm0(yJI zOj-=CdvA~2XDWSc2&z-<)Y~J@OE@QC_HBbbnznnI$_lLlQfbT6*dyv|W&u;S`obY~ zfW%*Et9j4>Z8bA;DO=6?#3yVu1B{q`nt{6w9U5wfF_0hHUw!{8kmqpDK|IQn?cN-` zSmw zS=esQO*r=>9)CAy;qxg^_BrPWLDz#+{g;xi7<3=?H}&{mVN3aW_o1fi&t21O!5`XF zgRQ)bTakCL^Gu=^NO|TNq9Pw4l^HGd*FSadJHa_0aTn`C<=!*;K+4ZFh$0n)2-_|f z^nsMOQ}OVXcI{tY7&MvmOp{gGIZaym@c@A<(f|fN8e~UVQl^wO?d5H;Gqj(ySqz{& zEq06cm-JqIgn2%bbZL`MF^i@CKHkG?|45+?W)S8*>2LB%dbFj7gYfRS*j4Ty3K9CJ zZsFmObnM zIo@-Wtvhnzh|;CZT&e5@^(}RwJcF49sYmG>EIhJM`3m$I=sVDdP|v5%HP|TH1O6@i z($}Pa%m8l&G4k*fWupnw7UdKEi)`t8yG)%<9>mVjCQz?3Xqfuqnz*2KOMGym^!e@Ft2*{QhV1)n?a$JJr6Nr zTUZzz%YNbbqfMnR{w0v|OZhvNFM*W*zl;9owlC%HQ1pHBw=27L?MCg|b<~glRjO3N z+BGhC^V)Ed$UCIIP5uD1={_%|;M}>>a9Qqz(W3^UU*BIacu-G_9MS&+7&5q*x;A`R zUpPD2BP`S}4gJ?2p>HsjFW|O!JL=b$iKy^asZu4lx~}@C11UW{J<+IC;c{P~|>mOOOm5L&frt>}~}QB>qU2gzwpf_RtuEFBLF z)+B!~aqVgp)~;QK@#BW7b_|p@j!4(Wp+kDBYi#Rm2MhT79n#B?G~OWfH}tu+iRBHB z9zB2`zHg;?D^jGW%Fy`F22!5%^F#ag9Td$%g$iKSENeVYU~sHfKHc6|xOMXaHf@v% z?}Xu6dk1vKh~fRxwYQJD#_?@$XNKdx2k=&4YxJT1*?64IUFLZ>Ny&c9cFv6&HqcLgsR5%=2fE7V&vBZA{ zW*(@FG23*QxK@W*E^V-4i4&GAU5%M5?J;KkSWMhC8M6*M!zFNy;G4nXz}N5b?Oj?6 z{(LN1wgwif9580{1Wed#1iK>|zDuAb9FNt5nU@a6?m8IlsST4o<6z}62hPWqV`Y#l z7M@%JBR5M7+cE*O4o=3U_*ufgi@;KxxM>9w_X!xjWin>U1b%s-3l^PRifQgv3g2Gv zFQmagdEG*s$gvo{aRSUe?65q*1xo{#!SbLr#_kf{Hcp1!%2{w(D)md2!g7Tr#!4F} z?3|!ZOK}nU@9}pNf0E$a!)1xoFO_;%soyjKV?Ht+vJ3%@Z+x&m`C$5jsMDnb5a7WQ}n`f4tDQ75dACpJhv=euWjr ztsjT+J14^S;9P0%S}Y5+5&XJ>uM>Qo;5Qch*;ux8Ev#G}F>cc&p+5yShv#ef0k*JC zgKwko9TdK`>s&qlWWk^JE5485@6chwdL0~BH^&;6`It4&3C)e#YK!xu#PPMRiUAu& z!Nq?Lq7#>kyj_*dPu;c>r*j;JZ5W5?ZdO?0w@m1+fQ`?5811#h{9~555I0Y7SEzov z5I-NY51FEqOK%i2t)Mxb;+$8otd9QcM`4-Y9NbJI?rKH<;uQX?E;eT+=526>$&MN5xxNo7*j3g}KbAIpZB$b5J1YDo zOJ-r^qGed=;)-QkmSeWtY>eJE8Z{kjso)DPJd~c04_&RA!pN~d=FFdgWeXO;b;W8d z-?kD?2Nq(kuM_6_Ib+@lCpa9mgVpw_Fj~?d{mj~+X3uiS)+iIQHP3-Y6PjScx(S%P zdomh2HAKeo8BugbQOr3pN3?<1kQ89~Tvrq;R95MN()sdXaECUq9Nq_}1G`~Lug-7~ z9dvBVYP`I4QB()FHj18l^;Arc=vD)~WQGvh1i2O)jnf`GuyFcBOzGVj6MJ-o$$)M! z6+LBu>7u_U_w1yu%^E)xM>o0Rh3GuIqa*tf`Y+PZkGqYq{X4P9bdu2TA~b%2N&k<~ z@1@bVA2$StH?F|*8|TE3{vLgO+v4va;=pb!nK2nt_4KEuqi_12{!g$QI~WJoFUPZM zXZ7?&uD?e=;VvS*_hPB}R7~yjd-N&0pI|F?-Dll0B#RA%(&Iw^b$a>&Z{!)NV$%~J z;mo;sEONVtiHjpJ)@%ceM^D0x0l#2IzfP)OO$YVR%dR7AWS(?rwKG!A`)hp{d<{0P zp_u3tjE(N+5zim{-f*rUyz|*l?&Hdl-PmY55f(B|CXAhl(N_C0X8CnY^GSuh43oK+ z0m~hJm^#@ARzrKibFn?1o-*+u49*;M!-5%;U?#M-TA3nlzdLYV4xUx zibK~_-rs(e(SP}1O!qQH*Y&+od}c-H#>pJoR)@ixbubmZ+|6F*U!6qHG{}T;f3$Z=Y z8q+;ZHT>fm{zCtSus&i9Q>nMzYKz4yq<)FiuU-V}-L^3GF^BDOdn^uI@*)0y!QUqM zEA{xUQomj5<+-*;r9MFFg@1*A^mp)Cf7Awx{1<8X0rK4Ab};oehs{oVEOPx7-$svb zb96Qq1+3E3A1Z$rm3py*+e%>3$`OJubB|A-IqU56?BHh7-8Q1#IpEQ0$58_WQ0!x&kXbSI7{1P?4`%w)D>my z%V_u(MbTkp2bt%YV8NaRSif;SRxMqHaW>;nc8u6KvBgC#i(-`fD69-$i5W-CP-~I+ znY6oHuw_=y?{xU8dnNRpBQ|iiDF$sChRQbIiVQP}Eam+X8JfzRa@YzTYRP;c69Xs- ze5W`+U}kA9`i~X=&{pVd5jyUs=w~$$rK-!EL+osJT_$}0?YBzrTMp|DBe4VgzIK*5 zuAcN!Iz;IK+7s%5w7QHsLFu@sN@ut&o3C^TbqRG!dObtkW6+_i?PlQ032&j#Ly7)~ zej*aYm)_^%Ec1bWY3TPzNB>tH=W1h$OTJ!WgXjwg4eH$V^xx~aB&D;dyRAm{*VFI( z8~X2csMvy))+V@cRD2Av5gL858NWmS>BVq(if?Q^Y5*pZzSz`{(dRXzzCXjoavIJZ z_DDxx>}p#2uk?2I^yXCf{9ZcVLEhxva>V#>t!`S-m_jEVA`(> z%%_{eYU?rBoPL2BLGLhn%Uz6^7XrssrxD7NzMs+`*88Sn>r<{qz<0e9oF?^$@yO8_ zXTAwz7DQp}+(1k=k#}|IAei*-fJt4yS91x@DI$H`)Eq+?7x)POoI9{>Vplk4;oOCD z7|t~~r{Ub=1Nq3fMCS(w z0GDNwa7k=ul-SJ=Y;Cw^V`*#8%HL54Hj}8}I_>I^~@oD$zFmC5p7`3gh_{lS1chE`soUXyHSn9tN_Cj;$%Axpr z$k))-m1oz}q2l0*=(VJm&@mAmK2Q0CD}q*tpX?|;t2xYf%*4EP^RZ&N&~TRb(tZ-g zS^t9ZbGyQN>l7?Iu?Q9A|#=&NV4VEvF`lZXoC$v@g^_@H^{xjX1>RJ-m1Py75A50;~@@ zivPGq@MnqK8eqKmkmJOMw08Xt%a(~>yTBDTqEE+LcgMK7-C(`N6w8i_eGHx>cC(4_ zDEOOn!gC$r-3G49H;6yB4h|l3F=EFURIsV8`YfmPsr}(5G6r}c&l+5cp9fp-DHyh8 zqV$E0@;R4@+|4}gFno*5DLp12=(dgEa@;IOG{6>!afYX@9I zV83!rfols~W8hlD(xpo^d>5%-EA`u@-dpNrthfY8{gGLiK>C}7&MMMRhrcZizR4SkDMQg6U_vB$)<6BT`n1NKS=jM_R1<9CmTnanZxjIg*cq{_c12~S{e zZIAqU^C?@~>F2IE5hQbKeG$!vMmE!VxK<4{=>&G-O?642Mku(Ec*-| zj{d_&y@!57N1}VbLFn42KSoZNf>j&0;?m7KYITh?_*+$a`i62R_7TjjY>+p9e#Kko z&Ych#7^uJcpDhfeoHJ(*iWDiVcx%(P9ej?Rc)#+kj$r$sUGLN?G28qo`2`Lh_s2}T z+2}QJsFGXnLBl?fTmNAqxBi3FwV`7s$Xsp%&R)5p`hzrH{EpmWpC)70-1#V4O#B@3 z*19#09zCMJ`kyUa3tzHi3Cfg_Ijiv2sA)5}?e~7a+RA36Z5M&EWSgHne+}Qj5ZKI_ z4~{d+hVuJRZllnnzcv;JjU0=GE~^lJ{<6%?H5%#1bF%b{p*&7`g@wypP^O#~9NVa2 zLwI;-n*zR+e_s*V|IZYClmDj*e=E9q^CrT>!&Qdi)2B}(EG+B`e1ZRZh>$UTE;2%a zZ{TSx+jkP$MynvM0+R};jeCZ|4S#C$UFl$$aQc>FHDCO58@oK{k8^dvqv1LmEOSKFY=O`?WC z4StdafzQ_e9`-?Cq+#PKukl_!gU_N*EBC*@{zv$17oVTzIqFl-6E`g~kcI&^l&O1! zJ1*S60JjJ?6{w)_xS5n2Tm_#SF&VtjdVXuQp2$t2+yKdqr7B2=&(&|7W&0Qe!Jrdv z)a1t2lUGlw>{#3!sp;fwNN##3gNF>C-ncki6qP|6LrN;bshCe;DjCQ|aq zKwoYSR%1nE&&{07Y{_;|P8}9^(E5dZag2-1crODic`vg&adKfV_b z8E}Iw1D(>B*Qu}ZF!>?;ulQre<{79ms)~9SGWN`fipCYyvu(X>)rM3aaCbBQCZg^} zsdsA1jwxzWF8hVSriL>Akj&|)7FF*GH{h}`iwigJ^8HhRlzYDfQhu~Bn2vz~3?^VE zLIN`shW?A-)HxvJtVWXo1`GgUz#ub1JxS8Oe+r&F1)e^|n}kG!-%f$+xmQ{s<>eQ+ z8^ikrN3N^S^!Kt};8}bUPF_#J;>ee9j(UTG_ul=vjFflM1X4asRsPanQW(t2tdW!% z0~r~Jkyd{Ee(|Q(SCktwk}<<%;xjF1hrt@e@e9|2A^FL`3tl7bj|D|C=#hKQNQZ%# z%(TV(7*MHxuQhn>f1;2c15p^1LcU3lnX`_C;GOR&yiUix^Knc*_}R~ zk&-&zV4FmzP~R~?<4ywCjfMQp5EZncbRumj4<`^B3=CwD+Jy%f;2G(ubSLq6xPjX6 z&*xAlQoo7*V^(JGbKXjyZ9lzT1)Wi+F_41+Wh%gd`Z+B~9cl4kf;LWV)By?%^i#Z( zKJARbKK+Z~gMbps$6)IzCxZ-WlMTv>DXr zv`3Q*sY4rjx%N`_h#94bPhFgMqRa)-*&`ktVX#LGETm1LJsPxjkj8Twdz5)}W)(1L zu+_9Z?1MjJt7+RAi124@HErD=*=pxQ&Iq{?qS{NFQq#JoHV>hE$PYg$BdOzI1RN{O zcFK7h=Wm?H@p;U$rm|*IP6X*Ijw#}EjB%`S%(2}JG~xZK-x$cmG2K}Uq@-;(l*H$W zst^3H`QzM!bILxhI&iRh;GI6Hx8)xh~wZ(Amtwv2BuRNQ}-!bD*Bsx`CkE~MY^;N zwATz&XTTo=?VkRTEfvt)%3Jr7vGdXkEs*m3OGMpyhE%b!pR}d=d*8|T^MbqXoObW_ z8>zU#pBX=+ycAOt68G-IjFkN8?5`-ab)09Mxuo?04;JA3~G$ z@{<{z8BEClO4>o%F4`>a(V7j-emIz;w>hS)Y4CZjC1OE%m7AL+bujeyfx| zqmMvefqI6%1APdk)6?5h>TR)Cv_DN8o2YLnE{XlMuRncnsp?BKryC>!#mmlbWm zH(GP)E{U&?lm7Kd?t~ud+wxnmuBWJ~L|59?^gQz#j24 zjXk2Rc0MfjNaj1?x5HKDYjseQ!FNn=t5@o6^_|bN)eKVnLt8ELGlQ)jw{@HftY;t7 zFYC9upJw9>LAtb!Yy;;ddYQ7_oF_48k5(^*AAJ(WZ@-k)?F$(pb1~0a8-ZdUK!V;Z#TvMvWSQB1L3^B=-~2 zw=qkWOsbx3ZQZ&hHf`E~XU`Z|VJM%b@9TR^%?iN5=rKl98D31P4P8qtEibC@`@As##aZvg0m@ zfA$C$Vj?kNdp{JOszbGz1+c`&0r9c1xOn3-CT^UB!jlW5s#R4i@m+?v4oJ z17z|oI$>shEcTv-xY&odbn^-(ZJL6@Q;LWqRTYblEfM^9ne<+SwdW*MFw3SnT{6+n zGa;uq1wUYO@D@BuNl=SZ6Sq$hnnh9Fx(YS~F%TdYNiVO+y;D)#xG2672f*p@0>mc8 zs#CtE>@-3VndDcqs*H7k&Vv6C$uF^15;K73jxPJQvX6%@u7X2!kSHzry zbMPSX0d72!dbb%UX;KmuO)FydzS+3{;685Nxe2o!W+-V?Qsh+uvwa-#;AsqQKDr9? zed7c_11gwihlBfc!M}}LcW%Rcrv*wHmqK~LpXDudo<6{>glm|&Z#+s(&xmrzrKXiexf$hQFHY9oC-)JZa2=K&lTe!YCRt$bHcindzU6K!Gz zmlJd3O}LA9xR3RLi%{FHrnWdiCy)*!7a+vB&N?PLnm9JZmQya;7{)zp@LPa77T;)i z1_zV);-F?5o)HbK>R{P{#kha}9v;Lzz|w5dYOcmsjraoIz^nx zGq85IKy|aPwP*2uanLgk$cQ|n@}St1V%lkr4Db-%OHMC|`N!uAIUy)M>H4J`@J&T6 zV*#N+?p!EQr~vY0&w`wyQ)^ZG26JcGA?8lB#_%7M7fDaCR_s^HCXIwfR^$+UTqJ*9 zlqg*AJrpmPAGt(-@!GfFRKaXpYut~%si*M!GBvVvyfwmg_Hpop%8X-^b``u?967 zv_z3SIZ!ZLMik4RN6|O*&DRym!Nz1VZk-KP_g+l|R)jr+{mG|rzx)CT=@(69yl!9T ziXWQPLvgWd-*p^_aqEIGKMHV>*T&@`)TwtpY}TNBjqgyQL{Ur`IRuv?!}SFIpvXMW zP39+^er$_^xpOM{6q31ITKQ<>Gpo8*scdOXpE3!T&NBP#XOz@8*t&TGS~PEh(n4$4 zkL?k;W)l!8{df7c_8BVg+b4kwyB7p5iXoN1`QvH0{QXD-r^-8c?cay4T{zO>0%12_t>~oDaqWW>XHOvMaT2`3 zq<*PZ-+Nte9KU)T$uc)NaNz(tuk4HxGfJqh zq7S;bc2RVAD%r89V+!9V+y_4||5@SpT+<83u4wcRUUC;XG?988daP)Pqmla+{=tYN z_{F8GqSJj%4;;Bn`bju+Nyf~oroxX7-CUaE$Qe(CfB4K%`MbNK-)(hw9KL*1(LZuo zF_XV^Xj4x`v(t($B0Fy-lVg{BrER?welL+_ zXtcM&zmX6E>bpd?Tgp3CRe#6rz5(ENxyI87BzO zWA*sFPme#)bs&zN5qxRm>C30p54dG!miZ80PoMT~z{&wQ9;x-|kuyioZlTQYg&wo8 z?Tp%qAK-$rycgMqWJ96xg;cu=Pc4G%V{?iOGa!pRqky~< z?9(DfI^-N7?+5KXfj=0KUxHrd*(L}bQ=wy00)=`OMz)IbK8lUaq|1Q3S#l~pRybd7 zrRQk_dj8S{J|6BO!_QmrqRyByeyq|V)Fsp@>Ge!O(LG8Bi7x8axg!p^^Mu?F{ee%F z^Jl_gEPhDE(j`AhpSsPU<9`0BJv{g9681lDahW=sy8A29;nd}MMW<6HAJC@`m20#G zo!hsA`>q|zMtn}Wa{er47)?Rt@?{kb+Kf-pFCg?gw*4M^wr`b5K$^9H-zm=o0>$N7 zDkfpw~OD) zImYJ{&RIBj;T(ozkaG^s4bsYob01zK{U2I3$CmYL)g0+_%FU~nU_5m)DoFpJgq_zc@muO5=lHCOex8YO0g&)=^otAM5xd8Gpdy4`t%}@JG9)zDpJU?Z>ypAG23KLRPn|j`{oND_`c;?VFgn zZ3aqBEQ)edOTte4t2>YH;Lejfa1dYp8}ZGFKi6}v@*$(|MvHtbQCfVwvNOu5O_jvu zJyxDp>IcL!)5^ehkJR6jdI9S_HYg*$TUpbxu-R{;{5Rgi?`5Qp*R1x)bM^SVx2%cO z3oR>8!B3#S7cKHJ5*k_L*%`%eoP^u=Zs6{{d$8YSi*l1oqV(iqu-H2j(T{H9-cz=B zn)snv#UITee(ZShXRqPm!#J!ywieCiG)Gp>o9OR}yb8#C%JHBXUcRM&@&GHupR7Ey zB1%jvCBC$|_{cYv?>pP?rNuTlc$?z+n;VM0tFHsTmUb7LP#7lLjd4Bpy7Kku^V9d|8o>1J)76>)*9N#o zz_kLd8F1}@YY1FR;F<#07P!X1wFa&^aP5I>5MK#CZKUyTW3@&>e5b=ssBBSL;Tvr= z#?|PnB9n)3-tUacW|bAb(QYG^?Kw6%R%JG+Vo?P}rxeB1EmLvjmefCt#liy%QN_H9 z*hiW7@0^0m4=*DwISvbtF2vWCU#qp2$(twR@-3+snJ+r92;Z20qwpv1n1V|WuOL48 zAr>E-C1WxlipZR0(xw5pcq0mNv5&CCdl{m^sUbaud+We394k6)1p#gNNY6Nk2UWt$d39i&wAUBICKD*k0b>xN&26 zdU)usekuR1BC`LVDf%Y=PnCD?-YG~;l^NR?_yYfr;GKY26$Ou9q{1)e9bCk{vW)~R zB7j-)x#o(vXL0ZF?Dem>AEWi307GH60cJg5HV@@D(HH71^ZQ8!)TYsgi4WDLIBsMt zKcl?bV8~1#+&q`?EJ5b|E7T@YwJDRnf%tXITC~h}8J<3Ws(dzX^iu(jTu0)164!(X z^#A0OfsM?7#msy31GrI=n>rOeuEz>r+z8JN{;X&A36+__;5QmJ)$&unL6w{Rh-bj( z-wL1C)8gxW#eWpI5th6dp2c7kwQ2JsxCCA!j^a!FDF$Dt&9tB5gM8}O-?({^fvvCJ zyuyvR8<@6hnwD9La-{r;PrsUSlR9qrWpD=jj~ikc45BuOruDlGzm17pxZcZ+l+12% z?9wr{;Z@0vI0jkifAeEsOxQX>1qZ%<`&wnEd_RWt{i;B}e#i_U47QAU8l$qsa6GAC z4)Q^KLqF0l7pOR+qVmOw%Q46es9bAT?IVzP!#xHXl#|GTK}HNXee?E>_FMM0iCT~) zaSU?ecgjirW{@N^*|6Q*RLaaI>`%pu@Xx?tu5B|Yg8_{nD}0aE1+GKGIpQOcC$1}W z*ET0JxP%*q(-yuP3`l11DFaHG!7KVvv}&s%BPC_V%r^{}WX4L9-6m=SF@r4mZvO29 zDY-dcO}<6n{FRV42!H{34B+GMPe((v?19{@|E~fD^%*h|p1Z0An*7Pa5fmQ(4z3s9 z!12s$?7jIGcRw>O;n`c@tJ18gi1LdaVC;h>{{lc_BN(P{&EzB~>3|kER;5~-|fx|&s z&;Aku)vW&~3wV6RMA~yz|GP_#(Kn-R%<6#Qqp8+cj{&@cCxeB`EyouwR0h6@v zYR((DLA8VL8iPfGZ-`F+yBC9={j0%H7{JFscm5t_(A$PUynh8S!=b@O^Ea$}@+=1L z{mF7mOvujbZ?!iDEGSC#= zMjwDdb<`Quv-A<@E4MVi#3)RP2*PEO1FUkwJ?h}@`isrL=OBYg>V zsL?0v5rYI72+wviFmKp~VZUpS=pQjK(jX@W?|;f3rM1?om zwbk5T^GCLtcKOfPY97QyznZrCTI@AU+&)nSi7MF%9{cr!HH0+%-+p0x9>%9rwYC|?38|9=<#&uw4I-=XOHU}j#tkjqyZ6NY{RhOa`5c#o^iN>W zph1c^2BYoWyI1c0=ZxvelP58F@L*J_QU#qlb;j;Jd+_>WCy{-m1O)|S^yo1vTO`|* zD_3r5W6=-Wn=ik*mOp<%;rVCb*$uC_0QVUsFfa%sMvTDMUw@7E?b~D9HkpXMjjO zWeTcQtA>^>Tf)`V6;FAp(LYr9VrnlZ-_i8%>8-?@Fy3pVHa~Jw>U_uvx8iRiEcy&4 zZJUAernOLSR%W^Fhhc2YFykeckCrtoW6*MjZ-`3NWe)ksX( zI!z|*6=i~53-b@nQ%)RDV=>ufqE06J*7jS>J30^7pI%2)Y!s%u%X7`8UK}N!GR7Zl zi9db!bbMv@mBM#C;)rWct|@$GHDW4$%8(Azb#a8w-aCgG?&kQ)@@v$bRU31T&QGA9CpM_>7Pppmtf&(p_~bx&O-TIjlF{N z_b+0m+bmR>Ss&G{n_$*KXIy!F4Hx3iW2UFM+IU&rvYN=v4p+rlJb(WZX1Y5l{A$*X zG5gR0Y41(B=Q6Cltnjr(6;!jVD*a-M%Q2Tk{+D36Z#KT3*#K3o8o}OYzVLrTjTzT~ zm1w)*2X$JHax~SCb^LLAx;V0zR6oshvzL3TDw>Li*sDs0qc@Mz96NDRhpZcm!0YFw zJ{pcbi&59UKJrh>hoVMBlstJ_kHKMMFy*){MhL!f5mEPUWA5Q)s3#6x{;35~)VP?! zS0@V5`4#6h+r*rh>TZk+k1pVL(rqj|xeN_vH&7?}6q%yYFT)>2=`;#{rg2#@X!8)9 zjP}DD^)r)J=#0_9?YOujiW`gF8j}UtC*(p^yP7cdG{dR;r;+$J5s9x8)h1m2ux}`I zR5ylfMhoZG$T2Cm3gpNzUK~~ibkM=2LrF)P4z7IXsmR8{^QpV15P2;UcFuOFSVzm0 z^)bjOI;Kzmf$;S9!JYdtcn}+ht2b`p;?-;K;qtW`xEJ#f_vM`Xw@wj?3J^kyrEA;v7fZz~JGBQS?qS}VfKZFdK(5+W*xO;lx z_PzUf5EqYYpQIm;z*DC&b=q_kmifa+>HP*W;OFi=uxFnKqTkbx{($}^(tjYblWW1J z!!XU%48_D=f1KWLp^MCccDcFZ*4=xEA^ltF=nGuCb`3YKi>|nQ9o`onU~ypJe-D^{5!iGw34vGc;;PV&iMfx5@$onn9s%QN z#wb{@fV#h6t>)-4cPq?~-G=??Bv_t|#hA^(sNH)KblJ4c%q?3s$7Y!~+`MxaG4YRZ zJ^D5-icAbZ`P>ot#Kb*9=$S~&w6;On3Ki4v`1{aS?EPl3_cw0eQ8X0&bn@ZY;RGlh}nAx*SC7$;>JB6!Un?bUtuF*7cQ>fiHmD@;L>I{ z+&&S4m>ahdaRARiFu(3RY#ryK;@98&hR;ty>mS-;-KNdBF8xaR+=#xT_`Y!Essi$V z{njnqy>}N6L{140laX-$HO^nS2j@L2(ZIZk_KyD~z!SW9Dkg&`xDclFUl1-b2^d(m+A588FoBi(_5vs`d43r=Dz*7>f(*ORNL zXVo`riY);ixbWaU7J9Enh4GbDeN)RO@Ce(d76#Y)uF>#?)^}!2usL8KEGCVQGIH4MW=z-pFI&$FZi2+H=)L~ z8mhkjjQZFevRmPA@{@WasTVr+%_V++O%;A^)8>Mw@xSf#R{2}- zS#MGc+XA;L{Oy5zZ@9Q3s=8wVpHQpR&K&ueMPgTQFUxOxk>S`JA4=F z>+!#~sDYJ!TNVDUU>|&M*IwhHl>kp1=KPD#WadV(=gpj&Vb8fehuS z?~J~~7N0GMyAucBU|;lc?1L=5vuO8nk(YLp?*|v%DJ#Q*H)S|b-l47nB6IaTzDo?? zBtCK3M%r1!d&huE-pgz3i*E$-3VcKd5UNzIhFNnQ5qa){(gU>TFc%-QpL`VW+D`H=qY_d0sRmaS;hPRm^XIZ*zq%CNSZg>dm- zsB5Wn)6*wiUSm6`v#Gm3M(blxR{S9g8(U=~Xb10njQ%Z+{>|HWv318z{Pwp`bBS#`cZqMO9X_PXSrAP| zSz)TjIgDI?4BvJhB=s^D)6g$gstioTwgrnn`#}1TbDB%nZ+u{DIc_))iN1Rud)z(L z+$BAoPeG9qC1GS@s^&9;>q4X3hs!Wk-6yec*LbV#~lXbpY_L(O=D2dq_FmG zi~VFU7yXl*W3z(?4rCaa89BxlM8U}wP;y#Tng7=iJz8D)i}X3({}3jSWqfwgX)Uli zU@e}%{`fitH#72t&cW*jAv1s6qJ9w_m3Lwp6q))Ja*r>Jyc6=F@tj6jal%ENq)8ud z^7hHdIy$SS=Y_8_7G?02%~vQgtqAf7A3RZ;8-R&Ve`&;q5t^P7-0?fc<6PW1T&BgE-gi_ghEk;Qw*zWe zv#%>->P~azbB5l!DmV`EtQvw}S?)Dc{lhlS@t!Tdd<|3-Uw`s;Qv_T)i!d37R{Q6o znr&@Vw)$FpcypY+e@^-O^!e%g)2HNG0M`WQ>vN5OYXw|0;MxKElWPfFQ{dVH*BH3g zKzyz}a1Da^+`!8pnTgM}3Z8Ob%chn(fq0tRG(=A3O@OnhZ;6DP;PoT#n0`8 z+xoXfD+!Miux!~fG;7uj)vH&>H1Sm~UbvvY`uipE=@YD7vj(kOwM3rWxsWwW78ERy zAH|9mc@NCkm{asfwyarDrSeypVLDyt(`)+Ul9ZT;)vH#aRjXEN?Tu@2QOt1vHz-{1 zT(^F`TL0vl<%IF$RW_>6DbG`$VcXU%X#Zn7E1%K8@(Zb0cj5xJ@AY_(%lke`@81ABw(r1>ZSwqG zJK=HI13qCs@Qm`rx}bIHkQQbeP?;peALDN|416;LjxjJnT@&AioA9}@aplRCYLorw z&7;+!ZrqU04gNg*%jLL>%8JEIdnzL!*M7L}#Z7$jy};xGDtiO7MKGHMH@q{DfEyrH z_KQAxeEJ;X7xMQg{th%|^BDCk25+j3ja);bKSw;S?eaG<;h+8hH_mgzCO`SN@-y|n znJIj(0S?wS*m5(Y3MP^E@o&R-<(HCou6J?m%dqCfdi^F#X2BS|Zm&AsaPI`YT1 z>9dN`2jTCUo{^pkm??yP!%ed9H_=iK#20?)x3E7+o10td`xx4!GIw$#Ec=gZf+7=U zEh9~?Q!>j517_Ku+|aB75!oi<@*ZgyH(N4m2l1IP#B!gd8ZR7Is;yjCC%*7RxpH$Z zH_fuW47`}Odm6g0=&t(y{bp6lhHc=wBgcWrfb^KXgX_15fZxhlq{RNGV84hae##-Q8h){`21FJeR}uBA9=_KkxDy z&bfQ$ncbb;XJ%)2X4l=~h7&c1z`6eP`DEja+d|q+Yo}S?&eD{1Q=D^Yoe%0bXHv!* zpYV+_k5lP4yT8%p>s~+?LCN1A`Cgx``%LvG)mPr`c@=}hoalebu=*71QB2`;!rg5u zI1c-X8^Un*rcLxCYY*6`FAjXs1#fag4r7r|A-fK@ZB!Y;U6Gq;I9JcaWfR(_Zt8IKyV%sb?qs+K+0Ylu50Sv zpLO)kTz=dkb&HSwqCuN~e<0=AYri=BzV_VUzbcTD!}7VYiat1KeeCxKw__22=<{q- zpdb7`A-IF!j9~vV0!GLq*n&Rf7D#U3TCma!vfw7G%Tf4PMb&)hLWh7_o+Z$PzXkj;KDNx(k3u-#dNa%Tr_*GR*3aE<)E*U#CN9 z7r4k@ACRfE%i2eI4mZ$VS3ck21v-jngYaEGH0?Wj8hJ=NUm5(03mSLysY?Kd`ncc& z>f*~;qenZqozd4v1gfKBxmnTai%9y2Te;vB^A2uEL?00-fc|jwgY*$WBm_Z#2mN=K zz3Xm1gy;A%xi-K%cx-y;&**A&R!Z;7%y3`rCr>N z3jf@#Y0SNN&U7k#ppEP=({A>4x$To%!dyTl{l`2iz$Xp`fae5#aAOs^mv&cqw~7l& zqAcpk8Y{P6&)YIj1UCBV^u^Qe=C>}hyXetDk1BSv*f)4(^~xTaU5!3!-&ref+(p2d}Xf2!3hLQ{i_qV=@Jx&j{DnnDPa1PGJY^) z23Z{;$L;g41av94Q4;9RZH0dz?J>3S>Fz)v<@TTSt%>bB@mfZ0d}l?D|K5An3#7c0 zCp~}8wa0(fh(I9a^gTc6$e#_Qgs86roh~*0-!!K&edy~?pMQKuPomp`{dx&nctt0q7T9g6NF5R&?E+a(7Z{MJp+w28Vfc4-rJ<`4yfI{iRO zf+v@lE?u%gmlrJ4&Y4p*`=db$AJ#xGb$CR{>m~7IzppQX0lAAVvEMmh6x})S%Yjbr zAg6f$u`#eskw4f4*d7E4_L$wnI|K;30b7$#(+kky7D;SPWE3(J+W`5@Z%(eFPfhNj z7tt5o_y|68bQiqo>m}?GWCb2y42T+w2Ar$>r!Mr?X|i%TQpdg`hN%O;UN47 zn#LDoG3~?d;xGnu&()zrN7s&K&7x{!nEQ>k4j(>3YuB#3<6zVS2M)U6QtCExUp@r_S!g`)19Wt5vI;+e=?IZrs$ya!U;v zGE^&9uGUoyxjRS%V@#hu-QB!7eE4t|G!UZ6e%S*<0oF3`m>67w-CiGZrzLhAteUzVrH6{d%ay zr1oY^gqk7M%IeqI=!Kgx(9NLHV)n~ZXXPDKerwi<(j80ZJ=!ZM{ z)F;%SwSA||VMc4D(I4c3J9~cF!{~=Q`aOf_d-zs<06%>GK=t@CTzwYy)|lm^o$&%c z=r3n*no*cNET5`PXsWI=2bmE&#NGbcd#=^p0A5!$qbPf;Y@QM24CVZW-^BWDpy3R* zzU$-qn|`PNarP|GA-}f(VDz(v-DNZy8~z~moaU@ThBn)lVlbozkc4 zKUa&XVJbf88Kn+Q?JYLYCKdtw%~z`f#(wbu#npIHV>SJ-sfxEQuDH*}RgA3mdx(Ke z3nBwSZKcY*rG`zLsfp>Ax(yntcD?!$P^W$a7u?v`(^I3 zgBo=ks9e2fdZ~5`6@IgZQr`Vwlr)3k@z>wh@Gn+s`8nw;Qz7GyNIf@RQ|r&ZQNu2M zRjYnI)o)l|ja#-*FmPMAgT$$nr}*o7yh;;2RjZ92uF_KZU$3h8IdAk)vNWmn zQi&3(Z)2oMt5&LKyr|vC+R&(R1T?gEH8EXQrAAFX@DMkChukRRHjpY^dcE}O>#A>b zn%I0VD*pI=U$tf}-TR;ySOFx69aqm4epvNNyrm{@R#3e+-cs$?O5Y5xlv3@NN_gQo`h4oX5#$EM#`EttoWKPAn$7tm+$Q<}AV!%Qe3re+ODn?}k zWEKl#$OaZQpE1a7VE6?}XtlgU{pb6M;{epHH~{GIN0P82;%SC;Qq zPN|+usTkRe|Ieq_tKG|~*2I>2y3K1!@Mu!S$R0x(U(TR%{mMB9|JS>`u4GSIzQfND zf&Wa~*Ol zssMf}hY$VIJ|oyMAZGITNR<8cvEPX;o_UJ2nUCskeJnSK4PYxw29ik4ttc;dt`a*fL7n zB25r}C527yp^AO#D90Oly*i{cNNs?ADPTZgrH!j>9kZ$0XVn}}>W-|dyUN_hSB0s;7K!+4#(9zk#31AQFE1HtAJ*VrjLU(NYx$RaCAwb1BB% zR&J4O?$DYZZF;Ct-9~!wnFkf??pTUZ$ex2&ydVCih5x<~D9L+75M?0)<3o)5?6b51 zH_x#s%=<<@b$|}<7|-|%@dSrHZcxx5ok0|GAjD)*(MKLrb(0~;0c2LN3 zklD!YC}~9rAu3#?sM8T1ebXbqqHi+PeuMtYuf6d*4n**v&_hL3rFspgE12&$;a&=Vb^$JR!CHGCVJqXdg#-DfK ze^@IuGF!NIWAy6{U0WADX!*=lUN2eN<}N0qenscjz}$#A68vFK!`vyD|IDo%?~MLy zR{xY4Or8Xu&66REDn9$Nnv^cDMy1M`4t?`xJ^QNZ)R#@4`nnd~`WpJx@?ZCH41Amy z-|)c44?tb}y~!JItKn;9^hS}#lsZ1QdUtHnt*;xXbGQ0hx~ze& z{@h3x)kF&qwN$sSTdBd6wrVh`li82$^yY|a%G=>y#cB{oNt-3nGXtM?wg8(fMY|Vq zjtU9uC05ZM#mydUZFbQxja~YI-d&Cj)6sBj&Vej3$kQ&bs=Z%RBbJWRhJ#TDQW2bo zQwN(*nOoYpVnd7#tv2^Mz1eM+*&1g51lu!gZn2T*PG-mcF*#VUYe8o_3|=zW?2{ok z*(c!RczIV_lfB^M=+Z8ys(n~Zo#%B?uLWjrf7#dUp8*>B^)L>g&^cfSTDu}4Mx=UE@W z^B0zXJN%&f{B`)$AD+=i-3{OD@3|w?Wp*F6p4rZgKAlFKfk$Z!~z&AQynyv`J%yg@&q4>(&v_x^*ix zZ`RcP*0oD#cf;S&BZmVNemh;edPOT&uGEO(!`<53v}x0I`0$-Q`*$bSJ6Eq>?ba%( z+tevjbm-v0z^}iZ2nbxeW{rjq8>UdR5t}%^wY-UMZQz^PFZ|Z2V+T$C{Bs@HZ%XhE z(oa8L*Sd9U-MTUBsjMUK+qW<9>;K=xTKI+y8{FDBbt9N+@7_IuUw=FO{L_#6cH>4D zT+29XV!ArCWn{j!RtuX8@LT(K?exjVA8XGK+;)5W#BE}mHhrt{tsZnG|U9e=4zG)Y9JDLWK&sn`sGJzW3gHqahmp=b_*|_b6ZP9J)JCPCa6_ z+dEyysPobj8oXB;ZqurPyQOyX_o{5Gek$_llggJXyW!^2y#)(KN$;P4g82)o;9UiE zU!nVS@2mIffhG^=g~2bV$Co{{a?eV4cnI@RZV)1Hfc;2+9*L772e`U*n|ETkgK}{G zBXEP?I7kF%JU(#}WZsJNAr5vCj*+aF0u{aBHRh`9*Kk`Odq~`3fsGd77zr+GL}nY} zpocTx*27z!gFa4_?ob@;GuCsl1O3nHF`PpyPNUn8Y3A6N(DwhU}tZWW^~+!W{zIrYoH*^zR2)&Qqfg7$E5M1rySz}H!O1K4M7+<#d7!%Wxzjo+lk-b>oEtm7rMy#b{u3{jp>HPf#S|y7chUZcGLT zQ*tZU!fgwkUCM1+ynC#f@I2#!b};q{PIbXf)=%(+AevyO*?(msFk+j{$#J|VppDPf zoBw)PWkA5)N`npCz2G;3;J8f?z={8_0@?)d{dPeFw@7&3tUd9IMsD!}DW~uKNhj_w zKG5ArdF1vtQbzUch3mg){(-+Zkn)o8fIyAa-+8x2a%&cSvc>Ev0&(EedFz9-7rgIh z4d@ei7wl`r?|!hy_ohTo8IO4m+Dq4Yw{Q_0OQ6Y}Bz&CQ?N* zTRu=%tTU)PK_84+Zu27GgJ4Sk1}%3Bk$A)Py)9RMFhb6vek43a)CqNzTEny z)4@2w6DWqhCK%i8*ZBSpCX10VtUqz1A-5=@vs`c=@-tYT2IMfhF(UYbdZYWm4Xg>e zPs#`ca|G)z7x>}pGISv{(FZ<0`F!%XeMgUR;~?!Aw{)BfJaA=Df7)$0PFMRhd6xPZ zZ2}*dS48L|)3N9yWUIRs@fP~1%!o1?y=1i0N8GN&TCuy43Vs1rH_9Za6P^|+M&YIh6OZ|Ulpx7XFo8weyshoS4JFJpso!tIYv zZ`<6@1+LNt+Cm>2j|m9j@Hv7i7@w4bPDBq=Z-)z?tZ(5HfhiAmebDgiEex9Ux5`{Fje&%pv`q>~(tvJfqJfoiM^Q2qb4L&fA z+tw(HLp-2M(2VOxYXjqu03ZTTIoQBID4ux-w}0{;{Hqhe-{>{uBf1oQ7g-PfRe;Qc zJ^|zeuOlzHIoQc@li$8B{42oMr_jDbKhVhOpSY1Ss@(M_es((iFAJpn$&@>RtjuB1 zqv%ug7lEdGnA4mM%xQvkseMN$2J;SoSN{M2Zq4R~XY?&WnT#I-80qI@H!dEyZ6aur zdii>gF-W}#^8BsCBxutKdzM2LD4RB+KcNBmhY~Eax-R=p$L7x0gz+8qW|wDlSF>y` zh%$D~Se|?Zkol1zpl7)mlDR)Y;>cL`%ebuBKVah~ zHQSN;5y(kU=i0q%|1w<-e{Q9#2|{!{f_6Yxb9exCL^m?uU>-po;T5`sXR!&|fOEzUqak?<4v;UDc2B$wm^ZNDcI&-PHe?=%<~`oVR(aN)wA4hFtmI&F*yJBw@2^?%NtD#C3{TMt9Ci5Vv8mA7p^^_$n(Qm3(f`V8b>;iww%! zF0TtJ^z#WE!FXj83MWxc9mA29g~p66GrH4Ya8Mu$=fs0&4r4dfLL3#%BQ&Uo^TZVY!b zoa5C=V8ⅈdD#C`RVG9SKVo;oUG%F$^iY?wd3l+Zbb|~+>FcZ5tB_8Fj=I)7{fsJ zjXO38*aU>Pj6wfB2cLz@1~!J1HA?Q$#|Soqyg5S4BBHxDh3^kCnqnS3_R&2#$Lx69hD116+;-K7GCfiixI{f3_)(CVSMtQ zB&eUj`;A+@av201&Lczo`0~Hdcgb5Qy`TXtRcJ%$<{wV!; zcT7K#VuXZ5N#nO5Uc5V`pXiU$kEbL_JQ_(N(~ks+3@<^FWQvo(%DRJq#KNytQuB|# z(WqV01RGMr4@jMtpH`VJmmj}tq#k|ao|q}KO*CXNj86DYCKcVPYO{*L(F`<}$;I{JwdD`w1_ z+kPhyCvMy*>Yq3O_TBQxPiDWTN|9RWQ)g6$)R~kbSt`Y|{)rJMh+bTSJO(Uq5(HM? z#y~9t7S>p(M3x{Qiy07zW__D5PeP@8JFPN>W>V^~)QVd_z9&mf-Z-#l6EsSow5<%M zc_yWLC6(f2G<|B%hUAQ?_+^Y2^^+?8D+v^m(aN&?Sh->;QJF;Umn!8A}PtH<_E@4WpCU`p@XN{5J#muLtrCKc>~^SNP=T zuzc_>Kj9k*Bk0_eU&8XU1m&0Z=sSG!p_4t3-zb2eHGuEuQ?BKQWU+Bz`SEhaSDJEZ zoK8*sw(&McT$dk`Ekp@QCh+K&Ok{LodvvnJR>D%oH|Uo#`q={X?K5G?0Db!m$?DOM znilA$&P}aub-i&Fr(RscPi^={=dHAgpU?CPbqE^cu0F*L ztnB#BjVJA_TpMp`%cfO=yA3~h4Ey*5Mh5Uxw@a-|VTNDM@bmvReyk=oHbRY8jqRN@ zUdFo1cxCTJyqNKnI*HLqlS%24rBkBVCU0U}8#3B+PZ*#pc|YJ8ymIeP;FH@~3D~4v z=?z}6=fLCCKJuwUGK0qq;4wj#(10d@PG-))dq;q2aNPqCGnTyr_Rc#wVDcnbh5^Ac z0+|sNjo$)f)1A_f`-kYqbTanN=-Zfzg2rz_h@)@s#+}eNof#F4-vV@xN8j{p5dB0! z^pht|qLj&!nC!K`t*rQ_`(nnqeOXB}=g~_o`nww`38Wl(RO+`)YVz57J@HNxrOK35 zv5^eqUaT6w1T+=@{`GlrFot`zgp+f_JUPZqK>)L>RjS|Or?!d~UTFI5DzV$^N zYYUrjm1CIw=GkM|XgIOdo><#$xTG@q+2F&5T4Q-vf4s5byJqj22qzRaBneo@iHLcA zRO$4k)6NFQrf?fN$P9zzW74MK^bYigQ+F-f#HWObtJ# zK5KOCCl4Rn1RDigg$*$0{7bu#Ps|Abt0%V#QU`3d0|uNH%4QPIm{H+lLt=+x6XNv2 z<{77`V&`L{Vx#RjxyL!5W(~`#Y^}1n%|x6|z{i0TT%O^(?~p=pAhvH7w6v3D7JtO>9- zz#0MLkbG=x)(}`rU`>Iw1=bi?YhcZRwFlN9$j7#3ZGtrlY(ds6u#LgTE@kr&{AQDy zIlB^P)_(K)xit{hLbzRkjaTwH{D*Y}=rsAXiOWBF?x^SNWck>cY;NN;M?NP8yZq+q z+M>rN8SUbJVA9XslR{QUK`;B~iyfps_F@S#J$i#~yE)QvSa>c$$}oj&__C*%Ta zh{1JZ4f9SC&t9@;kK41s>ykI|?cfc*v99@h=~Fk>b*UTc$anhe-<@3BFI~E+Zmf0R zN#fbdfoE^cHpY&QF~nUbVR7lF$ zv17zGn>?nsF7u?lk9A_H_N3a{etf&z_aR`7xi9NoIGE!cirs}nJkIoPt%kkAa^5YG z+*ZJxKR7Xmc24=t(t5UoJ;QpMTSLP}suaW7G&s!@sEIv80GkV@1K)6PZge&tO9)rE=>Jf|&kL_>vJ#qYnzwnvBAJeLmW4&*6-U(=2n);DmE1>jI1yoLX@@Pda!pZx|Nwl22e9Vgm@9|Zmk ze<%2f07D%3x%uepH8*Y*k|Zr1OLA?{b=2=?!?a;z4b?hufML( zcK@h7=WiZww@Md(v?d=Wkn*|~)v&Vdw1(&YqF{vI~@W5`eZ zO%M!0lLQ;iU*X*}MW1kc7Qfv|Vytlc7QvX%A^4WS59kx@K|si|4PG$Dod!}8ShCL) zF~O7EA~|Q7S2qHLxGnRyf_4ZD;fA!O>%BHb+(H=`Yrs;Yv%-|TeJ-6eMsIh0&XXm) zy8$^K!r!+8-fNQ&=rV4PjO@%#`e4NePTo7(CIgUhPIe<3ERXe1*1OQ7m#6Mpk$n|@%}u3T`kn>AGY zb{u~LeeXX1psps!Cs5b*0E@Ws(V zmr_r3X;ssu)dO=N>IGk|JZ|gaHZ%WrXcBt<^L3v)A2wHibg$3j$ULxiF@9@JtmVqS z}qm+Tn<>tXZaO?6vnb4iVfs~t0{d~uP5L-_F zqId5gkTP<*XzBy~IV^A^_G4Jo~0NE3G-w7JW7Q=TiII+Ko z>~Z+WDZ@p!Iz5N}u(Fun2iuO^=;&ky_$EV~ET&F|kB-Dn-nf6GD+^y<0`8n$X|(wr zd~~L>9VwS*4bWT8zO0+zCS7`y?hS5V5CrStoABWQvd#ELfE+TN{Z#hCu$i6AHCyZ5 z>c2%+%fIvClJjNZ-RV`x~8%{)Gq8DLVbz z5`mN}xREmGCh0>LgLH6o`kziQqSL=81^VP)kyy};yr>&F-3sSUp1qUwXXxJvPUQ4w zX#U=^qDp^;{%<`Soc;`*JE4EObUXULRmSapk1G8cnzwuQPST&Df4kp*Pja*(nfWY$ zaswa>oNO@Suty$~SZ=ytk&_caaI_=;R%=7Va%=7X6eDGPk!;uo>3i>!h z;=souDw|C%V2;Uf=@{-b7bfIP+A$zlq{Z3tzE1Z!e4fQ{WMc2~JKyIP%*e+u!1<7T zHeQ4CabhIj4jPxvKX4BJcA2l{{FX7UU{>uVOB+1w)# zGC1L2JaNTDcXEy!8yI3GJ;Rs!upkkfSir=%!x*G2HTQN$ye9FKtxGl)Xjee@RJccX7r9&ca^=(Ax$f58 zcirtySI?e3yE10XsC4PlIY^&A{jb3PEklM3?o{?XdGaV zegb7kmO|Omq*dNbS#(#{?8;*FQ>4x4oM=*}NTrO)lPi156v~q>t@33CC!2C+$f!&y zQz>1NWF90@z)JZAou`yYFe!D!q-L^qDg$z11&$>NEiy`#pe@E>&u)zu_5u z`ZuEfdGab>j-0Nne3a?R&8~bobJ_cKmskH>xs*9`=HIM;#`MO+^w!3->69Qz0vABX z6y5;=W${hU5)g*tQ$ho7BYe$(05CtG%VcYkCP|dNgO%SVpR$(9s>In7yJur$G9bu} z#Ud7v0>FY-#KI^59b_=UU}>z(1R+Y8KcP}rOzj-(la);71sujTnV2_@QkFOVkYCF3 z2{??DpS)D^i2T@jV@I8zC$>_QPvPa4POex45Jr`sEhxX7m!IOz6kgz>wJ~1acuHB$ z=r@mqpWE=u2Jp)me$Ghv(0|kLvj^~V8UEXbZ*)?YNqGZ5kKvc~@Ke5J`ME6L@EvcT zH9+nX*vQ|8pCE4nr7COV2>NA=eh#B=eMx|5^0(~$fd1P?C$~qRPyPm<=Xjoc7X(}&L+*zXD7PN<9zW>oI1xs~Pa zEK2l3BKK_EMsbz4Wm-2ExvSG%%G)Eaa(BtC-1Q8eHOOmV&*aIGN4ZT#`+7EY>eNb= zDwPAiM+QINmo>ReexOeP=tKrT->09(g(k7P96 zkjCVFD(FDZ$^s}8;0Dn6$x|z4($oq`l1i}+5+qBdHb_9GrKuJW@}5Dk z$&}ja;lTcOV81z9RIVS|U$XSwMi^5zZnu|3?TCI_|H+#Y;v4o)czwns=f@Kk%Znz!l4;Vvd$aTZ2S zVLM^huzAI)v)EtQVc6|1h!T9WsUqX!d`aLXHZ67tr+7QrX~1{vQ|w4?Da7_8kPh1q z8xkjG4zuy~QtgSgwduenXBXnUgS`Xr9ov=qaC)f2H#v))hW!XWG@;Ecn*?fN(_$Zz zkG+X4L>&t7jY)Wj-}q%zyy9`6B9^@ zEy^>jDbUyCV>81K@(ErAAHHG3lTSUMgDp=!YXR^oaz3XE`}tlFX~Bqm)(XhS>6Cod z3<$i0C-94W)*Q%ZZ35m>f7T{gTfjynAAIsz%K)Ez);NOmSpy-TH4$ul@>$F9^BE`P zv-ZIH3+pi0qvYdQL_W5<%Wsw4t=F(_!+}ZUv!27c4(kyCe>dcF*2v`ZM(Zit_(R`ZIOAlV|TF{TcdyRUM+!?UUIU z|CtnM@_#CA-MZD?fLyt9WtAvVLT|nGmMT`P7!A?zzYi74t9+SKD*sk#Rjc1r%|=X7 z*Cof*dz;y=yQE%Qq)uP$Q{w^et4htfD(7(Dv}Y^)n$|xARV!9ijfyo?u3|YAC{w_* ziQb8!hxVX&DV@AJ6AzYN#NYY?Pj!d2h2e~Z>PMf`B6>P_^5{N>v5lA){Ck1Vd+)(qq@!!9=nel zKYRzmhkHG&`jhIb(!@&2(27o)5RsdkSbt5~B&A*+`m!2*-binb zeN&m*WODfTcfVg#gA3f>m-@N$1dsefq)b57cE&7ghP7ii-3uqNL4| zdNf%}wDz&LN)RKCtl+=9;$5|y)lTInly|&I(Aanuu;1LL0S>n=ScE-0)-uf=OBi9Qx{ZtnOL9oe7gI`kCHd(zj zQ0mA&pS8VE_dZUnW*W16jHYj#?(9$OclPYK1qEl<1|K$1)d^KqxJO~dZ5Y>UH+HMFg~NGR zW1tPwH+auubG&_O&soFgUj{|&jYYg@`cKpDF19jo28+)R+O+@dVyDXjNHY0A9 zy7cz|WdM9Xe%2UwLfUJ5++)D!-lKm57~S26q#q7TM@~!E|NTz9+pHVj-SLZhth=re z-(A!E{Xc5knO}7JX21U^(~6VQ;LSg(-?u+%fi?Yhag^tb9XrlRiw^y$v0JZMnb$OO z>(5#r^_fezPVkk!4IV!y&r64_Li7WDu>inn&lb(La33d*=5f>bA+0@v?8FuL%-bFRlOHE9-XYz;S7{DRG`zwozL3o%c7e z%3$qI>04{BeXg1|L8ZGseM3H@A4~^@)G@iuL9NI>F2ke7BR&=o9o@!_OM(nZeH}anr<#*D#)58TN|1sp-?zpQ`Hks@|HU z$%-6payb1}_tUy6*0-3$P1-c6%8e`M{5uG=AZR{$!{pAlqeyrW)%m23)7Qm%6;s0c zfq)72m#oeNGCbA$Dd$|@YDz1$o7v9I1Imsss{)-0MEGO)?Q?pJzfH$-@LS1IC7tdq zH@=+mb;x%kfW?=O-HW<)NaXM5>pxfjh5eoGeQwBe%Gf%i$3tkMvkgB&H}W_7E1-{_ z==X&Bf7RdVSf`J^=A@Zky1ijn zW+3PwVWWg9Kd!vvAM^=aWlWv-KimKR^{bS&ingk1xAiVHO4VLLwREBO7JF}ECsxtc zs-m<;jM{s~j8%J!O@vY-Mq))IAy+=%pRWJmm0Qm9an89PayKwijz|)Y)ut-Cmgxqwk43TFxEz>+&(34pixDtw&_15{p znbIy1^?5fo(~m6}wRBh)>^H^K_jf51MJJ6j^)CnDk^u{W=3pr^pP&GE&7QQxN)_|_ zIP4EGnu-3ng8V|_MC1uoON!P}dIwU_yzw2h_sR0g*sP5yHA_lA+|u?S zGbrAjfHLCU0|zoC9GjQskXGE0N(Ehca2b8fR&?OgIOcWy<% zH7L?B{G7^$cpg6PGW)|g9QI6((s=?d1{kn6>^nHnB`$bt0C`B-@?)2IbufetIcADH zqd3;-XX;Ck{;dop2~9y4NFD#Df$nE5O_F&*cyWnP4`b~Die!RiGiZiJk7Z4Cm{Sx} z==;O>7=cy!2uzkm- zTE2Cde!$(w7@Hf%)j_G2LSGweKJ2ENWplKrQQ@IZcpbJnstVVo?PDLy8MC~(TK7$J z^*?C{{)U4CXNuQmuk6fZC_=vBY+u`>^~h`Llvz4k(^$F}xvj#{l*HVV8vhQh+T6y} zM(28W_1-T3RedLVT15-K><=<3FqR%nl#D zBWHzZA2he$EZfg)4D#p=4hlUWvMXBEtKH(rP3lo|-uRrv4hU(YW#lYgX%8-Q7|&+x z?x{fWhLcVIS1PmYyu!dC^?_HNogwlLuNvtl2^B&BQ$PbfC;5WB>6bYwk(c@>#4`+r zv+D940UQ)n2E=%RcJEXMxdm^>^C3^Y*SSk4;n1L44@xKV)tr~Nlh|3Fx@=T;u}k{q zL??0=L7<@(?y*c|>jdC*VC*!wY})5vU{puPo3)Cdr)}O0r^4ifAcM*h3rRG^NbX}t zHbq5Cxa9vBl`E-FJ=N)P4=S=S1r^V{iFBEn86d<<)V?iNlW-~MVlXY%s))W>WnidS zHsb|dn_(zjJQHkSvB-x9Njc?abIF&b#n)X%(mHQ*9YHaG(AA!2=N=)Lg{2L>aZiTr zY5g9PhPls$R_s$b&4gK}a;yo%be#eCY$vA>3~P*@bNY>)n7xUy3fXqC^OaJx1A#h- z`^AWliWyO~Xpal|fN#+{{cE&ff|Ko%rh$P_yyLtMFFTurM@3Rri_=D@3cHot16P|| zj}C~1BJUiEkUCD)PUrXr^9Vg#lkrYppkO+&&>(IZ$G9ai;Nc0p6?N~HcHC9m1%!C% z`}yV2B1*Wg_RW_Mb^c^{6<81;(mQ%y zI4*cnl9MuCaB}qC=n@Oua)9vGIWv~+Z0l{ioR5>`I;=aszB_#>m_0ZtvwSHfS;i=G#tCjhv*XZNkhj~i#eZCDV@gl`Sgrq zooV&C%x2c+9+;`hz7N6&qDIQ(dKi?xLEAs2zUM*Obh`#8N}XmspU-nE1m4}&t-&H5vm8D~n`L3M zKb=Q5fcv_S3fiMy!4~#KClDQ7^q-V1CzqZzMy#(VX(4%@H_e>{{ER4-9|&*+RbF_x z{d0E!QS(2Ces$ypJtw^sy{AJT3{=B$m)u91JPqw3adXpm#x=abpDBhyP10T2CWAkj z__>q>voGS~;X*3vdk2tm20=N%**%jDu6gYkj~;fRV>5#eYH$KJeB( zwg}BS_6JZT!w8L<3HhF|=|>H92~b9d6{1_O`n^h(g0H;I%1Zt>SX@jZ2a^ENiu!75 zJTNe0L;tjKW+vZAfPaw`_=J<3{g-AGyi!LZPB&yA=SiLw*oGx?^f=?Cu}VW2ko%tEDR9fo@zKFXiiX(Lk_48r641He&1XPkD}WKWyFAOvgI%w@v^qLo(PW|=_0cL-7_7TYc^X(qBoN;AD#6MTE3ccO*S)A9e5b9Z~ zeEA$kS1r&l%(i}Y^f5o9?^=r1UL-3Wd|T6%VWp|tpfm8gX}1<M~R+?apW-@V@UA`H?q3;$yOkxhHyd?e}$Az3oql6X#j2?#klHs;0a zp6f;&bv!q=y?BkX8J|+0Z=v-6DUON*mw-&o*elON9`hwa1?oV8a&&Hp*Ek{kKU|Ftx{c7>z|?|y8i^sn70C>PU4 z*DukIcxX%ot$d^VY{IjQhRs&4U!;9)hIvopthtMF-0ld@n4BE#+EmPz!RXTSW1sOX zxF`PWtkSh$zrD#^9!94N*zU}~WRVu35!bXKv3oETGnPH=kBN){dV%tr`VoX1W?`zo z?mL-z0@qfe%vZUkxN)2+4|HK4X4Zsas67ayUzVawv^*+)k0uLRAwD_F6y(nGm{;k? z7?ez=s2${pW!@Bzw)2IZuYLGcUAaZ`tJTf@)ObRDNz)Axp-8WH82R-p>OZ)T=jaMw ztNcl-Wh)*eJdV~CZcAS=Kdto3MwXPA7NJwMtDgQ=`RqeGx&)|dMLzb(X#1)!{=)?^ ztZFfvf+>_Coz20k_z8fu1=;d~n(SVJg(br`)we<&hQ{=Mq7#jXC5eDROXS=?fQRC3 zj^P0HPNyL?0pyB+^v_$SFFs@>cuWy&c4r{&!FIb3u1|j|OjXT!i|#8y!hy|V|0KLl zU;S^GY?w*dKja5xvaYKGa;CYFl%Q_R&+ADJiczZtA^&@ZAa!JZO%m6 zdS_D}>A12FRzEq(-2i&T+!EXmPXhtSQBJrowzIs#XB-)YLXRZzS@< zyX@(=^d_=Bv4o-fp{z2xVh1OARVHH54h-fEzGjFp+pu#TcmHY`TS;MOL_# zOM4nI#B4*_*C~@K)xYja)6+bx<#vY3fbV|jrh2o-GPa=5zt5|=@Vxh$Q=jvLT|Pjs z({1$~(?Wmg9P1b_%kR)8?YJJF>;FB%e(7=3fxX!$bXR-zgglJA@M zz~4tLK})w=a(r>M^-C*rZp7dFvxQl7WN!Rrn0n~kqn&wU8vKlHIZ;-X=uQSWY zXZV4yz&ZOJ6Dah}>lPL-!u9k1MF*ns{^|aqM^>e~RP)bP#rgfnnoVcW=0hox;OxG6 zk+|X5_K|2B2z#WWap7J%6eqBb)QcKAtrQuDsAMG`#|Y$)XGT>PSOa66R_Kr}yn z$w`*^k0JEYY_hD;!7ir5PvzN2@MB**FsF>w-E^BVlbA|*xSAuUeL?Do8PyMl zycmTSw2HA?h$XP4O($XswSKxtkm_^&vKQEjXSjZs@fCm5+Lqm5^?ojBj(4COL{zRU zbtPL^2Ko~8UyM0^1yOf>WA-EEAvgSB)k}lMOapJm8{T}(w2}X0{;vmVOUC^nS zzkb#Sf2R43oi&tpx$lDQ1tj?MVO{UdLg?8qKr=J)Ugnr-NpM1tZ>DBrO?MZq;nkK; ziTQ=Y69sV#N^;Q?T{Ie%NRemK=7dpuM5KHhHKTi)h|$k_CdHO1UonXY&<^cx7$&Z< ztGSo*0(zxr=V}|&A8H70-x(IMr4Q;)r+p0b;S9k&;S7RerJwSD zmidSjsZNR_U>b8}`9S>edLbU+1wQ1lY{?)<$hMGCD7tTYYLiEzb#!)%#_a)QUXqv@Mt;p zcCm}f6rxUP@3u$yyCz|6jf?;k6t(dpT}WC2&>sNDcH z3kx&P>>E96zf*?*%+E>?qIFWEQ>Hd3o#j5S{Au+)>RL>_imY~Um*V4X{6zeretnq4S9iIw?$1v}Z4|P1N_b4j)vwim~^7-wLZ+O@`WUhR^ z*z6Bd-0bVh+mGc0qD;@Tv)NBlq!YTX#lblJy121*7uovbqCw85Ol8PxqLCfkd%iz2 z#Ay$|$XcxU1tLe&<_pa~r<)g&AJug9_pkv6nbPXlqhmGQ1^0_~B+VAcJ$*@y=OR~dTlJ7{DA7jD~Vvo5;!-F-j@jySzu-gEsgjy{g@7NdJ^C)HGc z(dBfqDNoK$1icuyvF$O#HE~g-Jd*$^-MZSMVcoMdJq8^=U{^GufIE7Tns z>;2V0v?>|@1rf1O&O1Mm74%gb*tfK5x8^tfSKFO;S!;Q**81%0(?hXxbz%10W5Y4J z>VKTl%@yCHbp6Gj;Gf(o&zh#ohQfX2!k+oN{5S5y%m8)4ReGrv)1!Mm8*zPQ9OJDw z`ufld!w%G1?}|jSr@|3*zJ$L{Y2$8raXk3(tL+`%I*lBdx$@J*Vr&G#n!qFO=Gs+J zs)q)KnqNyVRNN|%FsYB-2SIQVp#O26g1 z|5uhv39L!)m#y8AS~P99@D|*59yj>qxFu*O0@CKKohE8A@j5>+zC#o^QR2he@ORZN z9%0VPgput$91cjE-|8$rcHh@X9fg4y*mM>1I**?2PDrbpHZ9$ob&K zGlFw0tuP>O?7il8PgH9I_(0Hn^Gx*q_EF~Rmgf#%#>OpN$DetRuh59!-(Ehc@^wGB zn`PSic8igFR(3J?{JV%*+k+>fc@gq|I~s_~1+jAen{i{9<$U_#S#-qA4(XN)LKv-j z5n*Zn5jh)LR^9!Bx}{cw}r(m8y>CD$!Rx#|VLE z0COX_CaXP%Xd$?O9>KcZ-B!?2eIRcx2k*wlY({zZ~Imvd8U(y4ikkuNZ$sze{Rd0RmWtlB(Sj0*_b6Z#v$`>WZia2VQRUmBc^ zQ(U@O_)G|CInqU<2#^@EXH1MF80nkU>aB^G)kN52ar@x4{6kIZq{sYxpF+2~{HaP$ z=-ZHYotl-u+ z9=C#edWyiS;abG&@Q|Lq4>9}_ZaWfLN`V&i(!$g4FD$${&X`UE-Xzazc%PcpgOc9i zM0X}F*S{z4ZZln~u`Y6Aqq0REX9>m!YTHlb(~76BuRn`zg($|ewrOFfQ+cl6jY#Va zW|`xEuQZt(86y#V>e@bk_S%r{q%L$|(xE3f$!A7x*>Y+XPyDu3mICCJ z`o0g6DMkV^suBfm27>JO^|RQ5r>$|^3`VtD$FO-$JXV{stnh(flUw{(zwb%Y1^Dmh zgr%**NPbDD{@17T_@pviW5qelrpc#Erg z3oL`5^yLacIYMMK=D`IZtZM?mQ%^+<_^krl^-3oJY z(8MMS-JB~H;t#gFU99^G84=dO+ZP7rzPZ6w?GGX+sjH{Zzs85w`V*} zvZHl1xl2KY61!ptw(n|g^wmse)emNNVN0Z?&6RoR-rXIyqSocvyQAspUpt2N8tB{% zhld{Xop$588)=}bW|&KD4f{_k3L0_Fe~kcKet4JtPDe$_CJEn%ADsTun5S zm~tbm+~jGc4~#W`%SNL?SytPsQYz16*!wh~owvo<&MBV2#%; zE2xRzLkK?`ZW68qe-ELwCCA@ZZP>)#4I7rRc5Nl$EC9PNAb@o$3&MH%Qgx-Ovgk$M z+N;c$!&GMF9IuPE?@>eyS%(7AyWrQ!86=nd3E3%vLZ(i4=l(~=pscPbS)@U5tA7f1 z34~4%!-B6lmjTeQEOl$n*mt_ol$DGj@=O-=N z>L~b{d_wRAaH7p)Yo}jG$$2zEvh;adgtft!oeHg*AJ+i;FG6sih7tvE(X~zo!tEI| zWXbCVnrt4T-b9r)4Uwq2(Le?V{A7%Y>z-c&{S32`2tfPnYQR`-!?;jn@>p@fQr$mBx>wrzAfV zWs3*5T-fdX=lTjKRs-#Jh^GAZ#N|Bq!c0PE7nedeWM{9qj@(Y~UaKk>*i&fH)d0Bu z?aLI3Axx%C$9Gg@(`>B@hg=lxn!p^g1mjD88|mA;9_3#bllJt?e}|=#OzB-~m_NRQ zp&f&@2_-)GPx`Z+^6l%Ve`Hx5^a)%90G=ep{ku5hWmciz)S7qy6>%zAzB=_a?P{z+u9dd$AXE9cRDY}|Gk$Bodhs(O`bPoEJG0IB|tQZB1<5f zFSDShtke>@iXiw{T}*x7&~I0?*Xh?W;LYhR49()G1+#*T|5&6YoD}+LIJ|uT;V|xU z+Vwuje^(!5?m)1fNpyC*4Zr+Vc+ggE-Y@!U)j|O?LoNkxVR(ziT`seSMX{}z%qu>t!e&-BXqPNt^IG477VG-+3m734tlGEmC0VY+E=D~jNV7(4kUumz z^e82U4$J9_BwCO2C>9=bG;Whfb}Qo3sdBVkGtI*VRkKT5(!a=;AKQ`tWP9x{Zky9S z%#S=v#BX@4fLEN7WA^0NPA+>lMKe(}J4G%^%>o3ul2CYGlW4?iL!n<~= zn@p1nJ`N!Krybs83g<(1VHA?JR`=sGlmVc6XK?e1PzSK2biGs#c;66E?<5|w>X95e zeinQgUAfZSw_^=E>%&ZQ01i2i76bHyjGQX)kSN3q(4C|sih~^XVE~Zl>2bc&&kjv< z;_=?qj4sXkG*ZOx$_mzqa+nZr#Du9R5-*|xF{A0w2ISp|z!GBxl%0eW3(`S%9IX1Yx zCFgxoR1ye7H<(`J6EfT{x=*m& zhz5Y0ujht0?+HVT)3IL`*}HkJV_OBlrW;p*C~61LPN<)RD;3?C)s0D5Y;&(08?OmO zro530M5!>HeWvt&pSGOrrpk2;_uW2s2iQyG1eU>1PjSXXhOD-btew#O9#VprlK&S& z0O4oN)k-A`(pglaE2`i))*ujb<1|w3n@GD%@RyT+CUmC!S~_JA{gh^H6HL3B{o$A8 zqy0x4S1@&CdGDT;tzdc7E~D#{>X9e9C3qF{_Pzc78d3G<^L6bMxb;n#X!*Ed$id0* zVwQ`()2n+p34wfA9Kf| z6q+MNBm<+edQ_@us_6Ll)Hj?e4Vv3<+A(jW!m7%0!O4}hX%4G*Y8jgyy{jx^B7#B} zh?*ZKoFsL6aVY0p`yh!VE}zOm6KNQNqp%bFG7U;e8(X2 zZw)IS5V9qE*+?+pG@QIv^*FYu3R=k@tgthaggU2z$`M+EbpA6(9#m0G$R7ch6-3R0 zSQEn@ar`av1D^@8>^jjQhKzcfi@T1(`g8sbgg2?5f!NB49$G0RYswIl zw~p9y-rI02yIjFssNQhoKLM{w_tm9>LtBZbYtlR|7lF7}Y*p@|=`AWyzaH^yF}UKL z%TLE38?(IkfrgMLhIteXVuig3(@Jk|UG~L0)`iwY*0e7@I6;OncDQ=4Mbt%kl=P7M zJI|8;5mSW>mmwqMfA{9yV>QXq_qLP&r*3q82}^eqXuC9X{^PvgY#f1DGpe_(`Kpkq zA*;>opdWF|c;pY&_f;{@E82^C{-MvSbyy8t+(hpKa7e0)!TTP(QXH<*8xq8+?3zqp1gw`Zx23hH5ZIeEU4a7eaey(-`5=e}}GH;EnuD;2B zl8!s48V~MDq6!Hx^3yX0*X+=ltZIb(%XBi1>vxin z@l0-sHRHW)KPbm>W&0dI zQ4_L>?KSVq6@M5H6W}QFRVk%JlnW(^v*^sjwZ8@LXh{$d_Nl zBsxzXh_kn!*S1s=wcY04b4k4e&sofF^ah_G%m2w8Hpdgk?a}jFbs*m(&aB#w@esg! zV&TYK=1-StmlgL0qIXB%_(~oF;{NWRjfI1=bzI;dtjCRH_(YPH!_Gi`pj@qML&Dy2 z1#f@C&UG8?d=`xSxk2FFB^6-o@CuUhKD9;M=Q{T;NeJOCrUw0dF&8-i%5Vmm!qwCv z^ShG^g!Y7x-n!YwM2GDGe(?%Qk<)fZkZYl4xa@34SI!&#I~6oPw8;K+7_0OmBs+Zb z`$N(yjuzJ29!ZN^I*;7~ooaF|2X~ap`frpI&yHkkqrXrewJrH0e#=dGf{zzagJp zgif!7j{T4ol!uq+Q(sgyhWSJ_| zK+Ef3RuEo`F<>g|3ghK>^Y_!1I@JDCoJDYf7qr6-F8HB% z6?>iuo9X*JNw(eR5BgoTb3LC-iA3^lk6*esT5uhf&TY+-oid6*RJV*x?ez2dzPQIx zb#xd_{0@n~sQh!~3i;&iD-}Irf`~1A1FOmaT^h&70~l79X)dkd@fB&&?Hd7ac)YGc zTQ$nT5OqXW3&*q?7RY&+CqF~})4*)f99r-TJUepj&3`34l9xU`*$9UWB}CG&`pGEV z<1u!!pAm&-))D&CAf{EMP-sCjxjobT38!4a ziS{u0pNWVET%k`h{1(m}XchC{?(#REMV#M1mfB<)i3teJ*$EhE-BgMllU!+|#L_F~ zVck2MZv3#45lZb^HKKW4T}a9Md~%1Z)`Cl}Un#WX!F;0)<&WYxIkzBegw?NGU)j08 zg9bUBhVKUXUtBvi@lxS3FxO{AG&4(COf_n#oycptA>FW%RQ%yfTmG$PE2$Og^}a-X z2AFp+`#Wg+)b_=cD{;BwIJ17{^2(Wf_SV8f{Mfc98qY_J*EN@Yf`h)nk9b~{FY4C; z6xDja+x_L%_qk$a%On!?qaTy0#}Oh(q>OV$ttcO*i#OyRx;&1V*{&-T!G2p6ag}ndPNmK^#@gV;MRw*O=Pu z{XVCnq{AC68__piAMmSoNt;rIxU#yYTeg1rll!8ceql@M6$2032k;8;@Um*LJ6BS2 zrEKk*`7dO&NbbIj>J(#k@1qpe$m*qOLk$6;cWZIr4ZTvX*8kzkI9vwXIe`NW3;$^ zw}^|(Q9ExQj&u;M)T^4R>ZBU~8fb|q?ioxdPkq4Q;NOysYa9VTUpXBg)Viw0A&PzE z>YZ-tgWZ?jZVFka=3gM30|0(6&g*ODmMz>zsWK8nW}k)-DFq(ERi%uf`Tef*a?vnK z59oH+d0gPpMVQVJ+c5-yQ#vn4R3CJjQ0+hF0l%RfB1BO5p2eHH*UAyk)3ApEte4M~ za?NISBa%(E2}(hWwiI`S^08_Df7ghXQOW>mg+B^xNEsuY*q+Rngplx#pQFj(2hy6% zeQ^>G3MP3zOofTgmMnd(L)WXI+lMpGvP z;^u3(-m0Mf+B4_vQnC8Vm_E_ql-6F4i8DNx`PmhlLBl72+j3DTM^z{UUZ5a89<%QKq#LyQ$bg zBg!kMZT!lw=`Kg_R^$GKzPbiz39%n}>Ny(Ij_UfyhRxODDu?$H?)$(#X_~kxaLlP) z6TF9YZ)zHT*rD=>I^sG|su~~wC~gW0oiWX4O)!XuNT!^}kF4Ok!S~Tr9cE%s++9u*VWuzcFzV+ z<>=iiVA7~!XLe~)e2tTleTZ3~;VGN_W8w~-xaNK(g}PI8(J|!9dDE%xdFSF&o7<3= zWHIW+P9N+DMc3cY`|kGMc_htdxxz*gP@H?~*^2;|>MIgg`XUacLG2Pj1^X`O`J&ip z2KL-s>X;HB3W8pOVHma@LYcNPV88uJ_-OYuObvWmVP*<0d!ScFJiAhlDk*@^?3Q@= z2-T@;opLD*tTcC_5HJ;TCtLh3=2Ctsx(D^XB)U zQL`dIPrn*z1(vwReo}|I2s-^(m1{G7Ugt3#G|1-v#=Jkw9o?{3ObBV5AlNk#aE(D! zNxK{h(Je;izoLUKOiBs~1`@?4>R=8@L)4hLA{^0w(y+@+P?pZYADz8`tq4VG%j-`Ow+NTH}Rb}#$JF2OBsr}RgE$N+Avgl23Sc#7tNS|XiV@QD@}en<8z#z&}Kir zd=Q^4y?FkkZEByTH}nxaxbe-;$LP5tD1hs%3 z!MXg*z66fl6YVTMP|Ck%VQzr;XGwS%kfudQH~&K^m&MZHvRHm!v0jYMSM$-6>p?;} z>bjB|1@D*zcaSU?bQuWfbZ8hWxgN=ep6rO>b~^l=zrOg6E5!UJ@B@U!+4xNst)F*G z%T`V{Wm!XY#bcG&l16#`?_-D=sHy|i*4?^8Xo*kJSWwRIQGp5FX)dnLI_&)mM{hCv zlJu|Vp9X#K^qR^%iqa>t4CXJUxjs!ezTveKO-1g~ofuM4`?A4qbg-+|?DqN*3)T(e z=7M8Ux-;0)cSIeN-X{LAaekl2n<55u?q=nO+6*w1tno@6OwHUZMZOIN-FK*B*h|mO70wnYECwhyt2I-|U42 zF7(#6_w{lmb!&?Ovqx2m#GKLKcP1TEb8$=*E4nL&mEl3gh^fHR&@;1!>LAD>V$?%! z1f4@{UB>iCT9i9xiL}E&RpmZn32zi$ax6CjPjR@7WA2gTf!OJCt58v0y&kpI;c}v0kS$N!opI z>qP$awc!igQ+lq?A{BNYQQNuv0+C+K#%VA7CU*8UwkVUu4NMn1yE>Or=8IJZybNUs zogQ>lsYX_5P_6x=UcQIi#@Nj8(JWPNakh`$o70>TW0~pAQhsztH{{1x_RHOB(OG9G zFW6>i?KU$QyF7z-tRn-7O4l;1KEjS7I^3FHI^i1ejnO1rE5O3=-La9 z;ay0W?sC0O;>>nD{uIQtzkg!bdbyv{@nz6pkKUH$XvWqSo^U?xZZFR&(PZ0u_gQ+Q zGPAmtUc=Z-3S2Wt3sN)wc|=n7gi`dGIV*z`tS?wiYJIeey(c+lG(3bRtGpPeM+($N zvkoDRNJLEj7AR(~u9N%GM3mC~fk=csDrIZvoyCWfREK~Mi z^@Kb;xa3B;5qLq1Xhv+j|ysy-b{gLU&?7rTmzb8#>49Q+R9X!R{`)%cE?zOiM<`OM3tkW1L| zZ#kO(>I1KPo3G1T-+tQ@nwK1w`t>x&$$R-Id>DvG$XW0mV|INiPyLqk@n4x={?DOw#87i%DzO#IKF+9qD$8JVzk25onsp8Bn6P?4w^%IX%8BMqlWAt(s06g34`t@88Z*6K5J+(Q&+wgf;m5YATDa@q_rl$E=~ zE@swQHm~7jYooT2P$Z?yLr)(YZRv=7XXO?r?H1k`KHhORhb?Dm5sw-giY?{VR?aZ6 za$b`AFlriIq7(U15!hakUAm}KfUeiOu+Meo!nddPFzGwi@!fP=d{eOq`beCFmMulJ z--KBqU1ILR&CxYSZE}gwDk+8~!TJr;o8XF1-S5)$jeg#A)-7yXWUmh>`@SLoG#xi| zFD)vq|2X)LL9fbHzrn8~O;@Pr8u(8(lhj>0{n4n||DM6@&}N;P9foMi!aFm1S!rQvHTo;qmtD$WUVcV_6B0TM z5Yp2&8kLhqV}_)1$_WfC1_EKgDZJrVhdBF&UpHNr|0`|}Z^Xh-KW1kWo=4)`rrka1 zK)>@=ee*jE(>*Lh`+dxBbwt?f85fp3T)4040gi4 zf5-f*?~vbtm{}?T|BkTXO^ch}_E1B8O4PKYdgkV65(CXQXY`*k)58lNgp-H98K#Ix zWc7@%h_v>oi^iJ*GWKnw+BUpc@ zXG)&XEWc?mdgjuC`-^`WJTN{d3zc6>xTXeJNb$7^J0iVSzJJB|UOi?+I-My@t2z?V zu$nhcE#nGlT~+C*V&jn-%Q5!~c`ZF>rTr_C?*BT|nTWK@JYndnzZmI^fh^Pmw8EDu zc@j7-hMXdk4(t2}Dy93{a(^I9TOcust3EF5(l**W5wCBwa5aXc_f>IMsl2BvIW3K|j;|0H>xHR+2*^rngUkp^$(r}h;p^&Rh_+4 z)lg!ptpzCZRXk5oO#3agReo6{67=ti!d5oZ6{;`&&!AEkSj}e_!-zzCls2ORO+vT0 z5T;xotKe3LX=PmaGs9jzwYfD6KfU_jjlBOR?vjS3>9(2`Ai!D`6W30krMMfRb`Squ zD_aUrp6a`pdb~RjXwVl@HfK#?JHGII+Y^4QK5gwR#2OYO{B*W1z3y%LlFu-2hKP(g zcFYDPhUw#5V>bOB)->?Nl&48HB23o3r}*4Lqo}AxVDi~~Ir9%K&zKqtMN!(_FvP1f z*5Zl+hl#!Jn(LR*!$Gu`Sx!6j`jtNhk3WA8Q{{IV9aA>YRpR8k!F|RI<9tkX)+*nR zn9tOF9^%SxtI&8yDiwPD>T5d7-P@U%C0Ck9-8_rj3w^wasOsI!Q5y=t<=$RLF*d1( zX1bL@uczO=g9QL%vj(mp*0`BaVURA(ezl$ipA6f2hTt37=?T;^mLEMQ2Dgn}fv%R@ z*6Y?B^6ggR*3<}(`9C9fLO2%4+$3qjcgd@S`<7f+&3%gSmxQakY}1L2B=ShnB?PeF zVRxC56H=Fz|A%SA24l@H?y=s!hO{mpN4+2yF6q3P3{Rmz;9eJzqbS}9QR}yIGFjJY zrc~c~ic(mF6Wt3mG7OAsZM9e00{?F><&oBb*-*AXySMFF@yo>fhC7X_)7~p8rxJCp zoq!UIRLM*^M!(d_A8FcDTx<8CYR~wEpjNhm_7su-A+R!J2rAy;jY>5Y;C{ch@MfM( zrnN}yjcu6jqrh^PHIf#@nwpd0nUZF_%#e{_{y{Jvps2HYhh>Vt)FV3B?QfKs=0-+Ifsuj{yvf7;H|ibrsnaZO{giKWdE-u z{Z$}vpe&E+o_=m-REn6LTJJl~_hK&=F*1%i4zoY6c0~yc6@0vOI4aw|cn$Js3{I5_ zG>Q7J@&5r9LFvA-v)b>0;7_-vRoiohOR+++=lPXPKx zJ65$=%J_OlJy7%k6}hj7vOZ>ZAC88saRuYEmdILQr5MWis^LHUfF8>CkaDKYsRW4= zC`Ky#4M#}Y3NCBYh9A2^Y-M{Xn;v@TAr;P3SXonNRop~z6(gPfj?*P=r61}UQ2$aP z3dtYfD>yi1T0IgpOQ1a6^QdTeQQgZvUIZRoN@#CQ7#_ z$(X`U4y+qE+m}zv3wbNV-5wXSj`aa$Gj8cK+ML?}=T-U^XI0A&NfDw}MVT(@dt?m<5=&6^LIYT;SOr1hmvt(AbY}u4C zZE9smlT!H$J)|;i2C2i>N7Ut;v#Qi%g2}U|-0vCf_iV=RY+19o@0rrsdt3Agm1sRg z&F39bchgP%1G*_}<~F_FxU=#VDy$6YQ!7)3v{uJ#zp8TtFKzCYJzdZkN|DOz@3f}Z zGTU#i4}$ubPjqB%8=HLcH*_*(wrA4a7%!=R;N5xHy@}YV-))QPCfl+9oToCr(Ra)9I4VNcgjr4 zkv5Z3=QnvsKo>HAfB=HplEhHV93}%^4FrG@B$n9#8H4V_Y2EUZgeFm@4w;mEO=fbDTW|?v@Po%G z&*Vhhs&SR|jjSqM%;bvcqg?57DPiJ-ijmTON1yuv0LT{8rwJ=1RIcZ9IhlKZq5GA} zWQ>z>=sRD&nchm@I=u?_D6A*Kp3qYzpVA`_Jfgb`-K|8=Ch~B6-RSQl1>{$Xw^FFU z69x3}y$>s2k$j5(lF2x5BI6_TOkSrbZTL@GTMHId{>=H6BB{+;kfX>@0&IBB+KHUz zCd!yk##Bg#fPO?D!E^gPUAuI;zt{aL(y@qgznNQ!a#}snMZVlmXu!Our1e?-n99=0 zWPUH3ue5$p*j|rP6RNn43zqy^RX6|J?NYFfiGIz}E4id~#A&U|hOzh3asDA^(%cJQEOpRsDkQr31^^fWP@}<1c1Kdyi@vj~J(naoz?0Jz`D+9-p+&`Z8s~ zl)9(pJxW23SCT4Yp)AUg6J44|Ic}*-4Uj9y5agDx z>mqdDUkyHegJ3xutxJ`~>@IX^mdu&-=|x9_@J&s1xnIv=f7 zCk|ELYx;Dz)OqPiRqXk$9(d+uWilHtgX!I@rh_Aw8^KS;5W0mi>V}$1!C(xh_aL}FLFrLs|!Md8y$aRbiJ`QC;*Xhy#&<5rOj1_cf z-aJ9N)PQFr^l;!=UzhSpU8!@bw8~|6Uar)cl`%&e#eKqTGTv$C``AsU-$K%weCKcy z0xXda$ZO;twgh&h>4Nx8<11}Dqt!l_3e+#4`=7sG58U&B?k{$~3REtjoUL*yMOD)w zJj-0%WN7xx*_|%EFR#hs)ah=v9npQv^RYEeW~42hR`)(~ufxw>EVp96W^&%wTLC*D zPW?E}CV8aWBPw32xSo9SNj+HbL1&xzK8Wra^rXq(q)n5mK(_*VwDY4XUb(m)f8=o$ zy0?(hJf6mDKlr}>GIqWD}uRG8hDG$E2Z2b$8FZJ=@V_Z0ZM6dpgL+$EM3>fPOYv zo2re;{M*@%=p*JLCX>^*PydhU>P*EmDRye>L-1)Q`jj~uftrlJAlorf!$i(!$Jvgx z&2}tgwqp|G6FLXo3cr0_YCI=slYV`;`@<^mP64INXZ(gw+_KEvkG}Eot$)(AOrv{y z*x2e~db_;o?Ym5G(?5PY0)6EId~Mt$YMMv|Iu>v@Wft#STu=0R!tK+#1AZ6-{=5zP z%!B+u$`E^x93(vfP1;iH2zSJHd2NrgUjcho{h81@6_Wb=xU) z+IQ-+)w73tm|fg_{$Z7DH(d7?d&=Q@wsaIa{C^DCcS)W+x$@=9r{>L@YyJB5f!&$^ zo5^Hcbo#fXK%YdX=oFoz)Bl%r=F%B=8wWvcIH__A0gj6}cXB%f&U6I5;Y5i;C4p|_ z<7me%fH+|K`P}NjjS=9JPmmx^pW6;^yD1;1NUq5DZ>?~*ZZNSnBZMHfXZt;?i7UL@ zjJSmYXFv?F2fIF~Aq$5%n%p>nb7%VI>D}TVfpj>P;<(C<6gajLus~Vdbj58D1h0VW z3=0er3{58aX2fu#)6;#Qc8;^O1$-O~U62DtVkCU(NuV4jxKSU10dYojfuXm+XOj$` z1PAls%)4~QQdN7mn&(^^*mO=%FM(SGByf@X`?qD_AR85ZoO-$ClR(BD$94p$%iXxb ztt~hSC#ahsVi6IiV-^^>d1A-WoAJNd^^H3XHR84s!>4Y=dK7bfhR1%oc=e*g$B~!5 zz~L7@Gp_u^&5_{Le!un&=i@hHL^wo`Tr~2g+akf|Miv~0-A$H^jlf1Y&H>hIdjm@~r@9^s(PcsYLIxJIoUtq1!SQOqj#4m=uD z39}|q(!|M?G+{C&O_EeelSV@XBr~3*Fy5p{p`&c1@O+KzsUo*IdAC?C1WzqDM-tI6;dGC8z z32mwv#~@LxSV|f%eh?&3q6CSo9HU2B2@@+x{DhHk?f~K^u<@42)$68u-YG=xm)r4> zauOx>$^;SxJ`-5osmo3Ei&VG7_8fSAz4^}bomNSF*B}-#DofG)F zMr_&u{>IDig~0RZP);*L&#v9KR_Cvt*Nksx=#{~*IERc%<0?5lKpiHppX`FUlQvAM zlEX{t>+iqTg=-gFP|2%9UUm2cqb%FC%+Z;;VXD)O;FlOyLJPMqbokun_}Y-y9DeyR z<+Wtz5=Vdj*7@$XE_Zts3xwz^>S^^w=5q@+K_sj8ta5ZXCC;bgPKl%3;5OC@ahs8xJYID|RW04Q)Z^i{1$u4pYu0gwtT$6q{D6J%TZmqOrowR)8ui=}(=Q4u&*6P}#_1d}gdrew>O1(Gy zs8JiPXwB+_x=wK5GH3+R@t<9~URtz9R~N3)jzwEEY1t8lueqWT+ke*TV?XQK)t{ta z3~WxQ9|OSmpMKKO^FL|k4?n5Tw?ApbC-Lc@SXfiR|3QW3H)9A zjhDu=dEa>W&|Pbjltafontvwo{J~?=`fY)JvHAiFZ47+5N?KrLt+V={_JTWioZPLJ zQ(KwMna+FvO=hHRn%3!2^oG0X(bvEB8;8X(&tA80otvjel@6Xc=mOf2rQEK_Jf7R4 z&s{#}>bGz;4U^Z zL4rkl6!mOPbWN&UU0P&?~0f zXb*h_pWzp>-sx5N7{GC#)`!@*l*>(+*uL1nY~VRN3cUdydIKF`G+0xhUD(L_q|>vHc02#h&Mo9PkOO1t0p9 z#d?IFkG)Mkwj%i)(nK&K{DVIEtUHiTpf35e3to|rjwGM{A-~_ee(ul$@|#U+rtL>9 z|D2ako6wO2!m@rsKK3wmC!gR}=&&Y1yYjWq=lD)O>oDZA2I1#3Uu4~eeAaPT=ON&i z`Omy9^KKgd(J4Abr|9&*mb~4z|3(Tl`9GBwFJ7z)6)GrULYs3K3xIg>;zdI={Le$2 z*f*Tz)91*m*PC=#mj(MZYM(UL%%_1nrRGz&xP$akq{|qA8#iv8DCzwZ5I1IA#f=eH z@e;;UqC$z3ymE3SZPoN@oz_Bq1KTgItO7wgpC99Xr1)s!g5znomxxf`1UxRRYHg3+iO8k0a*S^G| zi50h5TyG7H+bL)hco}0T;p+*NqDcy+3QMJw%~HC(ri6_XDt065Uu+rz@^G*OKbP59 zZzWR7<|&mbG?jZUX~U#S&^UoRIM3NX+&&c?lxPquSu7=dIH8hPGk&*7u7sfpJ$(4= zI|K*e6Ntf08OduWSF)DLTw7fb9l;DZQ{n`SbMYgA{%BxO-+=D~8ssoAoH#M!C`pVY zN|7jqlH^IExGx2G06xK)*n!+?83;^>7bBjM#z^V{RuUITtT?Zm?aJCC_>@oka55#h z*6Qi-V?UutRD#d6S-~ z(AeJHG!eK_>ZaR`q2N9ahB!7JW7*h@Wn+}jI04$hH2BWA6j3ID? zX$OPx$JHxl5cqZU>k!qmBE<;QGd{cE}@UTxE7PuaUpP$d14#oqIhCOhiHQ{p#FkL594 z5I>nK)9=rvZ~3HtcA&;(18A89TeRQ zp6R6655`u~>PelhOV!e3V&fDppuovzRHNlGLc9W+exlDGJurkqG4GjpKW1orx3Q`ykY)+COhVdY_>6A34lZzx&%(5nn z2?#*nA#d4#BM{Kq7Ar<9C5mA>Ij;3XM&sGzrh_UPePlAam-?cg31~Ha72D)8Iwg7h z&bkH-VgV4|50?e;`T^+200=hcRiyjX`8iy!OGaGw04&uBNM=`Tm9fRjafuIp? znsNar%nzXJmqlBGcoPykMDg>*SF&=}?qJVh$^CWvwj(Z>dn zanU=mZCnQHQm2dTx5#=jSXcSaN0bBoK;G{iL(oH~`}BQq^|CtMq)QFR_v;Ylvw`0N zZH^ma_Nl#l@l(cAlBY~=)-gG2^Zocm%r1;??=1mz$ZmV5V^uJFs<6qVRaEcjD zCBrc}kNps@fsKz6CexVT(;oznn>>hHE3Oh%FdMS4$-ZPJ%R+9fO9exm>?Vs}^K8c? zVP-dBJ0dd(CiL~Q*^UWbOYke(F>V9HMYgaHMgU*Hb_~fGqC{oPb~HSP-!!GO9b-2z z-Gy#K<|0F}!EH>(DiBLaDkX7xG}zXR-#EStAdJw}yaz$LI%TNg2Xr+D&BSYL^NQcF zt7B%4sW>lNf7tvZ&f9UkgL+Jl`1;G~quj=W7X!87YJ1Ib-+0lCqVF76vWYyz(t%O35>+S76Sk$T%06vR&>T% z=@@Qt4aZC9gHIiB#t+7KH(3VoSyc@Ghg;edoVe`)XHkM% zoC7Mxt{H3?%-pa@U8obhf`7sEapt70zJn_cx;O&|C!Adg_#_y{IiunbYxF4#2U-FT zgVWXPSM~1lclAuaXT0E+06zT3ffk41;Dkdj&Z0PTa!W|H3DukvW^e%F^`EZ0n^vCd z|D3D8GhmG-4z~2y>`k+E`qJqDwig`9O)D=9c){@=$6LzBX%;6^0zq(u=JpqyK&gYD zuKjq;@e_w$XMkb+mNbLTXb>XJtxlWNGU0S6}Ykh5XOp!9R5~oP5Lz9-__~-Y{O*}N( zJ|D?TWqrx-DeO7G$IWPX)W_PFJ~I%gTSS?(D^O?trfi;tUVzUxfy0l254UP>L|d%> z39KD)4N@dmhQg_pv4ZinMLH#Ikxa4b#_~3)Sn#q>WCrq@lU0S?HVueX2g zWC#ZYa3FxwbvSXbK!v>U9X9R|+yayT42!AAIHUFYkk?&M9fuGg!(A|Wg%~c_V)};Z zPF_w~KgG!_bl=;f-gd!q)QuZ^-9ZC}kL;YbVVc9|lVCOQ-x~Rr3##)29DMo&sZUuq z#on*a+t+9vEb6OiW-3wh{Sc+GR@Xu$FbCY|~1O!w^a zoy%Q74tfeb7ZKoNWw_@IpWxOR8)rCtf>8)&_!U0miciC*pCkI3g-rUoTnu+G!=yEn zTwgQqakqxG&+q0^5p86_6rR{8efsgLk6m9AH0s|n7J(1_K$++)#_jCQvt6(Tyk!2s zZx42R(CIwNCD4(e9fC_;9eEyrPn2tIW^DNQ%uUdL9Egy#VHEWQzeiDbQycj71!I(( zUEvAu74MfDE4+X9EMti=L}G4QYIrGEmx3J&x-rUpAUgeyL@?O3>(b>b(#6X*K?Usl zUj>)1di1Z@=ZQ1Yc2jr+_${${>rw(BH~b2IiTG}F=Wh&e<$9f1{k>+aJ*i&nuW9(Y zi(0wrfUYhlkkWp4ft0`T?8@z$x$>xbuf3vS-&^rE^|YGZG99+6PTTvldTqF_5#L?c z+T%YtHU+0hfByA}0tuRgfAI0DjcWoPPuW~{$KD&ks9)RsoZ!TbHla9j!o$08N!q#3 z3q)P8Qd+pm8ZoU}S`+3nSL z-g4>76<)moz7yoRcAK@$3#8n2dXHL9Z|B)l$Wb=;q&BbAIFxH$?`QMbITW0e$IY zIx^ep%^U&U8yTPAP5(e6ClkT9_8}vokNo`cryD%yFqnDY&2xt`IN9jqn=F66|MPC1 z5n1k`BREipx;uQc>(DvaWbi6Dh?0bzhrNg0<>O-yawq`@4n+=7#g4?Dbolg-^$9jV z!G&xVJN-$2p&RTwe8GlheSrW+cu9S*ap?=z2(W#zf!+E5^Cf$Z{z3%;RIvoe0S(OH!fMr zpiLY~MBRLR0xyH}H}2o)@}ckNqtnU9wj`hwUcz7US%+aghIJX2Z+(L;y?+1ti29(H znZpv;$@)uhioS(1Iz^}G^uLw@+im}e6ln5)Dt-U`_ZmHVwCdEU;{qzHRH>p`wQ5B} zH2lv)%^EdSrBX#zd8d+^w`!{~Q@_-r1J|_Nrry&pNW*c?S$Ul$f1|}y{u|utEow!CTh~Si7LER zLHQrf?>V)mFu*a7Ac_a#>+uGUtJb($s`5b42a&nk7I2`X?%-0VoH3N^m0T*-rIb79t@QZP%GEiSw;qXu zJ#{hsOwVRgneJuOW@;OCncGFJr?z%>)iVR1akn!$y9nn`oJF(5P@XsP=$!%YsQrxg z>O7~jLMMm1J+(*sKI#HNoc)B;B*6^aLWR?9db2GHC(|7wt#*@iS^vtklT)>N4 zrz~nfASi7G-`bt-(R6w}>~*#LsHN(BTF2ec;cV!a4d|a-)*ttTDCSEs6;jpu1cy?7 zXDyR}LT+&}oZJuOR{2up6;>}y6&qGm&KfyyI9+0!WAo9M1&v;=5EU$1P}Sb9rmzNK zD&3&8(pO9G(FB*hQtWyHQE8v?q+pSPs#(6KLK}tZmHMx^06}hH%-21i%1tPz>SL}<>;kd% zbp5B*{DbDI_h~&n*z-YeU4i<*bLz+~8sHoKtWRWBnYLvVHYrTiKB%UT4|358*jHg1i3aMJHYHHuKy?PGpsji=QRl^S& zy73?XJ{$AgYQn8w^aXpm@Y>pk9q!gB=vyEV6q2k;A{8y2PnBMJP7PmqOAX$xtlBk9 zu9%*!W$*T{-u>E^SJ!^4S68*_*Ylv>-vkl#tt`rD*n_s#I3xil#HFS9dz2MwNF|qjE*nZPG$vV`rLf zIi>EaE~xeU3sk>lyTI@0ml_eesjBIw7OmT9+_bN>=-_oNXRY*-H0*%srmv5xMYnOP zTe7^WKl_|&lq#iK_M96-QT5ra#!y}R+sChM!1H{s_>OA5QChWLeBJxxZ}NGrL4A)- z<*KSx>MhlJt)yy|e%t=G`60CU%^y#{cMO5cJ5b%)Qof>Um3ULNUMb-L<-BU}@*Ar4 zlGW?Q*Hr8IS5@l;gV(J6;G>`6L%9lGy?xwQ?Rn~0wVJD6O?%&JmMW*3uas1ynibV{ zNHevW+(u>lms9pvb9nO3WUzauP4DDwkk`rJR`pw{eC_heUM;(Or!%+DtT)EI;nx2i zYx0;Ez-D?N&LeSDykl|IeZQ{4MujP~ZK!I#Q&W#V{ix#SHJL#$I{_l-UMna6+xb;t zUU5WCXW8>+tEJw zaaRl_&YM_I7JpKWt2S2CMom?`ZgIt{9Pfs{1JDx$HP~E-0Q;9-cuCD`Hdlj24OFCd z5l>G0_~=vu;R#%|{>W4?lS;i>N}+YE-x}7`J+&@5Sbh`ST zhVLkM&D?H$q;Hd6FOPiL9iaYtr`MJJCF2eK$$W=-19O2KHWwhk65bNLL%#&pWNE*f zBamn9j+ZZ<9W!$U7L!}(#i1`Mal^!(jsvJ4&k}55Z=)cCW; zdZPamic=?!r>D@R@RWW+_nJPBpEJH5d-5?gtlCgr+jmv?m~eIYyn`xFtgL*U@_BV) zZNwQ{Ibtx`@`ckk9+ zJwEK=#?TvG-cW|(HfQta81ys!M|*6nvR8~Qjo7aZ1ck(@9!vSkX0g6{O!ZzWrG}*| zsZJG>xlWD*WLab&Ke8PByC5n#8x3a5RCBtN&!*i6sq4HQ>i@mebJ=mV9Q?l7ICWIL zQhBFyfAws&D$X8*pUwJ=QRn%)T@Yr6nVZ$P+dz};CKv5@XoFw1@;j!B%d1|4hU!1= zBhB7&R4Xn@^Gu(PKQ8s!@S|FfoTCPn8>q&!FR1#fuc~HcEBE(|A=l6LcQ8JB3>sBU zPojH#Jyf>5r=!3}m+~xszg^DLW9YmJ0bA48*={^R|96ZbSAT<=_Pvs|r?ly6^e4KM zPxL9@eO-zkMW3QG-?F+?v-b!(JX@;F4P1Vwj?4*YuR+bSl}wkGQr)s|DXd$4wg0q@ zYQI}Yg{#?IpLsg^6q#i2bJ}`o^-9B6)S^KP)vH@ig{v1<>}s)-d`U z*(~#J8RhAc$J0mX7jE_o*p6>@do#jzY&)&3lhKd$eas!ib=DM!neXMK}K_)u)uQmo)ui`Yz)=8J#|ARj-vQHLP@d+tF;n zs&7|yw&R-(-n?Nu`uNyt@Xz#l+}v?hb>F)b+}3QqFa8Cu12T8 zZ{u~`9C20r>Edcyy{Q^EX{@IjKBdI9Ovf>2p+4{q-AkY*>llnJ_-_2jTs*T%w=b=* zPs3FG!|I;x=-a;7ngIHabrI{Qyf4_iuDi|aK5wN86DlZMn`~Yg;8Q>NM7ymWF>}Y% zeI@TxjRD@=rPP>GO55D*MR2GSZAK@uCcrqew&Z^>zbc!3*P(d_g%1i>*H6rL{IsDS z>-U(ahj|X$7qGf<5NnP1YN*qUPHx_Yj;}bZq6*h2tV9nd^2Q8@2w9s_)=sIXYd@_< zbsDKln=a}(rl&eg>ELYf0&f@a^eFn2IR*AF^+bQ$yKs{(4T6j{GV0ly&#Gpvnrc|9 zq3YE#xf5BgL_;+Equ|-k==?ejo2bRGN$R@vgof>tre2ViU6B?a`cdO&eyx^mJD9$& zWcIV^e{^b;^!|6Cvdu%-d#PW)zTSQJUH$OG4}sm8|C=eW-4>nx4Jptk(J4Abr|9&L zq^JTZ3FIU2t;@_V&QX+wNp4hVGsTQI%j0GSf)EKBBzTeA{s=hyqxm>7vM9yx+aAK)jBn-1TM z9-KBI%@GKce|AfHl_YHCUCwjJF2X^DF!E6KY>r+kv}#WV>qi~_~UHN-voO` zb-NADwX_QdUT&Y^=1-i7S-7RnjD6adl#`)q$5z2E_o@2Cn(8>EoqB%UNo3h1agF@EQ#i-ZV_%UAii~w~cS0S1*N!hyVA0w)ZjU-b3N7+A6$mV})0(qwp%m zr)qT-Ui~iuX!&$&)>nA5P=$ByV&xm(DL*RyTV5~nd-ha#x6bO`v8~#+3RBgFE%ki0 z7AjsXRAn2tSF29l)W_)b>tnK^SKwL0@7blJ!ut(W-$@HKVC^{#G~1)!9;w$hspsko z>N#_*!biPle9)^ zRS)WJ<@D{VUj6#IPoBLc{N6F<_e&3}Tbp(YuiwPu-#axGUfITRje0hQTPnO$XOAxB z_px%|l^K!YjhcCU_WQ6-Lxs0!rSPuZj3iIKHD4r8aB0e#@?4E zEfn4{P*!kT_yqU2+Uw)Ey0uq$1EX2Z+EUTlQNf^QJq_sIRzp7Rt8TNqtK`@>m8w~4 zZ$pU9AkzZ`GY~L_3_y+%NJwxQi$2I_7FW?LC5M%CGRQ3!@jMGgh7;9d(Qm;`fs`!p zA>S%`w=*IC(Y4574hLYNji5k+F3=swK4dj|6X^a$ccb*mNlafP4pvmZ;p7=;nQB~IePJEr^j8nEC_>3e;7XHK#Mvs zmI?NxT=LQ9w3m4dV}iw2#_i(o7du_dZCun1eh~yiaBy@W(<4E7oRz5_b3`8yIMyQ*Q^e%6YUzb}w-&9PqxQvU7fr=PsQQ`$nm z0FimP$N0DRu(k1swBLYFZkz;n^><$2B7u<`Y?1*!{Y=ng_d%?SX@_U<;!J%{JjZ=oxA7-Vs5p$x=)k9Ndh$kw`u+|Z@GS%yUglqbqrh{fArD`b(-DP zvyISozU)R8BP&fV5|oDy#)cZbWVExX{6sJsG75Qu4nQ{7Hrs~Vbg`k(Z`{ZjRpJI_ zYz$;Jw6P1Y2hiu(3)l_8WoYBC-h z$+yk0o%}?=AU9W{`_eW`dlNo3(TA%(biwa_;?M(RF8U?dmg75l{05Gn_)eM7a`HR~ zAD*GF2*&plb|d)c9j9BtH#tt=J~EuZJ%Zca+=9BYi3d+irgPgR{6rt2Z-VVx0NWQE z*v*xUE_w|I7;N9j_Ar2M<6tE>AFy$O&Y<70!I*2H)4^x$0DSt8phBl}t!~UEBIEPv ze@Dmrf1`)E!IH56bePt`>A1fdAN>nH-^qig)X&ey&c0>7%|#>fr~EpfH5SG;eB(X9 zp6)uUt2;dC^0mu>9`;gnAZ2ulPSNRqEd{pQ{u3$C!P z5Cxro6n5=)@8gLRC#=pF+%4?gCwEtl+GbA>WQdbs#lSiyfs@z+*mBI<30}jYlyxNX zaNfho-5^D!6skJBsyp;-=h2<+z2CEAkG@#&g{t+grWEBHYbjL z)E#{L`4Lz%yRm!3*HL*!e|$y8KgTlU@1oicVZS;SQ-GIPTsq z_j+r<1kV|MyfX1ru3tIL*)Yc)L<&C7E#9$MEk19doNWS*rtpQj`@DJHY@Oi|b|2fVZ+`ejRo<)Otuaz}=-M+O zZ(9FwBNlBUD1hH_6s4aCE;X9PLyPOvFFw`&@Aqrk;%R!e=d+$YMW51#;KOgD8M966twYTo7f0x&nINs7$+Du;=z?*g-w$sMF8@1uU1{ai=v1MRi zkl+t{CTFdj>NBN}8&|9LtWu4MHN5u1YwAZkXcz4^DB7Z^#(y>59hURuwl7t3cuBAR zl7D0qU=Oe-@cDN_pbWp<;JW;WVV}u=^uW&Dd-T=L(;991fk4XHXQV@>H_#uKum7Y& zhmY7?X{UDX*kRA@ayn!G54&{0V9Tz(n!e?v`fs?ZJ{zv-v+qvp+nxI(e*fVIudE2& zbnu`qUcTyRvgxJ+1{;3V>^a|Q+sCtX;PdI)zjV2NpuBzi?r;o6(Z}TRJb$lQ zuiZ1|Y4=A{?Q_0%uifC~A3Wdz-&d{E?&*f}@igt8y+FG+Z1QOArw)H$41vcx0BrwW zyO*xi?kTgi`-3Uk{r+UDiOMHRbCm zPPTsf<)?b2^CRxPexmOanzVkB^N~QmWvP|L>4AHi-lMT!k9F&pmo8k=@dL-*Z6Y1V zcGTTG~y|zk1$%XAPF%NNyc;x&wTxOR>;m8a02E^Y6I)<7J(>e8%bOK??@yvEC+gk$31R zbOo}PdfBsa-;C?@@PbVXJl~KN@9N?3hdmiheZZ$2+Jd}9Hv-TD2l;P(lBQxBg$@hV z#&sLD&HA$aN9~oWVJfdobPu@5VQ3kCt{S-%J|SG+Z~k6uH>_2?G4&L?W^4~1Jx*Eh z8(y0ZD%7BmMot>3J=^wZ(W*syd(hh+P4LlGzV1cGS-a3d6Q@n|boHWXD&D=g8y`i( zi|YMV?|ZyoK1t7adfsaz&(Wt=KW^TPSt6!aSK1d?cXs=fv>RZaWcrI6Q|peXt5rKz zY1fHe>OHTw=XXTCd_7M+p=J0Ps$@{R587$-zRlWvc(V%tO4=}~$7^ul6=l+|rcYB> zNUfGbTWZ6)4Z3pdirc(7ec`m`Zkg-$iQR$p;G;{824%A*&ph<cf&BMOUw%46yT4wh z-P^W%byywH^XO1?DLVD@FSL6Z?XZ48=hCK0v$SvWY@PXbtInQ2uBE%aQH@cx74NNh z-aE~^ZL%|8n|$gwwV!rw-KiC8R;cpO%I-$RtgW)D=e(Z!{@C}t2c;~RQtd~#*H#-x-){O=Vef}2ZIiTK{n1xEgRX-< zeUGj{pW5>ewRlM5z8dFzM_HFBHKLSPAM_oz8SSR8D9d>He23>X`J2g32Tk5E*>uf8=oVRM&p%f|^nW%oP%LY-(o{lj>-@rF(Q#aCbG^#0SH z?Re#izCHM@I?U+c?E(9Bu{K~U;?Kso;s9XA+5Xe}HT&DysyU{n($+Q}&|b!Y@hewo zE~nc!ZrG^HM@^!kt1q6`oNwo-OrJ6y9&=d68TEpH@Y>giH{5)IMt4*~YGZ+mawy;pN~oYe@ky@&0QzC0)4@qvAO@ya!`mk)XR z-t6b7X#KANdoJv~?6LX9@#DvJ^=cG8MgNCVV7o0k{TouCPoh(FicZn#|7*fYjvE2} zTQP9D#}OTeLyR$uLmcf0m>{qKr$_R+b-~Za;T;D@95Kn~rX>P02=4In3AiKJ1m}7J z5TJvTC<|OT^SQten|u?Pf>S?q-R%-gpaC;{FxZ~$|Ewl{GtmX}5fF!A=>iHdC@?UX zjQjWvk~+hKwy;p>CvG2ExNV_Zcq72g8BAs{aO(yOY1Az^I1l_q-!F2GmhJ|N06xyL zPxO951HT&RoMQb%fGQ6D7#(hb7ko2ja46+=Cjuvfo&32G1o{LKMBtk-#-bn_Z8-bx zIJP5z?a^PlW2vf7sP5eY5}@w~@v*oZ9QenrkQhIlZtE7P1NhufLj4KGxP1MF^CbAt z$9dQ-OoDHQ4)~AvdfWv?PzOIz7WgtEVZtVc2DTzvk@`M{Ijv)uTFv#=`*1JWR7 zt(eZ?df3;)ZYqoM&n=gHQfC${a4cmU;*g7jDgmZZCHfJ3oR1m9j5)?R+8P=jMnr3-MLG2cHL&3J#<88 z&;JJh$#eNB!SfXHpT9k_9M zQD;wC8Q<^L*~P1@Ul!=>Q=`DYWVHE=TsO+)x6@~IcKa@!U1;Os3+umc*6HlN1NMxylX~$P{G4lN5Fdhn z^V>q*cKUS-YRl|J`f;<#^>deX?80#kUp89zb-CZuGc0_uLsYLd#+Yk}Xzo%3?0XZDJ1BFDnv0x{|Uk zT)E)NdTHQG9zMF3I{rWQ&I7)xBKzYs>Ae@huB)rA-L>qluDy3u6a=J&77|*3&>s*$IT37cjP8+2+Ll>u* zqpg9p!`TSu56%GGyh%CS2+FOO#%rjTbqYOeQRf$4h_fNLIMPn)dO)~Yu7dfloXaqgwaU-e;goE|^^f=T)&+V+-AkF8KgLP~fM+CwMYn7gN z35O!a$KCA8-<@F*Jeu3yaO7oN?(B7^^_jG8-@4E(a1lq!|7YR`$}PJ@=CAY|-EeTj z`Hou-{~LL>mPNTZ^(|MXhhrT#EaE80O^4i|c&>a(GxK@+l%A_j$;hpz&AD;Nn$xmy z?`b)C{LJq^^z1%;cGT8W-m~kzm4*AimlJ3%&yn^W`vAm1JHMxl+VP#yzp?gPS&;g@ zocsa$pCobnB#x#F^^m}glHA(J+$>!uc6%hZhkozha)`rI)=|&FlA9{0JBLMmZqXXg zxzgzWg<}+Ra_F$gTIGMt7dMCEpy}%QcM`Xd@+|G8EVs7Yr#VtC@*F9-r4mO<|9HOl zNUC%k+f^#*o@4t23K#YlGW)XbnK%-1!vi-Xf-_v=JP)q8vHOk2huNRlQ^BhQ@FCdC z58XtG0~&h~`)rW61@VGQeCH-WbN*%@1l#CdUR*INPLvC`FSJ|iT>{&HTW}~eoC`)# zdiH2=3w$m}&wh>5Jx+>2diXJziak5nca)ttm4Y7)Q!;K!4`y||CwS8(c#b_2d}lXT z`t+Pzz=7a9aFt8oMQ|fLK1dJ#!9kB35WznL!wD(mI5R1K;C5&n;wTj4Ynu95{~~kB2s7ap=2u)xdUs1AU_*ZHyS;%1J)Zj6=z$c*R_HZC-m?_97r(& zLoR|-CpVSz8^L$DEz^w~WjOi``!-nlj1O($??eUOkmCKFap3RBSHPdhTPUBu(ftbuWtKYB)`kQtMt8|MNjG3{`m3ZksQgt zxr|Yp<>SZX+hd32#Nl+=zW0E9wK7Gz&e$it=I)nCn=|C#;bZd8(NTF1h=)yUi(% zgpnh%L&Htb(+22~!THZtf2X?L=uTR`Yq>l(^f^!FrFaEgnx}CddFsulWWw4B3bnIi zX5?&l^t$7WybRrAi=tBZle)5Cd-k9{$gseP7Ss*-pf5`dj!m`p)g)$L-{DSc~UC6l)VGA(R2~?i|odo@ze3RH2Qq$(Z7N0963s4(I$N%(&S{;Q91tYX~q4@ zZ}t5?{tMV=A~}2hPWOM&b(MAWxXjs+DX%R!Af2Y~laH3B$hzJ8GKwzJHfLjkIO$#9hZYyCuG{Llk)ni)6#e4X&JZUlccu@qPQ{_({JEK69$`hce}}{>hn# z<@l!Ua(vbjIX-@tmnY5f>T-Fa{(qeR8||PyN3~r%yJ4#wpT0njkDVdM$4r;w6J~q; zpkK$du7ii<_=@#%eDXZ`Zoz8N4NSh(cF)*8M_&D`fmCW+$=jRQ$MoHObkL(RZSyqI z8Ig$_Cd%LX{>|QNbR`xX>-2FMyJoE1`})07rd1hvV(1gbo3iaOnm$|hncR|ei?=7i z`?Ty!J6&pfXyyq|DEr0YFT5aR=io=|xr1g7GI=2~MuNpz@JreXzteq&TPJ&r?_mc2 z!Gwbbw+EX3hdq%!TKjo@&+BE#tRZsn2%#j-BzSMgZpOFsfCt>w|1SA#$!BK;1w&W$ z*r3O}{?Q)B5Prx1wO#p}=9fAj*D)-K67l_BrVe^36L_-D_42wnm$b;DD%?8`b|CIe>;l(Z9RvhT<~=`*E|$&yX~ z=ja^|(zf2z>n8bR=_hjd+rzTy;3kP18RrGdbLG1B=x2$T5>oxW>N0(+t}}S==BaYu zYo6ZkuStKkK;hURP2V(K9vh-#L*M*!QRsZ8Id@cUyVV;$f}I#LRCK>a2fb$aQOvLy zb2frM5;z_nXLd@o3tGmSwWH%yIj*wwn9xJ^WbCmZgPe7cw=$oM73+Wi7$u* z$jwPN%Yc~!Wbe`J_BiNyk!zb=mwDB-i%uM!FZNKJ0fTAj+@(_UshVGd_>}-R3kf-j@CINRdW`q;B^| zWZpmC5}B#z#Z4*lZ8~;Mx`)_4#NHOR&qVV7JfG5eftT{RJ|#;&Se_;wr>Drkh5KdU zu1q6hXB+D1$*2#`>^X0qg^W~pwH_A!)18WF=>OcE~Ih>c09WPEx zm*Xpy*G!ow$7e2*H#^vbgl9ufifDxYW0^ge^Ulnfu`w`s~Z*KLvG8JXU*JCo)3Jms(a4lf<6G&8gx z@aMx>USIe=eW9GvzsvSi1yl3KDxH*azF0AH%EB@r8JG5Ld$&X`k+cf9d-da{z>wq5}mJ=ZaE( zo_M`{vEmEkN6V6z*%*hgBbhxEo~wMqVaItp=NX2Yv1NwchM5gJIv%9-j7Q_I8q2x^ z>uet%xoV_5FyH}Cr^vqS>VOC7Gt40}gq??X%Au2o%mER-Y&Kr)@9*a|IZtlweXG2; z=sh`f>X7Wp+-0|bS|D7?QyTaJJhD*JLf*RKS=y$*-PZdy`Eu!(rk_Q3hwU>uW~;;T zNBYftDIb3LjfZ9OhRMc*7H?l{b~*6gP#>UYthMfZP4Y?24{OSzox1jQys<;V&IX+< z*c9GK+X^%;U~7zXT8rT=WZB+j{)~DFeI)^1&2E)Gp@-GF(4`X8m39YHT2`9$7}GA2+)!#^BGxP1ddJ_MxKcfH=6{etxN_ioplVIfgVRwu8v@^R2W2#bN= zj)Oa7#qJeW4hMW^IB6hG56}D!g_cD$<1qcKce_&eZ@QadBu0k-KiUgO0Elr5Bu0Y< zE|eayMfpVk2__6#F-!%N+Km|i00oX5=5aV#xqGEg=_Q1MfKm)c%^A_Br(PUN=|?bO zpo?KD2Y&-_zEGI&fFYa-f<~bL9~=}h@(j^y`3UMT#3d47FhP$|=-qwp_HIA%=>a0# zriCIdXe4~{^hpyaB3LwM7{=PCM-YvGnLfINU=@c?1o391>eFM8j6*373^;GN1Tf?l zD~vV)h8Vtb+i6g^0I=t_OPoxYE5IEB3Z4Ow(`G;%H+G^B4sQ?R3BWaQrES3p11A`6 zL<2P9aOo1tYn(5*9mW8+FBm|9h{FXA8Nu6Of{A)r|0u6fkTRZ>kCK(O%(@HSL_^y! zti{2kNaG@A2+jJzxfVcrN&8E@^{8MvpMuzH+W$e?53VPRMtme$lV-}Xu`}erunCef zW~!ttTrMd)c1cRw0nKTWlA0b`fug0{BlQ6mXvu*BxUP%N!h>Ot3QW6@7udqbF%E;uK-B_gslP1 z%k}FAx-1547WsPHx3V_*kQ_+eC+VqsWq-;(N!4f552Q*)+J4!Yyidk2-zEtY)=IPC zYo+P9-O_IE5$U(;w2a(*OxEr>C~5laq5b=0Kl8269@KIuYxlnWGGpgac}wdVxJ_hy zx`?}12>*yf85y!~-#*!^ZA{V6@b;gT!{^xLoM;a)F1jvt3{qCDla$G`bzXEnJ{uz` zUwk7eqjU`CE|!!n+dSHgbV*4+=+UoM`YCgC{&dVg_vuGYl9V}%BxUnf9doUdviba> zdRMR>X6UmMC1u8ZN!i4+`ujni)z9!}&y_=E>KLtF@3+nG%jaHS4li9JC)3hp-{BMm z#b3$u@4VnCtPo<=@b*t`k792i;n2YCeucb>f#<939NrgY*tCop3l`wub#r)*KX z5PJE-V5^6}I{~Wx4JSMHG4@vs=h-(+00zSfB$Ru+dd3EP7;hG{60iaA1L3aCx`+Ll+feY z0bjhL!xcHxYro-jIA|LEmO19QiE{!x`z(4LHxzzjR*o@x`u*s@N4>r(9XEjCsDO~! z_-EL&@a^Hth8s_rziqyqA>eE0s*7_35&ftOry0&Dj^2!1pl43`)HY@L}jNP{M%;fiV1%^HZq52tT3Me&3pKt2r4{{*s+b>_#xoZSdyMd%?Qp8OF(X z%wf$S491CxL_moeZ`ZIo=qKkbsCXVyjab+kty`i+j z;qa%(+)7AYC-gJzkavS2PII&U8w}^^x%R)6=PnnSwZtoTwK6a2`+uZlZT83={w zL#F-H`0tNNd-Yj&!{m^)r)6R4k8`B#9dM-lA^Lwi;rPfckjz7Hu0!*3N+dngyUi2F zNau*i7_QnRav*FB5oBg&d5)Be*LY5fI8x$#$^W@&^2gpTN8Q|dNnLYw{%FHtJ(GW{ z1Mp+YaD6+d?dK*++K!_n?Fl+jlKBm1+xe^H`&Ap|w4RUlr|*>s>nBO=kA0`^S3Khg z6q*={n!-hSEPFb}IzdN5_EmVrGee*8_CWSs-80$GaMS|}1swxPU}A6*j%njokF!8K z?15Kz^6+pFj|3A~1%8UNB|O5EL0S(14esxMzwLWLg(!+x_Fw`R*bP2yEz=J6ReR_Bcj`JTc_&8hNp$E@#>#rFk1?a(l z;6N~rON;|}@s;-ifq!)0W{#i-Cu5)sPUg_N#pd{r~JPd}F3ApW@iYd@~N6lzh(&gd1}SCkh& zeo@|9@Rp>X@Myse9Y%EUg6V(*kuACUk)>(954`b!EZXHcqoGG?`DIJ*9FM#b>_R&* zaD+Z8m(={Yrfg65g0pT)*(6WD@w6u&?DF=Pd*yTXWvBU^CQTDYlX~ZnQzm%H1@#T%UBkG#}Ai-kA4>yu09C z88B^tVIhLZm5nZInEA4fm)Y2MpVD21EEpp1E`Hb6&XaFFDWzJJGAwp)-+K)oy))+> z>qn_prM&i1pOcyTV^gkeIeGbmmqTNNEYa{B?P6|~rgHnrMl)pQkkEJ#Ob5N+mGM`4 zWx92sYxAN`7n#8-K~llG{U-G@15*OMvTo3SH~XTQH2JEDM30D;juSh|z_|nEqh%k- z*Q>s^8&5x+|DgpF0)J927#1uKrlTxw(Lz6sF|bS1zMLj^Uw60X_)$dj-*ZX9<^|=> zL3c{qac$-8g>TEJOFuPv0P+o-nR-m_AsxnbkPhE;u-m@SJ+tnz)-Yb0HE1pU zqGILEmThHd_nsat&+BJrZP4Y@A2aHr}J5?Ehr#(k}9AIu_luPc5|#&02any0r{yu9@%D=`#Os z-QH_6?c$u;shiTal0l7H$e<|A&Gb8MOw{?Ip1%EMP+S))Z*Zsf^2Ud~Wbl+h^7`Dt z(tplt(q&Q?bB;T|?fLfZUfKCdX*0Tw?J;rR#K~1%uCl-5AWx9b!P5uJ>(gJC_G8=I zp44(gOX>VgXWPHlr>^&R7?DxyN6FO*SKGdDUUS`R-?&%0Oza}7QqI`Ve7X2blXGU{ zM^1mEJo4hs7t03=Kk%tV-kSNAIfxtY?`jsd{>6d9wObwb~70+b55Je#+H>whCE{Dqu1xWZoCxU+vtl% za%udf)?S<=;)cbUjF+>=AG+$i(g*s5+?@n?-K5FvaX2M8M*+NN{Q zyb?4cVM2oG2a#nXgG4Wh+}+M4^qH*nlxS5#9vb|Rbp58Qtwr>C1a?5Cid+(zW%DnZ zOOr2~NV8$hr0v+YHm2__dC$ga)ap^D`y)`s4c%_=&S+XL-w7}nKPukJ=DdKTu}gba z?UAVJQIbEGt~2=Qwcc}oNc@A;`>LMtYaCU%F^;i$bM~8(G&M;&PUt8d#&(eQquQIi z|H0QDG#!Dh2fuDx2mTroortwSiR!j$ZuS*{p@|x}e>{0MiKH2BkQ~tku zQY8P^^BX!BZz-nYvs17B@>1)b#!H`3p4z&59~n4skn!3fIbg2AufL|e)Z;a;eKApz zX74jc%HA_~%7D+l(YaRMJ4kuJ8%odI!%LZe;|+b~_1+1R(7mff>Hhy{bLFRvI!T=d zanhqvtPEA2@uu?f!Tpp!7?1oB>&EDO-kB}y+T*vr{bW$PPBN&e@~IYWWKehIo0JJJ zWsTBDcxRmQCum~YdNj26$MExWWy;M@!d$0`9_dH=*qBQ!lk^HI0 zpG+TDHm0nd@84PUj`W|^-+~XI=OPFR_yIe|H)g&e-6nRE79(3o%!nA<57=XHMt5mT z<`${`VRdgG)&29D#A~GK@TT(3`fq#+F};ojl?Gcl?5O+3%_l?#8=eK&WL-13)xf3baW%DO4p0;;|?`8Cg> z@kj7#^nf8=9mLh_fqW|8xU$=o<}?Q{?L4NlT-{xHnf4F<$k@P_a7r9JbFkr_7v6e7 zE^B|8w}<-Y39#eMeQ%byv2pUr@=xUR<)2$n1+e4810MDQB*7Pb{#+osfZRRkZo`nD zuJ}|wUG}L3l|e6dSMR$#dtdkuJWJbItYtB|Z^(Vpd17b7P;bwB+ip^3f4{ZItx~X2 zLDSLQ((4v!I;^Sj+>e)kET6CXTzXIIE%yw#$9@OHJ<$IF<6X@@ZzeY+C_h4$fy{uu z==V!HUm^`ZZD`mPtchL|euwVQf=xh2KUfcnv9IlUt;BpCW8()sXDM`;tQk8~K}SEd z-+7wmksEv6C@sEfVF3w-&KPPzmEeJGhqX0qNifx>Uo@2_UpJB1QL)lvs^ZLrZ^}QG z|3gNs9w8%EjIfJm9vk?WH?P_rY@S|t`vse8^toW;FP3~E2ao%`4j^r8%&H(0(}^_Bc%@jt9x{ipVq#L0;^-tpt&C4Nl2wEDW0ITUjq zF&#I&lr`jdDc^Z7T3jx#_IOoZAN0DsKlFWh>kZwba@t!WIg)?I4E9C_+ke17X|89y z$D4PP`=dHZ&Dc&7-?@w7V{kIOC?wa-p`2e^?%%(^>0XBFSz^kRDP~vuTTZ5Ii=1R(lIZ~o*M8OBR!Z;D|S+rFS%78rtrwYi5H!E(3cN{Uhj_GQ~fCexWFyYWiU4y0%vfo`j zd8jEO;^0Xqdu2z?RA;po8C@-AVp$gLW*!JIFNW~cNR=AsP4(IFVg4Ep!yzHjsx zY`XGs{=jkK>!n|3iSMx`hx855UqXkh$tpuu5-Hn0{jK6MGBW5x+#1it}>0k{a5ao)h0hP8*F7DrKx zK3&2f73D7ms-}SS+XJA)xdMOr*8}$3 zjiha_n$osK4T*bM^YgDrT)id|*QTw+b?PW_9pfdgT|0@3i#wCsX>Q+M;^I4K*57}& zto2cEczb@TOkLzQZ6vO7Qyrf=68GFo68Ef*Q}vhsyV;fdVl5s2MiLj>((C6r`r9hD zg~YaKE}gn`l@ErGv*@oYPKr#`{K0;aK5Ip~j#@4q`V5w~ty)UknC9A#*7j_C`*zZ? zLpy2Jx~){N8zp~!s(PKzwd5E(Onwe%e{R9b7jvC+DRxAvYrXV&(_b@0~`>y6A@ zcR0sf8@>M4R@Z2gW)fGkZuWU$o*L;IZmsi1S)FwqQy%oqv@dn)>p1H=ch9^~Q{rB2 zAaOCVUVT4QFY`e;O?5n9c~#@qvOV5uwOVXs?^4hG|O&N*c3nxR~Ym7437hI%t_7l71uWl|gcIP*EC;O%G z7$?9(P*g9M8INRB#QhuDlz2G0@qZMF2<#B7p#*aZ9llea{)Q1hyowdzwG(Y!}l=gK!J->y+yf$9{$cV z+D>?Mh<>g|kD?U*XZ$9Np1(1UL3;Ll_@B`uOwECwvjKeD(X*e^)^Peu+FfG%JM?_= zf5s4pJ@#^*IV%EO+8|HAV)i@3TFWTMQoa)R{woihN!(`@uQAJ8-IMi2i-u&Ql9 z@oLIf=9IO=I#L<}OW+`jpcI8A=NZa@|8S0hp0f%!Q8IU&k#GWm?>;@`X)hX{((uW7 zi?bQe!`m4L=Eu%b+DB`<-+uTn0SOYuCs>`dpJ(9XRWJcuWekX{oJ)*(zkAs=}X5+-|@ZW z<@amJ6`ih>ybbc1(=|Z~zztwia3FgZ&id@3E)g-4vpr6U7GwrosXUN98s|v%o#5Hs zC8B;3of~Y)z6bBXSsxq#9>~eDZ05$9hLOQ@@FA2{?8O*?I1CfSRNxbM9DBbhEWw=G zHuijQ)2E9*mHmg$+`0lid<1-BiZghE(z~+-icOEU~cmOCmQDR>A`r&E^s!4&%wXQ80VlIWL|Kr zv~z{hGv>@M2C+HP4{z%k=fI0~o*b@5MgkqYl22%nMWAT3HR;pCcUf=9FM{+|zS6VK zxV_SNrP4bb9;DAvhN6Fim-8MVZ=oFIFRXdwD>>0~21VY&TIJng>=-M~vUX#^sqA~P zamt7zWh6yXB>hU0uWtKYB)`kQtF&Oj0%_8uiClc~#ZtU@aVcNEyj*a>1(6)dzpY%Y znpCTLzEnNGid3##S;|zXB*n{Bk`m=BOZm$Bcm4fBr6cF~{LhuEXs&pHRJ*8}l)J5* zl&n!wvD+2WdQ59svS*3xJhW4W&Km0J@{nP1?!`zB$35pnN%jp-?GyRe%emzIHs>3@ z2oLy?b}Y@D){I`CeeUh&>}JWVQ)e6z(R-nvGx-_vCFGTy(aGqL6rXTI)9fv?jUGG& zy&3)cc*xp{%#H6_PmOnL$odq|0fiu~7#*K=3@q z8vPzOUD@+)EOdUjRjOc3EeFM2E3quAozz^PV8~T zuIqlC-O_Pw*J}-jniC4`)%m$I=}wzxl;+>1-(1}BG?DR3i@dT>{_nCg# zf4AIxVz`U(bG{ezO3B-c%K6pG%SC0Y$c2^ky}sxox!}@EynM;Ua>2#={|hhl-h17D zEQjw0k^Hk~ctACsi;7jMN~sD}j8Bv746%%hm(WYgyTNQ^m?sT=`PT zvX!KKnetM#OgXu*TzR=rfBTnf#QlDuX3A%s1v6`o`k|>@MJ^~;K`zkpFQ`z_d)CqM zEdLMElvkSb&(B`pf08M`ik4Tgl2^ZF{Z2jFU#pW`R=@K%9sdi?uj)NpRX=%--y99k z2WhHk`DJK-MX7XUSt*Zg|B^{L4=)Q16j~nFO zdGE^ZjO;uYT_Af?Hhu(;f#2wUc2WC_?51Fs22LGlda96q2YTI~*+-ExA|rDS8U&d` zj%ESoaQyJ~NAPI)7P4MsSMF9MnM;o->ga1>=tT;2I@u!W=1^el$z&e73e!y`Y*@s8CwZSIR#ezv3*V^IlDPLp9~4 zRg_BIi{O{=Nq8-^ zd{PE~gO3@F@+){N<@0>7-v4i=4e-AZFZDC+(LSHyrTTyR5ASt-4Dxws!)dB&F0bE9 zSCXn1R*=$vD+tRnm%H);i%w>E^-{F$cCFSa_SIbqMuQGn%cr1Jg z{DJ)s&Ty;uuC{#v+Yj~_Y$_J*@B;E|*uOy@f8%jGXP1dBBke}ElT~}q1OXrs;2S;V zxv(PvuCeQIL0oa9ye;82k8cFAqqYs~2yOsJxP&gwbY$=j=-I2`b$Z?hzhE~pcGXy) zT1d2|tJuGygC!s;c29<@;CDWDED%+|ZmYy5nR5#P+rec7)-@RdW5+nscYU^CW93IN zWv!3c9uaV!al*ltAnHcvubJEpa@#x+Y#3~hz86^o`c>?h=|6qia$t+>NZ%n#b}cb` zHZV4UIR4P_55`Ne#{u8MOF4I-+Xgo--MQ5Cj9_o{y6-RYb?!$+h71@Y7hZLt#q z8IPxR9o$?_s_7njQRR!|!t;GR5Xq7JKg>@4iY&H5<@2Sa&$p4)R#ILLJ_aX;c=B%` zBS$D(wyf!1F2DS8iH(hw<;$0Qd%|xtdD^x}`b8vvP9iCiA}Nx7F$v>21Vjj*F!aPQ zooHwn8KxXgG2tb~h#b;U1fl@LfrP_3ibsGMf;GSx-~ccJ&_ehLAjE(a;n=3sO=c`; z0M7}a09Jqkz>WSNg&^ev0uZJFBJ6MvXi!kX?GfBmfulyy*$%)teZzD!3=Q7i!Oa#p zxBx7Jw|8JX3rN85)FPDvdK8p#DiEQ_MoIAeiqcyHLUfZ~Hj)?I}}8;=mH3*YW`ufaIL$XKk8g4l)Kn{PO7w4h1+Z z&}Nrt8(<9Ktlg{`peJG+O1z*^CG;p1F=(}$GJSf+_Kse6n6qZ^rV#3a9%mH{e*xdj zHG)x>C=(D)Jw#6ebh56@K?UHXpp?1*imZWPbY7lC0gI7u=q3_fGk|#_tzy7S*)DO_ zIKpTQW=(hvJq{WQ(palFl?0t-gNYkGaRS9y)da@0O`l^Ovi@-va>k~7XU*C=RvOxG z?em4uyc-x+17dlXhRz)#HznR6d0F!}==v;so|MQ}SW4tCCM8Ogk`iS~ONmnYS+b=5 zzeM)T-%9ImrIiLcK7XoA`L2#qIke@c&c!tsEh;4n>DUx3Bqa)H=JUUuUAaZHE(HcT zwna0bq2PIWB!BK)QlUy!d7w^=bR4xp-q|MdVVcO0JtAG_Wk~g|@5tph|3wNH%x|=x;{{T?Y2d{*fTotM}CU#`;m z^4FJ|N%R*>rT+$z_YUa#OA&c(>0znc>qGhDpYPIo3&?pqTUh(#w>`%kzLr9BeU7yk z%tdvrx_KcNF5=A<TA6EjCjwqGwnEtL3%tp!WfY*CJy^FWwGrH#V4L;o`s=qJdK9D3b7rBx zJjZyM5S0ERKn|lvFbf^@JcshZ#u~m%pP4_NLs_8x!?=oDJ4u}NaK^E-Aaq(beAW~l zjFr;g)At@*bK&V%<4B2vAwcHq>=ZDJSMorpG+ua(YZ+r&7Q|7cqB1_hbq#n^S zMYg4GksjlEn)1ys9PhdAKj1|aVcZmmK@9sQ=WOPjR_Pg2@E$xV7_AnZ_s;Bh?5qIaw(^xH(0(uydoyDK=ER7`@TI?xQzn=b?0M=t zU8{ZqFM^#o9~gc`A*yWv`@&biwqRVBm^&~q=L3r@8=waRQ+^PSvQ|(;b6zp0S`3kt z9{vFzg8u~RF?Jd-b%3=$bOwO0um<6M%3n}|;+P06@(kL;`2(Y*oahNGfPoP7j1Ljc zkrfyo&4C^qj3E$hr+k!-$WQn?Oc)H}EQjL&y2_xwi|=3pZiv8;6Py5k;tXv1 z8P4CebD3Vd%0~JGp6`%*hSi7}t$mm9|9BukZWP|7%ksX3zFqE{QUi1aL zq2)g{a8**4MC>WdeQ*Y>bKB-sRiPHpll>UKnSrZtF(l&yvA!nu>fdN5<49k@?R+x!j8#r!!~F9*a|Mm{h2Q=0V2yyE2)K)LBsh#QvNli_^&>OY^7tG5 zW_}JHJrh7TJR$3R3+$RntW_V9n5bLI#mZWnNg^+dVM*HdplCDV6Im%V9w<)e2# zl3V|DtLI2rUh~5`7mae;&2fdA7P7t>melgmO*03;DTC%6T7%Q;V-rN_|x!32im7}?! zUuS-;vtZGp$_t8lxtM+r(Eebi+ybn*z z#%~yJ`y=u{95`&RhR+~BVozZ|b9|M(O8-{0X;G6gB7-8iJq8}~%#def`o`%dQ^NiO z`6T=n9UC&JU?Kn=`v?4zXW@778hD=VjanD`83y;rosbFQTtGlFY;YX!HQ5?_ptc)* z8**KoB5|7IS@v9bBXsQ5ChPNMWH`{%PGnl>3<YzxagzhWLx)Nj#@HQ=A-W7DHL0L3u z%*rt)%Qaf&n@`3SN6IF;9`yhD?#d^Hs=z;eUYd{Zfql*PQ~i6`ej3TYj9fU#OEtq= zbE0!Of4)4DM|ovY-P><{sdz4ErFCXz(T%w1fcG?5E&GoaKVa1rWvl0UpHu3YOu!?IE;5_iFYt z>@Wzh%8irQLxixS;zo0PWFJ@j0-iz724AEN=%%nUW&d;7(Spgq1C+jav*H%;lsy** zKy*YumRF;1%86H7+jRdlY~}b1&+B*gXM*22o&k?>{lgvp%0rS%$F(t0+&Q z-HaEyN%VG{W5A5mX>@L$*o&2(HlQaa*bzJkJ1p#g>05{gk*P=L86HI7QEa@(*lfZJ z8D|1HF{bbo__>V>{D*)H+CI)D=wH$KGCt`1(EVk@(u@WD(Do5@6`sgh&N*$u`|bST z+C{&yyV3EZ-K;sriL)QP*wzYkt}paM>tKA5NiaS^oo_H<55rl+bmg2qz@~w9&)A`Z zCvXOOb?C9TCiq5$mKCJUm^QL8eWPsLv{5>@>MT`CR`IauWttg(oFC~k?p67U;TRpiMn_x_j<&^?|(0xhwzoPl{y+`K6J08iA{0qt1__luk zA?*EY&-T7+E>otAG-%L37B61xzs96m4y(u%HN2o`HPv|)ua~lHm7y_c8LO2MZ0o-vo zHxLUL&_g_c27xUg6$Kw<615Y7upRali~tA$A8V4=_$~L6Gg!solL#;d5|kd`!$BQI zX;9e;kU^PFG=D4{Dp^CxTCx=!-M{ zG~-gA9w3kLDguC@@i4+eZW=*&U;xyo2L$6F3Rq(dU7~G7YQxAAfjnaZ4ImUjG6LdY z`54DCo))E-`S%5+2x0+)lh#c#A+bvULmXW1f9-w`%(5P|JpfjmNpO@28ZcvQ3_U>9 z97q(L(RM&C4nI@YO)=-joD8fn`b98e(SHDSS`Q8%2)5o z^1(C06r4rZC}8Ft7&3dXmU*)jtyNG8{k5Q!y}Z0sC|5};lqe?^ij|ZK#Y;$qQl<58 z`u_?Qq(a4tn)S21(&?eKLJ6fQrZmNh`=38$);fx7eWhuS)^F|6%;(RMD{0>=SC$G@ ze&S3Wm2?h%pl?LIFIB9Flq_68s$P7V{Jl;qY4XK#>8V@LfZdw6i*%ivE;YKpC)eC@ zkCZ7{TuLZy*)lqIJnv`bv22MVQm$A5x$M$Q< zzq>vIeW_Hol2k5ULCWda<+?=Q-#awd$tATvt1UY+ci6r#ZR0d^K;ZpmUmUSwgls;r z*^DrVl8F!sN6N|TC(FTO2QA7rdpCOod#)+^FkWDP;4`O8Brf+Ix}0*5HV1lB>><$P z|4taG{P^L456gtL6Kp?*pTOsc90z~3sBx5m;LE2c0w2-SFc{$$E*#n6(b?z&t{^e^XoWC>Uj3(eVl?)?A;B3e*K}&@qG0 zV=TqZS@4JGFQc>5Gfx3}qPJr-MEMK0FOU{rwy@}M&=V=o=;4e0oZ9!`|FwRiCcgaM z%eKx~Z;XvOm+J5E)z24yZtbD(4L)fgm0MS~2zJ(X%BRn?=fzy+xbwy0FN_|6JVri5 ztRrF{(QNIx06j`|BIKbMK*`#ASZj;qm?OQ8D@tSp$j~p@xkOrh)yksWRccj9E^2p? zjTMo0UH>@uabDTFf2&1LL{V%;Zo1Amv%p*JT!O-*ZZ5g8`%QZO=&O8ry`D>s|L6<& za!fd_o%&V}DW~<5tlxi%eEK`@ra(Rq9rhe47q1nWx6-?Hn5f+OJ1K=sicu)3PA- zJ30AdD+^CHzy5qXXUI%FH*ZV!91d-+H8a->G~2xB|JQ64Nze2P@e^yB@^Gg7R?EuJ za@?9(u~8)DpjSSQtGiM}mTwSQy+sEg-Fx=OB-T8Rm$V0G$BFYi$473LOxA(>p%;s1 z=@(9zQ+#JhoI|rY@#_D%jS`2^mD)Cc>21u|E{(s6)_pm@7e)0U&AQK^)L|bZojiTg zZrH}2DA6z$cU1Qv%T#Rx__W(#QB{)$^||l5y2ZnAwE%>;aCR#0f(|5VayW5 zDK3GBzzZlw!9N(-fMvlvL7Wmy?CtOn@QE2^vKK0Uf&ak!!UOzJ4(-99*Ukz7dTH@o?^bF!w5>dtpGGR`U{#gd&DGX1+bBqrPQ4V7jH zjnR$L!;hdx$q79&6dW&EYhXWafi!Fgy^jrp^sGH@oP-`@UdGeLN6Y5cXx2D#5#%yN z%_lGhQSLYF+hFA*XE8Z|(xb>n4g)>wnP5LS9>dd-(FBu8f&%YHBt=prMbfV|`Rcac zMe@7+yGmQOY>|&X`bb)~Y-vh-Zl{ciiHYP${!Qgr&8=d+9MvpFo~qYE{`zvX++8zT zsyApMO{35Jp40O`TW+pd+ZWR^MxuK}OY}R@68m+mbf4H=22LMnXJ*c$=!8txrsq>L z091??l2anrLWhF92>BzrIK>$RXEQwJ>#;DpLnlm~Wl&pf*sY;>u>vhc0~9Dw+%-sX zDcV9QuEB!4OADpA1`keKthhVHNpOeYQXGN>JG|fdX3qJQnf%BkGdug)Yu#&IH|~*a z$Jf>u5rnVDV&%|uVb>IXu9Dj$s@Qa-JQ1c<+nU7}VQXW8A!}m+>tCh)cnIVlB63K4 z7tn#t>Y=~#3wl}qz(`LkSt}P?emKFG^tNwp$4+;=*?91dY6}N z>4hYgU&+%3_&k0gC|0fuqwrdlBFi=r*aDe%} zXL@vKDmr>B7gTKco&ce$i}$maAI|2MAGYu)C<>e;&qJ)S%;$i|-+vK^N!)!KQ)qRo zPWm{=wAaL=L%L0us_qn^E1kHi#IDM}*v`08 z3)(Yiv{N0PzAeAK`T5y)M*C_>C?n;qLH1hug~h&7hoWF=8pK|e!2XEW$Z2YD!LO>g zSHNa-yup@}*9FW}?7<$FgUHd&c#W(vOIGZ0Do=^k`1j~kre$BUy*UIR* z*x;s2OVymp_Wme)m3Ga@$N1_;^TmZ|fQgN&0a!d>_5RoW@7|BIydL+HH{We1)Xa^4 zv-84d;>ii^RNO036YDkPq*CokNa#Zb7LGm2R%fx`L(cAsmE_>T=|fIbPW40o#i(u1 zAdAZwh%UJQPf$1A8v8)TH7qb(wpDdbC%2$mG`KA(;9CHV@1@Q)$sJZEndPUsi9g)c zEM#fXK%xgMjbOSez4^d@4P-a8{x5Q*5kLD+6+=VT49yyd?GZmQBolcgyRHfRJ8p%S zfh(o(vHA#;pt+ua6L0o5mFSllglNe_m=0@5wCFq#=(-oJzpg zXuhkZ)P!VP_-7KM(47Pxltf2xwsxH`F>~7nd=i!?6gQ)HyQ2YOh(W;3J3cd1_szE~ z0p7vBv|x<6CVH0Ew%NlzYFo065g**Pw|tT?of9J%jfm=t*7C>X2O-cBs<)~Cp9gr# zWnB#exW%wjXAq^YdiM|tiVLHS-hd;q#MvJ-{(R1IbvW3?5t0~!<|(RcK=VqnYeNDT z)7Yenp74$~;`VWIIP!2-syX2*lTf^0u$q31&}H&PoP_j|rf z*r~DaNz~U&?dd93w6EC>CaEH_?@)K686GgM1OGe;AtY}SufZSFZLQmG?~Piuj)bD- zuaaqX);pvq*caTBiF4yomf5N1k9MsmxwV1y#kGE@SGG4(Q7eJibj&qQt@+2kIsrS& zl4+AGWP?%$;fi9$H+D(nXG*kjkd-Ty+No&mz`aKz4Z@&$`I=(V<;J{Gs}>ok($!we zNJ91g>~JA?7%;JZ3SvS%L=^sI)KD3j91^yBS82c0yKC^y$)^0SsOjwFHFbS_2Rq$; z)Y&A;qMmV36=6$eUyye^IbIN$%mU5a$28mMWEK+*T!}HPOpQOtx9OXEA;Fw3G`1dy}Sh zUGl)L529kB=B0VJ{)5)c;K#qkuV{8IS&(*FRcldmnB5xdihuECbl2ohC@ynXDQPk( zuJQazHi{(RZU<&3_>;r7_XlwYiVO!oTRTq`E_Gq(42#Ni;@t(^Rg6dx3|DgLeoMa; z>&p-SiXFUhTaOUi)22G3SvX_*eCieVu|c`)me3vj1Ln0LWYmL>uuvJe-O=K(3YZL9 zz7D$?L+p9Emq))w|J-FWcjW5H@Hs~Gqj`(0rlWVf`A42NAqu}R9EN-_?~X&E-5M@$ zG2waQZW|+?L)Jv$&Z(V8UpvBFx(b8fEDF1^ZFOzCs998jy@BZC=)BqW}+}Q`` zK<}+Ky|Vk=x;?2KrXlGP(AFf*^{)!~?cCFnm=D}49c%m1qM+AqYSUHdI-&OI7Jc7c z@jKI#ubW%KW2iUYrra0J|@Z{v)T9<2l4+5ZJ%TX7Nnj6)xCvBEJ9d zV`tn8$H6x}C(L-N28$?ugzj+G$Mgdad71u+6bQ#*(6D>uyHMr_zSb!drzaM{r|Ixd zTjSPo{~i|KFZ``fn&Cwq?bJ5NxiURQQ{D~xSB;#xPNIGm6fzFtOa29^Tv-4Wi-s-HZ6R+*hl(&R9>Ix5CIxF+`puGDe7w zE(2OPAUEU%(JH1U25ZKICOR1cy+VYG{=fqdLk=y1KU(m~Rma3ENd9I4RmJT`<6|As zeE#J|5*Xy?bmaHe-xH5fZYa0xMO!Fi=Sz<_g?-Yq%+YG-y14K1@Fog)!T?kdZ}K&e z2(bIZ*DSQ|Tn45FsC3-3mqq318Uf+;GQ_*jpQG)G_tlAvrJ>E2fXdKdYiQ4XrC$>X z6sukC@$z8>w~OwNIv`n_Nu2phkRdy0A-G5A=FuMUIW|gY>|axoZSSW?P-{-IAOgXN zFOcsr%+K=DKQQ9axs5<*##w=Ag)%q>eLqAC9fQR~!{#c@!L80hRxul_Uqd{--;2Vj zEDhBf)ewROwS|Gn=S!hjXmXuVxgj1=ox%0=S%b4D5>54s9@@uA*b`QkipqBq>ZB`< zZ`-g1A;y(n@x2d`iD_f1qz3y}s1MAi)2zx4<0_;ro=Lq-0hK6ZLhlM{zAb{O-j*ej zFjLw5Fg)>E1w*-PQi*i`0W;mz-u`Avt0R)Bul{tg;IQyRjzzt0w0y9 z2VM;25$0v(x{ZAzZShF`DtTYj&$3apkF?yYHY{8eOUSX8Cw)zq&^xZmbQ*KTR22~m znRt6S6m*o(`{^+m@Wp9%`i&7eAjPpDRT(ZUIR*-ahhKD!n!s}sDN6RsU1}Rr?Pego zUouR<2jy)rqt>bh-lyudYg$r!GuKU9!OXOTF6sVWjpOK_aEmW~_Sh(*=A+Eo_+ISh z_8UK8FeBW6QZ$)vq=FVtj3{|>mM6N!A6lm zTez#!#lA$B-l3uP`J|pDLYe!=%sMb?t9|x}UtTq({?q1Gobms3CXkC7s^4^9sU>*$ z^bZ#um3R~#N4K9nbYE?4e51>ZKb(#;Nf~Z2418<;dYnBHn|4HQ_04%U!o}0=8MDbx z%b+Kbk%`ep$!aU)3(747xBuLbKO2guOk=?BMl zk93e|#BQSzWl+&nU}Zh<_NdisYlE3?IQ~ZVzcPz;uQ{Br;)LT+Dq)#uZIh_6$P2i>_Y4I zMzNe!j`!M%X8P+k^Dkdh0uS^2Vb-!B!jwF>2$f3Pw!)(wkF9@SOPMt$C5(Me1i>1A z=YD7h*myhztZO4&4WbS&;Wm>x~lp2&Zn8HA7J*ONhe9L1XUOR5O_vlhXy8;Jc+D%>l$<1X;`SN{G{&@ z$fa==IM8HZdy0Q2CrI4o-t1Ux19})ArwUYfJHK_wavl+y8r9|IG8%CoZvJtUcJlqi)-f+OlY$5xO;r`q6w2Cb{ zS4yB_{=u~GQBEajZ=PLldr!vV(6h2NC}nr!$LI<_KJuoBS}qlgJkuUkMLyzRbk-^n z^JKn^x*_`A5?icPz@6!H%cBrs`PY|1KR@0b&)@8`%#}QB-Foil=lJtB@s0CHSJE=_ zUOhQh`Gm+*YzkZ~R!WIr(!|9FS8d5P!|xc(ek6Xx9-%I*_ys?XAAK&Te~J_oIAG$Z zxe$AQzQu4vmkPGP<=U92uLT3heOtmo620xeuCe8*z?k?J4tu09|AT_?YGZ%k3f~hSTzeVB)1=pSwUu57yCei>&;F-BSW3Zg0IM?_%7vO5k|VKJkxfol zQN%Rpdzs;UNAOlKjCM<-&o6ftNNYunT)U=>c0dw^u|0jBKVJPxz%BtmflU$9<)oMr zGLZuUN<^QfUcBh-*;Aa10c<&bHy&m=!8#xQB5U16i6(iNJjH4m`NSO{C=#|Rahl4G z3H+@Xr$w5dq;Ga5Wm4Ac7yvO!cn@Xk*r4(p8K*D`D{y*4? zyO7gp;PA8g7Y>*u#7TP|U=tQ=w)X)62gcRzI!tr<*x`MKnQha&d_G+eHPAG(~jR8W@@-q4Q)h(?uc+cee zRTjJAMY=R4=fX|hC?YZ{;;Oo(b^7t{Y11HRe3;l)t&KP6BR?u8vhceA(VVVwhb*v^ zXhxYY3KbN#GNFy9&kU_%A!CzogDT#qKM8nkTK4T!)HsBc9*33|eIBsM$5fUdr zBVxTp!LQVpHZ>E*y=bY1$LHn-&m=5)F^9cOKZhOm**=0!I^xvqfD%8#iUOYEr%N@% z3uFfOD}C(8F8f{jMGDqB%<}Dtw^iN+oFIxUHxd)~bptBmt)o8; z+qSbnUfOG^{R`02C(l{$k&9UW#-X6F7j z`oy62)*zE=nvZ}#ZW`5UpW6%)tq+nflRSsS&%Fi{NBx+lAA!u#iU-sFrU<~W!DZpV zT)F<)!h%x~Jima?{~!50k2Ye9TVXgvLvdgQuBdvJ#+KOXoX+HgV7S%RVdCWc>kYTt z`as9M@Z_W0ihFR+Ga7gur-c2I3Kc$F+$k>z@MHG5f2*9stC1M7mvQT!%=Bnc*l<}q zlDav)FM+DXFxE9rYE^j@G7D!40;(snj3#ah?zeRugN*E&{Jlh5SAtm1TQ6tNF0&I` zZJL;Z_^18oY*?Tc7muy4TP`@7-5^YGlQ)BmEGlQagDYu=V>>ppT>_O^qXx`A+y2S` zNf~JN+d=jjrD~YT8TtJ!!F@|?R|uQi=0JURwaL|K)xHPaV`0uA_#lO08F2ikgLo0} z>sZi_68mw0w8>=%i@_OhrPKKPAt4{*rQ;=^x4yTuh&QtYO@ZI_vwG5jlU1V=U})0D zN5}eiyD)b;GpHpz;;A8Lu7~*;N_Q#|j(SV{Ey#8;VYI5B^kb&yn)fr}0zj6u3k6Sq z;I2o9d7MJ!Hy!lq_m(vxV zsyoryKp#zII7=o*sCel~);3A?=-yj&hU z8y#9sVx1&hl zm%7a~6TdX+*YJUgGO}w}1j%-Ei^Ff}D)u*Mh>cC1{yLI8<&p9JRVkVBU%$A+&0ZCE zTj8aaJ)uuGNFT>Z&-os}989}bwFDTAxsf?g`a}OLllpjeq@DN(t3>1g7l>|zClMPv z=^iwfXM>p2aNC^z5diyJ+SR>#((NM%!n!UtQ$)HSOn&MAuEx;|G%xErs!n9}?aOiMq-)R`ck1ZtOT$cz-ggVttGI8YHpFS9us zW2DQb;35;RVXAY04GAZE8U8K@O71L?HI3~9#hVlCg%XSREvs86)+plb^|Bscv%4}* z5$|qB5976tXtphv;`b~#*4U*rTn>)8B}ANbfw4VI0dnDJ6<_swN@#LqUq>D#OPcG+ z{`qDHE=^Y_rBv|l#!kC%Izw}2yZ(5q+R5(i%9B>1I;GrsnOa~lREIaKl1KGTVrS6s zX!*7{tx+c1{;rm=skDiZFedgWLn0hD<>9Ab~ip*!m=*AR^ zZ*uvAi*qBx8VY1=wnd<|$fMbmD zeVs4Sc1b%)zA@0VJzZ}=6=EBVLT=nnbQjMa#oz~+u~$Z?uy+rRbQX0v zS~hlKKnOoQ*(zQ<;mT^a_p?j2fyK4z7b4sGySy;M72Nl?hka#n)ZV7==*Qj;aSfg4 z21A?r<|yNB=%J^W-tTN!-qCgAViIyy<&ZF)N1D=fafVOfV)4NKDhu04)2K!BdzgK+ z9}jZR`iUbQ=Te1Nbn%Eg9Qo|)D!FqU&m8KAUHH4UmwqWebHyWjwfS8C+47Rr8-uH% zaaqd5^gt!PS#avepc}JWH^-o1^B2CWB9-lN#zdd9MoWPByL4L|V215Evm5f`AdR3r zUq?Dux&`PCQ-9dQLoI_boqfjVP&9F?ZtuiwaAf4phtK1lMzo|Er#Hvz88;EEv9fSAFpNQz4n9J+F9QX!7F_i6$M98u1q&? zAp^;LH?NOReO~aS&|p@b5?{Ys(#Tp8lUuK)(;?@0T?44n(wcWxB{QXGPLs$!v986Z z#S&(GQ2y6cba+<9dMI#kL=(j+&g9njevn33hwn89WMp~J_$IjvM#lCXeY)~i6@0|D`)oj6!QZv zexz|EqdCt;mg2T}Y{HmkG51`}v54x~Vn_bYq?5)jWqGD&_pA$`6F00rpaw8N3tHA%DxA{2wjB?sS^TWTP{tB=F{>NsMkLfzrUkNzZqv@*&V;aFMBU7GR=D zt1jiXuVxQF$gbJ?Mr<7K|Do=HW_K;1(*NW~3h{kbwp(GJqVMHU$O@%VUL(r{uf%*2 z8R|Hb5b?zBl-dK9!e82H4=h*+f8*6a3BwX7th(>1A zedZq56q(e+EUvs`lVxXvSlD4S#BORY!Lg8b#;yD*r>&O9${G^&xc!&stD248Z4Eg> z_7;5A)Qxw;?wSU5l4V((3j?be%HvnIF%^r1;y|3?M<&Mx5k`+qY(H}!yLi=^&IH?Fefkf^ zw!PR&KVoOy=!D9_HV=rcH%I7N*+Sc}3y5C&g)h!&ctGXG!+Ej8*+iG+l;2li-otIVOB2qdV-e0!<*-IdLtM{qD}8hvMMzV_KkVbaVIpZweWxVR4vq6AJK~?I zqG@6?yH-wc?1}AV_qyst%tO1v8q@1T4ioSwh=>YG?pE*e9sZ6dT&7jODuPb%=TL|- zkl{}7D~M>l`R~rZ$3fP6H`){BZ%qhN3NdOqX=!ur(9VT zhiH+H-hvrrm87gMOck<%KVh}LP4G=W;;NQbjTZyx>6l68kTS+oYGQw}kztao9c zK+f`C{p6#X5@b^qKV zaOQYPUFo))(4~vsx>1ArE|aSh7ZXK5{7*J$+$nv7`LGCxiX0*Fr#jL^bNstI<>>Jh zVA$y$ro2~b4&Zs$NU)^#Lc6c;gbX=woU0d|4PIG#y!mk3w?raGG=iDRd_wY>jEV*| z)*l+PE7g#cmXmlY6RS=+^$nmgEYGdn$+&=#!yl%xfF3?`xqkipp$|J_Atk@mXGspG?DpQ13f1EK$k@-Go&4r{NyS# zskFvW?aO_H-XcN+X5@IbL?#AH64roZ(6z3Msxt1FAqb9o9EoMIOM-ersHx-Jm>oQE zyT56PH@~7mi#NftipKogXN$NloQVW}Xw;Ha&o~IM-j`lJ;a)D8f9>+Q-r!1M%+(B*xo?Hh{b~wsx)2w3WT5^=#}M{SidSj|XO^oU>aonwvRQ9+Edl#=;?_b!@P*HQ z^0?tNmpk98r=75VJ04oS9pBUwA)3h$v85Kq_3oBkP)V@h*Z!JDnIdnmuCwheS$_;oQdpl@(JOKi6oJuc?0>us;D@@p)FFK@;l` z)6JsqC00Dw;!?js6Vk)xYP8ROXm+qC4i$@#r+SsR00gAWL)$nJ>Jx68Q(La)>xNF1 zp>fq9weiQwFWmaSa9V+DC36jLZV*HL$w8g$H-%H+mVl`GXME1DG9`qk>Uxjo3miOv1>&N@rqSI4l7N5Ep&RltwCrh7({MH(3>5R*d=f{7Y1C91hld5&E!eT92 zSmDh}i;GJw)N?(DZI`FSv3{76qI&O-zb1*LB>*GQ(sp5?DDo3Dl$e|UBoOP4gn($D zbjx(o4*X1beYIF3TpHs5xORsdZPuV&tszr7M{+O%h=uW_jdfH#W^CLBb(VHzNcEP= z3gw^ZfWZfN1?m7(W10)W9R5w((Ac8RE+DkF2lYbo^1 z_vihF-I*Q$Q3HC}+<*}bR}7T2_?qCV!XLo7#pk4*<%|=b>N>4qjMqH{oi16K(TZo{ z_n&ei?)h7@+w-|Mv9-7YcqwtgZ*<68Ki&>61vJgI@Y0C$!VFA5Ozw&KUgINCG}VNE z0LUyO-1RVZDq3EOIJs5KO|CNeKv1@eF}+KBLC1i+4P<_Mx6yq6>mf(8(KD<(acd)f z<1YT01RcfAi}^}FnNxi-JzK7drb6pbQX7L&7~7D6o~gAZQ#1~G=)qmyhLUEu@rK_# z)q7YdxN4L?z)E77=BeBg)^Uj{^_SSO7#x`LQi=PC$hj8UX=8q}v_T`Q1~B}&ZT000 zhJaU(o_aKQb?au%Rk!yzHI2T+j>RCM5BTk}E!8Ron^Z!?%{g3<2`+n;ZL;CeI z3Cu>^(}Q9HB82aEh2RL+aB2V=8^tvP{RSE_+VheUf~F`Hm75*u5vP&vWj3w~iS%-`DB;Nt^mB`YUWIC)6QRX3ZwE}6O5)xXC-N-+RFWE4E zl?6dw7^7j+QJuuI@OYxZp|ZiOTdPWge$rDi(Lciy^3N2uAf<~&42RfL3Gyaw+=|vP z$Od)2@eL)ZAfLpRMjMt$CRaVc7vR@*FoY`7!LEN5DUBJz$4|4Q7z5NvZM4Q;QXTq)sLC1l1k5+;sE-+5AB?+quF|6-07i@(9h`p^y4kznI$2+oA0hGw^qV)Mox7K^YXPWQ`*qAJt z!sBWu&L{&Zs!Fwgs0WYOFF+jU9-~sqln7D_TB>$AQ(9--DGp+TEIq*i+;$7^@P=6i zEZP9KGN`AF_$-YATTu58yfg2Kjg_>mBLzhI zBx9$~y^&cSxg|y?v|A;_SAL)SILF%A@4wEIZFa$kefLk$M{DtYAD83t0m$M2|Zfj~j?X%~J$2a~vg&pO$;Irwn%ILtE zZv8X!i73#dcu`?H-K=|)!b;jrI2({NfITrik&Ur9CceJ~cog$0)Ngl>-=Z&F8X@j2 zNkUIdBT8Q*1W%wko|#ok`T8`rD5dNqe_#T#yq*32H>Uy%B zzGKVIYDPZm3rYPJml_8|t#Lhgfj+Z)Xs+6};9&0HzTUY<{l?NG{A^z54E1B z`-j+PK~SIKdYU-sMkPL><~L8D~`EYvLOkQlnX=6mOh;o8*u52 zY^GjCVvLUUP#;`zkLrG+G{Va)ZAQ>OM=#Dm9GTL*EanOi%!3F1gr6<3ELih_S%Q#t zdPg`WgQ*D{%syKx^C{IIik-5V5BuE(b~@v`;*We*m0o&L2(H`2O%OZS+(sU8vSxS2 zvT}!|aiz_%Hxh0%2}94L-L;mwB2uKzIL3it>Oo9Aydu~ugvRJQ&M+Kd(=^InMXrz} z4%d=(24`IFUY_z9S!k#5YHDs0qY78DIYoZPvl!1kV;rLQzn%yD0k6J3c`h=PMHArb zOzFost`LS?Y<2G5=cSCLEGD53g!{`ET%*} zgIzGW?STC9nu2yCOY;P<`^tn7L;4q0Zw(VchNgQ4FY%$Cq^e0gFTK5pzeEd27`sd^ zo^!s;@_Wu{ZzG-+dw6$OFRG8{x20QAgcJLtLgXk)F{fLk=F;%1p7UGb^0}553h*y( z-V{x0-nsNi*K&?|(f?)25TDPc!5vYXUN>GT-@i)uB5n32OXA!Q7e4tScNvtGmH+E3 z|5_^XN+dX#HNdu2-%Vj66hkH|8ZZrQ@J3FswnLCgYKad4iG|SZ6;X-D8Y{4(cBu8j zsk+l1e|{X(2em}wBtPYbs^yV*z*5ir)MoLj7>Efse9}!AA^MtZDlu4u0xdKMx3Qa$ zcK3R$vKI9_W$hioahN(S#byXYCr7cAwm%Nhu4o0Q9*vjj5B`?^Jw?(R&@#Y;2 zVDZISNH}kt`_FGPw#_i)w|d*ADGhNO;x0>DXgP^xWOPD@?q_0=dV2gj_wkbAsJ{8G z6QL*Sm>5U`PFPrrUpCB7@pAI5+mAe{F+NEYjR<~;91n9S5!pr@^mD&86db{(teHOLq7+rOH-i8<7XCjL&NwV8CqQ#=He&QiN{FS6H$5V;a z1y0G@SCBJ;PcFaxKh7FQX;1hV?!2%r1`goaM2RL=U%HRr@_akz^>y#->`QDq-+^yW z!majGk|U^h8-@HoVGG$YR|?y)wNVQ}3d2X4eg%>#+l_rp%;;Cqj!;Lzt`ZB|1DJoA zNR6Tfy|(&AkBAfQlD6B2SXMD2hZr-w{xNGbs3k`fkQF_l0^Rr0E$=MG>;np&g0_dD zB`a+g`q@1>%Yt4>h3H{LvjaYfjUuX~uPv1;iA$8Vhhd2yYbu!9kUyBF9a)uQ+&OE( zl}Nma7ALyBSq(Sn%7pU%&&3LeM1JcY-qr^#v(~*bA)N+ECveq8L3)SB-aBR9=D4ZJ zI)#8rf%rl_YE^A__WKWuxc9-cOnY??#-gXqM47#;Z4&~M9`nDVOzJ1}AG`nEKUxpv z$5gC%o+iF5vd}6?fY@?hcuk#FS9u7GCr&kfWBTi%`SA0&)jlYQlZ8Ph@k_st#lpwi z7nN{r&xV}Dsr>SG`_UpV!!o2#OAQiG(&}#Kv?t2jbx9mO?qVpw7`S3_$-QFc&35}U zu$m=8JR|AP^x8J-^_vDmGkgs0LPPI&LewW(-UP8h+n+m)^Y5PRytfQovmHaq^es9( zwkq;VC|?;po6%B;r!yPSSnexWYa2WN6(CJCu!8&Oj%P%BTYC}bx)wKtGq>T=ZAz!e zwL^NiQQSi}TsrTTp!bC?8}KK!zXq2Tt&(W(r$EeS$!;3-7!&DXx9Aor;t@PP!zA7w z?lsx=2a+91N8?bbF(Mkx1mbmpNW&NNjOr{R1@yxPHaw ziRyPNL(c+b2WIl+6=cUksy)TxjvU~w?4QSsZPvphXZl;jmYF~0RJ`$V9KQ*HRzK9X z?yUHz>mCAYVsRyK5CSaB+o^(6t;uzjvtNxpWBDVPR@!o5%kZ21Y?g+p#{vPT>sF)_ z1!EXhU1~d_2RD!LZ~vEp3!kqdgqoq3V_rD{J*N{6ezljJi9z)Q+o4XUl(XfK)~UBz zfFJ7dAcL#KG{?3g$XV(`<%N#%KNv8?M`g_CAWuT=5wV}-#N+~#d|EIf=vv3*G419; zyJahAr>tG>yK>iGJKn)=qJwJxqWjnmfY~opK_p(4N*La-NwiwT@k_J|w=&OJ|EsUt zsH9$y&-|#F#ru z;~@};wsM;@1+M5L0oCwkzqmSsr;T-**y@$V>I;L}!TAJy0eKo9koW8QFxC^j1%$#4 z&#{qvE%FE<;~S?WV4NXR1TkZF5cKIEwI((E$3t{1aSK@&@kpL`{w^GrDyKX`S5 z?;zhLbRd7wH0PXOYK~xxk3A%7j;n^m81hbV@2TatzL0uus(1nb7twa*vhNDSDAqv5 z4}QY)uVnPFI-Vbe9ULxwyiPi^izpo&3R~4bA@wF#_vJFfacDsC!GdN9i1Z{Qmzg%c z*U*8;7O&WnB(;kJ*9UN|u~a8muJK$kvh=kC8#}yEGzk-J^{MDDXWreg?zg;a_eM-hlk$;@sVHSE2 z)0oqI@>i`v-fX@)-jpHwT{C^9Yb}<4*F^MPL-6+_&#Ax>fPnJJ8`t&_P(D8R+C_F> zN&usTsJ64BmhR>&*3|TwC}Xbb_VI~OzsB0+-Qm+JjEoOus`lD5N^y9=&B#EFfmd~v z@$ZA!Hcz#;H5WhETj~4QjLB}dssxM>Tfhx7mO@V_T6uyPC3HF+RjTIFtq} ziubLBH_T_Q{g5Jvd8$kR&Y*pOJ7UPz>A!9oCI2;f`;yM7qs7*u1}g#^XV(7M%=C{i zpCOD)6!>UAx@$PrhMeTzZ*upUCVK0PTUAXWe0GB9&(_1I(zTGD`|@9%JLMgDBi z^qHmgv{D|i?RIGqX@+!0^;FaLvb>%5Lc`2ul6%bevM@c+tHM4B4gV^l;<}3cG3}f= z0t`%M^p_jJA7IF*>6U$nOB_$O&tRj&BJIE;#O4){n~UHhTD1aeo|q%)rUXx37Ag*m zAYP=J@z|pcaYH+_bjP}gwm3bsQyGCeEU`KwouffaA?NaAm0k%xTEHqxV!C)OTj_=-9bmc2h}|2eUdQ#rz92{-F>2B1 z0FSKJe+I)!Q&$t$@mzcdi17p06Wy}xQ(x5S<}t-=I145gF4~K!H_=wDpJy%mLOU)p zr?@k7Uo)Wp_!!79X|2L+V`On_(OMPPF<$}7aIM~f_>6ohl{E885QBY*m z&o!~POfq4C;@T@xWwI?$s=RoE7Zs~CTe+hgQ5Yg-!Wkn}5d&M)-|7t?+Pmu#o^XQ& zDjRxgH^=BR(4t!N;Ppe72b3t-#NMIVgvEmTUfny*uY}4wxGFgZr%n8bsO~>ii(3Y#%4Sr zFMj>n`eXe$3BJ!_Uz<=dts98Q6uNr2^#2f9s$;VLrPq54d(pE(E%R4qbH-lUi9exH zQnGyUQg}?;RhVZ&9{d*v1Wa?+-stso_x&Yru&3M5RQvn1dg;FVLVLBS_3ephN7pxP z{^rzL`+0Ta=m0G)+hRYmXIeCu4?l0-kIL0s2Fap*{c}v5!3|@ENP7HgJ!`Jl^O=ci zyO?L;N-%`%JMGw4{7%Q6Q~?FG@If|jWC4S2J^=2CS#U-Ga~56-l`pgjI>g!JGz|9G zY?tdW`Hi3j!raY}J~?B2PBVOUcZRd}3epDr5X15N=eBnq7RppmrWkC2#L}E56<4FY zj!$R&_szQe&ps|6KaAZIGoXIX7Hs|ldC(O?cXB&g7q>_+J|*LAxfYfj&)N`;38Q`| zj0*$iK3Di0Wn}G<%-Pa$@B5|;+*Rj|3sX+XRg?#o&nnum+YuFX)D9O-tPW#)Dhw*X zCB{74ANBdqccLS%r$F3}?o|Tc?dg?Il_$yq`J0X^_F>U1`}A4uYk#%5D~!KCpjs** zOM3q#vcn$o!(aO#X_E?l!nQ%Xm6HgPSlQPvkS4SNbpo-oO`52m&04NEhY;u<#RdP)GgV0;(d=6Pdabr#)Sx%QpHw#>9EEi%e_%3n>q%MCT^%*Bz)`cPi?#XPIBowG-0_3S?CSB~O26CJ> zA(M?}7`9)IUqw28)L3v=P2}o%t-L-#HW8rvyOvT+Ekmx~+OIxg;`~wJ!X(9-)>mm! zqgeF{E5d3(H$m%G-r5e(;tC+lehgggtxY?@G+<^M-F(E zF9$?^g`M}1dcb9yi`^^ef)w)Y+SkW1cQWAQsK+#$`>7G9$C;mI2F72utrS~?W!q74M~RnG_beHr#0y7kvZL_Wa-E7aI$ zorLdqjxV}%0u0Z|7W7cJKT~B}05f-JPz}`rNU3TV5w~iO*FV*R0o@6Zuau9f%X1ap zWDk+wW|%{q0V3%x4}Ei(J!FABKd}yQ9yW!BvPiXtx+*F3GbZWgw5zbvo)^7rWM;u& zph1uH9Qr`F%E?0#p0pZ%lKEaq#3(eqtB3VwuAsAdmGlJ98tnM(EyN&?~Ui4}+^CWTmD=Mpmbf-{rwFBw@0nRsR;qrhZn?|$4JUXC}pcP7+ zb4kO<(=A8$RULnsfnos3;S1>FAuZv_+UfM^s@YFSQY45oy;Bkm&#P5K8fT^VV5A0L zbVko$ZyyWJyn&*)kGvu44vF*hm!@+dgY+_-q1l$P~X`OxQYB>TH z(aG7fXLYNsIL00!)*`o)!uAbLtacz@Fiwa$9?khpK0GEB(^&AH=`i=cb`cU|R*Sk` z?H{F2?QNnXFzvF+;>QG=5qT@@qp9iuV$pZeIlYMjisCF6o|W_SDG&`JY9)CD4{_W` zS#b!g8cC>V#PcAP&;KStENP^i-71{Xsg8pG~w z+53KBT46-EF6OWKMf2vB5TiIQWQmP?UXc4g-+Ab9JD=Ylr1nG@<(o%`<6n!nG3y!nv?UKEDXMJ4n75){raWg>`9EBp zWmH>T*ruVl6k4Qcf#MXG0>Rx|T4-@APH}hF7WZNaPFvhv0u*;BTAUz75+FzdgvmSK zS~IieZ*u;etdsSez4v`zSHR`;U)_o}Ptoasl~@^_B4Av&a1^4(3D9S%fZ za6H1EB1|_Zw8oT<_@HZ0(%RKOIQf%|Z6uTg`D@s0?q(QW6P{ z!!E8mQO6LFKpSFet8Ev$q`mi5ydt_{mRlt@g7!VG7@EHo^nkC$oTnd`c&f3f0~%Rbca(`tnzcN`*ct zvIpgT$2&?J$phuGgBNUjKyg|L#ZaWBm<}q`?+qND*}}~jX4hYt+6)<~bRcq$1p;OS zck=szF7IlZXnNEiWMnNLf4k!i6HEdAHoSe@qMRT|B3f>P`K}U}3&i626=ogW;&>{` zs@B36vE>iGYdhivm&+oFgXM<&m1DWJdK^fAP1)X9papNmye+EG!&|)cBfgdP!4uQE z-`hUTZYZrle3D^*k_zP~@A{?*iY@F!Z#ky~;RS*-?nNYiA`M~UDzSj;FfU0g)Xqtq+ar&%!F!S0PIiM}afisY+hU3h95rz@0AL)8>}Jdesy+Pf z!NBZzCoUYlMp$2Nu*RNlL+nMe-3d>vZxW`2zJ%gG>~&tunk0I^T$tjGKI394sv}85fV( zO^+e&6a%N^obNJ2e~8r`(H(Q(3#edVB2?|GBE#()sdJv|h!dO>eG7?O()%3gmt6y9 z*xELvjSv090@(54*-;hsmBU7I^lPsDbu4!>fqZv7%aPbwea}%mCqGVOE4EICWzNVR z1PYcy`zwo<9{4!SUV4;zwXM2J2H}}aLbn{qf(HCvoc=B!Y>j`_M!Z2G$m{#8v|1Om zVM^iuu-2#w;#3AOU$SwI(H5^RZ~L!XYrh|r&mL%GfB~GaLfpKFXz|JF@dB6!S6H?d7?}{*5>(Ba9e>I&4CY*yc6U);_^1hCT7d zHRcxfvZdOWC+ez6zHP)?(+dlHw>R(9~*+bWR28;M}y=a>-#Y zSZ24J8E3GJ;@s$Tkgvs+{J(AssDP;VItG2QgE%?gf@um>!L%Wlc;4>wxVXS3@T@LX zA?@%06H^33xa2pRAy%bLfnH2bG(S|#f5taznz}F7qOYO?%Gn?o_H=GOWNf%^QI2O9 zE9K+NS2@~}8H+*IeUh24ST(bE5Mf_VmJiG&;=Tkd`U%zu>tIWfL=Or~+%3V7k6oA- zFzjV1kDWN4jrwI`9n63hm1fg~(@AO97JCVKHYrESB1{Fc&YZLs1V--lxmd=t1!u?V zEMG-@h-V~z(htRhu2*6lf0+^9F0I4)}bkAAJKD(o1INFJ1xy- zTy0bcY0ULtrVz^iE0n)V1ShlH;uVw}31T)z(~D!g#MXsWO2KoDk-==_Swf%{y|9S13vv4dw9C4`M0fl z&U4iL=fkR7`@ieJvL7L(@v!)(v|pIA6js&&a?Dv- zr7YzC+fny1q4scAwi2HG;D~&Wm@x-p1yfEo8Ls6dq5vSEC@8Y$J$LVe^Dp(^2d_0! zxxejcO-n`3>i?*Lm_OH(*>vyeG8TTKMgM_D6}>_MJ*3MR%OAfk^@f}u_Y++5P2&3u z9M$R~zkPU}mek(xg9EW(Z0`2XhYxa|6Z9H+V-67eq22 z-jA7wmfsiqXSC|b?Cu^uH`$jn|LMk7GF_m(^b8*QCqYg`?Xh%jvk;yc62|aKXm`x_ zRPkKzciESA}o(FGOXHMeVe z)OwiGmCbYE@=Hw?(mp9`&K&y5%%H{62B7UgbZA7xUtzx;p`(Vd|Eh2>+T6Oy>x~Sx z2@-X=7j4%@xU`Rb>bAQKn0_%EfCzmBY0WkZ?UY(OdV3^wSJ+h~b^Y+b?CMPUm9bsn ztd@=&QfbPB@ZujgX97`h8!9x`a6=S}+`X*+E$U#JY91WXrz?7z4wev1y|H6peAQl%z5${Bd$WM}i|*{pk+>v!>+k;dpr zc!JA9I0XZ&lc?{UBnwt^c?i&lR~)y${QXoMGj@b2gphsenA7mXH}`U1_*P7Q{!AQ& zW*+$E3^@V4)Du2}9g6HWaLP#8i|^5%xX!vk*fK-mB=i)64e;mDFTU{&lz)pqBuXk* z8c}he9xUGyV4jE^C(e^V4k;7&POeBD@C3jZ+NKY|q8jGtr22pW^=)BQ6fTd$EopS^ zTpdRw01VvrqZ(E^dP&y3}n=%okd3|1Y{bGP47`cC{C4BO7SvLf_4HA!ad3u z^WXsDpf6pf1J=*wgNUUu0~Fr%%s2)bKr={#pD|js#W$p13-gy-{J8+vPzNd||HWM) z@}S!jqZt;i0{&OqMjuuO=$ybh)&J{lJ51#RbSmDxD6eX-Q%`PgXk(X%K`r`U@C0R8g&srFu) zhVyIn_*CALEJgw90A81F0pg*Y<`!RDwn)`BZ?XgR1PZ|*RzJ0o)HGrDbrajT>8H5h zLNN=$32}St`P)BvfFOL)C{b1WU1ZSNv&JC8~)AG^QRbLbo3O%5aSGY_H404y`_z@ZaBFVv!;9g4pi`GLPf$K~VTa#jO) zdSud`Tznp0^^Px=`2Ah-b2b~xy|{Dk&GBYVI|;pGtg9K3CCah`RzdFLRkQdNbwI%q(9ZC#W9ncYzX zgcW-sDerq&n@P}uJN~776Wh%u@p)6$n^^aTOx1Pku|FLSqR9So-i@Jq|xgtdC_l13OMMHMR6D*5Ci4(?GS!)FQ{i6E7VZ zN)DK0Smj6pyccCe7TJg9n(-dOA2(M1ZmE5BdZQtDaOq#VHHlN|x5BLRI#iJB6NWSq z@&%kHgm3@Y*bh28To}pqad{rP>>3{YDlK%;M8Q+AAYEZhc#9ks|94Dm1VQv7)v_n4 zhT_uxUeV$5ATz?>Q!_K3KYQ3Jy>z!JxLmtx1N(`ZQ z?lkDE{;1EgY&}>oWEj{NSPd0|ig@tfQZ(?>ze)Mbtf+JrEi8Va5;0vb)~~yz&q`0l z!%1}^aKNP2-Vs_yYFUX1RYvWRc{JEaX6MXff=@Z;Z?i8{{8CP(jYy;j5wE)9CQ#$N zMl%u{j#2{$S10Eu;|t0N?BW4&Ml-_Xs128AR@(C@-7KQ4p=Arn3-j$i31Cv#m*K!s z_;2fS80oqd!aC%T>ONnzPj*{1->OaUTYEUiRtnfGF({9&&+Q_1dY30|H!}0*DgL>c zUrrJ^gvl79HP9wBB%aE&RLW2in+@2gfy~&>XMZsr3bp5U#0QpH4e)|S!!)T})o%sfvJfw!yj!kA0(zulwKM?6t#3Z1y*lT6oC}O<}&i3_TXP5cQQVx8_jOy@Gs;!+STR~X$Fs#EBg1q|bBr4KhW_>K}#TjTq#ImDhW zRhz|_^xzWabHHO0T=a5bz)$D}D$veKkwjc)zxPgxOE2?5&j07dd|C&`n%MzbExV$r z5EzNtJY~E;yN*&rfvmlZqP<-C3+)OOqT@9_T>D--+wl+FFeAl6N$L?`mx_-+=REEj zYcG z9Nzd%Q$WYo{;ardN5x5cMND0Mx2RGGg~uN}#Gf7femtgkON5HyFs!iRhStZrRtL@2i(n+{8p8REvo^=?hZ!_lXGL9W*6O?d z7_Uz*fHFV-f~20R+&0WNohfM8oZ1xK6kxtzp(i{_K6}g{dfZ7DEPDG^*7=K=X|bIR zDM#T`B)};o`_#xb{B0^$-7sD9^^G%ky5Jv#yqVluYnijK(@0|Sf|VUE<8-)eswTD1 zLj3`cav=_qYR5M}=ez4m&7tt?Iz0nP*qbLT-#1c`MRulPiQY~wnr&}mcwgFfWz;)e z`wQ<{l^=U|W%#2Ht?1qOz^Ov^q;$X;<<-Qpe4BeFDHmQHJ`c>rmU(p#+b(pvxt0%E zo#P)q&4LHGTBlie*{|19zi0pOYv|(@a>8h-VYXS9y~A>Y-=)&Fz%8kCJ)x3_+1mND zGdf3?y=ovNgQ+?elzfoR9J=6Zdef{E?G2LKr|7Buk0O>VL`|LJ^em92ak3hO^Npgl zF)ICdAAVoU3-Se?m9%@P|NchFi+`8Krg?9=-I}Adg03Pb{J&LXrm~<%G|PSKF;qz%&mirs zVyI<~Q5hD9RWBnQjJbu?A30_-#2Blcp!}5eZg=#SC1uz^1bH>Zk~*=Xirgb3LCn0f#FCR9w90iqKkK>j<)C;CRL zi{U1ed2u$@Qp0>AIiRw=t0xvhG}|iV0d~&Z`=-yIGH&@xJxA4x^mQe)F{u*J@=8GA z@KVlY)Re9DiS5IqP4084da5n8k;FKJH>k5AEF;8ljY3!co2;&hhj|qok&#WoY5C4S z+uW`F<4*%+!WX(Skydox_EVM1r^Am~ZQ)omijjV3SadD$g(r!`40rOOr-fBKGu+!7n4*H9UFJXi+Xyq1K@@B@IYX}UjceMHe7A*k8axQN+*Cujj9P#E zoqZ(n_eAB{YH99yQW%$SMQz?5IK-mezu#Wh+03{n57K}dWpKHuCwFE>P)>e2H?Qmd zMb=8&Ym^ttcEc7ke^ww7muFHOlFupl1>04Q6eUMPCE`KMUYxN5 zSCM1NaNHO9;jEbYbw^anf)tk}BiXayGl|=`9cI|`Hr{-OE)~RBCt5N8!h0s~B%tRKh!(b9da<A>xYk;_YHT-32&$mSErFIH{++bqVYDFB<>O7n3>RF7Hk~^h5mN+;{4aHH`7< zTpcunx$UJE+Lu8ss`COY|57?8dqF+e_ojV~s1BjSC(N!yHT?7v9UGfaNk)BJJDRM= z@y|}8^h?XbZFgn}IHZ>zs)17t6AG&>2=23Ux%?~aPM~R80Dl(@xsQ>dsItDq%z7_H zAQN(@p58%ga|7Llc`J?=c8 zQIYbgGp1xxv4BPmQ51@y~g!jMj+etW>H-oUz*BmA@g-X4kA2&0o}#b3e>=+p-)P zTz>jmYpB0t{)^?D|Jbfug^Y1cV@L8(a-+O@P|Tj^{LL55Al@>^#s0g_3&?HK`{FDqWCowo&TyeHQzBF46u(7Z1Hz)|C#sJROY_WjkIco0- zEq^^0E3!LSe#aju?(+=xoPNuspN1Y7;gs1o_qRO01S2R?R20>8o`d1uQL*bLSCD~~ zyp`^Ap}w{iEq>Za)sW26J5YoL#F6%15zbxN+-}|%C=Rv*{Vrnc!Kn4TCoz(FSu2|J zH*};@(Rt})iYbBnfX6H{tYVStkAp#u1sKSlkND-Q9^dhT|$? z!~TRod{-qE&EB8G5!5Gd{+BJ_5WrTtMkozU{xr@UDJ&z|D+_ z^RvKvCIT8!#}Rt2cEP9JoMP1urunho>HPADM8obGa`@2g#TG~EIt+HQMk4JvGr=C*`s=Nd^`VW!oe!p< z)0v`z3!NVBkicWjBS3IAK&n z+maoa0n&3_H8W~DwK(1Oy{&0}!?BU!yng7KBu2}B`ucobq;Zk<&6T~OW8&3{j}h*D zWBeQqf0^^6jhTk*xy8 zK?{h57-yn-brY{7p{5T3b49AcM=*zcFWODzfVZd4_o?0AAC};f`ROa9R|yFl&*=w& zlQN{PZc-WAs41BtGj-cd&kiPd_P!bcgP(!$-S@NJL#H~2D8|BZPtm+Xr@j|W(ZM;M zl2Y*!TR115PbzF(D+5KQVh(9u%8n68k}db48C&rdPyN=C!AXy4`rP}swXiRcPc^3* z(;PYHwo=>A;q&yg-rCXT;cu!zjN{xQfODr9I;QWkt{;4kiwcKyP`MHisSno9sI}zQU}B!)ufn?p1oyw9FxFjU-^Bx!Y{GPe?$h#q4`9Jk@Tosz zRvlusQG`@DTWQTU3Qf`JiXj&)#ngn(u>T3HOFk@VF@<+9E;NVGOP#H4gm4J$HNNuL zChvGrquNAxpXiFxlRSlBQZj3dBqV=kMkR2GD$@tCPhKwbo|ObeYA^E zD$$Y@res%sY00aMnwQ@EM4MLsZQt%EoKVs@nRJrqbQ*HE*XjwU&oWmk+uqqp zOG?c%=~=Ee2}?}9c*TI?p87AG>@_Pq0NWn0xj9?k0K(|r_H^*3g%FH_(*I|>*xVYa zpOk^$5hoXumS}H-tM+W+2$L4f)fYV=IYyryR}=GuH~XpDK3P&4 zJ{cyZ4+{a@NCtxxreR18eqxJ3hO0qApYqiu@-rI~wxUtCn?d*j0wiRwG5Di zijtD$#-qL%9Cx;&dI+U8&R`Iuno>4$p!+JMjubiYX7pu6l zpYc6Ir$VE-TDi=ZX!;$ZcEX0)B&U-i!YwGH0b7*OykqV|c-uH*5ry+LnqjI@i~QYp zX%#1SRbD}cm7IR=ClD3&Y=IcI2$p`5DEwD_lYtmaO3QC+Aj&u0)k~*yuzAj-Ulu~= zl_Y5}mnK$ta#~ayZrc0a9@YhCW`cV6v>9P}GBR?uBIm$|PE@rEi+Y?37ePU%ngahr zAe2>IZEm9C4y6mRc)3te=zP2~KOXehZFA~7T~knIm+hy1IBy;h3iyQN2k>C|#C6rI z4ILx@b(fEKLkFAZ97kg38jbZ!PFG6qa)i8G0K@x4#ks+|Q|CN=zWt}U z`%1p39wC!A(_P8xb;?N~MOW48D|__t+xnmF3YN8TA14?|H7%v|(f5)*_gH`ywOJC&+BAE$Quvc~VfM{RQ#9+K z??ni7-k1z|eSWy=NJn?PYI`c0oEeoA(!CAgKtdkBH&c9xoNJlC$Qx2q=pI74*l*r8 zL08N5;ifzD|H_<^PIWaF0Cyybs0$xKGo6M4Z}6jE%R9v*!_HJ&-d1^XnuVWdo#ysk=v3U1 zP09ufXY7xw=tNob2+ zBOhXN#ng^{jn=4DNRzY1JI&5AlNF#6lL=xZdDdmU_4|no-4}5$Sd2y}*K1(csQg3k z9v8nOT!$+k?vi908kW$*ny$rJmIUIGUR2p}8N`@Z5w7pE)Hp>tW3Ip3@ho`!1Mj5& z>`>er@^_)$e2Dq@(6nVSD@Azd31XPBwD&sNkAJdF zw=!*Ow>3>gocUGP>=NyGjFKyQs^@LDmma{1({5+YOAq=2(w0Bnl-hP^A4&pe}=ukqHY{+jJxv$Xm~U4lW(ofp+fa!Y%9m>3w3aCIg5kAe2%$t#SZ@rDTCx#Vr( zh2pJcZ8LNC)$NLT@(ip86)&7g^={Vv_~6_SlA54jJY@i=(GG>>l?=^p!DMM7-(g1(#j_Gpkq6eaKFRpvW?9yA} zS*PBmM}?bfDtxSh2W_)W@^e4FXPEnub1~wxY75ESKK^z&PdeE=d;172bqYZfAQ{6ywT%b;mdqVbf79M$u1YotoM_ix9{xm)l9inai7~?DW7Zc5kAUz#vvVh zl`{DA>zw14G#cSBZZ{HP91cSD8(<{X`;XF)&)nCUu&4q01J63<<$fBHa6lhAE>lPQ zeUgn{?8B`^(^nSyWy}+Bqmq;|0U-1^2!zz?r+FT$IfiW)Cg%6z9+PC0>q2zOpEIj3 zdP~?X#gXn7Kc9Bc_W1e;37Q$t2>jjtCR$o|IGhvI^c*XTX>r}%Etad!KAuYe+%a~8 zZ=xuzB={6J7Bep#Y65px)n?TdAZfdtR|e7U<1yyWQOf-K9t*HYcwZL|Ftv072mbB) z?6*7&vd~9r!|3kD$lt}|6iL{g*GUws)|QlQ#1YH z?q)n33-2V5RrR7)nsFiD`>+tg8>nM*+h989-_PzK71X`(_@zLEuHKBS!YTE<(r3IT z_zt2rBoC-+0%Tq_La@+eui+$y#+&p;3A^R@b#M0)MpQ<(+Gv5Fx!RoGUeL}zK9?f5 zx|(s;;}1M=7Qn|e5eKnkv&0b`SchO?<&coA0D-aYd~qnLRTnbCjsB@d3r2c`%aOmP znrt*5Ucs}DuG*|18|v{Ve{O}?T*&v>{awCnwXl*wBodVS$b}1)UVMTkuso4>Fqxqc zV3uJ2*R=}B=9CU#K_!!TZeK?i6$)X8f*o+Mj0VU?x|^h_Kg{`9k79px5BKcDwa_3I zaXzu{Ov1AYSHzErKeRC20X*pmljd=Iy*%nG(--~>$&_W~gwOEJY}(7zf^^9EF1`_a z>igm0q1b6(A$bB~=9tv~@^r6B0!Xb+rWV_$wBxI8IPUBzjovO26$^V$d~Cmi`fAoFASJ>782-3BWf*&ip|hEJFGM3h6Q>MBj?aa_ zn2(u6aE)XdypFMlFgYoh9|QlODZ&0e~hMy1{IekerI)< z-&bFa;YIdvPp@~Y&h7JOHqGj?I?wT_8+V({WiVFQ(5E%Q;f*(6)llf88>IX$7S|o= zNW#_V#VWjd&T;|wr)*G(2yL_aYN8oGh}fLfG4^fxM7=I#q}V2{$FsHcrAGF|gcpR- zp@I9VP-{6r3Aaj$Em%K4?L%Jj*kXpFkx%YJ2+ZPILRv<7-J!vK8WRzu{L3q+UYpFW zVx-Oc&c=5P^X{PzRi$ zTeT5mXVA6ll@(|R72Yi+HS@+pe=m%BO?MieIG-rnZ;X$MJ|VaA-<`w^QIZoGPR@7A z2qyC9MehytoE~*>)T_>O>{-hj(^)%<7w@Kg4&;M()V{(SEP62M%C)e4=is=F8rI24pq2Cey zyn`ysX2^t=+%LLP!SmKysRONhi{x&aRBwFq{o1yPXzktU>)XR`bZai`hSC9r{Nlf= zmb?3Jg++~g8YzPa1Ay~y)YjZFet%}nqd&3!&Q0&avz(W-DXa*pzZ%KGu_Jt9>Sks^ zywwdJT-^?`68+Hhvb%n9xIO0b{mjt-+v4+ZVnFZ8sm%lp+RSB1@-M_hU$3-AVAb^4 zNB!diC!jV1Xr;k5S&-Yz*q*nS6_j|n;!nh}RX8v3RZwn7!yd#0hgE zq57l?>G(o&?8t0=BV_HIh|P0?*mc^<+@hAc_R+jYW$yZ@Cnfi_1NV}D=$*>VcV&sQ z&h&2Sxcqq(#pvTzkeWY1SH?8kn$BJ#LbB{ijIQhkMky3LNWUWcdqp_rDL%8m!Wi!jZ(m|7*@S3}GqcN>DV%S#<|3}Z^-u2UMs3~9@%iH2|SwA^ndfGc6I||c5P3Jg+ zh1BPTAvsqST?w0hLx+v6r@d+0^9z{Ck&N$hDt9ZO{rSJsTCB2F8*MsECl}76LP>N{ z03H7l$-sW?BbFd>|Jn;(Ub}8{lHLJLf7^a7b>sTQW8H5(xor47i<27r<8(u{Lfj@|5INgJ28sPRIc{zLSM zzzlcao{(Gf(pAM3e12c6$h^gMCvAYk0l0m6OJhR#B8t(yr?q?dK-FTQQqt5pP~?8Z zbOBR3P&7bkp1WQZvHaXl_S(j)fJBl(CS;k9LEbJWQD00_tTx6sKVH8C>#n{lS15*` zj$!8y>lB|jPBERge4;&hP|O7&Ufe8^gX_@tcy)YSd;a&&51Ht6=pY->RzT@ zu4v`dSH2o@(!QlXLXLk(J|{VE=GW1ZBiiRm!|~)i!mwV~25nS|%D=rj!DcMfI-C{U z*&={K=y5`aKFs>zz+vRPpzDT~AB+XB4RX(^Tb#asRv+5VX6>favk>3$x<3O6 zXLnUl8@Elc2iS&6t)^ciaxxFdav?Ixg2;o2ZH!Cy=T>x2)#Q7>UC$?SBJP7uUD4N5{DXrP+^P#+Oz%f22Wmxn8XTIiR6>HO4g53}VGKi|1r=`<1VcoD{< z(Jv)2)!9kAVx!rbK4azUKVPl7@jN(A{I^L!zi|1aS+;r!K;C1N1$i#vXj4QRMy=ioRq-r0;P4 zk|&HXjFJMTL#3gHyw6Gj~VS_g?AddNX}fM6eZ&~5UV@#1gQWI zW5y{D3&C)N4Ux#P%4KOswNtZWEk4s=>SJBih2fIylgP!^tzi^!n`81tkPw3gTu+$L zi8AW^UgsVHe2fO_du9`ST6#S4-ThUo)<~woKNAwKI>^68!a79Ja@5(x=gid77_{=S zx?U;4??IKH&Dy)Jl;>P5ObumpmLN?y7t`uQdn4bE(gYontgALSX#(UX)wHt{yz^MC z8c@#nTB8OH8ba6QYB3CY!b$Y02?IH4sR+*l;?a@Y-~+8mRbkT6^MRv=$C=NDb7CrEqUJ(FlQ4T9q=@QIeOc3&HTR6^jrTsfsB-5t!N7X!AmO({DsNL0 z1d-~2D%zO|sp;J)KBJ$`n%Bsqf0KGsuSSkG=vje2UU zgIq$^ZglPC+sbBpJQ)f2WzQD=eCUbY`hd_m%l6bf>*&G22U8O=t&;=&Pibt^vEJ*=xJ5H)FlVmk%8WJwd<)S{=<7PG%NeKDQU! z9;$OMf&KBKb(>5<3ePI0UIq(-u{s=WTwdE~fGjuQ%az9e?KWQHB>L#PTo|X$N46T{=6!JXMDq-xj zulk$rl`M7!=c>B@%fFw6?tQY%Q)#zeYnh1}0)rk#g)jTR%WER6QKaVQCB@Qzpj^*?RC?nP#5?Xa*CFJmjl{<7ShU;RaP_+vnj`vW~&6xR>o5Kh(FZwQB6;C=qR2n4n zFwo7AMZnEL{Q!SE&-?lqaCF6U`Mx&R`tOB1dl;zRAx27Yk3f4Xhy>Z$vChUgjaXjn z)uf&bZh(=uS3*tAOsN^3b7?P)2b)6E1wDtB|MD7i%6;jEfXcpe2wD9W#y8CI-EBmn z8EIar<*QRJ*<-_uEvZ=K9AC9enJb34WFf%^-J4yeLL^5reW<>kXFUfgD|n5k@v^#8 zKY4o_iKnAB!HU~uR+$wfAI+8&5%gUzfJCGwKf0a)@p+}l7z)a){4UhW>Hj>04gf_E zPNObgL2Yk~hoM0;;GH{6XIHn=VX0nokd ze2D<9ET}P;d&yd0YJ-36@h!ATQZs#<^yDu0L;mMD>JUvZe{U=}aA55F=b&+&h! zm%imws)bOw>ivb0X?#TDUt?M2USvp{jbrQX*vIwr$2o#0#a}77w!JVZg>PBZIktV~ zX?t;^@t&406a<7)&qbu7k>GY8L?crb;j6a)`rXNi83w^y9}fjzmp_HE9Uk#dl~~*} zN$-B;!ANjLQ^Qqp!+0>*3WWpMKUZBPM?VVWM6Sbj4(ayD6Y5gYC~#5u2)lrF!#Vs2 zoI4`f#>EuZm>M6{eyb@gBu0O7X|TsRqFZR!t&Cp3>##m-q}RIKnX>kd_f|%gEIyY$ zEiO?%e)aNIz9osjN@FL9_1J>+=2)JwasU_ZX$`<3eI#&AX`dC8*6l#^ zYBhfhxpE;ddwfGa{BFc|GuVzgVe3_X(P;uvmM1mIifN+BzS7M8v8e4<9GGpW*jBFA zE|f#|iSFln^%*jc5dcXJl@Q0®Xqr!=FgT7qySLHARY>wUGNCh^d)n||_T{I1Wq zsTrq|gWIfOy7teqQ~LyyNdx{K(_JVMQ<_iNbjZL#+Z}J{rP{*htqlOwZ*Z?bhwpxp zOJ|RE+mG)uurrU$q`h2;jPpPZBL?XCX~++~6WW4_i0Qi2pWh3*j!Vt2MN8@wx9*mw z6tb|54yn{<9=%0(c1Jd;1AjA5a7ZkiR}RwwRhHt<#hzO3Ts z1vUTvzWs49F-g2>$DSyOuF)gmPjt^SJ8G|>5iGZhzB=0b*pN9I!jel-Y4L}7nZ_i= znEuZsNnoW%f_p0xorE%3(&VSXTI?=4oRT^|BX^3M*~X84+y}IypHzh9aZ}e>NZ+4m zc5n3&IC5Cef3U2Zl)&S#Vx8y^UGEGeEpUqVfIom!8$2J84co`HkWPa5iJXg>?+$4) zU%r(le=h~4vjrocsBboX+C{J!RUFDEGU3g1Y^DfzhfIu{f3|cVyF$l)nN1Y2_S(5($7}jYn9Z{kP&NL zJ`Ti+wccqd5wgfUPy+;v3$6fv_1+DEV*CH?J*r-{S0#9@blnsN)&C3gD#(1@y?>T{ zPB+Jj2;)eXF790u9(gdJqCvJ>WtUEyG9Ou$|Le#pIkuh8@lM~ar8{gO37mFb;X4wh z(m>jmfn+v~LucA9Zc(~>TD%|$9bL9ygpucv+Ax;$L9>=f-R8&1?$&q%1+Fh|Mn0x~X1+la5ZpQmSLJf;_Qw0vuH3a!v^^;DLX=E?JVkZ&w!b3SZ1U~6cp~oU zw`IGdN*tzG{)SjbV>i_9{tt^VH?lyHR^Qx$uhYj`APDr}o>3<0FVzZnINY))?%X;Q zrgMvZIc)+SZ{l-_QL-iAXXC{3c)RO=KjrsY`a&`P;}6Ch;Rd^*Y+;;tjC$;lJE^#H zg=<1-A(fNztsK$)D(RuQf|nV|eZ%-JJ&&*u$-C{o@J3#F{PkOA)qU40t0#cIH7<}a zfg>&lIX#Uc<|{8LK*@de^yZ04gUw@>CvK!wqJ^UM@%Nq?U*}CmiAVVuKI+$!B&R7q z(<{b^lDnA>AagQ%e>Mr!v}Cs;M?PIi$=4A+A;K+4G}Qi2ZKrCwDAHiNTb2|n5i%zA zFBkp5>)ohYBRLcyw{7hg-52v!u3nE8t`_DNq2C2G=k#kXucNU#va2?jj>xZEPXsmf zxI))`ZAmQ|i8n#ah~8{ce-I5!5>iI3kc3M$2HaUF) zoo6@s*KU7pnJjzM7~41_Sw1fRTY<=zkp!R<(uHcP(wb@#N`B2hxggQ-rBgI6QM!E`xtr7PY`1DvhpJ=ASZN`%S_fq_7=_!p7@blC2 z(A)81$}|}tWX=^Hf8%Cozc5|U%aEy?L}S+ARjFis50d4dcF(8MjeQUO_%4PrZz8qr zw^Gq#_o1W~PJ>JgAStA`-!7Y(srYoU6|4dB<>x$Bfgu=@GSYhvYk4cOkzXM_`Q+Wn*Lphu0MOfs2vIi2E4r)GPv!c9S*w1Y$@aeW8o7!PK8e3JS^Bz zYoc=+rEK~7R+3s6OuHo+)6@X7^3z>ICpCAb-{;R`{W^niPzo<+8bSw-5qTjI(kln< zU##h!3Sg3#G<`{1)=%EJm9S4G!497d?eO&7a*|qB&!Sr{*lpXmHmh>dE$<3_4fGC( z4ROG{eMyHL;IRb$-9tW3Ov#4wtz-+=i2JRT&zt^;+53MO#39|6!6p5k8?Sr=g{a09=TMw z_ZgX;+7xFM?`q7cz$sy(VoEmh@-lm{cLYyZHU-N8Zx6(Q;u>yDLZ1r#F95&7A*(>LTl(a)KZ|_O@0GnX2w_@%@%|jJ`Pu~5V>^N{ZM2n0!r?P%c8J!Ed7rq}o zdNkrl8A(51^5-OyA}Nw0=~tByUIiT^NeIlin*Y{>TRq_#AWVS<01E*k!n&ZNAp$cT z(ul-nj*mV)Kmeg0j%=sCJ7Zu8s3Iy5pvnv~0ZK|w1QsHaqFCiN4a&q2)S}36kXIT2 z4Nh@@(x4G0pb;PiPzf1f`t*Qh90P;&I06#!hVl&v`t;O=LK8t?&r$-P?`HV9OfP>H$2StSN29yEfO3(NcbrsN#aj#3x2o@t%qgN0FEw`T_{KcsC zT#Ceu;~5sk4&^1l6o*gBM;PpqGlB&ungU(RXRQ%2FZzpU1DSy1;JR^%aR5jn;HG>8 zy;IgrG4KoEMBy5Yo`fPB2VJ7*n2?*XQt$}KLx4)#5UBDlFs?XKBJ5^tQO>iTOn40t zbl@Ct*dVu@*QB8QvE3EYZqTc;c*I9?^#9m94>+r;t8XJphhgZ5VoRbXYD~`?zr+-c zCGoYRD82VKFbq>+8Z%TWc1`RJkRrYJBE9!MFfaqmFmx zS>9T@OV;l`CWeCHWv@CX?`}FF8*)@oIg%&G zkLD?@K3nfEJm#GWn{y~nW^C9mgJx}$Zeuq|>(QH}-PkP>H*TZ6K5n_J9XD5wjh!YZ z#!QlKUF@Sh9PVlWTQOPMs%t<8;2xUnY4w zckAz3W>KN!6&Cn3n=a7IQ<@#SyyyMB=l)-rXK=svUEap6k~e#?c;a5c&^?bY|Ej;7b$1Pg*<+SoR~aM&Q4z>2c}Gxci+vDhQl6^>-t_V z6=SN%)$+$&&jq4@L_AE06>*&>^<-r#plpE7- zlveMxlKFe)%i*(!W$L!6()^v~a(&A6URljc*=f^{zw)>R!@+ZAZ=P*~yRCdD{yT`V3AMedN_gWX8@Jq4NJU@=u{MYjv+>fjU>mT`d*6R`mLW`fxTZ z<7DeY_71#_4Ejo)D_!Wr06h*blQ!sjQ;cK(!oCMQyBPhSpZ~K=+%VAsdY0~7Y6j_x z(rerQ^xU6h!uknTKKrTe?{zoqtJI}r`um@|U&gN+Z{?#f2ZO=CV)XPi{jBu&j=a~S z*YcO|UM@X9=xOwoyHx%ny?(!EuaGt|)$xiWQPWtq}9sXMxvtf*l-TdA2rQxd$y+bUtec(T1fsr|?OO&U0@&Wh*xa#;_N&F|0 zzw!)bo>1&OraXL|V(A-8c1fR)HcLCjSg^LMV(y@s+hqFseUhVC49dlkc$8wS}PkbNO#g0WyN<(5Rl-tq4}_y5Y&!|vBQ?A<4M zAFq+TNxEjnC_d6Y)=m&3`J3Os-Wl5V4O={n&N(1?E7y7Vj?z8I`xEW{!`eTG)^3qQ z2M@{dyaOV8*NCXBBHQPS9Ni;laqif=Qewv@$PZF(@#IN|O?eGBmQZ8ThC( z^tv}ehDMeSjy2ChMh_kK1HIOtx*?-uEDs*`13fwbbOEMM@agFvbO7iAg5yiu*(Zb! z`w7saW8gH_aC!`wc3_WtyQtD5Go$A~-w~9r87uY`=ts=yIY7@F1kk@QPlCxbH5YL< zilj)2q)57ylW%VORwTd4->S4{&mI{yYLxWr*H2<&VuKQGm?-v=KD>7`a$dHw%r2qS~rCU&)-FDFdbtumf3eg0x_nY^Kj0Fa<;foy`_)%p)woKUqb$T|Hc^fOtC zH%lRt;0VigleriVGPDH=K}TQ=4yF1moNduzQfKN;8wi$QPMCb@%JTy*IGl!q zjd%kY2i+nMCXG)r+0gWb&{94!l*-fe0dGZR{*ej#;0++?5lF~uye*BlVIku|n=(Gd z`e(qj0ah2rnzxA2KISxYg@9jdo6Je*3APM(FeV{;@`e}c%z>@ezuNX1u{EUGJIy3z zOo}w>+DNXef8B*ZO6CIkSoGtxzq#l5jXjz-uh9QPXAHGDj(kg|o_`+xXPcY$46@U& z__=zks&aSBUr5j9jii6mj*{89z4UF=Qc@bV@N$z@lG3)Lr1a|Tt^0UwTc@s)(!7nN zv~DjcJ$f4dN=ZwVl=L+HBHC-}a z|40Te$(Lcf6)z5Zm@#ytNcKC6B&~|VHx6m=|tz+0%|4!1qQ$n2d?LSb`-=8D> zmljIa@>7!j;ZnmNeoIj-vT+U6rElL9NmG8Gs<~G}iagydS^n5AN$&5EEG=UDN zNlQcID|JtU=BYi|v7>ZW*?*9^{M7weS5}w zb){U&;rE73CFO}G9t~?JR{MmtlAz_b>7w`R7-vSCqAO(W!>dC47cBULX2l%>HG)p<;AOATy^If@BA z2Oq&1Kf|sHLG(L6_tX{qULcl0kZHFHG9jxYUiY}pJyl3b=9-pN@sFU(q zFpdN193Fu$>`fkd?h(^B8$I|=Zt39{ekvT~5Y#iWmoeKVK{@mbK_AqO`}8^J5*aJ> z$sD|Ahd{7*;&<>JEae^_Tk$^upTSaWau!4bKJYsjq5X)yx#epujfTAjel?6I_gTOz z&j%aXZ|J@3J=(q5&Vuo2D}F?SrVp}UQVwVcVKsXJ9S8O$=y7QqK_&#%fY$`Qx>n)fgK^dNvabVk`3)Q;XqUbZ?!$tKKu+dALB%$o*+=Nwxc7y7 zCF!Fi={%sb{OlJ$lgmr#Ua*Y*_EXKYn{lH*wO`q%()VCEWr3$;p38W1jWuWoIbfII zUT1^!bJ^Bqj}mNv~Fk@RNmC96qCNo}O--eGBDUElDAZp!-{FM$to4W8Ipc}#-h zD}2Ln)Z_JrrT;0O_if7bq4ll5S8@B5zAAskE5A-kl=K(hmO%>-S|H`%`FWB#@OA0i zq_reJ^0=ft*3cWrHi7+6ijHG~hpVZtjFQYb`z??%bNV((eeMk%WBpxmnzF;|lj`GX zVseVK>X|Hm>X0ONE1ov&ks>{l6-TvxX=z}oKlaQ6a)Oox9yRsxr=#x2m^bcsXt{^> zfn`Zr2li~xfro3M#_HxtC6omSPfkOtFhUIV>R^x1I@n`yr3@nNuPry;1O6vhR?!V zEvS>R2;dQZAN198bBbd%hXb%5GMola=_Bq1_xP^k27ZF0;3;oHwDIP5c)xF3cK5LF z;m`rblFT7FraJ&j8BgtZ_8Crh0mgBN0Wv3hDf-O(m%twCuQ-VfmOTQP$XG#7J2*4| z+_bquJIPun4(>r8LY1UTqzfy*i+}fXdlPF8r;VgYHv3M?{yyE+W%JRF|LR7`D-7!@3H$Nx7AQW44#{^mG|JcC6&&d{MV`DJ3(uMbdvq^2a2SA}Nw0=^K?w3Z&#zwpII9 z+2)uaJ2NIBxaejZB`ykAj9CP_xwPxZF55sGy?c|NZqVInD+p6Tr+We=QC=ZvhRRog zhtppW6nL8k!EXo@2nHsUARuW*@Iu*(0?--8NC*fBKL{0O+(j{|bwJQS5r~p8NRJWm zFN^+S0;>tg&};e7J0UhG{Bn{R*HBs_kUM(bj>0o>CgoHgmrx4xOr8x*kRG9ux(1DK z+)JBin+cq>SNjD6F$QCVe*zU;!eMs8nh7STTi^l$k>0}?VSGcd;vR&rp)-ft^Qb?k z=KUn?C!SEGLL`Pt495tgIOMv-iF}MHMnjai2y~p{O8w{`gu9YXSwh*qaQi}gE{>%r z=V>p>`QVAWZoIUg-hfdERTE(o~@g|r<389~|Rv=by%C`2Lt+rht+o?~KV(3Al(U{sJs^;(mb65pY-cdc)V#H$b)pO|2dLy`Q| z=7fZJN!Gqc2^t&MM;djAkvpI6BtLwlo!s(JTlw*$ZROVuJIG_LyGfUr-jd|gCMI~} z727jLdiLlheN)rq^$(}WqCLlD%{h@7Cq!P{Et0Y9q$G`ADhWfL(=qO&f=VxmPfCyk z9rI)ik^NGncVdz>?;0!jH0>-uf4rUC#U?e8PU3N3 zV@~$#>(N2eDL~V*txs958ZR4|%KJRJ$&fh${ZAWcy zPw#o5HU!)9cglH+x@&IIT>5luB5_GiOTy4*GVuL4d1>wl8L@DLw0gIVd@uP%Pr-x2 zr=4a$_|f=q<5KedzTcOwW4g+VOJ0=c7dgKJv&=oTKbZe-|+Q@QngoAE3a6+w;`=onC15$K4bto3`XW$@g= z^4Dd5mA5|j%Hc$E!!w=<4r^PXzpejmMn7oopknkb{@TJ6y+L{c9e*<5C(?CnR~a~G zpmcb@gWTHhR`2;J(NI=XA6HlX`Po6FvmXXiXC?cZ!KwpI0-EKS=w5 zw0NV1VFox&AENA|U$0BL?hEwaPyN0$f4#Y3SUCOlN!NdY{^r!1zd(E`tSAqo;3bzW6wysz*8VY-|5{>R+g;`)&W_^qeib9^1!nX*d7Dp zbTAQ!Nk8)z%;=bCYkRfWYV!0OPs@PW1ElNdu5wF1Z+tl!5Th>R&RQbK$qdnfQw48` zzeyUt+E&shjg-L?M@q)q%C|=-hW2f&{7Z9VUFXe}A9hpzkfgi--sNzWzu`5?=S$>6 zk^GfqFte}nd@!_=V(I_3>?S{Zyo22Ga9g=eGuU@elTOmCQ;hUh+yQ67W-vNFuDA5* z*-iISy(DGG^O7}bjSSr+^2|Pw!HTol>lJI~?32EK9VZEC86M8Y_cm-u)Oi5TCh45$ z(mP%rZ`;E#{l||g&MMaaP_g#T$J$Dx1}!D_$)=L>WMfHIoK1K_=T=jlXPtE4rh5a! zE1OFtU@gBnJaU=8$=m~0w^DosL%}olf?zjul?+W!r2&JX0lU~E#_8ViV$c0YGWT#V zxtC%)WwHkaAHi3Lk)iBkC%?7O{VbSDIlZ+n+jr9I`g;TI7y3r&;+nRRZmqjZOpiV? z@|ifc|&Bl>`Efg90L!AvQQA5%0|dt80_F{K{?7LoGYhp zm}-0oc?DYJ1)MOEH^PJ1kV}wHFvte!F_0k_1>Z#C5^@pp7eS85#mGCf5&4Jb(soWl zb%|3-;rYCg#SVd?E!tKxjlH=zB5cBw}<3vrAJ5LY z=$+gi93u?Mw28g|&m28-nm)5JJ#v8_JqUday^WDikJA);F&w8c%!i*w90{b1q)3XS zZ)@_+ZQqLIH~CwY7A;yNEnBvfTD5AK15f$#<)wP{>X97D-}oF|wW?Gsd%099cZFR4 zgCEI5y#`6r>;p1Fw}mep_TG-t`=hOLZ@Waf>iX|W#WJOpwv1G(7F}X_UrDZ_xl(nh zR&Mi>qhOc54r=HTxg?YGe`9Yaz=uFD0ehmbOD%*qgI|#yQ_~I_SXA z=b_h8dK}`}??Z!)%bXf12M2yX7=nXd!euq~&&WEwt;zI@(CdC4yAFD!@Ha-XmnNvf zbdNZO`frCY`BU#lCO|I5-bLa7ALK2oXE3->=?MVEkrf$>L)l0ix?_Qq$Q96|6ZPMK z!NDll+K{*0;W*e%Eszpf4tlM-(QEw(I3>UYhgcFaBziuR6Ohxmhfl2w4y^Paj{o0F z_@3O*=LVC3qPj$Red+p}vT18ePbNX;agM*(ZTZ{!#t(80(Q{4jVVsbCkZml02E8ma z^dbEcq}TpL=0aBI= z%`(V%$cYoyPB7gVrcxYl0vY+Jsz=Tuk{ET;;}^dpX3eqvj5!&)*X&qyoM^!6VR?Mg-mCBbf45|N}o8`ft10{J*uB0t4lqXYP zmhas5Gs70}2weI?-TWM_xp~E$3ZEC; zXJu$R`I|ZB=>JY#{_Py>@0!#>pHH7tXP!+RsEecFI&+_Ep{#9#mbFt!zeDTR0&B#6 zt0>jWYleota5UBQnY#9>m#ZX|>noPtb(vIAe)iB`AC-w)CK;A+_!(~~GT!a*NqGP~ z(eYn+fdwwG#^ERMY^6i@$XoqL@OJc67C?cWhjQR!egFUmSfX=u`1Qex4-BKgX%3d= z&^CSx*FTZ)IU&fv0=IFl=lRqF-lIGh{`ugu56aBVGmW0Zv+>_Cr+;V(KmZ4P-i}`d zb#-_I2BUW~K7J9bMrRttYCH6R_FV+4(FJp`9*M)?cr&r_Sug|4R-Oqhb%Bq91MDSu z7VQMXt-q;*!zI1nVKoOIka)W?dTGNv7brsAp=V#C?WG?W7qAhmwu9~{7do;l->2ty z@QAVJlX}|k&_Sc~gZTm-^+iXHuA0O5z$xk%8hbvq4++#IU<6EJZ19s|yuml##%AM5 zKk^**SIi;(WDi0>FK?YHNjJ$sam)8CH$NW&DCj%(3+w}JF4E`P-(17ThQl!MGa~?q zdCjx2;RfGQ9ZVb|%f2WS2&?^dd6&!W@Z5S0>q(Uxs>o%fE|XGG`r9ux`|Y){(_F4Y zxlnz09^=G#<1a*@CUcu0C=MsIHZvZ~L-3e`WED>@YkrxO`$JiYx>nbBMX*%Yba|hD zu>M)|@D2Ef<2gZI0*`Y%#`qAtP;&%J|GgaSw@|-cz|*LzQHrIdrD~PR^5eT7mUgeq zlwUpG1#-1&il4f_@~~8KRq-G?w3iBuy}O6+%$JHKU{jFR?Hias z%q^qW%zO*df0>zbUD+W#*UUAC|9mp<9X)%25RUrU;V$*1?rz*%Ibf(^sb**?kM@!) z>i060rE1Lza>dU}OPQwS)A#^cc>uf|9svJE-iShW zV12_+;nym=frSJ(lE5!yJutz1YT!HYMPxkiM$09j%=tC)c0_^*kyQxt!-tT4I<~@+ zY?bgcWQ&qyN3a6B9rB+$)Reagnk-R*?1-F3z$180f=A=WXuS6yz-sv4KZ4b(_O3E| z+6C{ngKwxe8J?-M(OsggkLfpLJMfG9jn-i)V?x>5R&b4Wad?5-mtc!U*0DCyR{98f z?)CXH{R}?``^?tMb@~Guo&*2qZ+#ZFOUg%v!+r+_(FfL-)ZLf8z*F`@$aox-Lw(%a zOAUX)QtARmC_Vcr@B|$Lbq0G0I3;M`%5-}eABU`e^tsr(!JCo}+$E?I*%8~JJFE)4 zq7BH_Ru9Hf%VN*S-VqxkcJo{N-fHyVTJUgk!==JYwrVXw?^_j@y=xkiy(_i!fhg$HKRn`rAGvsPJ_<;5^Cag7HPJnEx zGHRKJ%1GtgRA#ABO=?uq^&b`BGm#w0Uqg2CGnjtmHP_2sEqh6?aT{dlc2D*mu~#Hz z?qPW}ez<(+hd&R=+R?hlD5<<}N~YcA%Beh}`=EOD>Pg3r9c9Ie3;z0-V#3xIN&h9u zACpLmq)3XSOC}YaEixnF6E8jCosx%gO*h;am^e8UAtNXpa-t1NSOf~&===0+q&ek< zSM>*-OQGk~yM`|}^ym?2RB%Hef*zp}<=K`)TkLceQ#w+n3RWnSQHXNVPf)4Hn=LSG zp%At=9{F{Ep0{zlz4Yy3iaG=q-hPN8+5||S9)XXygK%<7@a-Vbql_hB)D*0KeNfV& zw8TL&82E?4f{=p%YW4RGetbvhMS1CjWD?(blLro=rZ7i5uuu3j^N3>B$UuN z@S4DaK&XN#f(-%}!VdY@*`5Is!y!s&oPQBQL*=W$hhdsPnc$m0=zolL2$N)#v?yy4 znh=grsyo3qm~i;yWF#Dl5kR3wutv#>kmVBhy7IY>aEH@0f*=AK$`Ic85}sW7I89?r zCD7L9sJ4T?MF6KQ!MA`gPf!BWSJrj}bQSLCAC%h6YXn42cj81JjIS7MQAU{Z0)d?I zR-uskaUw3p3usC6()xm+10UG)GBvRJ24#YmUiR z{)~G~FITNmU8-n#74#Wp^?5x1GJQ6=Z28JkxqL;PM-`=7`3m}}xq|*(sj|+e;`RAL zR>3GV$NXnS>$B_{ztJA%BIS|!&UNUaD@Ic(@LZk8B|Yz7&9tM6KBKah9m>JK^}F_s z)dhO1qu(yq7Ar?-N-BqSSVnVHWhr~3@`!s%N$t+j((%2vvTFYdIezxIe7HJbKtaLB zC(a0N%`0C)F~(bsip~`o{ci{T)|6Y|on2SF9KC9^cfFflfB8vSv~!VN|6t_@-pO;6 z4Ug9HG1d{xz$u(4(=f>0k$s0p15PM!f~Vn_1W&_J1|uT;9=^s2+jgQUb)-yRQDuA( zg_X-FzqBovwY^Nrb|@>?$6s&3DJ%D_w7QL5HP%k9wdc}Sl$A=)bD_U3{yGa#L@~y5 z$FCW0*cDFCo2}~hsw>yTU1JJtI~CnM-_?bFrJiK%Q|feWpKC2R23`(NM;U33Ox%Y8 z4&}9$U$Ij~snfHLl^=xyocpz{obU#Q(-uzH`{Ofz^y)+(2HT=|?Tn4!49^PDQy=K* z%K$x!LJlS1?NL^@jZ@{`VfU6uU#5K-3ou1_2<}18{^`Eq_mxbq`wf)&1XM78pl3hC z>HOB_i_l|eCLjlTl;97H)V{h1J&IQXNCe%6**p5giL(6jeo4+1?WM z&|rPa-#R|vAmxW2r~qcO7rJxMogS@@BYUL!arLEo_v+p}Xj#fm)?+>~|LJ4i9ANt@ zu$lTP9s9NqSC7;EL6LmCce%8Gzn#=l%;p5dvNx8tbsb#}Y*iirUkLHKF#Zt9UwZ~; z`K+Qmzig$b5YB;lU|)sGQTAKaz&%D^NwHILwo-+1a?{W6lqb_(mDI(BGEy=2`CO5~ zn?<^hS}nh8)y z+yhg>u>T9Wx{pc0xo21#z$UO0ET>E`FNk45njjwkU(fw#GG&GKpaFa=mfdyD!FKb> zHR|QspmnWG|COpLS6mS-cl=LPdFz!ZId{B@!r+}9fRK{EU2;#Pb zci?gGEafBY_c{F(qv zf;5r)a6%>!kYHBBXN+;$KlC#}ix>cd@+Ye{O-P=ps!14$wdK@>7QAL3thh z2M79~-w4t(ztMw0&$vfYBt=prMbd@jo7=t>$#3$vDlJ~TSlYB{V@CWkWy(ku-GA1u zT|1H^`5T{W)vPI1E0tFns)Bs)hd+~tV+Tv(%p4iEQ{=gWB15-{#7@{O_qR`yYrl81 zL{%)Ov=uF=xTNyFl3ZPLRn1q{mdZb_Eae_6C)dVbD>36^WL3^8JD>zdKjbu%PtYYf zXFD7k(Gzja*B7zJ#fcTYCl2i9_=t>#9ub-r-oBZAI{HK2LP%gF4uu50kvI^O!$WZZ zH64=fow=XGSkce&ThLyI4QI^CF(#{E!@-#o9UyhE=ksjMIR2xr!toIOBeD<Yz4H?gDn(XhjKd?t4%kahx1(CqdC1z- z1$mF)2;TewEpMpccj{&RgU*)w(8H2-d~wW$26_AkDL*i0S?)D?hBmk{)w(dA{Dypw zgD3RV-yB#OKgvV~_4}1FXd7iR2P^=WxrN?Q$2zJ@l=TNTVa66GV`NR_U7i)1dwLJ; zCy zgEB5QQ|6N$D#QVetP$GtL(S!NU0haLs^3ywu5Wq09ddVN;+0aNlOHTaU$QpnCv+KF zH)P|OQ888@oSD&glo&|aL34W@gNCK0@-Hh$&AQQ2r%Fw!Q@xI^=_@tYmD=!^YSq20 zJj&KR*J{-8u2tu{&x80qx|-Ck5+${xqV+eW;d&h{&+&{%{>QWHw;I~7Rkc6q*UGx* zsjQ#+y;}Kl5>@sJsaO9R`CX$<()FFCGH9dX#R0JZ{M1DS@>J$)a_evImTJ|bC8~0H zsad1CVMcVN3Q|=uGWiD|IdUBBd%g#KX z`nmb8?WnEousK{eP-jO&J)xtHF1z_|b=7y zCHni-q}(4amujsl$|KMINhYivYq~^iGmpR6z~f`^PxxNYW){Fwf;!*>=r!Tp90Y(( zh_^4JGqi)X;bBUTP7t08#=wj5BS8m??h#%_Z~aVBU8UzGHQ=wkYohp9lDe1Vb&jhu`tzQ5tN|<5rI|Ju)^qbj-AmdRlq35xj+7%jS@9bf%0g!9(aQ zskiNQz*6X`gMO#o1ZOY@!hMijLYK;6h_>GVuXqz0I$i3hWzo;rA9))z316d($r+(> z?mfD<^(A`k{RR8Yzb2>?=MX*SD{tn!qJ#D)>w~g?qnWoltLR+$%F0sz;riB3*Q8t{ zQ9b-%Df-^6BW#O-Kq&OO=;sLnL04?;_Q%NX4FpnF_(M6Veti|?gEgcs`(s_x#uMNT z@C4R8_z5538oYsPc3r=7Ey#z+eCL|+Db3JC@;{y(ma*}H{Yo*xJ&zO zU*N9=$_GOooE?4SNtg65dN7Br+ZN!ka01weqG(?2fg%*D_kGGyMWKsC-6$AzMMqJseoW-T~Y-EC(NH z7g(x&iF}Jbg#8mfS_Gwn=@zKy<{srMrrRU6PSF*NQ4Q39|%YY8ZL2&CxDI3yuH%hr$;e}fQRxn=y-`hNpK*- zf;nnpU{ZPn0p1XZu?*uBWul-op#-5qX%N5&ia=Nm8mLkEh(AU(=IP8!0wG~}GA^auG_U<7aVFWe=9NOU< z1{p6D3n6Ur!9~r z=$Pt+M-15(Oo?ENV2Z%6!X63?0!n$a3&K3}kG{1Ngy~aXkVR0BS3!A`_Wizsee(R$ z7v)#Oel3;SL`nHO%S(;=DjZfuQL3Bkpdr#JluRLn!Aw6<966eZ67_4zC_4G9SGTs* zzp}Pmt(m{0P<&ReEmids!y?yEjM{y^z*SWRuB)&6j{L4!jC6c&rDSYSVJk?=n}9nBagF;Z5Ju4&JzuFnb8qh1}U>nkQ}IR&LD zDN(FmMCst>5K4WNlL3XY%{S&Ba}gS+ctx3sV$&Hp`E*Kup2hD*_jjKAuVz~pTGwKV z*5G$tA3?)JxboJuh2O)=Ay?L1L30i5Aq#=00B?Wkb)U9C#wng?`xmrZ^?A9{d4!QObgmwlAQ36l*BVxQ}N! zBNW-M4}Doof$A$-!6Hrur#?K#g8jHBXw2f1av2{mR5NWuAxT@P8+!rv8I+Hs(HGjH z_cJ~iR(TeIG8jTjr1y=J;3~#RFp>QZPBq|PF?#CcjG2n_oHR#$mhD<*j!MweS0&N2 z@4(rM@|j<}T@(C+-jusRdi^AL7DYc8iqVl^T!Q~EM$g`gQwk}clN0|hdiHJ{lHtlH z=$8IruV997ohJm%S|91$q-|h)_?rXRYjDT`&$4!-Nas21OW5b>JjGcKsuRYyWqAuf1H=qmGn&ptMxErM#_cTi+FZOf`N7uXB7%c>?_5 z%jR2={7+|xvvn1tuJ$nwtgG%j?2xPJcj!VmtGPz?YNpu4sCnxhe~_or|01aikI8Vw zSd^OtQug>@mE7I9tCm^ca5nn#(ozfD(|G{S@>#PA2O!iiVEOWyrU4LN_jy5ygVlGG_>6(cGscHSX_ zroE(l>3wFf!@y+5Ja~bRNANqGJCRK=0K#JpSK!(3CFTF{G326>0wIwp!CwN=96xus zgbc+g)&$4}ohfky#<;{M4jSBxJchvySqLLqNkMJqRHX6vrB?Ym#!Z3( zkw1BBB=k6<8a;il7z*aImmvT$7_^9N#h!#Zo17b<2NN;s2FC(=_9QrsT9Bqs&v!79 zIs}6dk#pI5AVX57@q6f1E=IPdjX{SUo`r19p2wVsq1U!jH!u`A5Lw2x#$$a>2Z?9St&@59)r1xUL$yr5Az27N9Z7*0KL6A zW!A7S$i=3SDIq8~k|HURBI(dC3~HK(dw=NY)0CtSy??YhHL*vfi5^S%ZfuZC{U;`${VB ztH_y}(=}&nelbh3$7DG|2~t8I?GiRe4(v&pkRrbs`Wq?LSC-AN3z;=maLB5Br74w=TnNiF})?LtzPfcU9u9BJ$}$PRkFIqNLI`C zlGVPeWW^_X^aBSdkI4Qa|A^#^XZnkN<2(J^S^K$#_G^HDBD1D$_*_sC_zaOYMNqK+s_$>KT_x|!=hrZIjRg9#y=pcjIcb0*1 z@%lWySNCe6vFDS&2kG1j&I#~?>wHg2@#YkBh&h+6e-BjpA=*Yq^Pk8A{JLdiNLDZH z(>9$Xt93_jp3@GVPp01K>5|o3+t)$s*Gk8Map9ACQ-8{#k9%r4t+Wi*NnC>0&Y?pT z--jxO50b1@T}Rz|dSls+vGng9s_SoPtkUWAm%B*+4-(8bqv5Mh%Z!tJQcj9F0_~68XG4ctIrM;|hez!dXU()zkG!8PUy_DpbR=BAm&{G40@ zyYbyIT)#{6{ob=U+?+W?5M@x$NdPeAp%H{9?c_E(DyqOn>=-DfBDPqR;pY?PIWKyEW+N zvaQR=&s%+8I=6aU2Dk4a!{Sn9V1HfHtZVo@$d;xFzDF*gX-b$Z>qfJ^%R`YhcUtvs?7iVZ6zxuvp z&D>$ZkXZ|I6`48no0B!cR{WAU*ub?dh)0wMJ@Oj#JQrNXpULqzht<@<(St)^DW9~*4yN^GM{H-* zn?OCoaP3!oLfA*pAM}Oo1stCRQ~B=u?ed-HLkB(m;QAQ)@>a3W8{Kq(ejr1K@ zij0ih$H5Txd~g)GOmQ`;OO(;$UqjuU{sLUH`fAx6CeA*BI)JD6+k&ac#lav)m+&9M z7HWO>N6q(W*0$h>!-4Je2{v7q_)ed)x8rxli8)ChcYa6r?K)RExZfpkm}es^-<*E4 zw@x^epqggI(krW7DUDkn4*v*I!G^B~2accx0# zeBCFYqeWMX?2SAOKV9%OJ(>Ns}hY!Gi~VPH|}_Y;BSBUy}SW ziKIx1q)7V4rji0FIX#DyMcAD4wjdLD5K2^VAb^7nIs#WvU?czy0RqEeG5S6?*ybJq z2B%k-IKdO46hSsbubXs)6@*NFW3!L47$F8h(KhdzQ50juL!jUkGnX)qAp~Mb#L?9h zqAH9)j}jM!VesTV-hzUVT^dgjEDH9F3g-j&M!g=^q48ghdl{P++3S z#Av6248}KvZpMVT_vMMd&y|p+RLpvNJjTwlF_%HWc2SZ z8M=wj$jp?CjEqSBigRXWh78KelxGI0K%_!Zm&9~=s9T!c+bK;N^-PzzzMf)yfd1BB zY3-iW6v^zDBpKO*B<+n!(r@;D>9<-WO$Dz02wlrgNdGtIN@j1RZ`?vM8a9@UCaom1 zd0WYD)GBjKB!2XgwAWO^7mW%;@&ep$6 znkW2ib4Y(@zV(imjP_k5L!Xn;sFglnb4#6jU1KC8DaE55I6yK64fM*3)A`v}=WO$K zlF_M~WF#gljg}dDu4c;qGUlh7>uz0i>h7(D7W!;HTWhAS{N1LbWc1K=#2VpV=-cV~ zqMn`gzGQ6+*Qsxp9$zd+`!}Ph{@u2tWTwSR<~ym9F(X_0&lx2Br)L{)edyT-jdzkgL^W!YpqI)Rm%!MuW0bP%;fu2NL%2!=IU6}mu&Vplj}%X! z&0mdWHw_MjJ!r%?S|bbv#M0DU*tEk!huFh7lH?V8B5@(stloVnF+axBO4$b3sQMTw zB@u^Ek`4;meI3E2aZ0C_4+&~__;IlfVJJRnZC7MGCnfkNzL#a@!vp8ax58Kgd4#2& z$kR$QuxBEBz{X+~w|Lcfxzl8WTwh%Eq*;M;l4bV{-K1c&Tmbps*V=?#5uVD`S%gvv zhDYi6z$~jtEEuHxBSL&0X4WsEI3bvp=MH$SK_g}S*C~i)%H}IzS}-3XgO)(C{<1S6 zuqJ3}C=2<4ZOtp}H~9GW#nxOT)I;MmqK7U1oO6UpX%`~ob=h|LPH?Atr`w7-nx$pe$ zKmE?uBs_Bp<$hqaxJB>4>mvTof!t_&_U}x~)8kY0+jy)a?OHr=a9j3R*poPG z&mvbYxjVPGTG3qR&KlrxyO5T0T5PEI&H>B*J4BG!#oKsUwi4wbsjA2Zb92G#ZG`9c+#m z9x-3UsL3HF+nhH7S@E^FMPz>QL6M`oMB~UhEL++edJockq?h;R61vmN)0$vK)ua$_NrolOU<9!9Mfy+O6%NHA=HqEiwVJRo5T_7P4o7= zakOf7asUSf^5N4#5*RB^>=ugf+GbCP3`tUf016zfEdwNAW+mJr82P*-z!Y?kH=TSI!u9|izSo)JQAxNl&T7V zZXtbd20ut05>_6kiqq7kLXl48V0!`yjo%WVssp^{iFn#=4V54WlL$1fe1y=dq}xHu;C zoQkePN$e=rK=8MV+%xg-2b;v!LNUPUNQ!)u{oR z|G>A^w-pDwDcs@Hpl_Ri4fijsKaOIJzh)CDS>L`)rc*V!jUAqD^fy{;2`D1To9{Be zY_!h+IqBoGUnF&HcT;?~`5(_{=S#ocPM5L(NvF z3oKf7DE|DFWMA-G>3HT3F^v+(`_JrXo`id9Q^S|~ZaRT^>v4XYGT3q=R5C`!IB#v2o_MIsr9)~ zouexycq7t7Hpc~rv2`LtK*GknCuQJz)3uWaM#|1gRe=n$;Q|wE+q+^R55G-GF&W=l z@M@h!YyznxmA2Ycc}?xSKEGXA#e5) z#?QV~^FG#|3%>jKi(oCNEM#vYAio07(Vjc`2Tpwl|E=Ryl$ez<8`4v&KJ{J8l2Wj- zB7V8!N%Z&q{KZ~}v{=$%B{_{o`#kV)k!e_`=_pmulWZieIa(w2DKzD^#qU5M2JXkQ z`;(_P_r~Gz7;CW!DZRau{2p$cLE}?Bp>%;8{CKbzv$Pq#DE1aIWKBclqV#9QmMa)B(dh$9Y)n9D`!PD%#@WNi{Hz1rg4b> zOt>*sjwd;P>-;j#G5FQr1EU;clMTPf&w$6W4~=t})Pl4GbpN^`FAz0nj(1oAXb6k- z#o(|`JP@Q%XBR4UAn3KLtCNU*au*y=OV-J6Osfg2nA(U&6M`6Y5-yVm78I;qUQEa| z_ij=UODOg%N;GyJwf0w1I)GYVEv&=-Dbuc($@J)jCQKUgeZ2|`9VJBa6oTwskQqz@ zspHwvmLGyDynwYrXOW}#_>6oX*XI1At!6X*d#PA)e&QeKs*Of5%z(_#exquT8sO;5 zk$1B+-$;+K>|)$w)O?r9yXeACW-h1|sgv(dT69X| zvKd(?z-gHGSR=-qT#fe1=$<542s8!V3+*?Fj1^*Hb=bP*3QTw8pK z!Bpt#I0pv>K^sWOa+5A%1Ch)4kleZMiF|RMfy?_?^s?=LT6;%p%}wA`0+2SPJ^a4h zZDj^OLH{KA4`X&`nQC-}B#+YteEX3-$8JF7+x95p)+6LHK+IqD+Rz&7xIpT|PGp@k zrzggWY2TC9GHxD!ewLXv#aouuXFJwS0QBYwX_qyt0Zc2^Y>WVt0 zo7;qwN+!rCCEtl%ObmH_g|^^PSsiRLbC=T=CUqzBL{Hr|jHkGezhL%-k`UG?nfm*E^dIuK zFr7bz*Ej5i#5Eoe<#ZY+yeHO%bg1mZ2dARQd1@yzuMKIEecU2KIU(SfsTtTqZ?=$_ty5ulHYlFXs;49`Aloox zu-H0MfI7s9TzNZXy8NOnuIq96xKhXEN%90I5j+=ZO%r5>@0mVBPAS0(W1zNqS3>9h z%PxUlQIJmI4}G|eF8%BTRqE$7Y2p-H?~{PrcOv@NFvt(F7K|RaG%LW>jVTL2q5VT)i)Ln;OR32_j*0 zSkV-#+5uZtOP=1a4@r0{t{I;vtVleBOj!4s+Pl_Q>9t7hoV(wk0~sQH3y{$@j1n5r zui>wr2GxGBSPX1nY>`W1FtI)mh5!sr&(Lv2`4sZwr^(h5rU>k4CN}@-863z(Y!2;7 zSQCJVkUQtwJORD_C#0)^mZl?7n2kGVge0Wl+kI}ht{??={=neC2b_jv5#A*n$24?l z%>3o53QU5Ru&)fHv{H7DBnD`&<3IlXKyZD7YZ%C*F6)XNGIrr5kwyA^?xg=T4OTl|^Ac#%9yAie2~IyBBnVgfCZ!peChnmy0v zpArm0AJ+%PM~Ir$Ue~roPSvl=))GhyoJ+)Q`I^5(Be@zmlSZ`EmOn4!quRXfQrWzZaa!7WaSB)jA zp3>?+cAfx9Gaa~6Y^a(vAjvi!zv!)OPnDAiE|i*hUWxhWN*npx+l`CI(BwcdUF%C9 zgX@E@=fxhdSn2&LI$bgHit9)gVu}vxR!1}e9PA%%dgaKU)ONkR&T#ORyLuc+y+%>3 z#4u3sg~jyUF~EP%qEXWW`Om~`?D!xfZjmKsbQZ$m7Tb%Kw5~aBZ}kIVP2Yy%#;ckL zK(Ro<(hwxOM+_!VyP;HJ=~$`^e0d7*jJhn-nUIcbUiq>NX^r(HLBRN3tcVL#)=@jD z*8eZreE0GHP34Z~%K!uC6hMHX|4OvpZm+DYs3vR!gQUIKj1p+29sL8f^E8)y%=1hF z$H5*%hCy%2e@mzD&F80^NZ{H{g!Ou8?k6}(zmqqy^0t>^B+r))TsqR=<@Osw*zSIH zx}zK$^k4{%f3~obxN12%7o#VABuw}@$Sf-P(MsM@u#>on<5BTsL-#e9s$J$zQ$9TK%rp*l!R1#sbGHNh(` z4k{@MP2SQxEq5&izW7oYjKS>Ik}xz3Qbg5^IP@!38T##e7_^vnE3H&hDM- zlaW9+Bq7!STit`tt|h>Rplus~lY&f)r$Y_!MGAv)G$eb{{IG;N2p)lE_3JdC z56z;TO^_#ijNGe|BbD*F2z@}0G@F((fgX3;#a8M!UT`60>$k3wlTnsk+$%+DrR0$n zzalDM_*?CY!4uf16VsN`7p}X&aHUcHtghKTS)FG+Y)4VqreehcTqN;ph%fQ67v|R1 zpGPGb1Zr@*mUnvc@oW`W-jf18{nS(Em@8*&1G!wXt83&qxsbB?= z-9{IqzxRyEkS&w8{Y3tHu#G-3;Q=bXy->}o}b!Y2YGbOCAXa{^S07e zXRIXJtDm&&uRS<)T|9)_tnIA@r?dyfZ);BrokN&`n#%ioX+7XFFbiiRzJ)|u zKWz-SP}@Ut7Ov1RRft6#LPyQ$?1%Vig}rlllhWhaOuKYo5D*iL5k^!>7~vpa?X98` z2UI_s6e5zOTBW(iDZ&m6u243LNtj^)e2`stx-vkFba~1=%$yjF%FTb}x!77A-XrNQ z*c&sS>ppY$`*kJLqL4Eg6)uEvYv8-~%QZyw%*xH;P|!b9Y|St=NX=lxM?DzIbvm+E2_ahR5I)}G!Y|=oj<572N0C8O&Zg2oQ}lL^|BZ`M#Erh`HY5boisR{e9wT=InmChuMLv zM_=y};XGULJNDbhP9%JLAcXB!=~lpI58oeAq9SGP^;qmWP>mnwpko8NuAhTRugh>`HkLCT3Y@>oY4%F0kHjy)H;s!C*;;>@-%)3QS0Zm+hh*RY#g7m zCv>5o=5hH8?vB)6QZb)GQBAxHbk|lq=E51Q%tMvQjyRGcT0!_Skf}5H1w`>N{`8v< zU23KXxHiyg-OuzoR7GlZcKzZ{&8(ZTdxPw`*C|9n!2g0_$TW>}$l!YL(8g%EO;2!V z(64W%k8WhcqOBQCh4T4ZV=}(-v?0ug{c~%RKzEkes91>SeeIdY(?88+a~ihgV~d`* zZs1P5mM;GsBNe`vTo{~?n`}JE*Ztd%F@1ZIJ70bu$w7uOM>;y+9J^&{wrAY7NXeb< zv|CD4?BuU>7-)}LF>(%c@USzPjvV92B*87-jXRN_Epy^%nCw;fTPz>Vi}?{#hk;D! z>5Wmw={fh8K>1kfZ*{`Sx5xvoC)){FYsB;b(nOHRRe*_Y@OS{8+r9fz;#e0pRyrah z=tyaoN%8S#1h0hj`84sB53E2k{Nby)>(%=+Off?{I~NluKtP@E1G&;wG$@BV9!@F< zjj$F9D^8dQR~hW2#{b+>_=vLmrQ`&7M;N4-5Dv0Q+)BdeHLW4Z`{lg{yx{>BDUSD+ zYCLZo+CswPuotvLIeqB6iDl{1Xdsp@`B%zX!GlE80QWoOTWQt(lBi!Pj2+ z`4Ae_o=dKcboW9gC2-q}$P@Ju^8zbKG1{J2HZYrW@J2GV_2W&^c{8@elGdDt}3PzQsVa@L2zTEuf@oDK}C26YGK5LC}=zV_Nb8@DA z7c+JmZ@xr`Hf|yb*`CCIL1TBU=Bdu`{Xjz63^55j?|e7I>33JkMmZJ!uSG9%$jK_`eSJyo^+%CyLyMY?K|wo5eWFveoJf+w>zAUNVu2hVzSsv2 zsaRwDWYJu#eLZ@jzh8-+25}7bUkUhAVaeO+%Ed>psGEUR_4t1L>=h#^5iY~kql@&% zV7`-}Fjf;ol;Ie@2za5)fijaXio*1g5$1lt5l$J-0C|aA#Vu+5oNCk4x%A*&jt6O=p=Vszfq^f?NX~}0k%#bE%W*hB&b_L_=hAs6yfj-7G7Rn zB;3v1k69-+VRz_hMKZhXJPF^}O*h4XE^Xdud$>)ijpz6}NX$cVKxN>k?6Y(gLJX8R z_#ic~lOFHDLSwUc(5*IXnhfEb7+$yyw42#x@i^*b_Xrwg78CIKwT-N^jJh*<>l3>j zm%~0IZOVgQc9gW;+6rI#E@{#(G7)N+M?J>dTGc0T5f$`qZ<0S(p%v6|E9_ag9sF~` zrYo3F4gLmpXWpR?_c_)x&2>25>~An_p69286g*m2=nob`m`w`4l( zKc-#6E)q?1aojd+CD)#!{>-EWBP1r|P*u8hb@C_RILK_W`Yn`rcv5$jkM_V*cTn3{ z5OUBT5*dtxfcn-p-5{uwzSJidvA)>*fiUHDqhh6@j^RuCF^R$ZZK{YF*(CJAcavV( zEG`|&a!=^S4$cc6%SyMY2tul{Ev5ZS;-fz;F|UXRYLJ1w=xXvJ2Vm5n95}z`k4Y>m zf3q)QM6Fkw#-)MTR+rEJ$h9L_Hd-RxFG~OBbcpqvPq(VA*Rs9{RX}NpEuz46yTi5X z7*n#6bMfAup}QV2bzbE@TnEptbfvvJtn!rJ!t#HN&-1W8uoV;W%m!!G^=zS6cxJBN z?Rj2(99nMp*K2jd_%L-oOfM6=UHvw~$(o7+yQ-`726r6rt|PZ?KWUro2_9JZk=w@m z?|=zRgiiF$R#R?+>~Pxqe2vZ5XzVhXnVF=657|`A4u4#F7sCm?Lxp_WHY-o=NgNW{ z*Q)4btk$wxEcNY=GLKk5cEcHxi+gz`cA4+yKT>kppVX+--xlXX32)x&T?f*^1R+cO z;?JdrE9T8=wLbz<&Y2#22&zGXL{WF6X=~M)Fb~VT!Asb<#hJ zDkmLAjj#4{V+CV6oWuxg2-c&4f=!a|Qb-pLB7oX<1 z7NtIQkyI_)pw^5KR;1nFtSu3WDPr9Oy!g%6CHhnygldkJ(=(4;k1^XC*rK?RIRLcZ zs3=1z2k|$wD|sN-ZWw)feK#~guhvSu<*Jl~In|n=uLDuG38@p4Dv=k(ii_z*x9MtV zZ?VJ%VClR}&yf-g$w?Lk4v9T$C;>OpJD_Gv=|r283o^3=l(|p*k0=O^3x)qQxU4R% ziqV%*q_^BwIiJ=7bwQmD%T5{DplMwIpjp_OL3&9iehj$9_($ZS2dj_(ZLjth%>>q? z$6njRz4@|tOCsr)|F4d8`SdiuEazCX5`m?x-d}xIurBROAW=&2l#Jl6~n^INH%mkvM29^vYFPrvzyHmn3 zJHH7((M2Xj3=J{o{>-%9!qJD)J;=svI79B%2aT~oADA}+cr^>d7JOru)ysZGdE^Vr zUEs|bkCWn;OG(TWxP2J_$Y;=fN%?130RWMk^<`7?krV#NORI?iD7a7{{05Frz|F>d zH1PWqMjBkapL;U(pfbim$ml@tyE)taX`LhAR8wZqGg&4sBe3CQfz@5xmUn_dgs0Fz zDc@mdi&}jyaO%SknbLTS_@vs$DMMRE*5!hctt5#+1G2~RV`b${Sv@Z&1_|<=dk@Ue z3o?OlX;EXcGRrmf@J>R95B0x)C@6PdC(W;izJ6lG6At=3?)j#>#a-w=b9i%b16IxM zunkUrVOs_iQaiBa-x*i*jj@0(IWB}R|?|eUe$4L#f>F6!#G!|Cf!~rhZJkUEQcny6!D}U zRcp#HLmbV@i9sV9M{&J8JDUaHz?71Hb1b&+`?Ec2JeS1_jw>biK2oRpcWLDBcW0Y9 zsCS<~ZYK_fropg(lpu0Tb_R|^xK^zWd7M(Gw}*`K-#)hP%TGl)$}o;hJBU8OeMI|5 zw_W>*R?Dvl?%vI%?!TX^7wIGTPrtNJB7Zoq4{aV>#AZ7u41hW9I))%nM$aIFYik25bAhml+gkiR%wIP zH(v{MRk6Go7@Nm)y~5#qluwdei*c71z)cy z1K#nyprdqKefW~byLqD{Bda4Dfoa;~NvcAS+VH$k^d%nBiDx_}NN_r7VnDa_YaQ~* z#peifQfS($AyvAj)`kwfQ@Zy+8bRCp!tGTMhC%Jt5(D}uY7Iuf&c#AEF zQv|W?8A&K}R@O?N^*}zyzdc<83Uu`MTb7POKefRhcl3L2dK%cUT!|S%;Rl=t20(!L1&~<$I2N*Y`zNpNGr^6sKKxcEkD9YmXK~U0I$#NjACu`CjG;H<|uhL&f=v`lJ9fp z6BO9X@aQF($?s?EP9hnMP+P5jo03H~9MSHZdQ-@&c91qkhMz6%(9JCUT!onSuxay3 zqUf9YXqQT0=8bWO}iSqUbeMvc1Sv3*6ejk!y4aq zmCYnd{u7!8E4lMn;`TOv3JPcR`wx)dk z-1HcG75ZZ0QeqwgbfcEUrb7aKu(5CB^j=?yXqozXV`!A~-mC1&*y-}THQy3h`fEAE zXEMUCIoE9>A&J*UcGvma^4m<;>Ac~`Ix3C)J+t92Q)&W1ce-j6`E0%foy)0-#uK*d zYZSxWLdZMd6nhIwx$vo`gp#h)NN}O};WZ`m*TkdyWR@CChTjlDh*%9t*H^3PddycV zpdifZFjkv z1v(YG)xcTiW2n80jok?8Fn54?6cIO)mb{J zhz*u4bo+xg&ghb(h@Ovq)3Dts58yx`OQ}N~^T2^%!2Wj->wk;%c(KwPUYP+_wdoNX zW`%1UlddXZ?}*_wJUr&7N)w`GUX5#44&v`zm43*zzb>VQYr}J8bQxR*dwc>*c|BIWTajjr5MP3ks>c&_rJX^g1wU(8Yq&dK8QH zwt4gO=!r;**x1~oy<@D^A{E!(zT&VFIbtJt#dkwc__B{4S3DfWrMK!2psc@uM6qY% zop`3(8>LA_Ho=`=ywq}u*5S4MY-#W-7HV0X6@@IpzF*Sl3}hfZ{Ce=)Eh2e=d3jth zm{5^ppYAu=*KfCJ*+i{fWzS^4{-BXsJN=+;fuV(=7s(OHj!_78s!fZieW_GSDem+Q zm-)r&3;sBQaE^Qo3wTV=Hkx`x^;;%m_xOU?0{V%e7B*dXE+x@FI22nn5V?)AIsrO2 zQzib`n)qS~+`0t7Wj#em!j^khdY~o}-zziU5JPtK1{SDV0RtScIK|dpg@CE){ zNAfrK*FUcTS%Sv;_Qe{1ek!UBp1i?1Hr4pJWtg&1n8W<$jrvEA6lR}8hL|zL9Wk;G zthS7$HY`N=GeZnbyXwcF4(8IU3lC(SMpnw^YHueFj^%D3^RtSly8aKuKWg%P`my$b zkzm*RK|0gR93*@W z_sdk=Uy{&EX_TI2VXsKYi11`o+rzos|Lqw)>bq+|o*OvY%$lRRxpg;+^*#SHPt38n z+DCJ+$i%c)mpdUMw_W{XYDUVM8m@0)I3i<+pL9sDn0CRi!(;hpfzTy zE-$a5=4Z11hFPsY?n%IJ*IJO?W16ZgBU^xwMaSlJcAl>Iq34D~5;r7%3rn3pys8!J zxnDL?{_NCp-l3Vk>rKLCi?0FPgk(OyEB@O7*$ zlh)ku0&b*+H|IVKbNNwqJBeBI2MHMi`q`_pJ%o>1*#LTEy@C)kychoja6X37g-cjx zpIehm^Ob}6Z9jqd8zBu8@P!6#XXHsc`3$|?ZSK& zLCcrhiSAt#w1gJ?Gw%bUA<<#$?M3MHp)U-=(9fqju{MCDU!SJMDR&PRsT2Y_*+raK z8>FSS@U@Z7-9NBBX$L=-V{e3{!ak+LE`HYQVr*W167r(?+Ap-M_4HC%FD$>yQ}nT_ z->f?u9`zbe4J8L=OQ8y zVzSG!wWc2GT-usGK5?TzeU`4@mZ3fbjY((OI<`{V{A!<)^vk*R8yt1Zf>i$&;RdqI8iN-XKCSwsE|7)Q6y^@)K+5*;W+>0ivWSWQ&t8*9!1x>HJ`MQf z9*gUd{{Hj1+R)mO%`sN;Xt_j*)sJv*-l+E)znp7tQSDpB6zu_*`jVf@L}yj^r~}1ou5kMJYnp$b+!nY8N?dtO z3i3isnx7A61~`|;hC)T!#SJX2`uveu{G-W5GrCzqm+jjMIss=8^0nJgqGSdnlv}01 zZWbw_KEUS@0dlKZq`zTUi=&rn07}SAs*B6~GyQnylYHZQr@CJLXbU|GYtx~1?5Cr~ zAA1??Zg4%*RNa3T{)!+X502u7c8d`~3ODv+>`6dTKO+H$gX)2x#4Q*xPkYJ>*}o`J z$z$NRP?6ZMGetk1ATdc4a3naa12v@G0m8dcI4HOw2gFcfoTwp`?ZPP|%=@J!uPtxs zfq-H@P{rYk*U}r=Snn|V_P#kYb0=jbK6@!fxJPp%lZ6po?+~{BT3D`{Qj9F9S5L@1 zLCHr^yXi5S_d5K;;1IHf(86MK)^GdM-QR7?;rYOu@h*&x-mE>M>+j~3uK3eiZ(~

    4XMbEAsL3eHZ-tn|sd~jDaxj+Aph<}bs_VPlQ`>5>wUo#FrCS)12>#6$uay|PEVy6-#9w!1z* zviK}XQ#L3d%_7 z%+)_#{$g1j@Xm|}34gz!y<+#&Rvh?xf_MJ{@Eewl03PuSYAsy4QQLNFxfNoDF+97| ziF2In80^S~Y)N1JTQC`AAQsF)6X%+Hv#QV+VH8&qb#K~u zV2v(do}xBIwaSx5&9#~We1xSbxh=0tsHm973&53}CtNXP@+mB%Ya?W)a4NBj>sve_ zWm2=2_1>RsVyS}8R`rqQF2%W0+K^D0~G%TNA?poA|0mRzzBl6fxa#Gm7+FtIIo^($w$R$`zQ;bU`zaNeOW^-3g)4|6RWOYQc-oNzO9Z#U;3AuH-W3X zAGc3`>FKwsla`yy-6pgHcwbmlLoHY@ay?pTOS%<$SwlSucQ9{bivl|XD>CSwQkAnTfc{WYrbwlzvkUK+8JYJbrw_|}jMf5pKG6i0@ za#m!e*2<|))%A|eYMc)pDYV7sSLg}7CQ^zQTT|LvbZK?`^{QHLuO?4PDHRT6S1B~Q z?vH;Kd-Gbg#->#on+&H{vynC=q{qew;~cY>%Y=I}E*MJRE>DG;EdJZTyO@5i46+7X zJXlMuKAJlaOlrf1%!}}g{so3RM+VAIz?WZhAXlPg`E6GmMnAdi4i1-|wAk6_4{-gV zQ8Gi@TB%2FyoihikGQf3ekjqQXx0Xf?IYlsr3N+c11gg>?*mF8u3xFw!Up*H1$K1! zzcX@#L&q!JV2S{>8a&GvCvI*O;%qmR^XGzcdyTg9Dc`!ZUP>_v)AgeI(PazYbi^N8 zb4xBv71h7Z)3JE8#w#+C+)8psM0P-u8ZOTaf7dVaLM*((8s0nY^A7K6={AHt)mW98Y0V(869zm;$i%hq{q*;Vi?wjFusvWH>rH zaDnjVB2rxc%>{}D6KXZJwly&Lohh39KGj+B;ljy+^Ggy1dS|r&FU0bZM$O}^4*7Kh z@&j8CkpVdk-7Y0I?aLrF`w(4UH@r@-voCGdKN4V9I9D}3H&-@jQU0E9u^F%oHnQb! z-F86m?%pS(s!1WG^$eiZT7P=0^Ue5Dp{4i<0tX^X9s4zb%$%_ERlL94Gk@1ovvB_k zUIu(95B9vj(gMfrn~IGsYa36OSu%CV1znK(p>?O@S%Op?tA5VYNIhVR-)N`>rLI$l zcD<`VR(m*=TeHB|custRwr!mPG)B@=;UyYW8HQ{|%AfC7(WiI&oKhMOIuI0{%C(J^ ziu-~$G}HvV^(&;A%fR=)6o;NAr{(?s;0~DvTP}497<}$N{LpJ2!fVwu8g>5@J3mB% z!|9O|_PEn9ZpZm91-`>!-lH#ab+V>Q8RD~JY&A(3Chg|RVuhdjoJ;))WG_MsaYsR4 zT`A=;$1D4g2eM%gy`b{4&D*-deArzY0ZyK`_v)W?%Xep1GLwGVUwW#oQtOLcp8lMi zd;$6m_AtI44Ai;`!;ZWuOU&u3n_%_lfAPc$z;jvkBs7kwC<_WxXr>8)Ow`Ok5^Ehc zRbqm_D%2N7uzIwF1uip#Ktx}$?TM)eHfjcY$u$<4AltDE%g1B(h z-%^nYa3Qqk-sNFeg_+%mrS!Nx*z{l)6~!Z7EffZafiX|9GLx1yuM`bu9!5s3qK~2n zReWB)LJoaUzDI1pr1qheTY|Wgg($rfGK}wYgWtupuu#A)OnYW|az60QfjpJQ=2M_u zduKwgiYu!z;g!72P5Jx+p*2%~)wffwb*G%mGHE;)tW>{*f+~0orOfl z+lTRG?p3O0G5jw9Gl?BB^~I4i$?H9qoQbvek`3G#DMV+K_{A4 zDt7D)dGFF6Q!cq}POc^D#Kp0X+B+UemK)<=8LQLX*_h#L3_;I3#ettY%sJl6@l-Ot zAHJ7lLT0_3hto-%htsZXPrrLtr>qO@^YGiu4JTFRRr#E0C%0r{?@V+?s|Kf+jBr%4 zYH)_6>#m8g%$$ty%m}HsJI|#xxh@aGcSeH8-JIV1|JtNdbY9?!W_YNVv%}G1c_LXN zhYOhKV{2bZ%n9X)4|^0s)bL@MqoKLfBi( zpH^?EZrVXBu5TedGLa&JXur;!m6h_5lxvpP>eEm&@>jzCR7|J^_Ol)c8jdXbI8?El zIidRtx#U4*JUy$iiGDzp8EZXUIR_`v#U~E zh08@WL^Vg#%Vx`ce>%UXV-iB;2j?B66(CrF&+?R?fjALsz>)um$Kdx7$eI3{>?MW` zSQ+Q#CJE&1Zwo&iTR!wrsK~U}Ri(5zy zn~|mQUCKic>{&INY^MZ4Q&KXV{vQdNt=y2`^w;}phJT+vCCr_sa~yhy{DuY_Rxtnj z@ry$X+3oRrHwr(PpwqDOduGw&1ae^aXxQa=|68r^r(=0RYu)azIJ#~@LviV;@0{;B zcy~rD7`&@GEko8TKwkIOCHQMxa4@bp(^YISkK`MI`z|h#XBeQ|ysaT^{`lj&Yyths z@Py9%BILt_BmI|Z-|+iOlYhl2(#;1l0&5BV)jC3?A;11j>O7TJ>4`sQ0VM}^!8yQy z)syqRY$SX&=-+yyw2#&M+{}%MhdNI`DgQ29?|;AEP3g!O@WLFayi2<(C>>kocA9@-R;v(f2c|&^-gX8jlE{AcQqvo z(E|nxF#_Xl0a$SZv#OAdpnJT0jm^^i6DsjWBb&2c4dfXrXHJ-#f|WnLXVbB**e-NG zpOu1#$;Rq!*4e}0mjY+3A-ADJ^~d<>_MO!(!9=LP2$UcYY)2Nvy={xiTT~fzux?Gp z+V8H;3gjrI#@7^0G8VJWem3VLGyeiKla0M171eT+c|-}_v-MlEw!7AKxG9&$7C+Fm zMhz1{up%h@_eGqUCc-E5b^7H-3QoWcfPQ%yr$^?A8>QB9M=D1=Z<~%DRFy==b_yvQ zykLn$+MtbDf08^5R1edNe@tJ20{nKQMPVZ3YwD$oi+aJp z3%sYz4y9neyTYDM3MqAaH2FBo5r^uFy;q@KvJ(%uMFV^U;|huTlH2c;=~-C$52Qw! zw6!{n<;zE&AiFuv?N|J`E?2CxtXZP*iLGI1c>y(qwWE?7AtVi^1Nvj$dD4|8doVhz z%xN(T^?4(9lcs9{r{@s=^3}>%bDz+(w05=m_Nv2Ac0!r+M}E=g1OnanLHJ!8eqXl& z`$d8*NrtR6unsxiU%HWXRQ^~mqD(#bzKFhWtfXWl1gCXHQK^+oX$#mss zjJN9(8d~!3R6efXJeRI7=iZdE>v&}%J)ZLW`xI5tn+g56`MocfEdMyuA}*LjLvO}~ zcF8XYI*>Xs4;w2?P0j@{9Z|osbv7ooH8RNZFS`qaj)v!xmANr%7`3U)(^BDQNMkSS z{0&V&Xs}Yf3Ww4AA70#szYO$v?n~g`OYOEZBSP4D_n$YK|+$K_5B~U#wV{Fn@*v{0lU`2c2dt$2V!m zJGR~({MO()Z}kg*jZeKR^Fb;m_a*0V55vVmR#8vWv#jbeynT}7 z!*^Z1sD!N2XgxJ*?rddZ%k^}I;^2c;IvTcwV2no-KCA#vFZ02#rf=^3Y=ykiL`fL& zjz^cBnz&sYF*HMJ9?)k#6y_*i!>CmvVuEGvi~*POUC>D(+ds~mRkctJfW6Rw-t!`? zvF7rFGj($Sc!*=NsECC=qIavJ3pU?C=*NWFrWsBqI=hEG!~wj!EUO&+Uu2zSRFnbN zu9X@P93&)%?naQF0FiD`5Rs4&3F#hsgi)kZ8l*&!4rvA?MN;V$hK7M*==$b8>%8lH zYn`9Z|9RFkd++kVZRX*2G3PlK_?UZYe$|51k#w(^XDIJPXeRd3I)W3*}i zs$8mKl<8w6#lnI%7e}S$rk5#(AcEx9G>DUi;KrGf0oMv3k?p@9rPm|gvqj z&8i~tvr+#=|AB1x7nmZS-UIH~rlF5{6v%GD06(B~jdWRS--|IekTE^$n%rwNQIq7J zZsn4kVw7Gl?e+W0vU>M1iO& zI;TM<6>TS)P4dkRugq69OG8qyDNYp$7g6=cl~Y5f^4>+k#~FqlZ$z%Izfo6Aom8~I zD_SNuXpUQ#z6n@(Vp3iP%ZfGq@r3LlUV66%M^)_G?NH)vXM9;Vjx{55??828TSjU1 zaXXWxJoR77`n{LVE9Mu;+YV?~#hdE4ZONWsq6$;pJta?sq0bBZnPx zQ&6Kgk(w>D#S@HN(nV7fBcM*fr=gG}1-?R|)Y^iaRF=@(!l_jnjucbvjH<6T` zjiwV}uY`a6dR_5mUkOHbOPpIp)`gJsqg|l)d)mp3OrUlixm!65AZQJ3v`$i{j}mp6 zsj%xpp_l2wOgYT^l3^iat#s|0%goYR1Ut(cV741dOkIFrE?NOFNc}3DB^tX@Hi`vp z19K&JO>%mrfC$8h4PTGNn|D(|gaL*JpD5U#0w{_ZFhm{8ZFt)bgO9539T0eqrOUBh z<-kPz4(qq$LbkCf18C3_aTt_!*Su57Yob`8I53C~?fC%odDx6wIqdzPyTXVPC-}5c zr~wYFKRp0D*lKS;jRT)8MK1MzRi@gl^rh?ar&v;XZp@ zMYJSRuL{PYpLj#EG|l%w{};-A*O!#Qjx8)3xZtHB@6?+^=*H+Y*LXl@c=7)H>v7+W z+9>}0;mwDkW31~wHcRjhNs3biZsgtdx)7~av)M(#=W@^BG=p}!HU+>*r=zgnkK29=@ZRZXxxGyG3V2sR@#0iw3-Y>l zeu7iAV(bRbW3T!1y=a6&=yGsY>LF5S?ri?=kJ_Kn7B;ShySQ2Kc?hLcY8kEPd7FiK z4|2H;dfTD86I5^Qz>+QY*YR)CH}4&--({640aF3qEs=PXx|SpJwSn2(-un9$+81=w zG7P|Lw$E3XwNHD8;X%J8QR3{`I%&<%q7LUJd0;kM7xdSN}6Y%JP>F7K)-ADjp?97{8?LD8fW521D zSXgW;50%BoTOJRAGQ-nglLjE zwKR*msD=y8l{v1v8-K!FL&QK3d+vv98zN2$zXr_%p%GOwA9OC9oqdUf!gcyp!E7_M zbIhlvW}W=#ztO~4PFb>?*~PF&HrihaO*^=>CitxQ?Lrf*DhKus;8g_L;{l=Re-4jE zAmyDHlIyUUTn9D=C6=>4?tMP}5O6N^HOv~xt}klm2s==yVgC^k7_}toeOLK2+onnt zz)P{VG443cfbFy74-%2iO1P-_$Th&q%&rN4nXd4&ac-r z%L2{!x9NVWUF9B()TB*}8uuDqre5>P#s$q6O>F4?TKTO> zzrn9ZHh^aKasBP0wp?h|^}H4}n2`5(?kQeNp~j*`-o}QG=k4$f-*=Y5&%c+^YIWG+ z#S?g3>>IBNZ^vhnGnXwgOPqL%MDjG5ne8+%K_>RwZqSRh8MIoVPVXmdf#rfgeM-X{ zujE(ggMH=<2jAoEzrRng3z%S&0Nf@=neq1;@1RoNky*TM>EZv=Es3V!d^EFE3?!&O zM{-Qim1osMNl*36A_CJ zg2MrO-bcG?NoV<4NvjUq0ZZ+9peVs;~Ms|28}}`_Fw9E`oHjDtOCNlL1cEP$>k3}^Y zxR;TQa`FL-_rj~x+-${{|M2wEqrp#VQ*N70pe1}?^i>8vcJ5KHc4f{!aG|R5zvM#$ zwh&P-Xd6k>NHghnSB0hwp5~n?j(T@-c@nK88O2f!rfX%re4qF^nzJ(;nzj7Bi-W*9 zxBAfM9=6>Ejz0J2LYpwc5;XP9InW`dFCAa8@YD;LB+a4=ihOvk@$NyHd8tC51$o2E zZvh#VSZHOrP(6NyF#9~EqGtU0w|_xTEWbDZsd%pCI8`B1zq1(>sEQ4db_$vLW~%JC z{o?uGo62YP&CkkTD&sYQ$xQW*S}aAS-LkWO&l5Jsi+D5}LyvbXL_(bBb*xptHzYab zz}5hUqJr@ymmQ*wi~e!XOu~e|X%d$jnDwfm1|t>@R} zr6XUUU<|o8_Y}va=a=S5O@3odffB9YL_x50B2O<2n%-h%Ly^m+ikgti$eUaLl)Y5B9bi+Nv z{S^rh@A<#u4%&RC&Az1|L3!KOE`syrHvSL#4CnXQX#@FH4WQvh2X31yMf{EipHZ-; z*zmvReIM=c#-PPxG-kjYfvMSHD2hx3=^#2KvaDGkWV#>P zIcBzySK<;t8R97~d-oHLY&zD6BFbj_Bm#R3?G0mB9JHSo&$E8o&HzzjmesO)|kJ zui*v(*FQ<+9eemCgFPf(4Si+kHrdp<%ceSFK|v^mOV+#IVmr~BM?A?6Rnwj5b|(Y( zF5jEQzn- zIbfdRn!b>-Wl4G|b>GQg@%cv*`!X%`+wfyrL`rdNtQkwM(RnbwAwywbmL|Z}c!QI{ zdTp1jGd%X_u>S5sLsq!vHPd3&qj`;4D3mob_)_34MjR_Z5?UKL`Aqxk%d*%N=alVw zoN0ZV{dv>R=H_#%3|JHlbX`2BCh9|cvDVice z11!pzc>1(f?#p{8=}Fe}NOyXQtmO|_o-+k-RGTzV5~ThB9E}WWpIcp}C3N9zwEEh$ zEceU~k$3fvIuLM0?7DJ$V2`xve{1zXwQbq#E&@w5f~I}Gc7F{>;lnT%Kh;I!0mK3s z`W?1aE5TH9C53r%Yy79Fl!I!(a8@oy{YmBCr_%pKB6-OXe+Mm5j06BOeTu;*hEX>l zDoUigIa2Cr_={lSGg;u#i3)_IA5l)Zd_Cw_-Ff@dvW z-anCEjwvYF+az(b2F8j7?Er5cnMx?e1rtD2+yc`*cV(tW@|N6i(F>Iqw}TWd{bMLw zrqq5jelCIk@U1nq+ne_A=yTqXGDBV6SnYHP71d}4j+vPm3BLm?MrfcPw;EOkN(oCr8ZPc0YeoNjRT~GfMk@rpS3Ty(sLsSCYIqi99w>~Mg z(mn#{PYz*j2HR3??upQA#syIW%xtA_PW@uLmkvTcTDabMwFi7kJg*vqxxQk8e+%;E zx!xx+_5uMyv*84FJ%jmsmM1f&=z8A%Z()ulinmr z*!fC>5-xV-x)VnDhZXDPao^JAZOwee75UxJ{*=0rZMV2}n4_^jtvSbqGQkytR+gk? z*6o+A<~^%S#hGY`XZX$26J| znD(5N@xB80#oWRB_H2La0JW^=7;H{NU^I;|p!^@hj#o;5^k(LN$V@uS=P7q6fY^N3 zu_Xz6M9t%XXR~(5lYFO=kz<;sM430%u5J#CUH%>>zgi0aeAhgpsT5tW`gO5vAt9ew z(%Q*!(a94I-(nNWmFfzxS$LH8cq*!tC$3{wF(+N&)nev#aqHo@q(qHL7R3&=Pf3X$_P}b+cMB15_X$K!B+TUj!z@fcbB8PmB ztuwzWSY$%b;;_`=co0k>r&XW$DERfzEb_(P9y~b{J9&sJInWGb8dXL$&UP#;iW6m#lX!%FJa|;aKtaqr zwud^BRb(#z_d9;&LI7ud;4e<^9LZL&^OFy0AF7s&1dh)ZTtzF>ERTV(B&ppK)#-*C zjcM&75VhmSEmZHGunh%=HPbw^Mp4eXc>VZuKN_IrAk?m|*NS^zGD4`lKT-Yy5euBn zb0rNEIh(EWeWbt?NDn^t{x)r~B@=Gde_-whY3Ja`B7#38oZ(y63kSyg*oaB82+F_Q zR&0`wP1azk77LI2lP**IAGKp2Cci}cJE7fp?yeDO!228s<+RG3kB9JsPjK8~ZsG0E zxg+6#-&GHCg)`Z9a|7q?*`DN)*yUNi3C!CFCD^yytr80_Ad1GaT=OGo^zDInDfE(2 z7Cjf2F2Czc4x{oY2Rm6=z}om!RkPk;Z_aNJp_+q`XLxXLL)GC=oa<^fI`!}z)l4msrZ@!cxOa1_MZSRK_-~cuy*S{{58h5WgeV*GrJo`QS z4{(>UcpF@IJr}RQW2Xu8hmU1qhv8!VRJZFbWNO|@& z{&OtZcpf|z%y1fAr@_XtHNqe3c%1`xDU7yqdBOa+WrO6BF!1$o{Q0odlzUBaf}#e_C?uh9eM$5ybN@JFx3Mis`&6ke3?^sY;LMGH+ax?Uul z)P&fUqb7)YG-mOgY(Y3#u-3DA)}LTM)#}IQs^BHF$ZM6;hJs6tlb_mK*kF_M5W&(F2wNjcY!p0CICl-t z2<~Uf4f*i}-n$A2%=Gk=Yx9CR)&hJqe+J z#+h!|(t#&=AW;%XT41RsHw8*qmY`G0#h?;jIuLPSCa!7sjDW6MriL;Mv+AnC{O%P$ zP2ku?Rc@Y`KpY{cQ{AlxcosHg#99{>GC$%fT8(@i*lFQ5=+~B1bgY1t@L&zT^OWvNNe;k;Gg zoOkQM0^sjGR)$^7I$itgYbj+R;oL9I*n2a5n2YaHRdOM+`+J+&7*6K3B>d(%8SA#| zz?HvjumTA&f+X)C0uuIU-t%9~t^@H(gmwptMZ^VoBL{PGbrS`HxFY(}-mW*A(PMLz z!)z+e5f4;Jz%9l9m#*EsW~dRC%y6n7ov-+_%9bxX?}t$s;tr5V3I7ppr0{rokKi6| zU7ftmrS8!ej^x&+H670YZ?Yn$aQeXp{d2*$d81E5mt`oD_-!7IQ`_2y=e{9We(*tD zkc1FRN~DO2c5@=J!UMxz@yAo0h2t(`_atpNyU3ozkkMvPDH>#Oc9IZtC6E6hd;Nfr z{rE}M6aJ_9G2#(cA44P9X`dZfpQ-pMS4s77cuzFA5de>i7B9&IU#rmod+OqRA}NYz z>2x{&$&pT+^NGni|D%n5651|(H>G_X{vdSA<|65&`RA4>Qa30s2k7JK=>mv&nb-yP(NO3`>qWb9K zw0Y)*zJ1zfIDc2Zjc$g1$zIQ{yDsAPf3m=xx&sNl6|q5Xhm21(_ zUIUwHoDLs@xJ4V%9`8*n@4GB22hWw4fXAc^tS^hTQpYJaX~eGQd=Gnf26__@e@-OM zRJ2eq9EMStCyRBTe4rS!lea2UpTs65%Ds-Th2EO5sENSZDr1YFVhUz8&(E0h`yxdPYeTr0;uo}A{tb|3OFzLVEf{Xb0Y7CcjX z2Q$kWq{>hEN3Jh$wf%>mYrE7`(@g0c@x@(Dwc+9M8mW+nM=|yX!rOg(-dpAGtZo`d zPYz~Ydj2}GxcurV`8%-WH^fV)T9sc2Y9Jx+^E{w!cvje&CsLs;fPV3gew4qmS00ecq$3`I@(`NKdZsz_X5v)&%fEW}Av1=Vp`uOsukisYj zwQ;ku!&b!9u_ABR`NROt94!785t_!OOA(8q{ummuWA zjb7laygpc5_HAxl;IdfzTggWyhllQNI#d<_Fr>2g$Bg)j?6dL#Ml6s^$xR&r*21Z3 z((wu1-mr5G68dx7n;J`ZS@P6>ipUx$!&NvTHTnUOU6{hqeRD0M$vpky`#2$Vet+ZP zc+-g3Hd!St@?63&S**8DGj0D7 zRy~u|!+wI*!*yqIyxG@ss{}`Qah$Zv3gMwyT>9E%``B*~T6=U41s#&UP-qFZ1t=prX1D2|#^XRz9$6?>6ycw)5*61K{t|bThyJkcN2Z zzbXX9N9_phO=>Km$9u@mo*0yzRW1J24{FnVM8iK%eG3&;g3|=Km68LOEZy_>u13bAk5buva|UjZ zjdlv@G`mE6C_CQ_1+w!bs7+j_#%=@ssJt8@x9kUsUFxNTT!(^zuTaRT^%Wrif$RTj zMGwC-7bh3c^Q7X?OkY(cc%5n02~J|!TTmIF?(Y+y)BYv)&tF|5%Fzkl*+{}Tt=5?2 zYx*EmaL;Ca+^A)hFDjjX^9pej{)lXkF)$#jGp}!YaPDq+SWBTgyB)U*9>FpGzYgF( zOAj|ja?>R3hx@lCO8!gUZaWVg#GZT710kqvj}wE0ggKR*C0+^4vc1Rdn(6t~yu&$e zcM5!~cWK)3>DGe~*kuh192td!`JUs7Hi^c#n)Oc2CFNCZA-jC}jjtY`oOH|1bA%Al z)KFs6X8(o2Js@O7=CFv8xEwOx$hrctrq+=EHtj*VjsVEQ?E`V?fY1x&9G+3|p-9dN5G6 zn4EIaSWeM( z=T&#ZHt<2$8!N1kji%3Cu2H8Y^O73-?PQ7>^D2|I){2O1>^C8Q$-e7p>1-Ct2dAuat!)s6IR?b6vxO|b@zIf+2A|PlgSk6sOyO(9Tm!*_ux zkn?!c3T;~Uw8=CU0=_TJH2>Eog6XGaC1S|I+_^ZyKe|F3Fi3&@e zuO;b$1LcU@{XQS2FZQ*m>1HLEUJegbdFS>o*XQ;ZE^MqVACh`J3E+jTC)z=Fz?S*bIZBmC0Y2_q@4fj$*}<|2SgE*ZUHVN1+NW{Srf znWObTum!-a*BjBJXc|8BP{V@9o5enP-cN1S1F-Gu;a0nSwkfG9e`LkSB9Awd)EI@G zaJcVoisVt+uk?WiD(+&8K(5xOR)#Ncsch#- z-$rd04d)ziu;gW969y{w9RL{2-SK_fhGF9HvbF z!II*|!g2(OkK#g57${i0aP5yjX zDA(01Nn~jg;c_^beu%d}EL6Rl3-2zFQYim@D1FVXp1quEbw?qPsw!54S1I!<;dTLP6Ud{530no3D{PfQX>r%5)aZJn%4U{Ff)1mRFDA;Y5hKGe11Z<;7|xl5woPMcktiFt85aIN*}1Dc zbE426@=mvpfS?+!kkGv|(nD2&6WVFCY+kB6aig3Y9{t^H2&ACo?M-b z63<>nW0FLQ_u?~yjN6iQ$h{Z$ipSZab6v|w8nbhP4r6;3Q2-p(CBbA$LF4&LgN)Wi zZMxkt`=H{ToddFS=jwmi6+07U$(5nmO6`VTU3fYNU3-l~OP|xSkXZ_!TyX8{%q#)@ z#r&(e?$>CugJ^HFwIOXZ53sC>KBrew^Phj3rZmO)EB(!Scm;M}!xJJLfvFLjsklBB z!}ZCrX^mvdiOi8h6AYR~m}*qkj?FpCj$lbff*XMqV4|V>9cfM>6ZIZ19gNxJg+gWm z7YPKG76UA#H*)_ko#@b%@Q=z|T5P0DO8W^dpl`!_rDD+*Kd7@mboJKGbNIs`_p8EM+w+ug~tdk74e zg4wfuWt4NT{I~MudDOXPtu>-ibUF?+dd+c}S4!UMzDAQF5LJ+bb2a0NYFp-q|1!U% zZG5O^N?1-+;bfUJ)2_DhzN5I)F&nU<`z^5M_CtS7OwGG1ehs!63zttFrWKoCub71Y z*1H6*-gX)J2?lCUU5$)~ys3GE62R<*aJ!|1`dl%`vygRl@6&?wBtI{IKPw4UTc*GJ zq5l;()gxS?f8v59gvxSw)eU1Hq;~$y;)|=_YCf?tQeZ`b*)u(UgtI(e8!Tjf$p#d?M?#kP6$TZGY?~HGafu`~ zth)Aa$fJlbeRLG$p?qG1~u#u~#)@tni0y#@R3su{=c&AFE^P1?Jo1ouy9f(|0lQ2b1ptZ+4YqqBy z8I+VS?%#JB`7YLmnVRWnsHc)IH_jvxdo5#4#EC z;QWnu=5+m3`q5NXk^dz4AG51-+AbfsW_n8BG?(@R7eNCO^z-IRH64ASUcf)YSt3tJ-+?vN&TwLTOEKXMrYynjXelRw_z zG1n-uUa{xE*ea2Zc#;ASS^lTy{P&9a%z+A|?;c%Hwso3jD*pxt&~_2k5G?__ekjKo z)e0O@u|8K+`P^4EFvo}2p~yYm3SH?W4wBFl52hit)~zPJY0q<9Rd)$#n*Vc2@{w)K z&^Qim22F2!3e7#P&iX8&caL{CHQZfNY9v0~fxOV_SXH1O!cEMltBzt^D9n`?Aof2u zta2}-9eTMt7Ly}LY*S*H2m6XsQCWmUL>TJpKNzs|5d9O(bJVu^HU|XD;)0*-ro}^yqbq}V~M0lLN%^#W#){YeZofdp_ zg#Iq2W;+pP!`gOZ@r=RP{^pHt)*m_dwXegu?+nE~6KJ(FxQMlkHBgHn0omaB%WS<_ zpRN+vH(X}m#YfE!hKp;+6bJzO>Ja7E6hpth9uN4?KKW~ z7FqQKm~%B*$SL?}q2`M$V8Z4i#BzY^9r$iQz6Gq&G3jdWMFI$#&gWY0r?$@=+_CYw zD?{~TPsW>U82a!P0mHIA|D+$BElNB(dYL7V{s!3F)cC-L$ZIMe39!j>Ihx{dJw*|9*-Em*Iw@ZghO={5GnQ+&)e61q#{5}f{F{|d34MZN?EQ{3V_^o z^|=T3O4gW5rh_{19gbP-ms|(SS>1IdU3(@U9h5BTkX^|ip?cVpoSXcSlh)?*A^>Ux z@Z$-)+P6q{#v#U#f79)$@)Sn_B+>^~U9)6964?U6s5j6QIt#t?QJOzpW-NHW@?__` zf`Y({UN)^k0Al%e`3|}OC)I7Lf!iA#lyaYXp-&E!81>v{iM5%W6c4DvueZWq>O&Eb zEbHM+h?r_z4ZRKTs_ywNQh#)A`qg{ZtDb%h{FAdV2y(=usMmS#4Cta97q*aG_Zk-s zyC#GjB?VDOnV!&Ev6DPspR*Z(z44s>PIYp3SMRHyc>YtnjzY*d6$q17ogj&j!|_)f@sB)=}b^Ww@O$d3}-e^{V?6K9L8O?{}Q2O3D>0-O$f z``&_n*fR`G@>QRlZ@yh*nN-LQ{sDKo60H;5 zfsBM7$(zvM{agoGdA0Xi6GJ^Hluv|HlsiEnky7SJ#kZOPbR?2l@+hV?)&mm2{WG|R zs(6-f!lzCNe7@sMKmw`7u;DfyksGcxd=Q~De4u#9b=DA)6G12nC!zRY#Q>+zitHy+ zB0-C<8Ljbnp8Xt+I5aw>Grf1!xh2T�lpJ>8eG&HeqY0sq1g&Q;#brV-3BY%-veWuN3ko!+&F5v@)OO=ms| z3J||%en*z^@heG6xev&;a5?;1Xb6U*T7Dj%Lk#wCyB<4^ z`#bK56Vzbn(1pHe)@j(A47$iiB<7L_4cG21y?#i3DDmJ%4Cp0b zv2__3r6jF*!~P)-;j0~qJ+>h_;s{A+)tZYDMjY{ta86Ung*E*9v$+^;r$oGYzyx>w z*qMV|Ot9xDS^4uxB#Q95ikZ6A`uZD=2OaWCWfA`V z!eZCS8^s&t_E$AdA9tZOb08&G-S(Nmr+Cu2&a$wC!cl1Z9$pB2Oz&f{8+R3(6?>ih zlfm=r;$CUvoU81oFSms^{jJe7N?ff$-7(}IjK^QD`)ABN8mE6Gb5|ZQqjKq5Ke*7C znr`q(YxUZ8huw!3rO94>w4w@bthW08He^8(%gWg1URS=*W~>Ti7J#*BTpF&lKfC{X zsP-g7!NDgic~h~zLbQr3ozCpeaQl$ucATWRt7r9jAJfFe-?$dlfVjA{6uGjZ&A08e z-)Fc1eL87bhZ$)V$ypFM_r?Loz`#gS);uDy*1KhG$W&O)#%ow7gGa7xYG>BuYN1`( zW8EXD#y9A%JSJfAHOAs>CQ>I+GN^qm+Bl=slgECKB+eH!j-_;*SplOB2LSbZld9MA zt$#6mdmX;LaQ{Et1L^tKHM*C8i;x-^Q0Nx+O}5J3GFJOlld>USMcRIW@j#V8ne7d> zz6QRdD)$540-EkPC1^MpXak&REuyY#f|iuhf7I*en8I~QzRQWRxdw+mtCzZzUyXZZI;H9(l5+T_EWOrOtgm8B)K<~S(2Kxxa~8C-Gl8H zB~E>Jm|oO$nbFvk_%E5#!%sDaqoe{b+5`T#Tw-yP)wbZJRm?|=%okjjbvi9RTEpRX zi=MpOkc#iKX>v!nriS9=;Ft>Xo{1~S|A+14jf2?d4U1KvV-=m z)9)Zk+GOOYs_t~~THU#cV2$l07pH;UJEN25cXpa~=<@!&sgI%unju2EOh(zh6p(ML zYaIBTNr!P*-Iv$K)mk}?rbRprRjwRsYhCvC<8_S+_(|@ztnYHhD-YR@2Bzc@on>q( zJY4Feck2wl?@(z?Bumjj!aTNhsC@=*5G0W56cg_~tHbK!5NGvnZwciMXYaA*IsII; zMdpm>LX8VP_27e}R9Q+7T4G|b?r#N_W(&NPd_S==IddLmI~khSSwxD0eyi#CY(ocfK{gkrP+ex zqFmPAC9d);(8xnUzX%GDT3;0Q-Pc@gNue_1B1Z1;N9OtP4$-;jRL_c$+i zI@66ou0cQWeR!~ms9alZ8(C%m^qc7hQM+t~i;JGRhxDD@>0)HhN%^^g#!>?62=Hqb z;beEEwu&CRWK9v$6aadNqr{{Tx!F>PQgGiAvZmrdN11*ohvf3{2%6n$k>q8CvK+=@ zvhbfkGn%CGadMorP6Ag161^4+@$j1kyP6}?t@ywq{U)6+R)vgs><>LKOo4VYf|v_g zw9F@HYF2g&IH$ku;QQX7qYtx6tIVfG%8vnjCNavbfLW&dlmo z7UkZdbim{DW=dPJ{!^(aq|v(H<68QFo$wuIY4{~OGB@xEVJueWopsD8ubU_OB_-UH zklZ`QExW$ni_PEttAkSr+d>Zs@1KRiO-W zv|<~%PCcrGu;evNttlHwJ~xU$X;#uyYVohfz9&L{JOIW{SVGd_#~w>UaF+|QG-_3w z*PuNHb8ke&0%feaQ1y^gA#qv*0Mu)@?TG)mhg( z{TeEPGUGOOb?Ge7n*68Q2Z{WmIMT_rI~G@n?;&*+6|k!OaPM7Y6(d*Nk%9-^bX>XS zLIUdWXhmlhlo)!QL}-K3UMI8B3>>oD#wC`RE4?|xY3O6D3~MHwuX`-UQnJROZsW zp4{Eh&GIB8<`2KaY%6JaPGU^-Kv$n9l!M{(2Wlz~+I&IvIQG!x&`09xaYr1?uC!l^ ztyH$Y;O zHLCK-j0Iag*uvQd?P-xX!Y51w7NaXk7X_qG)uojNd|#+6ubGlY;1w&MI-^G)dOY~s zEC(>zrt^A$R(Qe(EK>p)BzG~`8fcTScv1C>lX9(*usn63EIG{wQ@SdYfeo!y%6)Wgdh-QUp9KOIEtQk~m7s=>+Au z;vBh*WOKprFjjP^r<>GkntWgpSyz7W7h5GcltVNTJ26dA&nqbee>m4E`*gu)hq94p zcX5Cqm*lgA@3uYDGHBdTgon$8wVbqj!&+P>z7km+;E%Yq%=rm>D^gw9-X)1j zQfgc!PDt1;@JR&sF_JIy%O=`~WvIpZjX;7QTk3*-r4b^rb{Fg25^k?6@ZJsn%1SWz z&WWJd%4s;4$L`ly1slwg{rR+ks|842_}ocm>nfe^eAT;M*VXEuHRI{;d0FYeSa=gKCaXWH-*d%DGx zpCvNKV>y*OCj7@|Z-(#OKWvBQ&0r~d2YrRN3+3UP=$3~KR}O20tT1F;yuml?p}@ga zBtQR3)DFU}Cw{H->Nh679Ozg8&^5D~v(DAz{xZ`xje!Xm+O zV)BdhYt;!?MeE?b9WeUP|2zu8r~AH;RQuE@_G9$405);G2>?q#3>L&;W<(->8EfAB zH1*IveahFXjbC_8*k1pT!>&);joZ(YY=QkNai2}t9fXE|31trd>T2U#>s;ZAtc{3j z1k<+?+oLA#Ut-sEdQ9AWI>TS3*KAeonp~kzSNj-3U@ZYh*-dwI_7{cDKNi$F|f@{@oO_cWIqxlN$^F$;Z3fKme z6??u`^4(!;EBqB3LDkDjVUJQa4JU&R)II^6bgB(p2(P_dyyL!~B*s+ZM>ic4jYop{ z1E4@xVS%^9V~BGr2<||0+gN*DK4j3AciUB0sm=`j*pKf;B#pj)4*EC$C|73KfE6=P zq^_*+=dr)p2BYYx3@_5j%>4mDW_)G1gn$GuoNU`!qSTVD9T7ANuru6OsVIW>Vx9-9 z^s4d}1%mS57HEyywAxit>r$SK-S+2;a->!3b>1b>_QY8MRKaTlc|)WS`8!oZI6^2S zh}>A>G~5%>ZfALyb&O9bpo%)^DL%xG+!dN`{2aZtIhP`3^B4=mdWfg<7QN4#|G$&C zU{0XtTqEbX&W&-=LW}P&IHrwHLqlV{4et9fCMMHI;Hj^*;D!7WT-2wur!x4JN$WZ0=T75KPMC(^-k z0^gEo&Ma)p^PKF92t;WN=$L8xW((;_?+g3l$Mq#qiwqyV_!m9zX?qZBKgo|N&{iQo--gtU zH6TYaZ*f^}-kSw`+vP{CrcSQfvs=^ReW+*a;K%N>t}OMGncErcN7>$EYCAJUncR=3 z;JGgQcC#oy=)%yY!gTTC%h*^X1PPz_o&=7d7uPkHOs9yi(^$nAl>;=f2b1ICt1e9y zWfkVmEX!OzumhS*&q@#(|`q48cMmc(vN6q6}w`|TzeRE6&25@E?N^8Ek0JzEIM5!Djvcdd)EuS z0Ue!e;)GWP`_pFCmt#H$q(g-_x+eERlwqU|ln`)i%i0fTAyuh7n+GlyCkt~@gP7!CqJe~Vqw;TQUI4xAONPoqj{tDa$mEa@`u*((x6L%bW_<190gAk!=7n5*dNT5FU%YN_mb}YGO}w2 z-+Sm@q1YbJ-_r#5f$SOi4VpH(mjrL^UfmzE4{8LK>Ui=AjbW?)?XZ_Nfty{lufb8) z0Br@QSv!oq;knYcQ~F+gB(ZOTqz&vXX~R27`+<+hPkXB@11^H4?1z;H{6kpkc!Pd| zGwj*n|L|(ZFH^>=%%}Vq9tv-R$04tReJ%hU8xOvi$YG8LLXVsS?}CT2Z+EsV{3XC% z{Da7jAAzwY*$=UKf{%t3cWYL;7de#xKxA9&dAxy<%(IY_!6Smy?|bGxx+} z;yHbT+ytIdF7&i>#Ox7$HEaYAKhUX*S^OjKd3XC`P1~=(vFrD_X z=b{h!4cv3KS8R#c26-0srvE9s%X?i6OZkrPuGx8Y-h%56w+BocV0$vg68t0hGCUFZ zimwv72xL(kC*4ml?(8F|BSE1qVHd^MihZ3OX5i~+ZppkwTE5#-+Ky~1zkcA?Ql-4g ztjMOkkrFHn22wh`j`kOQ!`_JfBkjYtm^se8#*fO{g&z=WQr8!Ot`EQXu=E+*N7CQb z{moEaU&s^an$S7HGa@;Xzs8L0jSe6pYPNUfjekqC9sLHqdq3I6R4s0Fh3FGG5BI6#i&oe)Bi4^V6{`A z5Cj=F6;x4_|7Or{rN^ik88A6Zvd1J#-B;BYdb=K=mTS-zwrF*i8-q&1`nzxapF5M+bH|R;J{UoWM z=Hz5^GK%CcFDI(76c^W9ddI~|YF4(q`Oyqnyst>s=@gxPPUN*bk--~9(kHExlwmJP zV((ZsrrOm7*^PzUcvSq6_s0f+I3 z-%ud^E7y583OF*s6et35e8Es>!6T1&hB6F;;0Gs&f{hrsn*61S8J8hpBTNi+r}}B z9_1bV6{M&B;3dy4Mz7zgBjXM(fUAxkykw6OP7mgSQ$czZq4b;e5A@Lb^x!x+#h3@_ z|37=@0bf;-{qazw69`Rrb?s|KMa6<*@1lSOX(D#I6a@tmAcT&hU_r4fJ%pxI5k-3F zgeHojpwxtF0c6kre9yh}m^>b=`(H&@-sE#W@8!O8=g!QXdwz4;T=3Nl<;+2NxrXwC z<2V?j`B_`xFpcI1$KeOF9Y02H@Q*|vGJ=MD4VeLr43Bei)1F+#g7_HCa zDQ(Y?ny)`9oj!O$dXMcZT?VSmd+||e-?_fDe?nz}VJGrfWdhH>BVk&95YjE&Q8O6?T)+O&B}+O}^eeO`TCKAf{m7H!x0qElzo zPLY>%dDne*j&yo|f~0irBJG}NYQbN~`V?@sRz2yc_qEA=56JCR>&nH|AC;?~=qV4q zH&;4m{v^F;ZAz2UL8a;xI)gDu)A{m|F^W%*Vw1KsP_Sf3Mch;GPvq}zIbuD9SQGNrDsh4ZOPaXH^8ui|~wpMLh z?=flB;7MuybO(9)rDRz?t(nO84~cAkSY~HEA-yIgOPeuWq|^9kRVMUOeW|$xeOfXb z4j+6c}J6+e-2H=X`V{}Euwl)a) z(M!1}*dee-xB@@xGO*j$NCaSe{ER1W5%Gg;De*+gc!`&IiI-njLU-FyQNk`CRb|GE z8S=;@k4V|Fy57?vC{(DBlqyv!PI3C{QBsmo$&w|dSc#HSxOhn^l2}rTmrRnRq!|2v z1SKjJRVrIbN>?l`rR$cKq?Soiq-7Dw*DzmT3xcp4{u*+@ci0%~2liD0EeV!H$K#Wv z2TQsjs1f}TI~Dv71%ZJiM?h>CYQeGCFiE)7H99^Tbc^Uh5F_?f&W8s(9*F{nD(H`F z)MnSkrpEf8@7i|kSR}RK|KSZ8khMeGN`ePE0Gn~56OhzlNsZV>>jcSg`2J`I8wz2E zLr=pOihjd)^JQ_pu)dPbN?IU4Nru?y&?V4kR&H2n$qn}Q5BRlh=&rV@3}dI`LZS-e zfn5##gSOcwHCi{p#k8F^*%&??Y&PdMgF}BsSK}>K^qK3}n@PBbTu-lozRc!5Y>Yd8 z>3B=#u!O-dAQk-$|0oGI_D=*IYT(XG(m z@VliN&`|LaEIO zY@w>}abFoP>hcTo3_mwXtTy*`oC~(ldE8i^`)i9y{*w8FVAYeA?o^6Sr1YOL&e!iG z-(V+ajuAwQUm#*Lrhj^kybbb7;TDCZ&I-wwy~S0{g*eBv166YHE`Nl8kHT1Sz@B*TfKiW4PtjFY&g z-N)SZCnYIQ!DFPzG5O>lr=KNNpX?{{_SJ~*9E(0T7WsX0^~5|L z)=^A93+r(m$5LnN^H@cHYgj^xH!mW`G*%hlo^$uC|5^(9k!{FcAm0)_R#LwntNh zElNt_U5QfQB<(v`nxu5K688=)b%~Uad%p1V>o_w8*volFu;-IJ%4QjMADIh!Z@5PV zn-!GAC-pgQqR;Ma`s|*f&vOy|zmR^G(EtA;8t!ROok zE+I+U{>0)XB3N2Xv9#oWgr(k`h&6A1Z#rm=7vuV2UyaAdTmRfx6y2v>^@PV6D?1*Z z!y2}j9zQ{!$7_T-#yhjSivfPEzPA%D>?*oYfH zoawn-SL=kAF#!+wM8Br5=z?H1x}o8kVlVQO_Si-RigDC)&nx%XhVx(g2XG32Z<5_EFg|!`A*ld7xM#N{y{Lr?OoJ!n{zcB%2`S;5$j@jaW z8AsJQi?=K;CG=S;TtU}K1@cNl0X=@c67!5CN|#9KJumjD=MD+X;p$&l+33`=lm#L%dH;X6C! z5qwH}T!EkVV3;%|)8ohSM?k9o))1~m!Xp8!D9?_cwh`=1K&tY@w{PqsU+k zV)wmvpUG*C56c`DIZkpWZ+*fbHeBn!ruQ{wG>aVHt;gpF*CmJq+(e#%FYLB$yRNIO zF$~jofiW0B35tQooP|BE2-@q6s-bZUnGZk75d`+Y&l?bt>&Azy3>|LB!pM$&oX;5O zCzy#d47qJaSsfE_mrVxfFFWR8ShjIp3_|d1L3H3I=D^1LmA|ebF&vez4wf zjs#b5p5lNdL5exSTi3u*o)Ow$W1?;3x)_Zq7=lSeimZ*od4K)0H|X5Op}t+_tj&~$ zLmNmrb~e0C=lPlW?72=lMxXZrp$se>Vkj~K8Q^3nGSK9U9#2#mgKXePbvM~;RxjBfKSXF<`nG!Z`~Tr{jPP}r`4l# zi8|n6J{o_BSC0R;ARF7TPQ&=H{e0(Gq|L4kVVfa)7DBA`@zq~Tz-RR-!Ow4cFOmus zw$I`Pi%Fh@l5$L;(sIR>rDfpjiSl!HQC;H~k`5mx$UoW^k~2G2lHMP^t}^6%bK|kSR&=;`X1!xO zml{8gTIHwj@Z)Ut`RO~GEU+dpoz>$fkriz5=LY=DE7k<8XBHiFTseRJrz9(9}9#{)sC}fM#tk* z|0gIB9}GGWek*)G1TxxsAA1-&MQ9g8XJBJhdt2XtTITb?c8D#B4Pfx?ppRf9!`6oF zi$uxjg5E-633f`773&PBBNueKz}`+(zC(EnyrfO|fzX}I--Hc~wxGjm`#DAeA2u!u z2`1>DBxsl}hm8@t7IwEgf@BRgv~l_qx*$3Xel_z?G1jU}*qgkn1!IRz%@u5;^aowi zY<|vGj1GH$f{h*e5CuJvph;^tV+|kU?b+D~)LgtSc&iu*Blu;l&0L=(zwrK`hohV0 zFLVAl^g!Eajk>N?;%zB9KIp924k^wr%e{<@2W{aEWqKaAaoR{?i(k;Uc=L$)e6?Q$ z>EbsgXg9jhPktk~)y9OkJVNwS&qWVqlU?o$$rBXxcx(pP%Xu>iK27v~f}KeM#oq4w zEZIbd&5)SGW}l#L9UJa*kMTV$Ima*PfM%0szA%Pv-dw0uM4zLCJd)>Rz2A&OR3atw zz^*}GI0qg*j;(+sW&s z)AVe)xkWEYD4G<5FHT2{@+P=Z3T}h*wEM*7TmFf2e)njm%t~* z68x&jLkgHftjPtb7X)@WCvzDW@Hc8~SSR3jM25S!NEpYd>;scQe&v>n@bbfN9GxvTQiU)DRUm7;Cd*iOMR-r{AOn^QM! z;gfzVzL03keZsfw3by;px?W}pKj1&}jPYeXL^6!O!8vn{I4R<{EO9lQ1FTbs>zTT0 zYOa_c5?Q!!Ha?6u_X%RnQBFypuRKZm99^T&5%}l5kuulw!rF>)(lI7!6TdWdWBa}4 z*=vGx@pCdS!{^P`vCPf#O8JzcpX)gN<>{0wZA-rVPA)62jrVl0W@ zK=zSDMq(d@7#!k0(J@FOL(H1|@^19#a_$ra*yz)O2+3FF~(X|AE)8DD?=Tmc^~ z{zk_STLfz(jzzDDDEOw?yvN=G$@npLG4yIS)^$GEIcw(Fx(3??_nmlT^ih%&nNwh@ z?;myXzqX+(c;HP`$+!$9l<=O!OT5HOyc{)!g?RK%bOeh!CCU^!j9sc2VI;#)iDAzV1SPPO z#XN>qpC6?HMU(^vXV|6SDC2D;7!XNxFlABsQSjJx1!EY*>;ExM+O9h+*p-hRW(XWb z+3yX2yrw9G$|BcwL8?Cg?mfFr znZiIF2^`h-W9%hCg0}hm1cj2ofD#`G994e4lORE0svkJYx$HtqAgdoZ%C%4|2~73- z?gC#4Wc33<=|2gaDDOT$38d`IgA$JtPMa~XGcNvpb%pU^H$(U_x?)(RPIlFWFskzD z3Uv&eJvFjqn z3wF~(3AQJ-CQ&#y) zai0EOT>0STvt*K#Eu;MW&N+O>>HkmVARR{?(-H*>NMfD@IpNq7SB(q*Mssj^ZusjMXG_tLbH-;?w@ z#r-*>bBNz)f5=nzSmqFI3EGisez@;ePdJ~FbS`n+&AC6*e4>A}mHAG8`8*uC=P9~h z5aU9fCA7|>T4$+}QuOR&q`<8Sa{Lozq{*PiW%gIIERbSIm>6lsJdBDs>YTwxKapJ| z>^Ur8S2ScMK|BQTAXjr8Z&l6`Baw9+C-IWtfB605BurwUs%L*s7OcMm}U9!96(Pz$D(N2)`MP;iu1GKXVzN zxh@7}#sgeXLax^6U&GpovlP4{(GX)CcoS&@m`fW}X3}O77HI>)LIi$fJET3}@R;_)2bT+(Aw_<#fZ@5=9F~ z)=c26wV_N|DG}ljK~&>6=DB+o9C3`_9j{wAFeatwiyr&C z-iMHqO6zl&r<4@VlPFhTQbGp5Ra*A^e4K3lrHrJG%P*(4%rED(zDRn1@RF?E@=fGz z5f+$%jAA{l7=c3)8NzxS8A}iaYkntVNNhxAL~q7}bIR!ud`CY(&tZLz^AM*FI!kmx z4*+MUteg^&=N3StI7mPz`UeSn{u>>AeT8eG_n`j}$ciJBw_c*3Mi;Jy+ygUla-lcD zkHailx1vrQt|kNNyUIb{2I4m6@pa*CKL)$M(gy0J4k|t&4*3b%L0=?j%)h_zvmV4wVH-_2{ssli{y|WhpOi|8zlAbh;w4_<yX~kb zVV94pGGW35x&Hd=b2;PWB!Qx{qAyv1BEgmn+OCOv0wi;R`{flZB=4BillKZm#qu8I8*><2%IX(7=Nnk`0hC+PTW9M}`Pev>l5ps)-p(TJ)C-@)Y5txe|727cP z20tT7`XpkSyeCQICZ(EzUlE_LE7%rU&#?Z%KW+A6`Uk1pXU)NSBD&y*V`EdpeqAT9 zc3>><(Ymz=K0r30!+#fvZ35ffc-Kj=O$V?ayZ9ehxCg`(vB@A9#rX5)OOj=nb8P&_ zy2usI<-XDe);KngweQ%~@vSpgoShb4{K3{9+E0Q9>m)F)w30qsd5Y`W^D2Fgc&-kW zNU8mHv0B8AvF>B-QR|Iba!Q9&B%zW1=CG7{@R1Y$!~Hu$Df)Si(@{YuTym2<{?2si zzF?2kePOheJpIC$d~rI0bb=Cc5)9;7Rf?>edDedgMG`5&Uu27mp+V-MZ>mhk2j}!D z3UZEE%jD6?rZXTz_~d_N7TD!<4CEKSt5>JJYW`1RE5TlL3uGub#hV{}|ClTI)X-ni zHQ00;KO;B_o`P5CrzFXdoXJKmwoy4U5gY~Uv@awc(pFc{9l?^+v8nbJe$x}2o~L++ z9^)rc;+q5?Xoq1nGTLENI5sYkk`lpcmH+1JWh|**$CGgeS6tjDx)eBQRmrQ_?gzLAJWGG+Y)TSxf*Jd*Zb_~->|o7K&I1bek!^h08V{ldLPrv%pw zS7WYQrz#A-yc{bF?Vbu zCdQq6O57W3nA4Q>*~*hwv9!EC6U+}Ukuulw!a2^SpwAu~6L2pY3~V43dsLKTo;W6g zrN)nL>dv`X=@_NxXF5)CiqjuTRjO2xPd^PdZuzSg?Pt9FSxR_M;w4_r25-X7s zr`LkD3oPgmCz|a#i-A;?Es`w=g2X`Q8!!pjXF-pVjf4`%Pl5>iD47`cTwzC06iJkd zNd2n#u&W+B*Pz6pRAQjSIA(7fLD5k~ft_ej+6Z#wx~|~tLm5Q@LE&kg-r5o%aLPg$ zk9ivyiU>wxb|i8Ig__;+aJtzm`)Q9}52GN-FetEYS5^v6N{*p)n*lU591`5hG2_qi z_;Uh&>Vn7TCuo>{!s80(qV(ZN_W8LM3OwUO8(g7nIEe{pfkX{5~vb|}~w>M_`3IKU8!fgb}fiXivGIdCb?h)eyJNW{Iap=l(||t)9}u4JEDl zlL0^Va1Oj_X>t1hk{icPsXDgJCGCNS^nNvy)SmB1r*Uhf(_)ddRU+M%i*(Q2CEZ5N zl`j1TNLp$~N$Z%b=W89^yD4=y>Z0GfrFE2^smap2S1;)`WRmolxkb7!_*rF2mUMaP zExneW+o8SI(aGA-txKA8*Lpg2PLqbube5{kQsw5xsZ!^uG-=(bt90$$S$ec?BV8ZW zIa6QfLlbRhd%ZUI-k$^37uuqf>v+hQ+F9pe8|_~>_nNlQc4%9AXxkyqcjNL$kZY&* z*XDm??I&}dw(|K%G-*GK^gBHD9zF0(xqkKP8GKS_>vsA)YMt;me^k1pDu3U{rQ5qt zO81c+<(ZLfrF#Fn4c0c`Zk7F#v z2n!L^L%;`yKybx^NbU;c4$e$AD*zXflLUYur)@Vi3|<(tFpOy*2s8pWz$f1PM8Fa9 z*7(65_>uP*ULQ@6ypQJ%u7!aaBOF+UQ4_$LJ41H1IPf>^8@J&@`PnQ2Be?DU7R3)n zgHzmdpPw-{qqXCwP0G)G!#T-(^!YJbAH=Ws0vu<~z|Xn~V?O<5ZgGsc$GQpQr^971 znspGybpMTAIA+1iTc5u*NOWRuC@!+g9!ZuYL;A@+I0sk@62!)N%y%3Jc3*Uk(KZai zJPY)f-HL7g;k2Y5kLdk<=5)EM{{zx?WH0GH>N)8)P-W=LjigH##n~20JlBo%*>A7- zhzv|snclK>An)qy?++;PY4W5&z;E(JzuWIB55QXZ8Y*VDQ5l5XKqmdsvhjbNe5`7# za`668ob9ag+{0PEcU8>n zp*Y)1@wV41Z%WVcOATkcDc*J+G+E_-?*L~zrdS)ggg6V{w&{>2b)QU?TNG#Se4?{F z-mZ&u)b&~SloaXKOmUGp0=Bf$`NKT&=YZ>rwMWT6&UbJuS+5DcQV;X*DP8mNJL@~n z;kz4~|CU^PxOTG6eRo~vcgv@Ay`k$2)&=08KZfvwlkhyI>sQWU?mwjWrqL66pLFb~ zmuH}b@^G9wpVTqox?t$5aXlp5Sb(YoXVm zM-YsOzT+31e>l+y%mwd|^T=tihdNzB{{-*BC39?rIve^J*C61?*EtCU4sU>(=?byUWRwFQoAHc)Z} zCoR~{{k1iN(;=TcE}{eb_m{C{jKOqY=fnxjJpnff%yI=Cl64Q5?(3ZBsPLn6a*v|# zMLdx*Ug9NQ;^jXtp}Xy|3RQ_;0L8`R(@Vr|Z5FX&Td55r!Fy-Gh>OJk4nbp;Cg2!V#SIVd(Kg8k|x z$R%AaF})BQ6+RyPeU@Yq>XszA5xj`*;Omaj1-lh|Y^K8|DERo?CSusL`~XdYO3_{L zH=3=GVB32F+bnt&{xQE042iynE!OlFg7I}c@O5Hi^YthSft2W=_NFd^4XHoWgRzN5 zZ`MOHA+|Hy?8X}#Hh*D*ISTp{NrIM8g08|nVT@=$ZPNRSpUdgX^Z`A|0w1|I)T#Vz zFhsIPw4O`Sh`o`L`UqI1%{mXzh0ufi!Uk~Y?Y1Es*M?uOLEG>L`hlbr5*XPa2;I>1 zP}-vRmf&FYKJ-@tkgicAm3fYR!1)~Ui?X?***d6`X9r*6z>mE;$_8+a6 zPBU_(O6%vPXt@(&^2X^1Q3)jy0FVdnnGK(HdtUzoik#zu(~%Fzc9p9H?1Kv=nEQp; z8*G2rx!AxA8BNl`p$hUC+bDQcxl7+48^*)9f1q&2Az$p^wNm}>I?maQETJeB>V~5T9?m$8x#bLGi z(ZDA7^%FZI_GH!s*zc&*u*TshcmwfWkMSEkw3p4@un%J!1osX9mCx{e(sKu`OJ;sC z)BJUIUl|MfsP~ox8ImCVf<2MM5;hsM`%m4Fj?L|TZ?`1J=t2^xC0WpR>ee=qn?28yaCI6eDn)^;}iVVH3@SIyEp5yLlx}XtZ&Tz%K5B2be@v9gHO=k^p3*X16wXh zAGYpM;=ZzJg4>vYd4zw!)+LNJSP$Njfa^93;Q3-B2j-KPNSW(-;XLLKq-|nzK*peG z)1s2UvGzF{OZg6g-Edf+^2O;05pQ>9Qv%lb zJgecFH?nRHpZi}!5q^KIjf_NQ>;LG7Y#!hj{5$AA=m6-B=v-{J6CDTX3VI5@BoY;o zb5;6Q$+hW9bO9&Plfeq~5O4uG>HJF6=Wi5CTqLm+kvLlLOJx@45pwRgiH?c)A(7(C}yDNkih8@ zFTubIJ6{;^l1!=X0FRmvX?~FI2wo6#Vm?(j9$F`BA28Y99EXBW8QsnFKgI*yRIkHk z;j!Mn&G->}6w#9*9UC@daBq*MO~enIAC-QAqkMvgTtmO#)aRy{dbKO85zuw7=y63b z_MEHr&@X(}Y;x`we4*&f7H8wGqZmv-i2Y&HTV6s!pUj^M4;an!!?>QMgucl0b)FKq z7xhNUT+fSJd+JIXJI$vqP;OY2Lv>ZPQ z5=sWnQeUYy$ zicvAb-=KiK?SrxMcT3_s`~>-;%%PYPs2j^!&3(rC%iB9hwjg2A=7cI=TnooFfv36N zH2KRuQ?PMNoAa0Us3MGE7bEaN{HhdEzcb+b{M65Gg3%6Wb`v7G1EYbp2L&F5Q596q zBZ!tH7m{P`o}w_~u;7#V05!}fXSO+2+V*=`7LFPydq18f`zOtkont?ioJrFqXX#4G z*}O$^wq{Gtvd@EK<35p`%q5bu{(H&UxkGY({6TVd?+Ws+Ywrd^K6Vf)N-Fo~drOnyf zWZK%@^77I>(sR*X8TR>)vT}oW?zSA|&r$wu^5f2JvTy<9>Jb z{1KF^o%)P?zD9DU&6OOUpHY+bb4t+WSoDF?7=2FQNq zL{JWnFM?Fy!I+DjHu;6o3|SV+Df$6F4p1DX$Uze1cw@8iAuH*#@`AmFzl;NhE{uNg z@QJZZkAt_=NzfApLXzdEbJU_y!CO7yk7@(B13$Z}@m56IjFU7HU;;mFN4|#q7y)sb z@m5FbCn(5vmpcqU34rVb$ok{Mr5~DsHzvR08V)}2f;XVS&yIV%4f9a^7#zVPa0z~b zuUO02*hKMz7x3fAX8zzjp15pc;P7OuWAKwuLLvwJwtiYZ$(*4Pe(t}|-})bh*WfVx ztcPqj-9zw$Wh7v7pL~Nl25ROu3A@ZmyYG4)xX${7_V|UQ6V@9RIOY1TV+LMgAoq7B zq_9?CY*`ywa2N)2uA%K>9vKFupXX_^?E{q+)8|RfSKkEkM( zWD|A9l3j87_vEljW%7=1*U67_=gZD3J)^%a%clw@B(|o8{TrTV(Q@?Xn?fyZrdwcd|Qkk!)kmO!n4ow4Jp=R2w2>ebi4k zSJr(eIdc?;KJ@C*HAb#_qQ~g}OI(+=pu6te#lf7Pr0c~cDwh; zP08Alxj$lJ@SIGYEjg>c4CepFO_H-fd0d@~!#Y{3{-pRyy}E|oI%lzDYkzltGF^qk z=laCsoKo8St?ZvQPv#AKM_NDofc&%dDYjk?p6T%U4xe-66S#*QAXvwoGOB-+e4?Z| zwn*Ui^*``~WO}|lW0=IZm1Hl{cBXeUVts%eKk8E%9mZWqr35)@=(O(EA@f~c) z)|aQgESGh=EYOX@YY{B-bjH&Wyoq%!0&_^BBzYfs3Vsmm<@i;nBk2@9-QOGl-4S~M4qtO9hy1K_>I|r3xa#Y^%tP!E z*cohh*^r+(;rRW)>v)Nmc!`&I`R_{TZaXSU*yW?DEL^xyQc_ans;jP&bI(0jF1qL< zx#Ef|;uNR999@ zsw`JObG2OF<8nE@Em{5EWwiQST9K?`ssh>xI5 z{Y0O^Ux!T&TPf@G4LdfN4ulTFn%)xX&`ataNRGpogC2n0)P5ttk{~_RTX^G=|8@;m z&|63_fj|)G6x{RfbBf4On1bcfb_nd2CnnBLTCWYk04kt;)o)zV)B>~TsR|aIVLC@p2^2%fNC=bVd9_oh2)x-aehtDHTSB7ML ztteNVr+qz_eujND3je21e!pYY_d65vzp}QslH$SnmsFC|6dz7foH+BMN^i31epD&dd3;%qZ3zx~|msXUE zE>`()-bHf4ITy)EsTJCapD?Wi+jU8q7466=xzBE{(+}nZ;{jh~B|iPR5_v9^IN$F#{9NZU<-gE-j@;ZjTZ!jR zKd-$0BDuPe-rufQO8KtkrOZ=h0y&Gk;d%FQ^l%i)2F}HYg3Lk=8Kxo|lm{73><01_ znFlRhx76Yuh>Jk(V$;L7#%5@K0tmK2WITRL65M&qBC?d27;JRNa^L2OJ&{BNY;WK& zK1be8M667#f*p;`%t#<$6Hn})Wm~9R!_TNhqCA_j$jTW0B_W-0C>6pKa@pvFef&gp4J2s-@9LA6F!0!pZ zfV~i7!=`iKI2c4S2MMlVHP^%s2#z=#IQJG`E^oJ@er(pZ&H_)gKh(+kfOFWGp0yHo zc5Iz&1mW9XUBQOShI_0_%uWhcDaNrTWUWBE{SEbvf9BifQ(V1eP#X-itzC*2cPQ@e zCAbxb0tHHODca)h1S?P=Sny&kT8evdcXubadyoJDZr*ce?)m1M$)Eg8CbIWlYduSd z_SLgxn-svlA{;X3h@qTaTqmv&T{r3Hi>vG-YILYyIFsTtL$hgU83!-A@Ix#Zj zsF^Aee+-T6AZ|rhQ+ep(t#A(?3m=g*(HK7lwtqTvD>QyeA6~crw3k&l0X>wMQ6;$l zebt$!+3~qwBx7?SNv+~fz+=AE+3z3r`$we>V^%r92YtK1>1TS%HQy;uY9u#(-$aXj zis;|whu_FPgRywZnNqqTmWRe^x~<9_rXSarP4a!weQibs4%=NSB}AZgBRT$t1uv;wDJ~xO^9Ypq?SRbRA14ngP0A12lh<+E%7b&yFq0u zGG0Hrv)MZet%UPIKR}Nx0?>CLLwszQbF zEOneY%ok9)fyB>0in33)lKrds*RZIyC)aIRBjshK1r*9PpJ0BCG#kh7PQyQokJNM>!%(YeO|sj6 z&*ZHncB+Ij-qhY&jJudo-xAu}QSjaxX|LW49r|I3$K4@Pogb7OAQnuhm9Mo|LJF?Us`o6V&p~hp%mIBO3Y2oV{_U~ ziq?VaH%$Zc9vR>y{{KWGd5y+)Cy~ zin<*@V#jq*2q8HpzAg|H$E5sAcO?U3`4hc5M%p0rGIH8UNlQvF1{4h8xf`4Ql8ukqW)&euiMdX-xcJYvTDZUXrH<=Qjg*`GqQPRKv_bn?TqbQV{VE6{yHa4g+UJUi)GY2ytATm-niPA5xh8J7<5NHP z)#Xp>tLf?7!u{?x0gqk0nhz6Wabn&p^J6uF{xsZq84ZgnIovP;rk8DUtHwq{ zWIKhdD7n2O*OZJ_Bw@FJ0hW~v+%PJ*2x(&O#p8f$5xtyg(QQLP6al5 z{BbgHfG(|QMio)F{}B$N)%XH4Fsm9Dp_0DCv8=p2HViKK83yBj)osSQNRn!TxjFDF z4oYCjLrxn*TSn7lUT|souNN~mgml}I3pkI95-17`9mXB1%w?JY2glH-qv(Pl8<(>1 zFTdW(T9;MB8#A?BDg+yDBb?)D8HKu3$$g$er&52hF59?Ah?c;OS1!-*ne|Jj$j(IV zF+exCN~cNpWp`Z_L#!_=&dXkmPtvPhJSD!Nt5dt5eDAVZcUvyEttJYVYV6Cl*xfc} zmTG)&e#%soJt+bvv;(N2TEV%47_4#uqFc|jCHpBDGrv0De!L7;+QOT9Ok1A4+ek}pTYrAxqZrux+x9B2B=k@vKFKN`i$J?XvI z%;%-cF~cP(waYM3B7;UpjAh_IQJEKPCC`fB5wr4?F~Pj!DbMV=eB#o$7v7~s0i}A= zOei$$xW?4D>Ycvk!@|wIfm%(`_p~PIlb#LH`>)LorbXnVaI=?ehnyC+&d;#DNY5+d zDx3OaAg7TBcgt=Vd(CE#=1!);$Zm`-@bImI*-(Y1%}DEY<4y+I(XrTlF1W+B ztCPOxA;YsS33-rxereDqOa2B~GI2xYVj6F;YYOE|0Wc*?$`Dj1<)P$Jr5{3lK(xW` zhgO3TnVjStsTv^XMer}WU3M!ch&w9?r4S~T&1sA!iGi&o?7q-VK~^po@`AGab6joh zuQz>1mq3?j25%f?imfHPmtSF{uVAPanoadx*2+m}sAT2O zvz&5@iTH6m{H?Ui3#zX89beS*7pU@R5Y|cChJz=D(}+`vVaUeM^BlEk9gd@5 z5rTk8MB%-!Jv#hNn+CuqRV3i#DBzDLKr_C}kdfqKYxwaL%{8Wn+zhoHq9zBRaq@HV zVT18^sIX=tQ?PV0o!*R*?gX<+Fr8+_DeTZ2!X8*&$<+EwDI-?>#`2_P5nQc9*opXk zDBxz|#vdI<^F44p&;#K#GX%bStd6T(k#Xv*LrP5#p@}I^_^t;?`f~Cuzimn9zWR^= zJLW7B81XzPDLatoT71TMo8d6KQnASPO{2RFVx!c*2!e(pF=<8Zr(;AQ6d3yv>d zm&E^q9E3Uzx?W55FA;ja_ZM##JZ0b?SfIaT6yRB8d!kIY z5sz*z&)s#kw(Z8#)x(Lf_0}q$)%yn-ilx$cs{)TS(5(q`KH?;KFsCQ5?x&OsB>?k# zq+7KL$Otq`aZ*q>v*&8tX%6``Adra^S0D;T3d9xr;41)4$sdy`*k_q>saH|l47uH>#=injvfKUcrZvyRW3qR@nwNI|IximM5eB~ z_O>xUE5J{K~uE_xH}5>0&c%ibBA6*X6uXNx7%Jr*OTtr|^dJP{4c= zgQ7g}HLK!O;~#gWLcFA@Lpkfk1H9e(l99rNR+DM>=lBOuc`Cgn>+*U2^<5%`pIJ(- z5Lq(+`$ut)U)cWV>c01L3k3@C&u-3<&u&3!!b~^yT>|=-8)3iYtWMOPZr1NoK{R5; zXssn8t|R!_dw6%VjBBui1E?m*OavK-z}y3On;r`#1wl%A#X;#)`R%OjN|E_o4#@;$0 z6W7k}l+FN+YsVXi9ONiLOr%fA-~a4WMf2K{9B&?bgB@b9!k4oldK#Qp?YD6f5>Z@6 z{S}d9D06n8Mnz*Mt)AZ!!K^kX&vp2qA$bv60^_n=>bfM_qAfP_GK(70%IayDkof&l zDqN1+6xLW6ngWwFXk4ON@G_5KiHJnBKV{gjv3jJbwV1svLoQ_#?-}OYIo=-G%xt(%+I}3y47Z@2+3daYeS06QbngsL(9@+>8 zSI;=y&E#(3u8YKpeTQGn43Mi#f8_@J9Gz*0N?Ss&?7{r{*7-?2O5`tH%8@Bg=y3_3 zd5cG$q6=dmanhvDK?wxI$n&8k=-J{H|13%xBrOYCCBIc%XVmr)vOkW)P1h-#UP*|xIW zwF7gL?X)-&FeM%j(x(1V#3XoT2klT0E8N8!37!{REE5t0I6Qvb~$Z z;ts2?8U%}s!vG(7TS1iD8ZROf0H=M^W1lJtJpED8>sWx`UKv@Z86N?cr#({to4`2# z7$>{HIcRg-v7P1Bdf6RzCagfRY~TR-LHttQI0+~ibU=G)dH@FDw3dbG3;!M=YNRsx zvD5|Vx?uny!KcJ-VKiNSTg}E_^4|oMGtCn^+wd>?Ia8=rkpY*HQfv5U*DBY!?U`lUm-N-;44` zdVRQsxZ#AT=wb8`(qIPuvpko)SHHi?vVCMDDWNU+Y0={ZsHpPiQBg?3A+kr z2)CSo(Ep$|yl~yZ-M=xazIEgSwxP+^vwdfCt?MFr2%U~%u&adQ-5+ATk%nJS zk$7G7kS}kvq1hN0kFj;5;1i`&nz)F87o%NYg^wTIVVAPCoUrY@!F2?+u~qG1;Nnz| z#x^_83hlA8ZUoQmJt5 zV1g8T&iU(uGbIQvioM>jDIBY5#3eEIr}3Yl9KsfhwIgxGK1Xis3-`BvkyEMARRSLi zCnq~Ot488=BxuAaOn;8@FruFhJmXOrN#~}{2{c%43^ZJB^wmBO&q*sCzny;0n7=hr zwfkAnF0Rq64&hoOgO>@|R(l*kk7W0~X1zR*61W^!SVwxeS4JFhmWOWQ>yzGUGO0lu z*r$I7iXUFfyQ`E`x);Tb7c13gYu4kQwcT*`BVf(w?Sjrf`758p{D98Ga~jO;jn2G7 z+}FOp1MiNr_C>#($=w}$FPpYJclEkH^b5{4tGjfRROa8tie(VW<6pnxS`KmRHfwY9_kQ*yPN+&QTDe&H!3jF6FIF+8iYGvcIEu%Y|BY`( zgy0)!f+Hyg_@X&P^I0O4cZ9|jS2o8hbq1m=&8gjz#Q0snA3FJa;`QOtI!&;8pi2*^ z$QZJ%FtXQsXfQ_G5HZ5 zCn1gavdIKlNVjHOrg!A2z?`!eM;ic2MNNg)96^dF`A|$jPhBM8>I_+9d+@`mWlI_k2kN<8X!xT+T+((%4GRZil@k}o(@XpJ;GZ*fRosB8dx z&CTN%l~~euSkGQ!MTpL`+5N}{fjiMVg1&WD&s8cffRooRjrpS49(N?IyBSS2)$O8Y zMc+@$^Zp+UC1WUKMGXYy=}? zmj~gY1#@$CCfil4a2P(m!<9;P*^SmM+}O;m@!8VekX34KbKy zm&_NlPQDAI4|hcChhz_>X10x&Yoi8x9py>gFN5y$1m?_7LO_#_&WR#HAQJlG4|L!> zGQOCAAHOU&%BM|ZE4T^$tB87(=IT>Anm9Z^_67M$1v5|7wuQsysb;o;NzcGFLX+9U z=7v-ZPtpG2!)7UI@!`Ln&*l zmmQ3!!IIwYk&JF9`+tzSmkPvRR({@h6RE#%$eV&*fJ=?eW{BW$TH({;$LB(O`9k%U zyP-(4C$2V9I1?||gNl?%qmmcAcUc1VM7A5NJCIml1{AHzvF@RmU)!UV4e9}gX`*Dt zGCC-stj%H0PcLd1Ji7BZBLY;NsDg&nFaxS@CX3-15_KDLH$qR(nhcr(r9idUKCwwF`nVeeugR??{Nx3-T_2fY(%hQa1pxS|{EWb}5 z^<6#i&q_bCQDz)^gN{ROT_!LOkKp^z3f7eM6y;dzz~UjfR<0ulQQVpVyKRPPxKx-k zE@d_I!IU1g;De*{INku-BbB4AgHIUaGv|!nxA-_#&lMJ##raPY6|4C*1rwT$k6n~f zld%pxRIvJS<&`Gs2kEX>hxMKap@SbN+skbsX1@POAK0AN-%ogjx980eTz|WjJ>|SZ z4a8o{5w?EtBM4MbYA`o#tGKlHr{D}|g1vouZ^3D4iTQSv@!HlA557o|AEt!~C^`kv zBDF0NSlqL*A6z(WM4mZADJcu*HA9)4FU%$2-TGVNaVSUAq>j>3mJg~cO{85NHfGi* z8((s!^(sPenChYy`G5HTx^a2Qkh}JssZgK3t8KrUm<>YnnhD|{!HD`kY=`NlI-tXJ zy6to|7I*V^)gF1lIq6A|bf`T_&z$6vef8Zd9v~pc4E}_`BpuX z^^xU6THtode!fACvuWyyQHR#;sTNInzw*sWU}mi~q?@G1`6vtdhK`pC45=7AG3T-IiYb#U$Aa_ewyTq^Hi6B|z?%C=ZK?X{mB=h~^b<;QWH)8atz&LsCVp+fI|O z_)gOK?cjKuSccarvB70H{A$Q}NmyrpcH!6>GT|TUI=#Q-JmRc6J0_G~32{mYtR+K- zI$v@uHF_HH6~iR`oR-?~CY~-?ml|(dHjK~2p;@*T5#!;!?U;LL0dWo$2d=_J&x$Fta{p}g&XsD=+Xwy+bP$@2P04YUTp)SkRY#pmsBck&*Q%32 z-sR~iPMONk(?x%QK}$N603dbfF5aKg>!D1^ucH>{jbfjT2gQZYIXN5*q zo>Z23!eKmnuQQGC$>g({@~O^%P*P_?d#;E#tH_!+&ldom{vL=!eD_V&_Oc?{wb4qC zWOLKRSj5Pv=25ScgQZ;dHNi(1$1fNdZzgK$<)Vyuy|#)Td14A?@EMK62ekv@lJm=9Ja@{4<;3vBD8 zPyi(f5Y28YpDMT>-`{48ny@{#zu${k{JttW?H8KV=l|(!X5mAhZ$C0cWSQLFl(!XK zpy5r*$x8Kcr>_#Z+KcrwZlCHCT)?&x`x$O~D0Z$yQFX#<@b6pvaMgx4pU{JGwfo(W z=~KJMh?KAWuBlo9RjXfR+4xj*myus#z=b$hz55c1`#D}s;66{r?VOjdl%P`c=rRk1 z*)!WQaPs(c%GTvn%p~)074}Z&mitA3oHbIfV?pFzzez~xmfMiRoZa0k+k%sPgV^jeI@e8adlD0%d4+P5ECi5DHLxZ{!7t? z&BrSCDD{VLSf>m8^wlXiOo-K5n(3Hu4+k@UG);~KaL^ZFo=N>tSnL;Y8UP42H$l`P zbT{{Ih15;VlVKEkoMlo8>(Rsoj9LfcivkW%jdZ*9d#eQ^I}bpGI*`@yV3>4E!M z#c%XQrN8XnpdC^u<_2q-$$g3b&bwDh(}>@^vb8($vD^QD;C%@Ek``(>K^W_h``)jf zA4OQ6$!wrs;=eoYyt)6TCyw7~M{pa3VW z;ORli){h`%pbMrOd59LVN-q>yUCYCzdD%#XZ*rPO7r;aj>|WIExcb z_Ew>!YBLYz2SW*A?eo%ween#7erb ztV@U&_N*(5FGiTOqL=r}0U6qB>^C;oIF;6_R$pJq94b)^R7VMJiR(^2YWl12oNCLy zIgl9hb`52s(UVstVW%&QP62BdjwqK1s4}ammgMza`)IMJ{OL&H;Vv9b)t!dGa*_)M zh>&x-hjsLigJB)tuhKB@OG=aHv7~$N@)P0Df2>fKR)J3Cpy1+aQ)%Oo7Hks$ogx$WodqV_yt$YWF= z6w+bCUtVjDslt)S7Ct`A>+8JWUtaU;auN;PZvQ=~ z7@H4#?uXP@muKPE)v_nP}4S8 zhZ5?CHep@7V6P3^z@C+PgmV*_GGM3OauV^BQh^b^8Wf+~OHBAaD}+X_3>Aq$LSdSj zd_?FUt)Y@dt-KL$*Et#XI8#DZkI9bV17<}nF#WzSg!%_Nihnh*YJ(2uxfaS#p0%6t z#i5Bb#8!kNeZ`n)|9g3CGK9?`ZIf$aEv%WPl&EZ^A_yR>$fZ2F&nbHz6#DM%Cz&`$ z!%tVM#>Q{5wN`VEwZjuAHf!UtWm)KGvswj3w}uiJa#s0FH31BQSq|@cL`4a?jp9h% z0==IaJu$Yozmz9!N_Ch;YDeWM;MfvDcasD;jp^?SuoDm@klm$9`3Ls-TT`BCi^YJZ zvk=ng->h#DI<2{Vg&(o>Jr0-D-7sY#FxTVo=Y4)%_C?C-GM~b;*A}}rEL}poZjv88 z8$tcxB}LFbGXIEV_BxMJ>_zqv^RYGK;U=wJ4vMffc}7UzmCK3LK7&!giRNX|5#k^hsSun&KeGwBibN)jjX#H9 zE;v8an5d_ z(90n*r@Sb?x4r5HpK{J)s-9S<$-7#)p)RIz%WdR%5MwyCV)b$%HNZ|?{3&xOW%KC0 zpH4&q^dPC~s)FOgsfvN~Xcyuqt{MQUT9FH51bV|Y0Vl>`3`K*jx?P4h8$tTw$p*Lo zx+DB!DaIy%$ilZ1v3+kpIZi&XJeV_YJ^V#4osQ(Sk$f|dBtv-Z#kWqCPcNyA7W=!vM)>zG5ab>idmt4Pmt(Fi%1emFtl-IMHzB0k>J}Y>jmLhYA zUEHkFBs{Q{qB3!=y=BFS7ZPvalbT|^=*f`8Xd!Vvozc7|pTDaB8NBOvP-T@0v~ZD} z-(KXA6MwrPvrsx)4!*C(@anx)BxI4IJV|biLT+Joo--Gu1jh3mV8d{yG#3vlwC_~+ zgc0S{@l+uDfyXLhdg{*?i@k(m z9xl2$l5MoWR{CVO-n1@oT&6}DV&1aw0BE9l4nDLl^)CCz5;`|c`a%!H;O1;ZVr!3m2GIn&->ynZ4I76i+_WOrU&R{rZdw zpx^Qvi+CqU*$?^oq#jq|4%%trji*|<&~IY8A#D1)pzG!pSsUgnFS+QOLQZr-Fdivs zwTaITDGYFlALdNKi4qPzsNP=5rqcvD(bEQ9mXtkt)dxuW$8phS(Hm6aB$qv(tIbn} zG21VG9eVJHklI#bwts#<^!6pus!i}ijcK5!?|Mqv0y_Hy`ECZyVAx!)+B{h)vwff2 z&`(jN8pQxQ183aCGWvX`zG9)pY$xJaC5GLgCE+G*tw5u~@Sf{^2nX_+p zjxnj4^0LvH$7~>QQp-H;I?C`Zqg^>Cw@SZg)P#f6lS{8spJn7pD)Py+$swvt`)7wo zAxOVf_JIqo;>Qa&K;vEKa!&>FaMoVmmGwm{_?_Q8^BS}&f2$67?jr-YSvH#cGQ5LZ z2JV>NEAH%0C@2QCtCLnty6=yIN%F7PI|}bSH!y}C4v2;f%k1kRmRae%XYFn;>Edd2 zW3-w{WnF&pUwa1enC(-?m3+R2wd^Ol*Q=LaOZ@P1GZRhoe~KQ5E?oPX^YF2zQ_gl# zMFed!4E?-zoz&bzo#>|=#mj~Qq_4e~dt3L#-1k-HBa@hq+cuG;-H%RxcM0;zyev#i z3}_@f->g?WIEA-n-{-8}YNyamQ8%3h(BY~jIz}?MD7wa@pq%95##hbJ^J0!pgewhx z!izWNlWq{(5w0eK)m`*$=2}4C9d(`$P)3+^@kBbuLlVNW;*EQP`ZLKcw+U(qO@5Sg z;bPrdh%=RhZan)xKrG3XWcw}2`o?W!pFqeBqE_ra#ZP^BdxY#&n0NPo;+M28e=i$; z$06=SzWDSQCpqo-Z?B&vbPnWA{5foQ{Il|O4zsBksL1KZ`7-HAVQTifj2!HbsD5i(zF!U~1Lc{93yxSFhVVk8G>0u_dsG z?Gw4p*>mCXqp$oEDF=Ut$0_aNUopG4Tg7JJ4}$M>N!4@y)^PQ*uq8hdEZsrCNljAs zo{Y!;cmki~ABPQJ`zlEzpqR<+a_4rr{oh^=!o<%T?e;4RNFq`UYK)~>#|=2z26$6R zqYJYKcv3-!YF1H4qUMLHg$KY&{f19cW)1qOc9KMC0gRPg%isR9b1n1G@;(jo5p$W~ zrgBVxnPaT0x4N%(Id>k_jZk%MN(8n-PbgZ{w% zbq>IyNJ#$1nzN+FS-ZA0H2S=3SKz&b?YMxq0nu`+cKd<5sFP1I#CG(}_%5*4=A;s) zEScYre&dF#-)wka5_V(ruo(O@cd_*QoL1vaRnoDRu%qQEYJX_`DEl6nXGh_7uXo|D zoYK(psPENH^PGU|oYgnJ0QvdfYFB5h6z%rXi9VbCAcvWN-JsK3nwUwtdZq#qc%ZpjZW<# z4)8+c-u5ohwb5NEH;QM7I$s?runOdsREkIV3AwG16zi=h7HCu|riGa8^1&3i-k?&P z_xF1=kXy=Ozrj2w_E5fdC|x*A(^!~oo`QD1B@>Ruo3#k|7e9-xn6D}6ozKkp!29WU zRS_Qug|kz;kIw-cCS)SPD`l~z-^mpt?YfP$e&}m$l#FxD`pFZkLAyi=Z`_hy!zuDC%$ zx8lUBaBj@zdau$ZyRU8ju`A2{IG*F4%}I%GJ_ERbRl&DPoNiUk3*55rjDJPyB)skPcDRx4QhqcCmP%i!xfZIJ;myOe?l zQi$1rpf7ruxmfLF0er{v-_!M3g;v-1DHl6!;7%wtH<#n+!%%Y{Lj&;KTwL$e`s z=~vO-*PCo@p0BA2&Fm8N(z3PcXhNccQK-`5pqfQtASi7(UMH2>u(o~Vjc8aXVRX`{ zS0?ne^%4?J7@sPLb}%|5Eqg}!BfkQJ10JqXF$nesPf0q3|-2&kc z;%j|hRORNh)>*yeWM!lyv*2jN`efU|k|rHgNDQn?C;s<0m?=Af%{n?cK>#)SxLBM2 zspAtnySsyEq2-PLH+CK4c#;_iVM_eVNNS3}ZZ{-e=V8Sa$rX410baPtOO&OfC>^hO z^=Kx*PM(_w^5%c~WKpJ?jNLyEvy9dK#%@|xMa-@JQA6Y@*G(y`nE58G@M?a6;oOnr zutS5%bKa{YHCfDB`!~+{g+-b!`AtsSPsH9dr$rJ>vs+w4f zrTol+d3Be%TW~oUA+UeElnOJ)OlYgb1ci_%EeV`3}*&Q-sFy4bq5 zJ=bZ;F zr-fk#ya>b>@JG-bE^Fm1tUZ{=1V{5BVMLacQdPvK3b# z0f>O}kPzrXN~H*7nBnr#T{!^kZI*w3t`B&MI5!t3kEQXjc@!LD-$Rr(`E78V@|%F$ z|44-y7Xw$m?x!I)vo>>|{?@Q!a?8lp4SX6Txn=@H$lO`rypm2=(qXVp4;yd>CyDnua^#r}V!vr1HG;Afa;RRO2>g z-g3N(xkZvi$G-4`nS;&eHn}t_$xgqX(ERtne$qf{^iob~x;cBc_KYKc)}#j5K7 zwcFjAx7z7)Jpz2$K{Z!SG`V&+2evPr2V*&xvy(wS>o}E}s@cs-T=ko=#OZ( zX!Jl`BhAdcm!ZHr34;m$MkjPwt;W-y+*M{Ph%Jf~1KyQ5LW#66qM7+8kD9d)IhfmU zoz3X^1e(q7_SOw9_DEEjbnG58@KB-@$voKY#Z?k7%xGb#O+gyDdE783mFMbLrh7Cu zk=p}BZU+HVsFKOR+Dl4jtDbgs{C6P}2esA1N3o^c6v<7ND%2pYY`TyL(eG_@BAeib2S%42WwTT z^i47)%Au^!IikQd_Gq3h02$0BW`EVY|;t zG-+?e;?G*n#7g?oO)8;-{VL}@&Z7T~`)1bxo5FjP3q?4>$5fVap=r-I-|+SYct%xz zSk9fjIjhXv4-gY3a(p-D*4N{GWXC7pl>@SX&j_a~e^ad=&#y({j- zdcCmPnxVJq6Ruy7St+A%t4~ta>T_~u5$2vK7pmT68(Zp6N8((Je@8^{2RISFWT%3HDo5*91538& zZDH%4*@JFLv=`-vFR`yu!Nk3b54UD|RXsCzJ6c1st0d2|j(A?4>woW83-%cnzPS53 z%sViF%I7wD+%wYo=E0JzH_6w1hXOqISst{jCLHq>Y?%8@gB0Fb^wg&3=Is!v-0MX9 zoHiC8V0Sue4y(hIlSbuY)%!DQ7w0M)YwG^R(Be_rw)p$EJ4rfXIUK?r0WqsDb|xi| z_SD&O*T~s+#oTXI*KhyF!K^Zf%$n9dRbv0P+)tDdqxr-$7OayvnL&ts#&qa9popPR*$(K+;?|GKBeR`RrM~w zD}BP8bpc5&V_?*oFSViT{9@Kc`j?pZIuBmmUwXV&pN`dgJ6(3jt_1tE{N;GxBjS}Z zSn8&VN^i^RN3Y7!u+#E`55g+wMqrSOKiOLZS7i5t%|@3$^xp!Qy~AM`R&h?`WF^5KWLhA3d;KXmY7BBY+a< zKmiY$YmOBR$q3U}=^4aUobRlwT#gUI{HGSA3shu1ZKOQHEDZ+8gzx-bzo?duP3Sf8Z*wI1jpQU+?=` zdhyR)l0FF`dp_2`Ui}rAufF;R?95mHy2ajfu=zDFA$@HT2KEMTV#V?-()>8JXzN?a z&Ja9kEGwROFeP%MX1k8`l?Bx~I(#1=(m!H7Cb7ubFUufuI~D_W4Q@DQOJH4ug!|E6 zgy+}RbWOInLsBj|`$vp)*1<=bpVJt~$P&S6Y02o~l59OTxJh^WZM>e43V-u_PCf%q z-#rw^;*+%wJ?()))hJd~l)DsPwAAS!SbdlN8qsezGGb7|_54d;R1=F#8!or*_~r{a#M&D$kN$XW9-PZM-pd^ZH0 zCgJ(%afHllC+_C4G-Yy)ls71Xa6Re&$^!ZAy{ZNBu2uuup~U>>=J5lQilWg@_KcTjTx zvdBJ|O2z0~7`x80E!B6CC@s1`WD&=FO%Uq;_?`p5U>{IAdWBo*X8j|-5k;4i7-9>^ zTm0SnAinDo)MQ4^<|5E7cOkGpt%zMBl3=oF>ORqQ#}uAkZMfoYT)OQL_mK<{AwCo8 z|CAgcNN7p?5qQRnhP51>7abDj>d|lg<}T6x_begE9@;};OM^l9RqHAf+m6em-!qYh z_Aa%KU9tfB=iMQ^TX4F`SLe|9od3}H_{*WO-r*+Nbd#?A;c8Q@$Jw-knDm@tyioG^ zO-k`M_rt6#LaOt5p#rDrCZ)Szkx2IvMzRjm829OGZ=X0o-ucI3jp=(8>fC|1YWt6# z>$ARW!DjKOg@U@26;uf)jb?b)py%%6_6@tAX7V#?j3IC}BoWqsIU^fjL zGrC{7>scle-sR$evg_wb1sMq%M~N22i+X2D_;Ui}7K@%Bq!ch_e7JB3^`74^G|)U; z(ajS&3ivcoS0L>usj7a{a`CvV|HDzLL=xnfBMIQH@8nV86-jYHGgiHyESqxClR(l@ zr97C2eoz&=S*WOOf2!Tj$3%3ia6fkKZT`j*}OWXjhq zGob6mMZ!ZOA`&YkN8D~hw7G|{=3)vn9KZ}8)BrJv;R}jgGlSYPaBG_c3y*+?7- zggAq?_kX4t9$g>%0+G4sc=G`aS+@J+)u&x@xCOCuga3=FfpH!WQIz12i`bgz zJ@)r8s}}C8;omm|^ENW63+MpOIw5YfvXT%xgXc|X%lJjzFUy<{U6iMm?Ez{N`||rF zn7S7fcWS4ckEEvj z3x{Lw>oqyvDkczte&hRH9d7tzNd&%iVdm@_`o)b3oA{mSDr8HWsQ50>4K?n!ZyFcq;X4C%`Nb{CF_5wr?lB1d?>TbM#AQeZ2 z4}KsyFT{gzWQWr!`0`N`@`NW2s@#xgVb|@}E6Lrm+orJL6U%bv^VY0KRHiuOt9t_!7Xr>7~7#(=! zMQ5b`%WA(p1wLx*-<%^k%2JVs8tg$=qCFn{OGJfMX8ipt%h7~o*Emjlxd}6e#|fPf z2gk5CArB)8l31r*5cB`x&WdpSPto}5ur%+dAo%@P)D_2~cK_>sWX?)#IGvaAJ~TIh z@b;160}d1UL}PZQ=9T^kyFbm*vwA}X!9NSVlM?iT59o!YtcT&cso0-vdx%NMxQvK$ zs$4c?tw=`>7-iN7l^6@zDhl>5h4E*JFV|nbYd$Vr$y!g)`YOd+%S6z~H;a5A@sqT0 zyNsfv@)(FJu9WoA~=Bqu5pM`}rfWnogjW_~B*Er9?x1HIZXJScUi;yz&s?Ku5+7BU5 zl&%D{T;y#>8I$ZMzXKSk&~99W3yX3N#_E?J#-)75a|55~=k2SM>4%o(@>YL7oP3-Z zFI*GMQ5=%mhuyU734*SWYh@cywErA-t-5CYVM}7*cMmkxBp4|2Z#%@m1Nv=Xc zegb%D<&u>YIDtmJ)}btfBCnV#sJ> z+foPXu7<=2jEe{lP<3dD2P4M%l7T`$`#5*{;b>An~xAO0`)=s6jsPz2`$L?d+)U68W zO{ic;Y5WJS-NjuoY4kLDxxuKHBh>`VS%?oL7=C2_q=`|A3#WzapT2PuJilxd6lRrG zsJmP(!1Y58_-`-tYdB=eoABHI8NYO3zhW?c^`w_{OsM~>Ji|(0X{YSE=+JkkI&V}) zMj>K$qjSh~?#0{EZXslAog0BVx4{tG|D`&W-_2cV;}2VkD7@GO8&DfD=P>9!i zAMHv^Zv%j5|Mk|Qq~Xz8@B_)|sd~vnB0z6P{8dHV#V7r~sZ&V&8N++Pdit`;YF|C~ z18H?n8nZurp#K`x4Sp@oQU-JEMyn`U&K{>a;c3hyqFrM+CDocsFwxsayeZ?mK}c~7>G(UdRx zf0c9L{yViJJjpdI{lib@cOxt3`zohRU$BOBp^d3fh@_XYC=`_n?eFrY@cdOSAXj^? z14ggoAJ`C*HiA%7%=I+KlpCR9H_vu*HynPZ6@hCHvqe3T(wBs?M}-g=d zZR-3`z!k3r1+SYao~^LFhcWh%O0V)WsKzTUr|>F(>DG4V&nflGIL9!@Q<3t$!J;aS zq`J536tGo3?PK?KJaTTH^mRn^I|fPPhe{o;)i+mDBFuEZoYTIH-=u=`3Tg)c_xJSd zM^}%?hSXVJTjw@lriFk0>&@m%#6{KNQJg&R*)7PYBkYRY;LmS8MVNO5-eTRE&G%{b z?w1S_ML4-y23zXkPNT0*d9w=rY+SQTU5G#n8Ic6Ni13p=Tm1HJVx#YnzSkR3Zx0#{ znsz19n#L>_cQ6)%et#&(d_W0!mleOo8{3c=&*_BSv$s%UoFbhs@m8V0fK>PD@6DIg z*j~w`a_ZA_=1D-|qo1|xROfPi6x7^HnFerykqK#zNPPgzf1vEMSF%*Q#Gzqu_JLc} zSfK>lv#<$&?wXIRR5nSTr8@$$Zjn}P4V39rON4b0hYBqG6=y#t*|LWlQsFwXZRv7} zP4#>c=672d5n^t{Tf%O6`YI(JGKvPcL|!w-b`1>uj2ER^lN*b~j(U5OBNTPmV-nSP z$o{0ihn1zqCp>Czd_3{m+#LP5KHlD2RP{mqoPGJuIXV7VM5V2CS$Rl@ZFd1o$0^l% zlW=O>G!n@mqIA@&Cw4bv9l^i%=@WzNy$=m8vEb;ZF5%x8sx9>M?#1J;8d<8}xuONT zoNHwU{*-Qm5lK$-=fRL?H00_r-ywSiM34_w$j4A{za^4}=8tB2GEzTQ8P-=_UfFYO zO8u{37V;d{LqQn)5X zDzQ5;cB0@^k=iPJRu8xWnEFRi>m(=}2H$bFUn`@aYd>JB`)tS*^oz#6SiUT>C}2%I z*}%%Lt$P*ovVSC62RF3npB)O@@9A~!s15UQOPp6i1D=B*8B#?a%#Z(Zg15<7nNaC< z7e25vNW4sBJn(^(xbes|zgZ(Ld0AqR%7Nef=K9ISqdtE16ARoDar52OSL-1tq|-V> z&cVKkrfuMp$o3BsV9%7VNf9=QQmjnQ`3dCPG!0wkdxP+Bcly?U3;!bA)5W%zdOF;0 zACVklE+q60)wzQE&&suwV{jT<+Ez20|8_fY--uc1rh%_(no>L0;;EBtaB$y0iGDaL5PkMs148t^pk7xkd#%zI>|;e`}LygN2R-8V@Vyhe{Jk5 zrmXXn@0vAP1JA66%(z*Jxv&GJEOqf2Uq%CeW_ z{V86qckbYKKur5>#UNf@tPRrY`q6obO~Rvb_{`bZHc+E+=Q9nh_ITsix+>(}(H1#e z5b)o%^LL*l`rj~N&03Eh!o?bHB$_c+NYaseKa7{5cQA~V)z7p@@qQ_-utz+cO_%3EPNlN}) z;&wEHebGFa^d^1X_Y~jnV=%{J9warpMt7%_sB{SYYcw&Qlzq7Eym+`Ghf}r2u8(cA z<{~rF58{aRf`OH}R+h#UR@e0Lk6NErKaUxexPMl%1aJ}p&`2N@pb5c`9&|Xm1X=U- z$@II^er?~KK)y;+m32`V5MB8#gOatILCdyzmm_a-k9zl-w z)^FUU=0@b7rtwDTyNv-qWmMeSxl2L9If{WQkL7*)bUjpqje+-L%j`sbnhgBmSHjv* zcfO^{dwyuQ5Ok3^VRd)Iejk7|7LvtvYci7gq4RWulIMMX#BPa91%5*#R;0hD44Gp% z*?YCQ9};kzY_q*)rSA6fmavj0x!XG54N3NHXI zdwjA6j1Uy~_@JzV_e1Jto_8kR^OZfy{m)fT94L~uOq}yAE41;^KCEY^)VcpMJ~3Sg zWxMOz`uOc0E@Io?#^tD)-1R486WFhyUF2M7vDq;h&KZ~ve;4|F=i-C~EdfLFT=H=c zdk5vpqIDRr|BWhch$UR#;J<9thTo2}5yt|k8l{Vx87C&q;$2n+Y zBY2!O$$()!2#t`5qULl<8((cBDu1DzNdwj|KBr_x`xvWcd|&6Z(mLkmQ>vpuHn-=r z%C#&~nLKvfnZ{;bnci)*Ts-hk>Plyh9%ggu(x=;}-nVEP)0Ew2I{re@_bsr}FpnFJ z++2bKa+lkp{JLU^dEND+$=rIkb&p3L{H{%iI@p@lju(Hk9h}DgiC6yK&84@}g zDMpQp3FM%=^7Rnu$x9a|Z&V8e6PBi1nIgmA)1=t+SGP=HMzG%_+f~AQ1`^uD!@-Hq zij2t2akxlA(?tZo1?CkV0-gFha0~aV3IcHGDq&;H7k4B!D11Yotvsk`F7n}=i8#4Q zxHh&OBk{AjC?yPnY=vSRN5Q0XFP z?DNE>VOtl&sobx8g94VCe#UB2sh9`(p4ui|wZ9?v&R- zkS_MO|8-tCTD1-f@zBK2!*M;9TF7^xg1iZ&kUxyiT;?*6`w)=#6LHaX3PiiLIo!nL z)T(TuwlF)-r;~R5%unpsB>%7Dm(@|h*ya>I41p}|b>3pj1l<7#{tIP>vd6wR1Wq31 zlb1dDZTW!w_39S3tSl=)ZNA|w5sqKbNyotGoT3&B&9~ZaLh%Jqju7XmsFr1gR z5i}$y3f!-3yDRO?w<{>xmF1Sdnx-^a%2Rrw9PM%AIiW`8#lDvpp6zudGo1k7Fv?Nd zT9XF_QR*c=OAnNL$#(}>l7G+hVz8ci_2-5y2}>D>Q7@=!?{5V)RQuA{YTz4Xpy+mw zoe~Qru-?Z)7Q{0uy0)3`)de@sx=*)bl+(xgKfEtfCxW0rig{X7#QZ}_H@pK*s zwOmN{O_PpmAVWZy=W%Xcay?A_<^$$3txKyiH)Z)Uw?j6a&05t6&7CeId)4o{ z8q?PriMY>N;)i-=7vq8@|6uzJ8~ZDGy!b~F>c;>h*k;Q{_=@C=FQf&_Ps1ShY9C|Y zthl$7H-b>{;)BWdiD&V@vQO;JML9va0Ra@8c-rP=MW^@QT z-x2!nv@m(hR_K?&jh<6H$3;zfnm2-qJjW$hfu{b`bUG5Z-VTDhfrpJcJ1q^&9R|_$ zhzrlM#nvd3kFZx_`)U=>COHyu#MV$_5UDpmB{bKu@*vwbWD$Y4|EJC1>>=pGsDrPI zjZ+G8mP&qfzuyu%p2<5(<0p1chS*sewU=gkUaYx`wC-xLKlTnbJJ4gxl|r;140{%D z^#p`Un7%3X5m%29GH5CHpa8$T!iGh|d-^GAl9_d(XQZ_yF4dcyR~g^1cQ`!o;$-e> zhNx3=5!K}G32aaVeI0N*0-nD7*NN1_b#YgtXQMxMzpB*y$Kw3jA=M@% z=yM#FHA?Q3h;VTa1UL7(|8sOr_uOa))_Awh!;d_WbPe#|+{iDyMiN$Q7RQEzc-H29I3cgIm0C?*tyx%?^Ijo9M1aC31GHQ@7Cd!Jb)EO94(@gl z{!@3$+WEl^_()V~rTEcAvTi%`>R%istD|h1P26w-O;xM*73)so^|+|H+2L~xmpRxZ zl9z@@->i(JoW&^c?IwF4rrHUKq2LivvR%TDG!Bx!QDba zO69(XhZD0(fgQ5o+~(v^Vz32`^ZFa*+GRSzY*ng z%@y_3Ikv9FPW+#Lt$eJiF>y2V7u(M(9fs{j)Bw&;1b0mk;4RzK;xE&{tKN&gDgPgX zb*Mzo69L4wRt)JfpC1a z1qk;~bJTc4*eYc9E=`B`mWnXy8po>h5lZFn^UwQdk4|-J%b*Z#RZ%i(>qU6o&ep5_ zk-l*gR{PQ%mJ;LiL`e{qJHw#Bmd3>T#gEJojI^t4MQe@$S?Y+hh&Y=ZbyQ|(I9%Rt ztEgBbkTfJ(aZqxLED^jh`QUu4?h;XTz&wL>#sumXf(L|j6Gdg)1iN~u%wIS)pMs61 z$g7EAKzhu%uxSg-tkCVISRPiTq<7IS->b$CffNx12>Ggh(EiProxplCXBq^bU8@fY z-sCDYN8NA3cR?8% z({BJ2bL4x(LD0k+<7%H5vfb;N2Ekt(IRF}|hP-a1aiEQCi26hS&uCE=;i&4Svhaot z4oAh#2+x?(_Pz#WgEq6nrE&BVpXw{AC8d~sUY?TJlr(l@NsjBZvE;*bwRAg{pBO{U zaR9?I?`jTl&+ozl8VzPujWOnz33lQGM_8s~FX%0OmQ^^IxyMO#7eb-^Y}Yk_4N>sgi;e zm}A=iHA(fm#BD2(1Lq`JsHULB#qHta#~V-y;+V;6UnCRbe3YY><%r#DLdVhP^SFGj z?^z4`wzY*-UaN{r2tYMKgy|=7@Lv^%W!BPNwVA@Sl7d1|KPyxHUMcd}a6$r$!$?kI zboOa-xx+rDN|L?JTgR9v6eadL!UdY72;l!rqouwgHHq1ZOdi(+vNorD!ywbxR&>w~ z>8$(v5>ppok?N`av25K3sLe(#D;h%+`RV8$P3{mcQYU*i1m$h8`->Xn*KHjsv&3u$ zDm~_eK;xU}cnzly$9i!-`#b08A^kf`G*8t z5g%ag^Ms3ab~!silDdsHYAM`3{X=QQq&6epG;K!;ZEJTQ32JSpUoY0$$h)0HfNV!j zzSr(%dDpVB8#g+QByt-!4X1G%--IF{&+Eaw#uQws+(=Cjw{_{8=kTz+^+wlZ?!{rS zK1^)CO77-IO|;g`xl6mSQwq1LwvJrEXE%Z4N|!?Xr`&X^Z#?~Lh+e%IU%&1!zw z>p!cTb(h_U|0nUXwAx zFG=wB(%(B(34{@7GZl6&!v2jhzSqzB3SUG)ouFU4XTJ7f+aM*o)D22>SWIS}V z3x*bPA?)g$bfrUl;aJ224oz9?G~Tos2Bf7!Y5WXBX@{A$wqx_%a8bQ=5c+?(WrMxv zsnlBADSH_Sd&o4B?2Em|J2#l7X+M&tooqYe7VOgul4Pmdb2OjByggZAGWKz5dTg*= ze7h)MggnNpW&Pa`_2wIonXR?`Gba{M3%}@QuXVlRg1P)d_utRaYmqwr!qE-MIH^7( zkv!sAT$!df$K;JJJ2V%Xm&PN@Iv>~kN1s`l*Y@2L}Lil%VZrn*&R^8*w zYJj9};hyAJs~w1QA%CSU)V#zdPAe*1>gc$CP-OvzeqkGMN5x@gG68@K<-4?%Tn|SH zoJyY`KUqu0B4x;LN4S=7v10qH%=j#hS)!=Y72a1ayY_3?xh9_?w~WfgcKN@9k*s3t zt0?Cux5KD@qP6g8D)6RAkt-Ph(;yCf5wS0-l=q|l11j-q8`T~@ympf@D(f) zVt$iti`SE+5xmx(FTucVp^hqkYwp|RjiJi&3mSTBy-0?hHY=y^%Afn(Vr1ZqA*eoIFeTQln(x+6C`_Aqu5s9T7Y3K73*+zMps(4ThL4%n zp8$>+_>)u-i14127MXvnktY2KEisl$NdSI4Sd{Gfu;XN6TE{?3(8KasH zwt8Cgf$T$cyt8S(xBk$O0nEiF|Gy`>ZLEHGi7y7j)gRigSFYhK*I+&WFq1I*Vf@9~ zquBS5AcQ(2gQ6lG7N^~B$y#xJY1^{w4`xhF3Fd=ovP0X?wjKgY+Lh}!p%-YhmMey# zjLA`doiH60HE{bAF0mpuFtQNh@YWm8zgBjTNLSk)5l2?f#V`K1$*EIzCn|>mFg)re zo1F6X=0&%(c)X4Eau3?Rm`llYb&4kuMxDb+xiQ^ zkfMa6D>iYg@#vZayLKxaLWl0BJRdMKtF4mDb>XXJ;PZ)fmeaV`{R3_(w`q6r?kG)$ zpq7SJOw(n$%JXM#`K6a;5iPhpQ<0ag!X_Ey<-9ODtMcHGxwvZztYf7)WtP;8=TUFP zn8Zf2-TOholIzfpN*S?qA##LmPK`FGPIzo)dlbvp1m<}owo-TG2yCt`K-p`bs>bYM>yUiKSa3*}dRIh8NAeP^6E^orIlQ0CX<; zVUhv+vy55I^lxyZ?{`P$sBYaGYN$Km<=(I?+i-z7$en49ttk1b$rse6&t=B8Df@8*ZNe z<)eiLC?DA27Tm7C;>f*l0iuJSP!~0lI1Dv5$hIl|PH5a%RHGpV`;`VF1EX@|pH3u* zsTrC+ax58oi_e-*26m&~INc~eukkl-P(HMP3ka=M5u$F;zejnnCeEs}qC8GpkRJKm-AS0FRWCO6 zyUz*ubmDqb)6@T@qRHZ^5_yUQr)*QEmLsN1f}bs~y6R+iH}@k|_FweaY)owUzL|q5 z#zk@x=uX{*@a>{y@`Z-uXG>_&2i!9Sa=vJkJMn=gaSguPcBp&I;3lDY>qFyYz7St6 z;(`ANdV>7S>5>=b>!uiJ&29)CvE=4vLZ>;bOU@-Anf|Rg-UCs7|5ln0I|S8OnYjxy z78GUi;l!6GniLV)#8$X&C{@1gPZM>e|Z?@gdZ6K#2y5M^@Zy;PpuaCY8(X!7sw~Q%Rrrzc1i5m^{g$W z%TSj&;gj79WV)Ot+ziRJ_V*<4@)JHQT94xnZbWCv(S&m06tg7~BORF55bGyCyHR!N zB9Z8#d{-;Q65fNF1sp0@FL&%m3F$B4g{DlfB>zZ4Cmcrcyc$jGEL$fkRS8J8eXcdQ z_jPP2N#HLCcv?Igi9ijml6>0R_K@wMDc1X;b4LLbyD+ zr+&0t;)`1DdT z0+EN;qE6LuN(?UVbikfY69<=9S1G$w-e($z%}T}7B#D)=&urskLun$fc@r#I2GH9N z#rIrBLyq08PFk>nLQVH^5uTpk#RhIOvRpbJ?uTR z3{VUXS-KAOrAAzh2)Gxnt;1N@{7taL0P6gzyF$WRB-G7Y<04^+A_XTpv*-KPVWrqx;Rgo7|!GAd1_e4f<@7w?J+4M*8&* z0YCSGxM?>KlfrVp-e;A1P{t;}t6L$mf`}RvWFv?!T-7h4^ugMT$pOO%6j$UNEPZ6{ z+J&lE?eJ4lAEuIoj&L`OQTuf|=t)8Y1)Gd`%o$t|XED|=0rP5@Am}Gn5ojcY+%Mz? z$dTKS16uPiY=g`3g_TT~@0Ov`8;g@}^|f}4|APg+Da_e*-bZES%Zh`MZLMZ_-!6J9 zUzasywuGXA{P&^t1BD}splHg5=+D3LjNjekSvh?obapsK_cP3MClLN_>*?)A5m(e9 z3?j4cq71n)#$*mocDn%hZJ1zGDHhvG-)YoTi0<|C@o5%94F&K0(tEkXfu>A)SbX=r% zDCql_O{bIZTEgFV#a_Tl6e^|!xP9`d*pxkawq#KlJ|-0`nIBc3a_9~@{B8L2*No#6 z(bRLYzQE^E)?Kf*Jh8wq>_u*{MQJ4J{*5%}P+srl#Y?{1D3A0ihz(6V`|xCS%(q zC_&Z&;~QL_9bK`E2zIhfJmjsR;b{ujaoUP;QUKUmQ+lx+^``H_{dy%6(3PFO!D^z{ zC&V{dUI?@@rng{T^0LAs{0}~|e%>m*#=|*3Fu7nko?JD=bCa@?&oLWY+SCdV^802+ zKq$VN$VEfKaey{0BI0Gj^pLVQ{HBa_U~_C}3wHbtzVE&=s;( zxlvNJLX$+e$u!A8yA@E*{+YW%A*mX(IHQU%DShCa-94G9L*6f5yPH>fHBMouh`c?#9X|_dtRMW$B)d58AO_<)}p@F%b-*fyh`|8p|}7))X8QjY8> zI}9c;?FCYo`Q>J2I%G9Hr>br^$EE}1|9^$B-+!=aT$Hxo)fbB0-1C1-svUpu9Ju=x zezW3|D{!+NOB4FFLj}N`avR`F64NT&xKGB!{w;s_ui6RNl#ut0gbaXRDGg|YZgTbc zIkXWZw*Q8|$$Vq^Mh<05FaerLoh zEL=(4lE!%df46*$(EIS?6r%N7+iNBAT`Tq2Ote&y25nyuM@RAWH$7$QB@LZ`hLn_k z0@e~pPm<2HjB?pyLa`*gxw!r_8wZ`ZlF9%uY3hhW>X+m#gZ`Rb(v_OA6#dg2Q=bo7 z&AFCDMcoi-k`59(-C}<1R17~|QdRk6uY8#Fnj`17wJhhKX9FPwVb0!Pn0&{f|7eGZ zom3PtJN4$uo!}2u8ff+jR&J@v1wNu}8VaSRl;(-?o$>dVM$kv-Bqg(zoK8=jm8DHx z{jk${oP6!!oYU`Nd-}^`e!HUB|JY|r87A&KB}Tqh)Tr5%X#WYok<;dt>Z_ohqS?8` z}BUoLJJ;zrO*eHp(vZ3ROj?vz`(TbY*n9#ikxHl2dPuTwE8- zT>Q#AWonCPoqxR;=S$OB&2To!$=-dkQ!bt?q*kAp7x55+Gy4uu+Hy=a%UktH8Vih+`fTV=%p|dFe!*`xd;X64p3>&e)yA`!(yCQ?LSg=!q=YG&CxNKv`?}@v;drpeoYT%;Cm?$qX=pr-xuqk`(zc97u$U0*5xHRGa$j7$6c(r!~^U! ztI-BI6Ozay_6)QX6oKrM5Y&`q2L?qUi336V%sjinzGfAgbFF|k-Kdodx1jK8I!U2@ zj-VUUef>jhF32cLkHu$1(EAO8eqY_vKbFdijc2Z``z+(6xelgA$+O9q`i#fR= zJO!4{StJQ1s73looiMDsKk-qXI9DFi@I^mtfI8EXHo0axb65e06v@>;E$=?B=$-wp z5;gw&W98z#XW4preEn=d*Wyey!Rc*hSl#K8G)u5qh`PeqXp-0>^EA0*)bF1cPH9S| zAaE+7D=0C=MT)hhqp_~T3TIvqRk3?IE#~h%N9WpwUto*+7ElfnvB(zy4U?PE`*`*{Mj{T5fhOo+}xr<^K$|-2fQNT%RtvXUiV(2#b5;x zeeEYqE4H$mEAZS>IvRSkYXYoEifT_%rXYl@K`6`>>uem=umGddG7MlMN zHd}}oTHOD<#PL?;qnFC!_rmCSgQ{n7SIv# z-z4y=d+3#zGZ@N`h`Uo3I2WP4!bVJd=iTUaO`?IHNX%rN zfhI`nfL;uMZXIE|5L7Vp{Jwf9)>$XYtm4^x>XxL9J#H-K+#o~R>BqYT@djx}yhaPi zyfAU`4{lJrmVHI0?ThUC?!&(eyGm1xO0&E((l1Y9q|yky;UCOf1{x>d zT7TvKv>fLWmpcfBeZQ1hZyZy@3Ga8Q2(~B}k3URNo&S}eWjW5eam|1P;r`vYAZ5Ye9fv~6QSxxT*f->Q z;-+Pv!n3C?$qoe?+RB$TYkQU(p zNt+Yrn%_L$XW53@rJX#p>fZ61u{}1qOs}^)Dh%aj?99Wi=@*~J?rTFKB&YM``SHoO zo_oxTV7r`?=gm^I_Gj7S*!;fdB&o-{k#_aRomtyJWSJ??ws#&p*mM#*nzf1C<1(wP z#pKV~5p$1^Rn&NLbLHf)ORPm$>|p8el!#-VWSd`=8r&|=3BfP$>IH?rUkYM61ZIPf zK5X);Dz-UGwck5Qo$(K}?TQIbYB{8YS)RXGTPMg`Azv}cq=cl(b3P+X+z0NDvdxc{<5Dh|jt%TO~2i4s}YYef&dJB=e7&jnd>r!@5EZF^LPF8etO*3HBPi(pv=&JZK> zM9`7}iC7EaHfG4?HM90iKX-3nsFR_t1<2+U^`oG0q~Zl91^-!O2fS(<0}|d8M-Xg- zKYETicNBoN=v1560HWU(@mt6MdNLc*_5Yj*NmA~*J{Y@P=ptw<>+r`5ntYm~C(-`Q z?n>>6A^9^ws5?oN8tt$7kItk~C6cJ~tZj***Q{F$E4Vc|j}gw4TsL_4F@~?s=p;96 z%6Etis@t~K>*F~y>~Hi3zQyBYGECmnm$R4kt$FNLSZAbUE?` zwo@wA6PU+hln@0(BPX|+GDS^)S4`{0n@ln*#~=5f6)NF3btlgO9VdAe9vDU&ZZXJ_ z`ZAz%I4a5nt(7`qS#@9HfdZ2t#_h<6-NeS6UV_u{In(tF6vsNSoeTg zVXjA=yZwXPC*ATVQmrue)|XS`Ld&6vOn>iHMEa&gKAt7_JwQ*FtH93lLQ_|6T!(@; zLnevk!D8$F!?pJ22JsCqUv`> z{I@W+K-;ONN1JUTEWa|A)-f;+>>AWyGDjJ}+YnmkU0Hp%9GKCv1=PiAZoAp2Sp?r) zZ-_jLKear11vgv9R$_Q}99UX}l{~;N0ERerXA9mNl5c7&b<1~+B19+&$4MsFZLArI z0P7DW@93A*VuZL|I$wEV3J>&}qlaX|<#UHW_}orB$$NugikXAC zirF4ZE_;aE+_TKmEpEm4Lqz7u13rH=yCD@IB&~PM5IpEDJrt5e#v z>)S223>qNvwhz({GU5pK3mh|@uz2g$4}iTiu%UZ0E4hV?ftxSY!ZR3?bcdYIw|F74 z7b9DehcvCf8Z!y<43A$LoKRY6D8| z3+;yhcEhoN$Y$++6dJT=hCj_hSd@ErJriv1Mymrk4GGv9>yd-{*&Bc1m17248JWNlkff zjtkr!chp*n@RN6+gK1ozaSlF5+w$2X1;b+hBN*P=HK4$+6WwJdlnCF~*X}8hpO9|r zfLZ{p++TvKlW`-qVw(zHpoLFL>t6t9iJ!mB_YH_!TYf%e1X08>}# zTmLw66~3?al`p@=My&fOF>BkV@mnb}p@xH(pK@;UO1$qn^>8KgV{A$!lc4v7NqTRz zlW@t>iwjkLu(5J*C?^f4Bo^1Il&D|~#BgfvJggy=^drU`g?`Fn*zq+Dic6B20 zcc@S*r54kv8!`5{BcE5j?6uNqwvgsX{C)lPc&Q;mnDxu5yb7!GW-lwpuROM%by^H( zydRE&(s5Do%6{iCZtcer(TRJjfSl0j`}I^4iPdb7i+y5sPLMBRv+i4C=A*)6tn5RM zeeZ4GjfW{)g|lE^Y3dl9%y}&#~Xq#3dh)H*>`I}_d zL1YcKY%+<=Jr(I@Ytszdck<|%`P|`Yf5_U{*xAw zKzdGxa}%ub91;^KoSDm`UMQxPB znf!@DmYfK+vz?+gTm^prpf=eYASU?85R+G|oFq>NAT*dmt$}tEx?$n}Yrz3XwEmo= zWI1MncC%E+Hf37AZ=y43c)AwH82YfHIL9_?{>SA$(R9`U>((>-ndR0K(DC5@IlPn= zCK^IJZl~8@M$kX?y5Hbf$tmr#g7coe7tFD%#k{;+sUKmet$Tk{uvjo?F+p_Hgox4`8HQF{!qD2QL!O^ z;JQsRy&~{xb(WfY09Y@HkcyTLD-$-OpHsL`)Etaw+unAg7;UK0fgN8z%;SLe|9z_X zTReOwN4L%V$)2fi>0h6!lKT$$>Fhe~P31zFsBycJrPzI~V~N?kNXh)lsenbtU>E`O zc*RQx{-6Srdkaq3@=&Sr<_B2G=gn{#sgDNlH{L>VAr#uEz7dWXHm6rVjurc(0vUim z0HIy{BAQTcr)yUQa3jGAB~-PC;pzbn->bgTk_&dB+g;a{5NW?Z6N{nsMS?iBm-H1# zGvDX?7wP^N@R$baLB+<8pY&8XVC;b zGsY1ldK%B%RhE#XK0Ld@A_ z%mO)zSVl|Rc73XS`k(Y|wg+6t=PEY**DkV~~ma6IYNZ`f(;i zDQt~f6C+?A`<#FAw8IrPZ6fK!=CK<;#*+J>S@G$oGXI^ylKJ9WlG*nS$$aH)$$WRHWR9CCnX_k0=E4O5 z&7Uus3-oi*!r=QS(FUCHdH=k(Os z{*R_g=KI5g`rjL-|9>3R!|(7RS0+rB%y)(ysAr0vGk;!iO|A{UPr4p3@@13CB;%#c zv$XvmOpwgC=--=?`NDvpt#1sJ%+Vi8=8TyEFBDzRpO_Y^{9m$Qp3GJm{NCib(stNv zX*zJ0^c{FSsV2KP_{JlK4@F(fD z@Mn2{{`d0fyd|Gc$^2|a za2@#F{Bm<-<}Aq^Gd`G4FY7#hS)Uo^|Et;tzB9L(zaQ$e!`xe_^OkE;-?ZsL{anZK za_%d7Za;0uOK-_yeV#sFI9C>}T`2uNdqpnmS;?@G&E$}0_{y+5D0nEXTLA~R{fVh#RN5+f$y^ddx@f(=q3LCy!vLN^7CMCsluo#~*_FCU&%vccfV{N%! z37pXLc-wY#Vn2n16y}QI!Zji3*x;vhzBO0az@9g5+2*(O6D-xW7dV5h`HM|ogq#No z_l!4c(kIqdY=noukA$3P?4`iZys=I3-1z8tlWapwl%KqU?UhYhS@-2y$Iutf)${O? zf}!-0jV)}=!~JJ`z*5$b%mLoYe1g)MN~}M47QE+$XT{bKJTJ@#l7g5+)YCvoaW`*e zU7r@yXAUearKDq7sA(a&a%QZ`8-9lutmORZDx=az2l9jZ;hCdyZHda7 zCCWqHQ$Ll=AsK;ud`s&^Cc)#b>EozTDC?r-CcnYY_sNRc;4-ocxk)>beM7a+T<>u5 z_fJX-biDwU@;T;gA`6FwV@aPO9fJjfhs&am z6ic+iIlG`$d(E7R>}NKmF0?weA=}X8~J~B=6o4Fe~rAnV7qi#_={m_ zs$yzy#o~dA!&B#FMR@0h=lYiEX`co6kp3|TZLTPe^BZ&ZpmX)uq7c{odG^AA zvB?}fA_|kkdfa>hhbJnAgWa6VeCN|JQP1aphsm^$Yk{S-8EmDEV6Ee29&$eGSjAJ; zv@1WGCM(t~k&LCIrN$ezq)3w@7Jow=3Hl>C9dV7w5yMF2g~L+T+8&k~-f|4Ng8V@i zfu$;sh;hWfN5M}-{3S9iCLZazB)7AP0R@@P8-meCh!9bzmM^@u6LidV$>B=Vlp}c>s?X6E-OY zzs)cCZ}e(#AAGe96p)|DYIJt^b!@;{hc(2C5Hn+I6JI|Hah2oT5AcJ6US=^Y$YI8g zI<-BlJFo>rznOEy{1G;eCcohY&sCm-4~!Wv{qPIx0Na#+y3sZD9Q?2NO?}*@pdX@x zS|8C#;n({QUJ@hY7vfdWV=MQld;o(W{f_QUOiy&7ujrK67O*j3Yv5iGM~aRfU0DCH z_Bx~e8Nqx7AGP1a5|LC(BBx)tXN+5}bqr%4Cct8IU{eEPs_>!m-Rvq3OZns)yp8!J zCG<(&DoH(HW~iGwEHxdNxljMO4)chyQ#{Rkhdxiohge!b2_0AKxUkoS()FXRwr;_u zl(ix&kQvV2#|{y7 z{{J%JbHVpAU-A2^ufCF9yAEvH@>eV>o8slqQo?%@FYyvD@e(hGC ze?QQaSNyvK31`@)U{Ga88jQg{KaMJl#yE6+ei8xM{gea%bCQMp1iq5+K#(d4f36S+ zN}?T3FcKG{`7t2+JE^dn9R^;MDN6td`BBs`OcE&T^IKr8D$ywAmh2ev<2WU#mG=0` z9YLhLVFM#CN{}hA%1~K?sbLp2$aRJZ%$&AJ(M~WI{ie^Lutk6@WrMt%$a~e9H%esr%gCy?Jc39 zF@$-Aftvtqb~wV&OHz_4nc7#3B(%p*qtaNhwoU zDN(6}Qt?D7Q%sLTd`~PPWlAPVnNp>sOzARGri@bA@YAQVWu?rq<)qAU#|EFJOY8Z1 zZqZ_T4JAH{X?-n>j-)_c*F)GS9x<2anNFPIfcWycTeyolUV|3hcA?f#W zI$r<5Sdkzz?@q-e=9lBnNH={@p)ryYq!3Q4ho`Q`YNPLW$4YAMf*SRgNd zF7ouQT)^$i>HuYzo33E zRZ@zaQ$X@pNszy{EGJEeHIjMXWLg5`VG}9!6Zv5HvSyYAUXbLEAq-~`iTya@qK{)R zMSgM|#~a40=;Jus+;I%5v5sTFL~bJ|Ntna{R<=c1b7~Hbd;KxDyiaB64#$N6V<4VvC^>e=%2aXdQL=quM z|BNRFP>#?1Vx~C&*wL0XgspLyhhQ28PVEP4CFTe7#I*-QD|InXdFvMQ222Luz(&?U zU=@zbSP3(X8}k5X0|8+;w4GDh75;BCqKzfVMCMS<1akoJrp(owR!7!ej4g(L`VLld z?`RWkGov==E3wYP+0L%=j0w&M>oZvUNP?XB^oh1^adUXq*R!NidL#K;>%U3hWdW{}Q=2Gajz*k@W<9)V}(C^v{coorkkvFBt6jeg!#v1M9#%un!Cb3;o~u&gKS1 z6=zEpFDBNJz%k3C+BB_v2~eECjR-q1-UlRhg@yY?_s|Vta)e$b5)O7o89~m+wAIO z9&pg7K0kUHI8Wd(Ns(NG;3Qw)afSBa^dtBPy%D(&Ch>-oSk6@fURdX# zZ;@HI0ufvAFX?`exN<38;1Po_0$h0=GrLe zmpz7qtTz&yCPw&a7nqCQ7~OZXXdDGQH^xi6#7n&VktKAu9Tg?)@=;Z?va+Oi@7{9v z-FM6N*IzHU-g>K4t5z*ear#S9b)~zjSChMxZo9LZRJysUoO@kWIZvtbEmh@?st10L zb^Z~fJCtr$x~rPp)8rnh(W8dk@zNb~UYGNvXw#x*>%(_~J&lA)d@5$!!G>B_3H=Tt zKu_28@MAAC8zI5%@Zqn47oAPl+tkOp+%F_$5OB&G-*^dDBpE^1=De8#Tbp07(V_=g zq67XZ0z|R@aZT(_v`O28{S6-vh2T>H6R{-{)JbwANsIUsW9eTUM-N2rBWZvnMK)&T z4NES$06i6-6SigaQ}aI(d`f_(wh6rv{mb{?VWVTiGTTgz@gYbQe${Kyzqp1gBs8GM znGexzqK5v;sfHeA}w+q+_wFE@Q@wtIZGW}66uLb)#Y z*WVm59TP( z1NU9$s!KeM*qE97v+iflE3eWS!S6*{6_K-ho+G!se5>5s>u$NX zK^3Wf<8@N)(yOJ~C09wcEA;c4>!sSwim_Fz>N9?qRJ-RMsdjHj_uMVjs#lk4x7{w) zu2sIvE9<#eNVUuK^U7@KA{@04mc-mG*Lyh8hV!%Zs3 z^mq86=sNzyg!~5ws_OS^Z>uU5uCFTRTw7Hxy}6p)a%Xj^uJ`S39n0#Kbu1(OR?>TQ z#}!vdl^d>;d+&cxn)Vweou_Y=E^~HDivb@>&4(Mx9k<>rcieKLRIPfa5#Kph^PvXP z4F1nHOK*K_`+OzRYq>~=Nnc6BZUf|=TK7wpkhfYjoi|Z!{!NJcZSzI%{q0qBj$9kg z75#K`h4~t5PUzav&gB!{%6g8C&E>(^RMI(d{f)uAbo0lZ%l{Db=_Z|{%wL`pi1`ZH z_ZZKPo1=GVz05`0>Ug<7m+R+6+J{T7l)LV{UTU0He$QP2Z-$z-xcx^3?y-bHzATVi7y9RCNiCv9r)QuExI7*@i&2a z$jzpMo64)xUNu{ykG-3AY%+h^)BnfbUBF#dRs93UcvU(EG5)XmnxKMo&d{A=gMc6* z-AFSDLn9@EiiL$~sW4`(j-K`cal_UE%d_ug~Y*=N^T zzrAYjbN6Z4Iq_0hU_Fp+XNR?UK~40LFpB=N1kvEJ+M4_pkEVC5`b9WdHiTt&LWi$c zEY1Fev)WLT4Q&O77M|TnpV$1?T$da^=J3|$<9NL~!^s>gn+XU)h2?B!5`8JGDmVd0 z;c1SKGHn#mndr-lT^Ftk{E)M6);@0S-i;ApBz)teQ#QMRF>njExBHKO&99#MRiSSt zV+J?rKj~hrk!%7>x87}SL`Mqu1c{UrP_-lLM(@ftN+*2ZQ}-2G`cpxs^xZS8V`Mm& zct|&F6Lq?DzD;H0Tk~9h3lQ*W%ke8wtriEaQKH}g%ehc&maG}_|jha?rxAg#5=u2@)MulckjLTA0L2a z6~FK?JOU2EBe+zsH2Hz=_zXeUSgmF3yKPB8%uqzzV2sZiPc(Iy6CDK#LDZo+VS~y z)``z)W3%1)ef?zz#=0vmpZ9()wihCoOD z6?k6ppG-bi_z^7i>38yn-gP$KP~}8C0$;%=lb#GwH>Q!`BzxdK=XAlNyq7-(Iiw~& z4ln`#BWv-?;Is4X3EwUofa7ocSokxNMd20J4B zXa1G!!IF9uo{ndGJ|3RD-*{OMS$ps^s)_H8K*CZsdYGCxK%Ta4vU$<%kG^thGTbg3 zN1!EZ<{E%MinUH>|H0rANNGJ~69e?wFV-OVWwZv6MNg-8sJm!8+6g*4AV_RsuVl>zInCIZifn zu(q(-0iPy#&d;d)4{zOPF8Hlq1rhsR*PZ$$-x2FhSX$Pfu6s|=!DH`_K+5$KOFz)Y z(pfSul{sk#>^C5mIC_b)Im4Gmekne`U1C!5<)Uk%bE^EOKlkT0FMTPFJMOr+_uhNkoaH|=6=Pd} zdUK@qnDnRq)SvoOf9fN33#7EO4?&8c;AF>gdXWWvF3dl&??;MZi_(@7wI+rvN<2>b zy+*HGz;oP0?=(TeTupWZV$>p3_A2Z$yH}731tB4~CIYA6MM~j9-!7lhlMo`1unK_^ zMI%KrMPzUJDQGeZ6O;uKHkH}vDFp5KS_1gm^n^9L@DZ}?j$4xxqkeGZ4~kN{*KnHj zoK;=(U)|kD^4&FM6=gi3H`_p&%qV!|xFd_fw=)siCfzfs2+R7B;Lc#^w_TBH(sq5I zFUqd6gr_W@5uFi0`E@5IN#7bT3Wwc(zFQGg8KDVr%Aj!NK-?+RQvPzZtx5&;(Ov@Z zjSt<}rfMnJ%@K7Ls8Q;ZeZ=rgpr?3{IRV>E(eoHLwTmHERz_%=Jl@ZI-{;Rg+l;Uh-G@PiMI;lqc|m`7wDc}NUD?9kTlz4ngb zTW=r3H{CLZZ@fhe-y}bkzsnvm{J?{n!WdoYh$#GBk$onSHo*_RXeSWgBzM zwNd}=yn75k;J{b+l{O!eeWag8j%Zc_w01=5OC0amY0<#IPTX z&oRz6ZJJ}Xb&mPI`s8OnT*q~2mbFLr&sN*zJj(L6 z-#LcwcR;I8YP;n_v^}rY``@VCThC#waoj5Bs(Nmxp8L1zWG)|mSgVfv>=(ng-6`Ld z9BbokoVWDOGK^jFT6{IX3y?4UsbMhwvAzXd?SV(oOSv3_HoqD z4~TD%J*M!6O@HvU_{jbrZ7GVp{j-qXuNF3k4jZ+wMv^j~q^HJP#$ zkF}$%oyCj6=yNTekI&kb4Sy{B8joXiON^zMv(qX5>Rxl{Z|XDvj*4SyISi?R~tGkWJUD3~d(1@apMw95CL!B&}`4R2vB4Ad6_M$HickDbZ- zgrb;oS6{+f0jWQ_`bRTtyuk6y#sUo9C6I`sHs2XoNP+G7wTIIunCO3ajDG0QAq8iL3_d81J?Xn~?!+5n$|Jvt%cn*BG?&&#-Wf4`^!+jH zupj1p!1ohtcg`^#G9*T1-9{$Xde%1&8Wvw|KMS^RlNjImtQq>pT-tHh)?C^$F~(ZW z`lPHi+UpG8|Iu?mUAopG=kacdbJ?!a=gqZ_@9ycWv$cY8RBzvnt?}-~+01DD-Y)yj z{P$dQUsk4d{Kdv4xcFeq%9Yb!CZqG zWXsNEGZ@Avj-})qawPmaZ1iETI$2i|kayW#mlb|SUV*3N7rd3+z_EvX$@#P<4yo1) z+Qh(D@s>f?uCk+U88ri6RZmWzFb?m9)gvz%S)7SFPkv-98CdQQUXssB0?2QBT>pYCBSt^LWtiSe`P zfNQLcCj+#(QpP$n`59i3*PH$SYZcc8f-%KajFN^{4*SpZ=+-ZEpLoNbM&7SEY#)C&pL4@|Ae|+f%OOeAA!% zbASHVXF*y{&g1;=?=MsDYPc9WrzoJN85L z#;Gl{3yL7CR_9Oc=NMd^kJb0{tqo|%S~Xa;CS&EKk>U)i-sV-?KB?Wto{UM?T4D#`PqNPRvSd=SUSElwkUXuv^uA>BZW|@lM7|YxS#+CZb~)z+yJmIft$kDUvii>4 z(l2e+|C(IiDWBVA-XXKG|4in0W_Id_Q@K3DJGy&j zG{(9DyPtDg4E*ZOG0U9ubiMB% zn*W}$|6A{SBlCQjSIUh4dQZK}-QM?_n^;=k|88_OOkQUn~^}1 zCLYnN?S1y%uL`E)ui}I({vGgF06|mNCh_<9=GotD`Lie!Mkk+h8W!y9w)t93bguX- zzPZ4G3lv*Vx6_$w5|AmNv-CwfP=Fni-Lo0!BGEkxOtJBL;lI`mSvSE0@DMKI(E1F#$aG8$RChO|Prq~Qz?M&7+0z}H3&~9Uu7|GAZ`bj_93=oDF{k?jrPwgB1 zl=ZY8a~di=HQOcoKnVg?W?k3)wYm9DS<8A4eHVl}cV_RYlcEKaSo7|dd6&$_7|pzy zJKyVOZt|hOmKVL&eXsRjGdJnF`E)YW9C_7$qm0=PQJ9!>vwXQdcwmIgC{=|8|-szy!T@ZcD?T(p8wWyZ{GLU-up)7 zSu-z|8LzO`mAA>yNq#g8Wr2--CF4LIE}Io;uYS*G=^H*fbOzQAHh6Gi zX{n38?_9_1*;>c2qmzT#S^0An?3ML<=R5Fvvis`2-a(jYLj$K;z9sXVnQaK6E^ri{ z!d>|K;ml~dz2E)0KlkT1JkLDy%(40Ao5wZRT+`-2|CuS<+MnJmsXZqBsXz6n{?woT zhQz6q!HE&87^nzoDflq_bvucK5P+Ujei7Ij^bDeen5IK1#q7Ad#uWotZvcRti+{q5 zUH6&}qA&garQ&SM2v_KppMuM*JIq>kkfEGy(i3zjG-nVplb$l05sj0k6EA8)Pk<&+ zHtFqdQ%^T(I-uI6m7vOLlu@u4_|R`Zb2%MSuqMT1O$0~+Dr09+$kz6zAVWwdKy@=H z>N5gpJ^6zYlyRHWYxDFD&*D7GQB|Lm`V+!as3vq$)Hll~xKUbD#`<1Q8e=RL{K$aX zP1&wK6btoakxI{rSUqbp77U%m_)D1EYNk^EJ0HKZ2$|(%%LC>w##bkP-SE&2z5Qz} zuD$=-qM$81_HxoqVU?j`)u?)_gwV!^tAG{X|3$L z>(6I?>gnjY{qE?wY)b3?vqneHxnrYe^rYyyG0S;U9(n9K6*AtIFGcCs5^GqCh)l)HK(o=ET z%}>Xjk4}r{^LhS%Hb19jc_mMsn)R3-zxnk`@$$=mjOUUqTs1A?q{U4TVJ)g;bxiiPnTvg90*GJC-50$>^ zdFjRIdGUql(RX+MGI}QF`*K0PFXr+E`F^0U@6W{(TH|hRYsW7ih@Q#Uw&>4p&y7x+ zUp<&K*R{%Ol=+*nQ{s=~uZ<_ij*XxF^w9Xy5o^c)CQo?BPP4>Yw|!gd9j6%ExOLJI zevYp&_`o2$mf{C+3V+43T&HAZC~ID~Gn`%Q&@*b;y-qpJ>jL@Vfa?@%czNe_$}yNg zaVL ztD}U29X|7Zybq?p1v}(1zTwfFcI-IXOW#(^HR&mKIo6=3IMi=_(NpjVCd8Z33qH|5 zrS5&uOHg+LhjE(E3Dx4&^XDj3TFypCv?JGn=0sM^FaHQ->Fy^I8%n_#%tTBz*)OCg+dRNuO{D6c76v+vYbyR^Z0T4xyF)sI~xzJKkH;;CFm z{BdgX-0Sa%o{KJzp3AR}p8M`^z5DRtx?5V;@di8s=1xkUanG+>JO}T=gYe4xlP6t~ zya~^_EHUS|0zzmvL`Mdb6Y$yYC^np+2nZPj=K^opN?l=NSuA~*?2z7 zx+^jKv|FBzq4}&Mu6!!S-u+BG{`8E`>g^wGe(2HYx%#HoTpF8ewOg}H>l6Ps*J?Gt zj<&z94D)Lp9?m*klVeotaLb)pho@et1KMVtJL#>ZVDXcAk9)`DS`n@qXY;wPNBy?u zx+B*X+W5lrt@&?VR^KV}WNfZG(3l&_)F+;!3^~htF6sVo>DBSuEbrzEPLH7{?+{B4 zSv2O{eV%yR_U}lZnmn!Y%ET1>17Eb>E;xlZB)`K)Do?l3OHEFzw~IFinX)#=8&f8- ze#fK93r;7**E-Xa&p%llJUCV|sM)o>@bu)lO_{MK{7YcCfIRf-!!d;%L!QB7o3e}N z3i@*reldiSixMBy4gNJZrI9?Bqm7M&$XkLCtvT@WZuPd2hdC>4`B6Iq-?D|rlF)bgur zs6U$Z~n~qxQ$Vdvy z3q6Bt(!*W!b_&+U{?woPQ-A7D|C-b`xBXY7c9Z|B(#<#D90whAPz)M0C_eq^PsgH* zE*i@&yKH~%&;L<*xy%EXYvm=ESvEeo__Fb#g_nsBXI^lLWn<~QR{!sI{~MN<%AECC zcF?jh=qrO_`F)pQ2|` zI(?%))?X!HBF9TR^*22!-RX))u2>wEISt#`jt;leDOMs+DwAWY=ctdqGbZ{)uBUKH zWOw7Cuga#lbh{}JlAZMpS(ELw=*6?&={ohBzTq6Lf60ydR-X%|q*rz-n|jZG(EKsS zE;(lAK3y)mDS4L6X?}77Z#sh0hi29fHkUFM`goy{3&m`EdMGY`tKQO;tEXE{mtiBHC|A6p>nnR)(Cx1P0BK5Mz)wUi>&F$dUsg&!<5L-Z%?a0J<(P|}tsb&@Dc9frQ%|(z`Ry{Z zFH0R3%=h6_Sr2t7^jVk1l6K(oG4OLM#`3$Z7z0NRj8BgEWX!dD;z7Ra_%Lk4r(otB zJGXc{eJFm4C((hGQ=jo*c#1#Z6Zi@K0Z-XvGUFL|4qpr#1_*YvIT>B5APGU1@S+!w zzVY^Av!QE)N3aw<{w{EUv%lbc{uB6fbMx^RfBRzLaZbT3r#=qKyiO}%!ReBniT{Y8 z1^m<|uyBUo2uvG)_p3K0;5%b;adqeG0&DPG<(EEa`<>Xljhz)wcj_TO5BNaG%Qjg~ zHcqU=r}ZZu4Fj|fkJpdzQ@_BZvN3n|FZ@wAHd^=#hih4Mu<$@GL4$d%u1oY2JcB`O z!}zx`S?gPCl~cdUIwpC)F;yn4P(J;xz{e&&+FX`iS$)|=*-?#+@nXkRHd^)2Hk&jE zI;oog7VNh6$^Nn?LNDtVby9}Y(cr&zOVgjICIO9b*Sdsl(>&r={ADQsx?OwuV5yfuQi)>hJGz;knCr5WaHKU?5FO5d$8A>wBdyfY1zRS9kFQ4x95DV zlh4d~fiJMsr+349(Zo~FZ@({f%=FW;M=l%l?KfZRzhyJeo|!}W`!cUNQ@nM%x5j)2 z%@+$D_L&%XaAN5;$#+-C_j!SQuRoUWw@>doo`D}!KD0n$7d}+*EBOK*f&bt`co6J` zm+r+&@F4u7iNk2{clR!mWx_+{`tCW(fpe8VqQ!?`G9INII0{eUDx9v*>V~EDdMBpH zaJw7*zd^28>T|Kg&QBzUelW50qlu{tC$^#)nC*TAOJ8aGQcEuxi!ZWZ-a9b9w9DYw zchsHbM9MwSxF$Zk^=`4uQcK1XpZRnwy=1Fjmt1U-SUi6(ztSqP@!p5V{+HYv-}+_5 zw-ZZGcr;?<4Zn`abnY}ZM zWSL9n+Gnj5V)<{Z6w41?J{BFhXuNly_ZFT?-elk72SdhTXCkliRkU7*b9`sYM&9^> zbw2#WC&;IG0W8Hw$c*{hedHT_ z#R?_}nzT7fGqFdN;6IyZ!_rkVXZ>u3&3@UL$Ub;)J#a&t;UjElo-kb#zanjbPkb+7 zjS~*L%GHm|C|9$E>OZIKlVSB2EVIEje$Orox2j)`6D-w5fB5Y>dCbPx{J-8*O|Gvnsv+i~k6jD*GQ_hx?^IiD~?1)xkQ-I))yB52^OE z6_ZV^k@!v-W8B=!r&C<~>u-LM(#L}<)PnX;6{(slJ$Rdjr+sjHTtrR0hjEFn$ytB=H{xefCw)Lks zM{18rf9g;DsXz6n*O9uNPRSY7Nm!IxTF{n0$VDyZ96rY8ju#@zHV4QAA@M_kdLX+^P{9 zx-Jds+?|#U`f!V zBrVRmDcljN&4H%FbWO&h1Vd)o1XqGFbHonG`piKC5f+-=@y#z|ZIh{N2 zai(PqCN!6}RD%S;oRTHmR*VEyh@^CBJLtYE`+w`xw~ebFx;B3I(u?uO2Of`SFTXmb zo;xV_D>wix? z8B=e)E2fUSqE-Hx7su4IM`t+`vYcCADd)LoV`@*Aa{kqMS|fMt$8)&`j~pZGLzV$k>&KuWyCwzKG&h!4{?~5*Pjk~$+8TZ{EQzu>1qBA$nx+JDvn7rfKo0I0z z7R@goNSbS7>iO+=&1cr(H)F4iKV5!ZJU050IQ~0(#zMP)xb=>6WS|(!_q-@i^BNvb zA%+ig$fyC644WIBvQaVExo($Gioee5=qYPmr!d7oJFjydsn;p-n%5Z=U1z-Gm?2P; zvkyMmypH}0-}yq}hxlhTUJ3jtJgf4tY#YZX0TKezi!x4oDdZ^4vfZ3CDA@#AXg6gl z<5pFG&Oo1hkphs?4?TQ?i|7UTmjJ*HddfzQH0T+{81DpxbfwRFQ)=`C?BASu-wdjq%08{;My#PyKr26z4>tMszTZ&A^ zMutY?qF*@z887`t$@~o3?Pv3=1YYJdKCthH;_&fD#pBOC5r3NY zTnVHsyk-1VG4-Bbwch=QlLz1hcmm#lN1UHyfXCc@UrbG&U3kw6$%F7pykc_l3iy0! z@~S)TPQH}9tJ2_C_*SLC&ngW*2e-Q6*}pQYPczT(UmGXj#RCt;)N5`^9;>esWAzoj zI{wO-dgnbY9$wqnEaUIY@Dq;0)7um4U>@u{GVvDf!bCU>1Is;aoQ1c~KKpF^{&&BP z-~Z{)@xZSm&U>sCNO}B~(_;MS+v2hF$HxofCdPBO<=hbH_uTY&A+h$^EbGpE7Azl< zm=4=L>+!^TIQx7)uk=;LQRDvL!!h-$8(MR0%%qrl%bl(D$v>e_dUIzT9?CjgePe4r zkIwbt&Dk#Nz-Arln8MzNbA43CG;vd%VX$$0{E3))Q{HQ>2oulE3}-7w8uv?c&2?MS zYoj%!`R^IlT*@qU$THEG|LUPnT(ee_^BsBiq6zWG%dRbflvkehz1Z@Q_2QjdC7-Zn z#wQqItfP}>7L39-D-Xd7lHcKP_$0h+@+qYS#6nKNv&bQ2$!>|f1OFKY(UP~|Cf<&R;l22ux{!gD zkDk0o&ieQPA1`uIx4=F1VE|>Ah41KL4>=89bf!X|@*O#h{Anj~^qh@c?@Z)C*KNoE z_tC>ba+G>@CiL2CogjFX5g9f!_UY%&ROzh~;J)B_YXyeczVJ&wzR zaB@F+&wQwf3|KeaDb6`5AHx)KKBMTX=wJSQ!8`RSGIFKQ=a3`2?WSKe7ahA2_ox2U zpZZgO`sby#x$VCqwVV83m24n#%PqIWm@#AGf(tH)i!Qn-MvordpZoKFR34N0vazG% z(#$`<;F1{r8J zi~TO^LFOPAmUgB*>yr*u8_&DxykhU8^VNTJWn@ZDp33~-J|8UYAP0Jmy0BMrB2_*a zhV!bx2YOCpgPx38f-G)2r?i?`Wo`PoS4fL`4((?}=Jvi=GWu28&8kP zw~UWTqt1yj$9_9TkH|Sb{HPc`@>?pYnqkeP~`k>cklR z8RR#&+km(HF(Ii!Y2(W5>s-SKJ#%-uO}+e$#K`yH`FC=Z~8dWAndp z7msRXq%9aqJrcU~F?j~-D1!stNRQt*%(XwJdD@)!Yh z^m0dyJF56L6k7>A#UI$6*qq>7#nQYkFp|B9%?gi(qxhsCHoQ;(NGBfQTNRJssC(!R z`A-O7efB~g0fY+0e-?! zcnVjw0X@9cZunbmS8#xhj}1=tyc{Rv` zzFAxJyS1J*o$)Y_y&KxC-r8xNeE-Vt$GRu28}Hcu9j%kC7Rmgv_NM@(EOVyqGdmrt z@s4=c%sIYV`svt7$Hp?pEYtem>2~kR3`^(9oEZJi9p4$Now7!JYszsk{*Fsy%H(INJkD$2GCE-R?1C5^FCR6_;c= zr%$*!j=ip@1X7-G?Njmd%dd+umt0)1)Td{SNo*Z^(fM)lm~nB&Rd>fJcl{xbO$>#l z$2=Ty!Y?C!eB)Db{^eJ-X>;w>zV{!Jt&d9Gvn|$5Cz%I1SC7tl2D8wZgT~+Y=2%u7 zR)+N*+=6+>=DN)KP2a%MI_LVD6P~RO7w0_tS>m~QSMk%QHKaQE?L9HKVW<1lP5I`$ z`j)jo(!o-5_~^vtALRQo>Y^AsaeS_CuZl^(m|Xb5dMB<|d^fC@t@U99Ifnc}E@ktx zQ4Ss@SP?HT?|lsz!!z*7whvIr?2>t%--f_U{Ip^zevfbBwdA!|;nC2`7Dt+tRrkz z(CKH(M--N;KkS36`pFu@{jVigI~fu-sUJHi{KC`u67el5@=?m>WwVFmxz;d(;FV8K zT5QB(E8}U@0j_2d)WILaHs;n=H%t{8_?j7Y`{cSDM41gq~{_x5lmO2)jU()-8 zOJpWCS8^shAD<)sB&F|De%Dvp$Ziae*oD=XtO>6@Lx#ULazd}2WxbSCy>~R5fBg5~ zR!fJ`)~?l7?N!t!X{)Lz+NxC~YNa)6uaKfv5v@I=t<~11C=x-9TCpm&*hCNnkr26k z&iB60@Av&X=Q=qjC+E7Z*ZcW+K3)UzVx`4{e?WDXzYvyPe}cL@nQ~)^k`t5DRaJ69 z)vD5?6l zzJ6!e0DX$Veopu_ z%%Pe4?62Ce=V6YGgy<{!+V9OHhGW!-q5l&GO6R{7ebp!}v<9D#=9kG-?&GJ5uzI|GWy1 z`2*Lu8?}YBXP$K^;W-}d15c?ldLRO8j+^mmfi!}Az+%7E#D1=STq~0RM+BSTwFq72 z#8&O-_PN#waJRMso4}2TXh*)l$C)5`j0_ zx;0(b=6Dc+^a#c-vtU6Ef-B6n^dNy@QL2fbqluVKhgcm0UuGwryr&%`(8v@gW}t&Z zPSAml{MMG(!be2Rl_DMJQCLhwMc}O{D7~7e+67MRR5Jq)?BEF5g(rWa*b?UdM75vo zhqwjXLY3Z<)okDKD?^{~`)v>MD1V#r5LqQN)JS{V#<{&B;rTS$=TPLg`yIgF#vuPK zelV{8?V<&t!L6CS6GM*{ydI(JWQIHCd|RzN@`(EITF(Q#z~`BI9Sp;!DZ-09p~C2q z?Dm+vykSCOn1MI_nq|9pv?&x(kNdF?3Sd&{9w_a)73|6#oj85#07!MIn*HQx-{MzO zH|q#Z*-ch3#rWr(jUKw>(WxTNx}%NTk$@KL@}TLdW4*OkUX8)|{T-fvd0zBlm}_x# z^%YO6UUXYZSfx0$mR6IQo0aP3j&Z*b}w7uI*!`TV1k9B*&HkVFV=cH(nV)8n*`g0QB3;#4KlYJ9R`n(;y}MF%>5DRKLNc&dCAmI;yz^cliF@u+0E6Jp=#t3P zokWV$Zq{o(2BG;oAbb{mn=hlrDlK+w&V*BUN9NciSsB2w3*jWDl-zBAtecK=&hUKd7QfQ>*?&^`HjZdu*$2V zR3X89;93iV9lCvjfsB)b19p~FgNIq&y9;681n3S zgk%a{p+2%n5ebGwuwx|fbpVGk%>=_ z&z!cxD;C}^>3N;gwuD^&)HOa?Am%>@S^Cs`Zm`>ANsv5OwImDAVs`QSruDk*(gnHg zK%Tbmy{hYi`(0DrI=RbN9y%15nAHHV!b`v0cljF1u}oTO@ae^%)fF{FG5_vMIa;FC z9%4!&@vFHpT?Ma|_@GLTVi=-DqobI_Hm>rT>EO z5!kz|gC<~ChAs%6?@rU^hjj_r_prl0g3&=j-71FH@4lHal_YlB4LCVTcRtQ?z2T&EGhmz-q6L?i^ZKlm1)%b?*@W zRC=%~;bQuCb&u%7Dod{Dn*MW+K}Rc9X1MS6p9?RnI!uJ$Q|(oD6vC-lQ!6ylReCI9 zR=f6!sJ)}KKjkbQAEAi=N9o1RPHf=ixHFG~j9W7cPwysbAfG&@9P+ve@WR+d(Y zlBVs$JK%?VH!GSroSMX|i_=m!Q+u6icEp9zbXlo);yR;8Zhh|C)pt&E-!m9q={CaV zf~%l!6I3Z#$@XFMSAOjc3-uo#_;J0YOk^(n(FRg*vKyM*8YAT%|63U^*nb4t8uZl6cAO3cw-@l;cGqtRer3gd zd>nVp|5pXh%eZ~-K-6T-qaU{)R7gxaN%4J_o=wES(Xw{d*qz}L;i4t}2J% zV_ecn#-Dd1|9~A5=tFyczh0q*X(kX{7aSBqjZQzgDo=?`U;I5qzp5+N8E;sM*XzSe zX&oK$my4b7i%nBbg(W-7<3wt@{h^^;7nw*gncnWpTdY_7nR%jy$0M-E3LbrVZ!2bB zmbxf_`>ja+UYJGj9dy8aYC<8{pK9aRrkw~;tJjGiAU`roj~%OT1|vu$s>Z7Usy34a zdN14IDVwf!=IMyLU!FOj0trU+QE0`yIEmK9b7R|Y`1~)0e%ii{*$P0h!?$mDuZ+1G zMCScb;9+Ew6#X~dY*zKl_WhaIB{Ai{AFe@7UF%ph^xugh{bQS&d>M+>xjkGS6409Y{BN zmXK}!x;FF!SWoQY;6A2**|p`&X(C({rhD$V?3%g?8$f}@cm5yF0`7Yi*Pbu>h{0;gk1O z7de2r1#L$HyE4&14d=BHL1dxD0|YR2xeKiSWGm;S_;6keCQU}{^a49+1LTlJA+K?F z0>%6Iuo>79lMRN`*bUek=a*Fv;OUFiT_+p^Na~js7_{0wJYu`j--fLI04WxDP^Jd% z36dN8_>NLxsE!S(w-{?3Sdv)zs(=E91~Y9Dsyidf)~~qCm;3iq_p_{o1^@c@Yy5ix z<^7wh{L=T_^1MBp+;}_v!MjFVphYM8Tw?3u#H#+bjTKxP`faz+3FETV{IOVvv88@j z{rHFS1Lgb38ad6|Y?ZK?leJC9e3Ryn+mVT^**w8%UfbS$RVnJN!%JlEO-=J1@|#KI z9fh+K(aZ%hcOzZ@nODDM4txpQF1hJFPlp=NZ#3K}s+MpzX>D4n$ld+i95z(w5jwHO zN1NyruFgO6P`TSFJfw0g>-(O-NuKIp`oz9kD3Hs2i=en35{xowjZE8;pNp3 zU;7y&wWOTB6AL3fC$UF)o18BvcsIm{SO%|UDEctIGZgYMC{HeNlN_8-Q8rho&+4|Y z6tWQe7dYAge{LN8Cu5QN;>%mhMWxCtSX$kydQI}w>cVd+c-(0t^j+Vt&=X@p5#!01 z_s!a zlD8wt=Xsi*del9dMNxkMJ7WEh<>5~zjuxUp@M0JVj0~W%8FY+e^Je+~|By505&o4i zzeL;FuOgA$O`{46*8tfMFTHLU=6+CaNWAl;?Nq&y1uyz==NzNsml>j}7xwv`;_9tu48w^fuQpZ)M`kmL3E+`;jJdiJc->-IS6a*Qvqa^%}&&tmjb z);`>Yqm-V9nv`C-E3R)E{yC>D!6sPsM*fdjVTFzYV>i)^YXukXUd;J=CU1865qrpr z`UP1>pTgCRqhk-S+Gm~2{@qQg_P9v9*Ry5mDRas>#fy_UF%p)%pD!5uXq+t^_J%rL zmDxKw249lJYrbAC?;=WmwAe4y{K{W^|L)c~%?()t&*1U3KTa1}>vc* zuo`Q*nj_}at$AckYM)M^GyTFtg2y!M(&&LMNO}aFyTqeGcfxf6^-7C^*#4U+fiuharri3tSeIl2Q~`WiPj^Af)Ue>>CicwlCv-vj_U|I3ooxfxxiGVZxXy1h$UJAWG~1XrZ9N^+EJ^!B z8!m7Ite!@pHFkJwia>K4AmGZCD~3lf+-jEnX@SqXPu&0-G?8jo^BEZPrvfHx6nJ|f zrC1o=sF4Jo;VE%Nnjm4#WbT~YP1vm2q`7Auz@_e>2Kuurm>q&s!M)FrJ9>K`uR$5D?3Bn6cT&$isAi`j;{w{%S^B=T)br)5V1f}`Zk%{&AY{IO@t za3{&BWniaXIxaJCrG0{Km}BD!=c%M@$gdhHb~X9^C67@j)EhSkO#({xy;1JDxdb;O z^#HBe86VbL-WNd-Ybh_&>`-{_s(7b1;b7&`Nxwrf2cuH;nZ;YKw&Q=Ava4SkEk_`60y6zW5}v;jS}Qz-iOBc?j({&HWeW^KbWQ&{^u) zpm1k?$H5hc2oT@Ve`N3}YC|KKOidqvKTjH-ROkJ2;aUv!ukjMomP!bkYss!UG5%jpNg$pw#?Jo^65b_R}BCPtf0bX&x4c zlt8u1OFch%LxWTHYU)?VYo&R@EC-AaQ5vEo}Ee^e_%5%5|lWh%SrtrV*K zQTZ)M>WHMXQ1$Df*HlDxJ-}R7&uXG^Pe+YbFavV{E$T74PF|leKNq-$$2yM`^Skq_ zI?f)fxw-Y2DKG()4ozfM`yOPfnXjNWLi$-8yTg3qT)#L%bHodeOPc~8j2WiOys6Jz z6U4LSa@cDFsrp_%^&`K=WAUQhF{raQCge6sU29jKkN}wajSP{$zYhF24b+a}Lu=eI z`R+yt36j;b0_COgEXDP=?gA>5-xj;yMXVYV2OLiF`uGiT>6XDWz0XrY9}ph^n!R_$ zT#p~2dqbOW-)RflOwjM6QH6?EiZ~uMyj&t*nOUP3S3N((6p=5SgMT5F1 z*x&GJ^LkH)rjzT_lc52--5s18E?6(lI37a)9^$1OL7I)ev?O_X%0FbN_3#mW;W)%G z&3oTeKCmZ+E5X>&IEy2B0DYH8_k0Ri(Xi}duP(PV9(YmVnJ!{8YrfS_H51&=`?QY^ z{Z!$)dK_vR3JPB4l)pvUCORj0MT%dvm zLR>XB%(}W*@mtiW>7Z40y$IZOO@*c$8ZeVD@808EMz6@=F?RqPotmdBp7v#4=eAM5 z#Y)GER4rGnc1A@bc=`BuNr$`FARQR7Tp=95#nn29QtBJfic}(E1JsYUGc$%Q1U;B|H_Nd;84Si ztH}eJa-uK|TtvRn$8KH70qAdYFOKq>V9D-13(6*T?T^)Mq3*5X2Qct%u~4**zeBS} zmvh%!H8ja48>(aN-OCbNSl?xeZd8XiZhW^`_$2bN?D^o=2A2}cOk$f)6C1)sMFG^P6u4ZV_5Egb#odFLtuUiV&4xy7dd=Ja`QL*?X3Wl4GkLQVd?(Py`@OEZavuu{W`HqB6ppZ7qQhI!3 z%SF;f#2-FFjyvBBZ;dlfig4BqGtf9&<^D4kon|}naH>oFvF(#ux!pfs!O9iAhZ#+3 zfIaeY>Nq2&VL#_^fep<=9KW?=d2@L>^YxD}EMl4^$t;(UOry5HM@C11eCVXp)yl~A-6eS-Q0#4z@fVGz5IO!%;2eT3$qH5mh~|ZwJq64M z%Ttz=iB$l!dhZK&IW`y4lZ@j<#aEhF;B6+qFDJ_BpK|0snNB8_BIsKUbK%pSjB03b zX5JXcSI0WjB5)-`Pks*)TZltz9;mrJJl_7MCoe&atTh)Y@=H^5^n#NM_|unWR;@$K z`C)%*L_X~n@D+7_FL5{E&*3l^K^S>@00`^+5rlHY^>i`i94KadT%?K=7oEpR5K9X^ zsZ_Ktu^))lHf~atwrYy!HH4KhZ2ol#@5{ap74sCtnto1jiAfQOJkW4*|~ z#)MiE_WHSPiP)}pydjzPo54ptTjua;U6CzI?kw0WXTTat9L+97xWVT4Q$;%R2lm6N zsC&RceG*q%BU3Y76PLa-u{yRoc`!S*(8=WfG0%oxb2#k}FvpVK^-T)UtINAox;fu{ z24At_p`V9d9*B_Ih&lYQ4}dXdJT$Y_mdgITD1@ClycTMxYzSgZcMm!&0ySS?qqgTu zGYl+Q-MV!0Qb<9ZFFkl(O2}y6U;nnW%_-l`iEJO~9&vqL`LFXbH1cq`QtGFitJ^}< z2YQg`(;+8iSvRa4!aSL{{bC#ZIaqzkS+kK*tD3jkYPTNW@hs02px<2LL2#N0*XW*$ zlq1B|ZM-qLML<{yg)F~k)w8j++Cf>?gcygKGXWZNt3|=r`L?+lxMz9u_y>FwtyGNA zTYJ}^StWbg3CUE8zN&tk#zrqgWp%&46)qA7Os;)i77Rz#hEYzdG~qtyeJ(5k33t@b zXN$eeey8|VrS8~24wtBwy!k%;-0k^b6V_-H7Hl&nKT&Md^RIZ+I4h6MT8_Zu^|qW? zD$uW%{o*Oo-)=+6xgvmmLiFe^7dnmO9gAPAn|MiBX-O+Zb&BK}7q|Jj0^p5qFI{)@ z4ehYG5VwB5*5pc`lRm6;AhAuD8-6Q4Iz@R%!p5KE+bxy^8B}Wh<$hf7UvXDF*#!^k z#-=alXyU_TtELh~+YgG3V*X&Vc=Bc4XvVrz62bJQ)k_jb)u^X>Q1mJyc!9DC-XYPA zpLXM@BiSOgT?PMB@THD`GgY_z5k}pX!#^xO6fN9yxs?}wE;^L4XTjlUSIVd^NAOb2 z`E)yrLQSI}qr>wr9(s>_uFmAyJJ9eze=DdlxFRBIZ*9Wk_(Ax~bu(O*+vSYS-ObSU zmdIw_4U09gJnQqVw?COt1t3yJmFpufjb7Z)puA{#bZu*LFQqh`hj&0dLPNss(p<-x z+;hR6Jz8(V?9S-m(uKvBYi102t1oCwn#S0L+0}cSYs8Yul68NY$UexvKWlh1#kzQ- zz`=c(Y#Qp>BcN5i5oMn1Zd|&2;;AdXhV&?!7fxXjD&ysSRkcuml zF69~iQ-SugrcCWfp$sX;cj7Sfl?jD`O!R7IvFbWoz(BBFOYqbLv0>FHYr?*zw5H>| zS`ya`l2o_*-u&E_K0<}mq;@=zzU+YbnuGK>P_t{1w#4++)Bzy~5wMDdR98dv#wgx&%5}NlmlSx=z|=lXX`-N8 z;wK=1kiK1bed_%lC3Kz3hJUB8=di#v9PHjgJCkq}NKH#weJOh9$AglN9Pj>XHO&N= zo%`94O|O;Ft>YZUE_bZjD%`&xV2%vIHY~_w;?#R{cFHYRV$^CXR{9&{Jq|{ZD<2OC z(Zed42{d4pF|`k2nP=5C#;?reqSXua7-P@f*~-n!>^<%a9#Y0#*(;am(yDcBkfZYF z?QT3w-)l}^bqs~9G>~Z4(EG^XkpkKZRGt8;>9VSaSs@(>T;LVWaIwk$a&ab?_qtQ_ zk1H9c@yr<;il25<%vuTv5D@Ni!3GL(Jm{85b%*Af;DE}+RB2F=0&&sRH4?pj5Q#SET`WDkUAmKWH9yFO6 zI+FG<^A0YGwp#yj?-g50iO^dOrq31ZaR;cy42Q`3jTUFq`9=iK!VV?Nap|QDu zMGXcmN84-=j3BqynMq0yWq)IN_ly=(dJa3sK64VaVQ*DVR;uUiGWYV{W`3{l=3>Ad z+ZXh^s(joT+7s@`J#Tz8w44yG@v$v=KDiB$r^~xxncMw)eA#&WTE}mbC%gbPH%oJ0 zQCex}nuOUV)Hv2fYiK&>n<&i*^sRWQY){Fy+XxzN191{1#Op^Davs zIYNoI%Y6NHPM$%^UZ>e#cR~9if7oyZFSgvRUKu{>@Xw zCzJkllnAn*TnoE8ujXmP_B87a$AySovjXCVKa)>9$U&Ib|zx zSqF8DL)RocmzIhbgUPy3a#c(|>{`{-M18xY)fOj_{= z^m;{Y<)eR}vN@6ki%H7J=8-2zm)TARdh@St=JHK2`MRe7x|_XdLYV~XD%SO!Jl`3t zTc{sOg;+v9Dr5HkHGHt(86v09R5UP@)-Wxek9`3Acch84-i47YYan&A{mnV#2=6YW z3sPfcW=BhY48X7;&?JgjQK!JXP?{diBZtZxRlUxazPVRa(dzyv+rLg0`drKdRafZ^ zo0?JXy{0O$W_|yT*bP;Q0Jgd@8{OO+!gc}l~%xhqZW3NqjssIAPRa4mm=EStFm>X%9V$%5eRkD7R9^vP*x{KcVdh_n(IJ?zJyl;uUyna8ucgw<& z-S5u9?d40%Ro!AXQeqhQgGWK8Uis=ne=f@ob%M2MB_KXAwYJB1mBEYrRyjUu0_ACH zQ>Dc51Lv$;pI*GbGEv`?H~|j7=yK*9}ftaiD0L)ZNuHor?? zMyl(Z>A<((e`3@Mi~%i^WDzWsGpQaD2YM;+5y6vNt>TeTT$gDj73$IvFc3<7tJWf$ zX*tLQF%3)U%sUx@%`$ku0z2@|mPAI$a{Sj?2=q7)?yoZF-D!%iX-*0ma8V@Q^M<;P zciBfmXD5ZRF|{gI@@8PCZVOs+T*rDINYe}~jh3siE^Y4lSw)?6shuUCswmgvmSAx! zFb1ZM_3msluuH#1NN%lFVC^x=8U(h(TZG^p?e|m7oM-CoLS-ACmNvheC7?Mc$IM?f z>@$z0pqv2)YAz6vcY^t0-wle*L~q65H`-IIXRD6gek4~vReC5$w9(50TRYra8xO0` z(QK|inat1IH`pY&uHFcA>)$9gP4>fcn#UQ70-&0ncJrqXyxo#gv+c7+K53{|X-&Jk zy&d`yX$ihS`tta}_Yu2s&VlyUvF589AxFpKwu}At%bbjz`cka%qw(4Rm$F)`fmg4W zP3>C?&11+gr-lsjNN+ndlTKFb?G)n@;xJ%+C1KXBHlI0zIBFy@Z(?@-xi9`?vsPi_ z0{@iH^V%|_zIsfW^&NZbNX{=K%R0hW_+O5#p!%nCbbCg~REKBSRt-DZtcaczlu$XP z847UehZaF9>fH&TI8yB7r|Ive1{YZ4Iud-|bL5QZxhR;+qt!Hw$M4qvAj#sA6M6<+(3_D%k z)^0hTyTX`mQv9osbY5I0#d<&X3ri5_fz+|1^DrwFXki4l2=Hd%e=6uUg6tV- zVtipXiwL=(k{y=vj5 zS>55+A@W+PY|dqW(>!?Io%MC@%0^jOik{rN&!`vGot0@}O3GzY76r}kY$&l}+xfgp z7RmCqLceC`?3efCm7h0mxHp>blc(+FH0n4V&c$g;h$8@ssR20I^<2%s-Wn^ zy$1L^!89Uh4P|h1xtt*=yR9o~Qysu(Mp1T-Yb zZL@5CNi~m)WGv?rGLWYI^D603kSa^dn5gfo?fEFxuHWm3hTz;A$+xQLI%x5*l;Awy z5Z7Oe%~k7?Qrx9BzWdl$&i#EZWvRb&EgHsKQWv!2SU>v1f+qTU+mEwd_W{?7(bfqAe=f!z7a=+jvE~blh7|EB$xqb?M!hsqbV{h}U->?oy6(oX;^y4zb$SH-8Ls!>%#xK1%bA5#XrEkjx5>eV-I02~`U z8ER7!XFdzL8*V2se-|vw?Qa=&6`sI~QgUMrli=^mPwQ@If-ykHmpva-06WZGuUQx= zG4=+iD~<4|IKCnXxO{8I%1^d-+N?hCxOuvs8e+}Pbm75`WVxKZe0KlAcq7rv(lAep-XVTXB}C&l~dpxUuq$7ye)K2+Cq)!^Sq zyHR_y1YgDqc9#&A3jI@<_Lu&O(W&O~h{Tsu;%dm@aGhiIh1AM2&Xo} zcWT}EJ`Td#_|K>=#0d9vrqR1kL5tI=RQP{QrH!Wyt;36q(=b-Lhc!5*t$|1Ek!RL1 zUI{1@)snT97X-Tm+9(wBDV$r>_}UA9V{`%kH2fTow*-`z^TLzEJ2Ch05(<|r&o_T- zF$={;=ZjH=Y-stsewI1|F-XdfY;LA;edD{g|GsEZ#$WpP!6xiN$M@-SV9*0Oj+}V7 zlKeDYsBNPhvhm7qU3gEzYP-G zt7nyVdW^upq#COh@ssNDVT4_~tVTzrVSVgtK1h?wlzNmKDuj6|q=HA;;R&;bj{~#D zaV3wRMJOvD*B`$r#i$S(pZtc4Uj3r1{Kk6*`|<60dDlnS8T#(Cz&C^@IxB|Gs`lG7 zZG(!y#lN<4kBr;jhCFr5VWk{7aDE`#|g( zoY%Hro1@jtP6%y668Zq@Z~hJ*b_yPKs9SAb>kaiyw^)*DYHtHiD5XujNk2S(Jk_zK z7X8?@**CtgLEa9H1mo@<>Et(l z1^oHj-{PknioT_^TkXfrXe{d+%iFA#T$jVAKFBN*>|U^aCYk?Z zIhgC1@L2AQeVmZ{kU=6a-=BqX;C>#?hUD6WHw*gsW!Z+QiBJxv<89li(wW^1A z`uQ~mo*yc=x>u{`GBPNWH*8nX$P4Q1+GW%&?Rfucml6%StvD ziZ`npifpJqRNlNHrG5Rk6=bOUT{lQj;;b?%TlZIL8S_8t!@NMzz5_rrq=MYZSnSFZ zA;-_Jd%QQtqvlH71##z`Cb|*+LToKp=9o?kuXCJ7LZ6Tllx`?lR!(+zLt`-XnZp&JdWlPjAha^7MGT#F%$eBX zQ9tpu7EpQe23s~eHNiwJA3kQkd*Q!EQ6pqw{c&u?J3o{_G{)gfm3<*;{{!IYj4w+0)%+AqxnBsoKCi`Zvwe; z_DfL*Kyl?+Pol-!ni;#;1V8w|9jt8QPuysVr zzHYv8X*ZM}>@C1X@{T?jZ!NPS|5az+YGUmVp8r~#qLjK1?f??QJU3Q&uN`b$hl!YOV^R5!zDtLi*-M>4VTot zZibpIHP(?t?YWDMq39{r`5rO3`NDo`%MFV`iDFN{Ei~TTO{W5I=mYl3C1CHN_9Rry zf|ixo)%v|@xB@cL-7ELxVMX)IS~efs=p$BXFQ?(J{&@$AKTo#UDrPxp=N|4Z5(J&M7PpN+h^#RPdx1Zc^Onh{~u&a z|G9L&rvkbxwNqD*DqFrfm3Q=?M0T~_@x;Q3)pN>eR=y@Vv~Ek-`^oAf@@QH}M-bTd zTmRFwXRA~8&2}o+?%Zl=eVpa+Qqg|C=1%TT0ZB!+CGPmxq$pc$wzxT5M*)3Y9ZqlzdlLbvKIe)B2%>_Ndq76R@qId zk}KBhcB8misFw|<1<)tf;Shkp6Et5Z`$f5b}9F| z>qjlLNDStyzP^#opReYJ$RC&P?m)vRWF7S1PAs9|hg(nSM9nWH#V&_N6S6Y9*h_`n z1PK1(pwHCzV$rjL4t)!ilNl<$@uRsbl*T0m`s> zc5|4Sz_a;gW#!e&XBc|#1}#gh=DtY?C1|!{ELqAuTSfT;&!+|w>QtCEM3M0bbdjz; z=tX;c1eck-b`1T*ZV;v5ASfY}x-U;@2@uVl1vlVRzR z0pa@DLMV9Lueaz?521av6wNMSjN1KIo;`rMa^LsdP;Cv6diI5M=ZR5nj#Ka3 z59`U{Obmka(YGrDUx)@_>dtX}JjES7qSf0ti!ntEKXbuqnO==DO*c)cn-JM1scSJ= z`w(f4%5HIj#@XDkPr^TJ&x>g(8!vv3O?qz&GM4=zmU}m-X*N?bM1n3>w#yr@HhNuO zgFR?Wt@HXBwX5{PWP`z}?$|SlpgHD`BN~e@5~&}r+ouUY5-Mni z1kZi#u?`}P_5yi4$G$WD!R6a3(jb4ebZE$X>-QS3hFXw&qi~R5@a-T3Og1Q#X19mj zhVE%nUhbVPDcXzA8c?`^Sh{b0i#>oL7MPCfz{1KkL-G{*NoUx z|GnLbW)h0~O*=W9VIiNQ4qBXIxYNeK1{T=Pi#~QcUg%z3P$QojVu-nBTOsnqqkYoI zVk{K<0jw$5^%M#86Y}+dE*>A*9bJL(9)W#~bNo(NJXZtR7b$UaiD0n5i6;5fVq?DP zNuKzA#D^ecXelZMZT0P-eDwFMRRKXo)Sh_aGcPYh9uYAAL)B?`r>bSnn9JJJ`6ei)>(ZCS@%hH&GdscK_wo8w zt0|hDlC6yV!Bq9!VI#-#sj4{npTlI(fS2mjKa<;=_oKV~LG|psrO#NqSPs7c`UxBr z?-)@wgSMZ&2BnxPH0dj(xSFdX*@X2)^^V-+ge$-gset4}h3SQacjs|6`AsLUE2Z_3 zqFNo+8U!X*adX=G&liA5FL{tz5K;y2OnLWcTc}t#fF88Q3*lu+_26zYO?*{bcz5$5 zn?Da!!YkGIQn%$#KCQyLL9HPH=-q(^e9r95G?!rAy9rKny5gSuy9LHV;HH2lNX$7?H`cg~rj9P(%DN{K#AH>KeH@0npTHwB0*)}i5 zajb~(O{Y5j-19wUAlEolX+zU2m7FBk#Q+yPQk!Ec}drKJ(ijRN+CZYYedNsBz( zluUkLYGARKAGq7o!L`eD8hB^_@CU8g&h_K z*sbq{*T^|+g_tdDkSwusf`RIo-}CdTZ~ElyyGZOVa)*u^K|^wjf1mre7p~fHCguSF zp<1E($N)%rAp+xTkjY0q7IkvR%PnAWvw^W6(m0k=6?Jdb!vp71=8Td0bK^jCk0R|;O#w>Q z5Gm8EVjw;_;GVvX0vl>^=` zry^xe3;qzYgB07%00IC<_B@?VHMk;a!Gy1nt7LnGX;=tO8I zW|`v}y=LsQo~!6$Lyjc=*Wa+cK-Y)-V0_njd83>1W7a$7!+gmQe9S(RWl?sGWZ()` z*Fz@AC_6YQE+^~7WaH>l9WE!j{glt`kHmeS>PeM7dk3RE2h)MVbXsdFP`nko5lIcV z|IXf$0_K01jHoF$WYEgPST>ED@9XtD+#v_BHhf}`d&LKztQ^enbU!&$>W-Vi8Dca?`Q)rhO$(?A*WnGZUZKZ(Q=d|N2zFV5= z<5GUDq_okQFqve~C7EaWONX4KI2t}CQd>$_xg*u z-IrYn&J)Cvipqzj$kQBb>0?Rfx!%(2LWVgOZyHkVZFikitJUNGYT8qzx-K)M$8x6G zNMdKV<8~5+VZ*_^o9}+RAKW4l zVl{OH_8%~ZLZUqD`q9TXi@{TM+h=No)cW3t8X)`7QNu_4psS_Rx+xT!U|Yp+5~X(4 z{1FBTee9ED&3$s?EVMg*u%77agPQexp!A}JuF<-t!i;;1<;BP0R>NsDE!h9-m1}(6 ze%dW6DzQ_@fWzt*m3+%ycOMxHhFNm0>`C$M>Q!q;sYBi^OxB&+XW!&S#jaAEU>!0Y zlLGhEZgUTyOP7)8x^zs&^F}L&IOFNrl(o(HDA0I7`N;^n93`!P`X?+f>f8;P*U9G? ztUnUg_undUOVk)&dn`!_A||PP46TA39=B-MGEOc2K6_<_d@HlQR16Plro*{8?p;q0 zKJ89F#{gEvQyC$O|jFJ9|&L2u@KP|(~DV+l*4nGWm|4y zun^{6Z${ovz$NAc(Bakb-LwQ_XMBP)8PeJ4gMVig?{}us#_%L(5lE`|XtaL~n$AWAhWu-%psj)UhSP+)@&3 z*I8eqTgIGgtH%g5wnuh}qCz^(qAYul7VxEYTt%&OsaOk%p(lcvVxO&Dt?7$mrF3U#;ytL zu|h9`U}x2zFBI#0Z&QHE>hl!;wo*T^4DZ5-bll=MjrHJ{TH+(B!tOg(+E1^Zq}^0}kdCSNn=&%{&U}7}v8bbYqGq~3R^zqm zB5~#qjO@I31(-G?yPL{Qt?yOG#_WYIdZ%@_)jeRjmDbiDS87iA78bFUOyFwfcW2eM z<`{VR%Vpsh`&T$W@nA$~O?5kNp5f$Z_NGeKeo%j(QC9i;GiGzsV%~?-r<2z+L0y@k z>%hd0i(E86LP#o6BFgk!WmQbTOy@L`Tu|zEip3@3n@4;PB?U!|lI*V)4O$hqSGEeW zu@Z|eFYba~cXKck9w6&VY)+rwE_tEP8)##v&!mcC&!5FU^jm3jim~OaT(TrPr)0Je;|?U zAx*ch1&JKjaX#<0CVNRBI0F3_@!p@T}n&bU$)y-0*r?3UH+g{z3{Uwh3y(wlj z(5{Y3?ef7X)U9z|*rVAa=0CHj6i~8?n3cchYpQ_ZFNYUN%C#dwzw;ZFrmpV{Q`qr$ z{{VTox;Jy6pr^0Qsw|71wsdh-+8rm_%pFa2;Z2lSLQw~9={^)bDu%U!ig4{*H?%#j zEI=<{eKW(@C4ur)LM1-r8hjkX-RMS%MebCSqCot7j!EVJSVc}t^ z6S$i_nSMw$BOXlEk@lnj+tJ)8?b5IBa|xCiZUH)ekCmZ1gGBaB$S8TaDOrZ9V9V7u z$8{o829F9MTF0U0+XZ{W_fF@p_*PO+q&|zakIS5hA`A^M(HU{Qj_ zlzhUA8`Qgxa|b*!Y*>I>jpEeEj$pK!C)u{>r@P&K?4cd>i}T*`pnp!XhW_;{5+@I`;D2j5 zP?Bsnf0}{R192av&*e*>S92LP{UKc%{^S)!5WxeZbDddAZk<##WV(2>_ClW9RUhU7 z+f&HdhQ!LfZv^u=y{|%x4p?Iz6FI_EO7m|eee*}+oD@)=h>FH%8z2UU4t8t@U<-C2qD9U4FvXtnzvH-a@_3mCJo(ms%gD zhg_xqvV?Xccq)Z6O~$W$xNEXFe8>c5ZjAV@6+lbl`Adsgb}9YG)4fA*h5wU`$qSJ| z!Hm5e8&A*5tXhWC-1um2Wv&^1saTZ_P+hp|^}SgkPNl_9IfDF!*O)QZ9U*0O9KN#p zY`S+*Q+!irO*x4>tR^>faFvf-M}4SX_$pOzy=*Z;`Xv{lf_@_x&3ne<-5O+hC2nm= zP(Cv#mfx{8rsIm_E#>q45a`x`2)a<;HJG(B%dP5UWSpI2-2Or8S0E|tDf0hJ%#@A) zr7NkZV?%6~G}w&&)O20XOX@nruk;HioYny;LTJsD(o`it$$-*_xzBRsfqvT)9EeSk z^2VP#a0m^sCyP+L8mJR9izF!1XRM?4;FrV7FVMnG+Z}EeMT$Rmc~PS)2etg{xklfU zGC7iKk+yuX+(cfZD#o_|Zra6;-2A)R7l(4$^OzqTXInJKA&N%VAJBCny^E&bm5bKj z^Oe6Ev*(-+K-#Xwu1&^+RR?l3`UkpH^;Tbe&9uF1Ho$npJt|m<_Gnak)PVJfO7vCF z=Si0>%>AVhXV&1<*2kDM*F&G@JaIi`eI(oIhzcrIvWc^Kqvs0n*{JN+e z%5q$GRU)8WIKe_EF`M01B3G5QXsJVg@9pEO)q9z!hGoA_e8n_hjdOy&hNw%cCa-&r ztcttscgBefQ61pg>K_(yVD_3aol)8Mb%<|M18;NT8f`-J=CGGoz;hGP7f)};z}OF; z#Qbr*+U^KGjukBt+c4+;L{vG2==AQVe}}*hF?Vgp<8Fb1?q&{Rda50q*CJAT7TmWs z97rIB5!4U^hQ?ER;LJRIGeCfkbWw zxMF4)6Ts#9A_1H*U2?TS2Y5< zuq2ryj#yDa77>h$5oQsO&=#^x@ZSsiZ*P$z4s&U@1z`F%SHj`8%i-gCF`C`zX>Knq z9rAh#z;!MUAiwE8Xk^k+WhmI$nWXoXe`dN;+h&{*YsG6zv2y&gZH&EKKA%tiJ+TuP z$iXtqHIb7NYYTCV0vs!Jc?=BJK_%YJ`~9u4UCu^=F^N70aZ)zR$?#%uvTERam__!$ z0P9m0B?KHfJ6z4ofQ|;NNa&|F!u+jdYY?h4t~&O((E3Nj(S@v^ zy~kEZapNn$3WYl2{Yt;`3v%;lbJxFGN|E`5Ie8r8MOclyjPpY5UXw@poxkLL9VWU< z19HDBuC#c$T_}$bD8x=ZkrkZ1X$A6jvXMft2VPXVIUEurbHetf8_vgUPki88T;^ssTA6eIobL~fleVT!CYD&{Z-hRyZiC(TpRCMxIc%wT!%4wXVa*aQWkG0g%s`@ zoh%i@zQ|8>(Me3tE`GnlObc!i-4Dsyo07C#Giq-9;~4!Wy;2{9j{+USC^2kUEQq_iA9Id%lhqelZl%?D?X$j6RM)Q%l_)WUm|w2K+9pVu zSm+69iuIKZ?U7Dy0>rR!!A;kJ_3qFgVTjn<+)ubL>Z5Rbp1tq;Mo=DAkkyp;1MY&w==#H|z-{UlSDFb+rV?5sTTZpHTk^&t51woh+_Veap3B%0i8Y;f0mFORH%gfeE90cWL$X5N3;EdU< zv(xTM$2lbvzSi-r{N8->!x9BbaB}*zYgcVA;4qRAKIkP80Xg&bPgnTxRHp~tr~r4@ zVi(O&S|SAJHDv{$GhE46#;Gw_Og z!$dEf(FZ4t1@SgeT>Kr=IAA@TN!@j}EHpPXmfp)UQ6lP)&cu~&p!Qa;XZz1Av{Hkk zzk|i5f2;`aq+fu{l=md>@zz?pIi{Dc?u(SffGsh<;_9FU_LhHj;-k{R3O-D#(?G4U zeBY=2hit}a#Emy%i}iKeJd!mci60DEv@I3yQuLKF$Qgz!U$ix_#|M87*Q0%^7r>8-Am_qY1nCB5v)JvO&&TL9bRiNhYJWw2x?Ee zbW>c)(_cl#+zV{0S!6tlk+|N>1^ekOr8I+04+!VeXvMEel`-;I3AbFQFVr?e3F#3s zTC%KvN7;|GydxczR@%-FIN0gIzG%$uR;3(@x3xd$U!!qjM{q4Jq3X^p|#cw=durf0cU^S6;~D-EZ;UA)Ag#Wj2|3_h@ynZ!4ttZdh2R{zJ83J zH!D9VckW!?EUpM|Oow)+wtS)ASbX)IP~hi8xZE87esF~{^mmT?!a}YrZ`YXA-WA8? z{MX~!&4C4nPg?iyQtalV8nA1Aq(l!L+o*hr;*h>ux4UT%UUwJm7guS0{8MFX#?_)s zoV0ipv)8bx=lmsq@;zT)y6G_ma~241*Hi2en6kJ*(rFgrh2fWKSMZ8`3hIh|U1oiT zS4EAkDh*i#-*;l8)n@P6x_RJlq9R3*avc$1NH}X)Z%SKEz_cAm%EQS!4Y+7*R$vvSKk%iE z!=^qY_AhVTKLFl8zC)nK2HW%Af~-5yBTqHWZzi*yfSiRn8I>=fx8@94o`k>X@m6oK zdF&C+O2c(N?c4J^$P^A0&a#W|m^^QO34VNER+!)6kI-X-1-6xxH{CZ!I9Wzn7E>EW zbMh+%S_+`q!&D?gA)g;8y_3e_ND9JZ0s7ZmFaGiyD6Y? zDW4WKAK2uFJpb}x%((l-*$BSsXItKrdH_P>b=PTEhOV*rM_l;3IKc?SN)9c-fO8})=|J~MhThp<4KR2e(jZZYpku=pv!Gn31_GwZCDC(1X z`VEE{zu)&Qm^uASm=w=Wkj(P*CpZ>WdT^jO=KM+5;^{$jHUr-+{y+sP8Nkz*`{Z$l ztG?aPI;mKZ|0-~0g9C1IKvLSbRHRjUUe1$i58X~w zclDy8#&2$kbsOdXY`f(_W`4y#g~=6_~N=2 zaiRXQa_7T#JD~u;oXk40!QtlEyVSkDwLEGtRQ4=>>6kI2>a+eA&Au1gV}Tg~uc3%Z zAH;&;iG|-pUC|vv-A%;Jt+l{N%ba6tR{mV5=SK&RcEhb>9E zVk)wRKB!6q;Kg@#Kg9GL)1&U&L3disO(VM$K0@P2cx69Ceq#+ow8HPk?og)r!p138 z8NG$w34a{^5}5(lQt_a)P2@mt;UtRZbtA2KPOAp~zPI_InPM}qufI1gAdY@g=*rf- z^3iQ8Y#joP_VPDO$xx~?S7*39Ul^Hs@*scYL;{FcBkwsN`M89J+Fm!hY!*>?{i-&M z-%+Ye6-BeIfJ3oZ-V^4q(k%r!zI9oOwv z&q@xhG4`2I-l-99)o$p8z`Xh(Dkrhsf5?-%yepq)l;#cZ#sC_dw0IkSHz*mSYsLLyhcZ^-iCrfkCYLu~!S|U$23c3U!nwveEb1}x?V8DDCvzMo zo~Hb|##8sT2qg_*KDUC$-xEg6jr z;6SjdbfY-Q@Ft0qFYSNcgxQagx*k8&rV>SnoVdBO3^iuq+qo%`%JX2?XEN$tDt3}w z!<@kAKLG;e+_$c#r+e>bISf8a^ql|Vp*CpfXwbU9%(RXPkn{-~9HS595>m<^~^tWC`1+`BYD19A-PBriOoKmDOECK;Z_y z`5Woip7kyn8KXj|E_P_5^tgs(py#i{-9_f^J4rk@Vt^#?hDy)leF#5{D%UWod1jHg zA}NF2KvOqzFRft9Dcl3mgPtI7;pI#Q{*qeZWhxi7OW?F)liwL3Bt&$-;Gb5ez2PIi zd~kACaDJp7VzB^}pY@(Py-vlyUOY5fN5}W_1w2@unXS0$gOeaEu!E3A8^F7|gGb)8 z2xRFtY;1VO7e{kl2BRCB7P}hX0E%vh9I2+CwDjYrwM+FS@GlpXj>3SQt~Hc9(M{Vi zfP=7flP`zNM@_)PcMiN3DMx4Ivj$F|mcOVod2t^OnbSLZ&mHR};p?)L=Z>j~QS}1Y z??3H(1hMRb5TnR8fV}0h(fymQW#1mkL8#wew{Uu#pnepAK^^=I1h%qnRWhB48 z9lZLs(292)gAEC+gfrSN6{k}KI6C>VcUj3KZ7Eimx=@$#XN}7iBL3VUL&4X{L8YsZ zCV{<=G7_HvTaP>0zVaDUOXe1zP=hTi3KYnAyaik|i@64QO;MIMpU6^~t#f`?rpk!q z90t>S^JVb@xHsr1w9mNRQx9M?Q(zbqZ7L?R7V}E?g zcb8W$^L+m<)80Rm4J9Ct${)b@(>t&Dun&jpKuzSExX55i2ep7xW z2-tlpFN)3ryD>R8R#Y|Btt4F^9_*QdB{A3TtOGkWy{Nx)&zYKcvy)Z|P>m`~ftI}% z4YE`34c#*6u2B{i9=xb5H$V@^Wjq!nbV2$ z@zU@h3cgq#kYNcmpUN-&^_#_@S8mUQjA)34W(;X^Usspa&nfjqS8iW) zSPa?VL8h0s3k$U&vPZ4H%EZC3o925=r8I@T(ZL@;0*ZoGu{>Fua{F;b%znLRZ@nJ5 zUkDH|?Pvd00U3xIY8oZUOyLp=PfWX8tZjcvj3({Bfbx8@avshQOgt!=O_j`(mpB9f zc60d--*L;3%e)C;CjKb`XA>A>-1{sKy}wbxZ-~Arz+|elG8NfHdjuu#aJ&Z^h4pcQ>piRd6 z=?;qe``<=beB}q+V8ueI7h~5ppRw%iQ*XhRv`HNOL(j5z_O7F$3gQjS*Izb|-MZXx z0_d5=mnvX3Wa+Jfxa~-dU5o;eon0k@4d-UW%I|Bw{H6vZl|Ylq89QjlymkmB$f_v7Me4!p=2;d-X_z_K2wayedJeCXOroJ3G<<6@)j7*Xg-zQbSzc^j}0h7 z28fwQuYFXG=8GNH=1~Jx+$ab6ih-HqbOhdF_(?uDx)-;TJK$WmaI$lN$g>Jmo5u|J z4#@ZwI!o15C=7k~NcY>}d`}7S`Ka_`KOlOm;(uVMN;Ebljwc@-aUZv8&R3OGEg-)j z7tSV=<&!?)I3I$F;GI@*oEoX~jx1TQ2{z{gU;H6$6OFVFGdsf;1E#o<%sx0rspecV z3`K$6H$IywfuESkc8-#s`C|*dvhYQ5xb7426HCCa`Vvk41NeiQZc(#?^a{J?32^o1 z(H9Jcdw0EN69FAg#(3?E5Pv?gO&^5VT4A21EhuI5b3ad=skS<%R;^MMvJ#enk92*f z9O?#-fZF!BB@1ZRM>TA;k1SQY4Rs_XOD|LhEcZ+nTlUCG3GrdjpZVcM}x~-j(Jh=xQ_*>y=QM@YT|==3XVoV` zaHHJ|boo5H|8P+`Z-0*1eO-NlttdNl)yggI z>yO*&)Q&vI#I%g*t5w$UyS{yu*b?8re=OJK52y^d>Dl5T-!|u<5RL)?Zz&^a~#|gXU{J1#80=ZiS6CCYAy#3(a%p0)Aq@zl0&B zO`2PWI@HdWgHCr$`njDl?+M<&^hJ`EJ;b5J=l-T}MKRB!hr!w;Z20VByNhvifgzIf zVW}SoQL_NT;XkUyGOzksEelhOam~`mw(;0%8*rTc zBze!#JpkW36_-#*J}wHc$V>M$xBme_@jJ*i<*TrQtxFV^W2*e?D$->inxyZ`n?$#7 zL91LmC+p}txM^NHNtl{< zuC>#d{Nw%-n(8hu94mJhNp)Y)F22Tfg#u%0*g(oHeP^5Gg+e-b!KfRBPW9%E6lF^3 z;+7*M(7aHB!Wod)xPX@~nMs~XwKbVk->&;>!lNa>EuWJ$phlAJM#u|k%Mbd^dhD*J zV)vIFPn3iE5v1x0RHo7T=&_19=D<&Gkp@~l**c5y-j)WJ#GuT)%l6AXD)ym(0RWRg zmkV3viHEMINcZK-SEaV@#kj`uFGNkrq8~T}mS2OtT7CE=UNa`}8z1e0>vStlVSIcT zXz7U-1U>ALzkXeL>rSYv$=TNxqkTP zIfHcY4Thc?ZarFK11%45RIC4%Z1bvcAb0o;Idc z^fQD|^F--ztY_|&=NIiDczTT7@3yn1wWy3JCyqO#aUR8%$2qdmGIx?GACDr4QG?gx zA>8`~SH7m6uU>sGG%^indUH8)*wKnHSYXBLmd5{?feL_#x|jbKo~o>@?7CT8(^c!b zvYZnk9)j|8u(IJrAQ#{}S~y-6JgKrWtKaUV3r znbd6Uqk|bu>5hu(ozjUegt;1xIyg}(wj&n4L{g#7LNa5yNQ<%h<{tMwGxyLvWhd~f z@+@kj?w$}zwysBM%fZoX;!Z+ihikK7^zY#1p5V?BTd1s%Yf+|8d*a+Q(-!~bvh!jx z{_w=8DA8I^jI<=ytG;_9U9;(j2{iUA3m`lyiYDiH=Cj!B;~GsXH)hTPK}j0<_`&b0 z*2*61Wuu`U%G>SIZgJJ$>x||6LvkekvP;Wv|M9ZbABOZlK>Jx4vimNj$~kS6j;TM| z3R{~U%9`m@7h?Ro`rLf$R~3c8>sEUvS(35;tHu^mr8$z+M9j<4|JfiI_&u%Xo%DD4 z2;PZWMGu`otf_pS;lm%}WpW1!YWl!exYo`HJx`g{^p zM#*s3=s2mgw4G#@y%{|{F^@hK0L8*~Rw`2_2XPSOpxyEfeqRWY3_3O_IY7sES2fT_ zjdaIWtV{Wxa3Or+hTSr(rZn&DWn;dO^49*&`tIe(S^|g~;P4cnG}N(do*sW1(abjm z^beSuf`aDo9Xy@z$*_XGi->6Wf)I{fV}gfZ#)S}AAQTj7h;wS4H4m6&k#;Bx)5};; z%s51WzoZejk?giP0dQ`!100Yq+in%)cTU?j@z^q-8b>OxCUf{ry8;eAjoa;0SAhx7&tg%Hm6Q$U813~Q|d-T zw^iaW{rE>LaJLS>dYi7i(F)c z@-0<3YF4Uog`mwLlSL4%21$nsnCB@L+&v8ml<4L^d4DK!`smCL7|Dpu;!BF;VHe6& z6!$z@SequyvUfiL-r@lw-so5)2G9wK7Y9uCBt_NLu11JwTmz=Nfw+BEv|SH%o1<`+ zCoYkxE_N0&8^N_(C%~_+8W>YvpQn+ht@<`wAyidl(d_4bi3mJ03v4APs80SFqN{jM zh9{s4^;I`-e!F_gvyhk~4;)Y=t{fV^KK;0mT%S)`@XX?b5Id`+;to0*r?|xfJl!Le z@6Hksd{tPDu}A&uiKqE0ebU3Qz43G`lKr^dYjZe4it-S}J{3LWwOA?jniS1DetcFO z_j={ml}yla1;*2?+{^JTs3!yNbijMoPdf+QESth0cW?ZH7s~sDEqqroxG}IKFYxVgpb_tOMKRZ} zZnQ1`Xi#}z0!;l=Y3>vd$tIUm>Ok1CX;5X*uAuCCp0>ycj{HbjEZq;LykT&(2fDvZ zk$x<7v5S7o@dv_G?D@mZC%^|i?YA^qLh;rbH*0HKo?Ncnaw(fST$P*tz4(#HeBX3l zsaR*87BnK;t_+jI#N3Ym#oHh_J=FDqKiG=rgUxk63BCthhP5VH6_ByI@jTKD{eG_B zp4|fWt?Y1NuhM-Yye`@`t1d2}kJ5K|NykQ88r$a!r*CLeJ*5;3@MQ|Kq2Ay*@QCYn zx-O*3hLue4jB;RvvHb@D~yCC=O%4tO!8%M$f#%WR1}CmC$R_xFx` z=6V4K`MuieU?1=6nV+dRP?R_al?fvknZ%vm=zREHOzB`tYUl(0-f)5GR%YMH2YD51 z$)R7+gGNNRXaAb!YWE?<-5?e zQNZm=4pQ;Kto*{*BEZ%= z3ppL{36^nI8H~Z%H&|awKOS8d+xkPyUzqJ!sy|R@b}g+6Rgsd=ACP9$5oE}rb`r#< z>UKCW2|iAl8U7y}EJkXb&o+ft+zk#yd>u3$ZciznRF(Y=3|*ZA9wyiB9pXCTM{{lZ zGUApQWXbm?foLfDnO43&A)pdZ&oHLLhMoSVk+s<%O+nGnAEc z{bVB~LKeWae)^*%@Et&V!isvVL_2)9zh!(?0wlM784(I0A22tImES$erA=9}%#1QQ z86Y61dMJOWtwnnD7 zN9u$u$-m4o_p+9-H{_X&3GMftij?Y?KU9Z6u2Zza{5y6`j-$4&@Wo|vuf*$BkNi4K zMA;*0+q_#O z+|JPz?n%^h5q04ANU9h{Y9W1#4N^lL%QR*NGPWSwbT#{aRU}WrWTy<9VuMvsa#Dcp zS?k-C$JlI%V$C()KFq6Cb>idZ{;u2I!Mf?7q9Xw_u5aUD({2ta;{Dl<183(H=1H?) z@dSguQY197hn#Ie5(@5kkgAB4JFZuei_W8<_egrUY8%aK`Y#;_(_@* zlmMbFb(9du$+*CHR*HTr^6krQEf=s0>)vzWnWVTso1ML$%`t4PB?`y`HC&zN`pR4L z^};x({1$P}q>V?~57F`3yDX+-QB4Zy9Iw~+mKy0VdgPX>8`E1hoU$zeLiC8AUaGn1 zNg3QF@6BYf-D#40X!x>no%1#alyQqYEMlxt?Eb%su0TsJ=kucy%LP{GwzSDW!*(Nn z=YQy_1Cn4SZz?}k>K{Kfvw?Q;O0sOlFd<;UxTVDp(?2{w9EjV4t|BVzGOPCGXSaL; zso$49H$=19Py-jbR>nkSJYc`B-1k0YasWyWkwjwx`_i^?ztemRP<{*JLr3%b&LnaK zq0!CHACnG#JfJ}Om~1z69&oY+?VO`#9fuUm2hvVuAV~*9Dw_j<%>f*h?zWl>pS;S@ z*<`x|p?k^eXC+!mz6HQ;Rdf~Myv+xc!3TGa%@&Mc%{Uqgy9k6zSv??fE%!9sZ8|mW zz%#2e9vp7;@O9_UE0Va)4k#US(5jsPRcJ+q-PL5E`~jw*4&5vnI&1qH>ms3h=2^Ol z0r{{K{Gd%T$8eIO@354M+~yy7UU?iwvPv@ zyB$j}{k-YY(__pe9iMws)x7HF`$M3A(3ALzc&`hB4!83*rN0s88xRc^-OQFi;;~dj zPBE=%CIM|yf^xAp$gCItb_3|1(XNBr$N3lRDo=6#xW8?YzvpPxO|r|Gb-iuUv0+Ar?S z4QC!Amz6#(Ko_|?1-lcLBilH--l?zGym!C?FIm?z0rAUIIiT(239TPLj@zLtKUJhg z3{`4aCy!&EzB=lP`+hB;)pq-t{%A%(%g4rElI;4n{{DlYcqD||ZZFC6JqV!(H@_gb zxz7U1_GnO_5Nnh4R5=Sgr82yse;-hq^WK5QKn=~*e0fF zZ*A)56a>R(p*sAy&(z^`G%6F2Xuy8J9#YpdcvQaljV_{<8hRIQH4uUa_)}tPFf3}N zfKeC;TeUEcmxTTat~hq;dfZo&MDlFeq3QEe!sYZb5D?I!M#sgASUN zxeRr*(V19?RLkwm1y`Iz`P`mdIQwxJ$anm_b>bfpcx>2kcM>NLP7_e6`x}l=utr5> z8~*Ba4TGa#O3S}N&$eJgV(<-PR3wEo8q-}=(Z~-cTcQ_^2Lhj$*xEZ!;)|ieQ@8B= z>j(3iO{3)#1MC+#PDq&K{;sA-zua^aYMi%}baDk0kccxY4WHj{dL4bVP0rI$)o8QH4QL(dd5xz6;l^Lpaw{i91rhGzE- z&HsQ^Rs5(2$m8b2?R0u^gM;rs+yyRTy^9x>^&W6Pk~4RY;~QPU?i%m%`uue6GR$!O zi47!@MIQN4fq07Q$fn?Z3loMf3(je1f4{bx2o^o?OFlO?Zb2`ztTk0a+Ga)=8Kb~` zhdLnlKH|==ER@Q|$_=J#g?FdXW@ z67?4T_lQrmuSD9#{W=4_dqI+1_|~X{DH{I4O5b^jtMr=4cFjvszr%9;_g20zukGnr zY>)2h&7q_VPuBDz&Wbj?K0KsT9-b5neNru2&3E2$HH7G_Q0nnoqc2E?RiOP*+@OKn z4l7vuYV?HJe!o;_PCI+Xo!S>SioDuBv%D6P^7ix?nxpciE(_31Jd3`||IHy>wMYT_ za#g3+M|VgZq%fjCOp`5|^p#l3gupXPB0f zz|!(Jh2@@^@qzND+LvsJ63uf@8GpXTK5e%y9|KdyRjGX?sf-q*)$NVj;WF!yDIYbs zvM1kYNpc~-844o!(>8ybtFtQGFxn{jzkm-KnH~KBSs7)TY^8LmpL-4~El;eTtyOFKek#SDMme&+W0#qxc}B;t0$%keeDQV)lKzB>k72MMc&c zj~x8NC)W{~=H5SQSjfVL{{H)(<#!G)kx{FW0i$A@s*kF0L@VEm``LvL^&JVXF39aE zXZQvP&DFrFk1j)ED6>lxo6hediLjY9KduDqx{&9#l`>=K#2=}=`~}!A@BS`oxm7fI z-{ltCTxvK={^ZoMp;<)5y(iSR*ETVVmDx(#c+Nh7qjH7-a0#=sU2N0tY6)Qr3%U#w zq=zXGgD-ctsIf%^X^YSM;*#_g|$Z?4(q*lBBwSH-7Vz!=TMpFy7s}=Sb0FDGHz;Y_qwt;0RN*dT~ zAc|OPgYR7+Vx@>aSr+%{#Zy=6w%h>sPdtu_z@5MJ(G@D`!=H7tj?Cc&74uDn)W+YC z0JJTgFuSi1xIyy8J=i{4_B+r{F(-nv0?2X2Fe%`G7)82&-B<`2*e637KnV~Khp!Go z+&0LG`%w;<9}4vvGR(j@;;7#}hyFsh$x*BjkrHFinRVzc+B{|hAB#qI_euZ*4%+}z zR>Yf*9ic#v7uEdu>%$L(-oZo5T79r0na4T{!VhVAGMC;8KEdq;aT6kdssu@y+sUt3 zV7jR145nnw>5Q2t`+X}CV@z*CX@U)Qb6>Li^LXBiffqb5=d$>y?d^*1ZC&|mfWV9T z1CIE41Oj->SrB&-_vdQPXa0EMDQ~wcY@OA3w3HSRT_(l2Tjq1VNxxeg+><%=m&TW# zcaE#;u%@smb!` zB4t-AcUw1=4zp|XR=(w=l=_oI1PW{9)rCMCko0+>G=9CjfivHJLRdajF!R!xHwa_V z-{^5t)8((az;&6g%2YJ4qYpmjT-Zh6T71&0%BBh1O<9#cG->S`I2>E<)sD?NAl&f| zNfQ0O_ppfFPs&8+6N9$_ItIOPAjI7@yZfQ>>TvtXE<3570a8RB#c>|KJ7E!IFM7Xg z(Ku3(Mn)ns$b`Z8DzuTuki&7#9*fm%+so5O_kDIRAt#^s;BNF^2|r?)db8fmV#sb; zZ-lvICP+5v87rk-cq zET&476}4#$JBjO{}2=9Z54+czQl=G=<_JhE3T~1-Vv}l>3IQ zn2P25zXLN+z(i8uqi&C|;g0Y$^er#yD|k3c1-xD*qr7LUArW5pfaKyXs^vNO13(e_NY<8~YLmvS#;oIdf*l@b%xu`jLs@ zMLv{?PDsVBV&hc^DMg8quL{nLx+d4VVC=$|8SA$s%p2A$gwPE;L`=W>xOGwSvV^|R z)>1NxUdbSx;W=>S$MAu1JZ!dGV8t_upi~J<9D0 z6dnKat+5REm~EgrjjH(rXCLKMUI{Swd?gNZf?i15G6ucbF0QTHkEs@~rPW`0;Ez@v zXZp)-VRp=A%*7}79oizD_KZU)031c#qKYQ?oFBLI=&i3figl$Emyy-_I5latYHj;m zsO8tdRDl$nwcTo^i(;CR2XVW43qp+9Diq)CpA*}BOvpTY#lA-!R@)Tpcj-R)>9@Z1 z%e?cgyu@}joj6IOn9*dIEhV&<;*~ox<-v7i(ooTCKkHq6xR;p~EgfSe)#Ton6z=#3 z=8x>zMQ3~{(N9=;r4>c2 z?B%=s%af3JHkXBJ(J3jeWvb~#u-5dp2eLR*(pEd!L5el;v8EUm zPa6<^D2UK+wj>2g)q&(QOXma;A5)#L4g|C8%PO3%$DOBgNe&(+zkKzAJVoF{L}^Ps zt`clmxKT8bfAQ<*wt>is`0<-(dJ+YiK&i3K?(*Eb){^VO>U+{5^h=2?TFADZC!=lh zN!F+Wh0nd6%;tAJl^pUpd=^^&|7>h_n!TkgH5%(ojmFMXqp|hWXzU)9mAY1DU99B( zPJ~bC?iXG+m(3Gizs?b{ny75h{M|1ww1ZFJ|Hs!^MYY*=?YdYg-a?BMEn14ZLvfeF zTPW^OiW3}y7I?AX?#0^T8Y~2Nm*6hJ-2=(y`^LY=zmN79>*#rom(6*v>k4pcSI1wm zny>NU?Ae%!i-|0KwGD6yT7!D}l;`4`W5AZ`C{u+<0DVM}28`oZ%&OCa+JSi2nGpvACI5pqU9CN=v-Q@sumzG@7pfCP5rZ~Co6bq3RjU_@hJ7_# zaU9=1vO&3gh1r^P%;b_xxK%q}Tz%hpJjp~&{NsCzn_l!?PS%e7h4xAXOa1iiVu{n! z!rb*D+0dlt@f0b`k9YF?vW?lmlX~U!xeVdc-=)S86_hbp{r|tgb?+4RQTnT8iWiyDt~o zKeFtPKd)H$k7_Cqc)*;isc8IY*Xri{hWrknu4!m_-)$S3-2I5$$gIU{8+$5;3zzOP1@ro%nGR5j{N=q%&TGD#Fn+$4B5Om7F zch1~ac~Ne2lc(86aH$*ERyjhC!M}j^gEJKw8#yw8Yj6FXct|!1_ z|D|>_ZksQN?ngPyiCUA!S$1^VlXsWr8QXH#t@e3gvZglpRrcpJK3}N)?O!e|$k&VN zxa}RMw7O9f4O6_HbZ2h$63w8X5!m_MHrU6X6Pew4hKcw~r9@am({vaKV|}MB@K?~f z_fwX~kO46R+lbXScCq#(48CqULM`svlZ!>QDyBI|YW6NlL!@Ft$TK2kz&Hi$H3d!0 zevY?7TPBkZQ3lOpO)gOa8hh6~4%IwzE3pk3!sZmTSKkK%wrw8JOZ^WFc>`^i5dWKN zO*4QsqCo%EfMh<~FP{OYrjcOi(=i7;>v^L=TtX$G9GCsP06BN!RZxb=u&dH3!!$O| z$E0DKB*8&qGi5UHxte^xl44JSPU8*B?Lf95?TJs*=sSZ*f|#~%?l##}`yfI4oEEFP zjIkiRQtb&G(ue>zM&sQ%+fUK}^kvad>YVitO_dIPg`g{~#jaohYn9^ZD zYCk9=`kh2uGaXSo7Zh!RPkzYeF25sH*dMOg>oR2lvE;9+_p%Ujy)}iFm3`aKd zCtugfZ6`awMhsUqXvEY8=QS?Msnc1zhJQ6_tg8Q7{qo5$Kr}Mc$jHO*$fPfJno;Bn z{dh`JYpuz)ha1IxA{FylmAL7>2yBRJ>m;+rdG{G1UGS#k+xJ=1r8;D`;=)3VpXq+* zA0krYU=jg`CY#m0ejB&NC?B1xmg4~buf{W)W1@d#EA;A|_t^Je)PMaPp-!v{e1gii za*bv@9*aG(0$=!OuU$E5%ySZ{`*0jxc5#n$>+b!(?FYm=xj%aT_^WI*4x#!9VqJO@=;!(nW1goEu^Lo)V&pS z9@k&ZR@!fSEB0acX}#aJ=(2qGRESq-Y3UdRP0qSSRao-_Om`k-hr9xJZ?|t#c(<@u zb)=1sz1j?&E{Z6;6)3ZeLRmv-_clKX;#87+4o?$G%J=PD30aRK5oaHD!+gD-qMlR2 z*hCYMvhF4R7I^X9yC=7|>Sg%!_&XHYT`1w{lmawK2zN$H=x)=B`Q|sVH%`{VWi97b z=ZePI-$Tf~^Z)n94(4lz*z8{6xL5RX|7^?HP^;UN%GN9is{Cq zOfv%<`834gVRuEa((X3@@w&9Z6o>SS$&IaDsoP^Ch@#mYC;5wMqw1FKZmjDl%HGeE zEEU$$fKVml5lUx3xk9iRK6#He7ojU0&xp20h%I$O@!2%n=uqkA^q`Wu z8>b^^`~7U$#9>_XA~yEt)xv*}y4!ig%W-g_9fs>+&-lFL=+dOJ0li}2UY#i}u=P0S z8^`yVmkkH_QX3!t`yl@VVci$u3p3OqL$UI6L6+H%U8e!>A1v1*sY!3Y_dyaeS;j&@G-Li z*rbh|B@^Pb2MAw6qik>B&kLeyitTgoZ{GiMbSu-o(hg9D8cB9M)^tw&f1aVCdgQ*S zO;~c*>2L(E55n`Ks6R07e5s`eM8id_#iTku0o}_eGDdtJExi>0o&pxx@pU%{OXD@~HHM*W?IB!ie ziII{#^A`8J|Nk*%run2Or+rI$>+Ldtft;5~n!WW)0dJ-`bEaD0BdQjQB9<15dyzcH z;Y${a499+xFu$y3{V@)&#$2ECpKZ6W^qV^pp5uL6i^cx{WNMNu7v+PMQ?1-e^ zPnXQ``n4o4AfG3-?F#2`i=AG@5|cjouM6L0c)a>^Zn4W!vWvJ`k9OTWhDLWg zBV`{Sb4~k=#U7H!x?R-QyFJ>D>ZWvL1y}|9hW%mbgnc& zGt0(bt@WS}MwOL$-40LVaePeSIqqsZS;by1JA#{J@Ocoj!pUxX>m%oS>hU;Z?QG$G zR_z&JJ$Rc(m0#wixA++-zCZ8 zSh4VE(4WcC30fu`?)>a%H7I=pn`Wk`f{$vs%b?rMh%}QCSwI~fTugI-sn*SVRUX=*aj>XWnnVTtk-}-cide_OmpPF4-gTX^(F7&; z@>dcYv=_EN>~E~vPqO9FlS;@7F!1|cs!H9YeB7rXJ9_+#1fA4cM?3xXf|CL z4QbuAYdtTmwjMcqI;;pVBXua z>iqR1nkH)-7E}qjPJ-%CJfm;hDetMfOwwBy@?u$Y;4VINGuEPSt4i zhWouT+wi0wzG6$XFX-plI9=3YlNg!-?DU?I#BS=Ya}W;6W&abXd2X)vjrQgd;NGXd zaC);JtRDR#DG$WZzf8Nu*~CdBCFSb*`0o6Xx_Q_8V4q?UPs)6wE^-#Cetl+$ ze9gkRYJ%**JHO+T*a^H_EDGcdTtmoRBp^(p3P}vj*~`P4*VK<7n+1K4jI8UYRsglB zC2E2}~;=q`t8pR$s!W z2a$sBoL*jn8*&9qIi_39@XO7eNBktFfzMG*YYCx^%)717xPE7Vg#S+HTu+JAV%vZq zeh}5?bBfZL6Tg#o4wk6xFxUcY=^E^D_pGv*94^ed(D67}*V5T$vA;2b1OC>7`t1?C z7OpZ9XO9?os|hU0nkGR!+p%MEW7UT&%@~jiiqT9K`7Wa1aPBZQ9lK=ZmxpTEN=KfC z+1h6k{@gyLk{0##S$5zg9wxDT-uN!D3ks1~ae2bS8+MP6AT8G0ufFyP?RyPj;y9%- zY15YF^3QP9Cjjd>PY18lFZc}BmbuAXBkJa!czd8A+1Ju`teYr~Kxmm; z*TAm$n`Nwk#nbiNfBk)I&yKNj@J(jT*!!}+A+{>2Xil)Uzr&vt3#7GfthKHQAI!uH zGVc;fuvtgx-h3N7a%g43c4<2oM*v>pmXx}7y%sbyb8nttn`0pIiB1!Dt32#r^Lr ztjKh~XrFBG>%+r;8sq~i4xtX;-2WU!yGP;?>Q_IOI2ZZx5pHW;2~z$7=y>^>u!v47 z+Lw`3>~Ug!+4BRIb2+Bk9?b+h=wZCYYDy$92A@-jQj*qyal1Zb`Pi(SDY)Q9%>7a& z{a1B7V+~8tx6<~268H!C@HxK(m2AEx@t`9J)>z1voDt_4&;Bkm#z$(U$!n&*8`@Ig za)(<%5WPno%T{U&g1Cb!9T#xZ3$ltdt3-V-6;L!TNHl8`JE%x;9DLbljNE-be=5z| zalg3WPVsf=?^WbG3i=m+6~J=@FX9}!vof4lW!Z=G&dVSo6kRA&3d=*!YHJ%;bs zi*$oEkMOc`TkP2Vu4;MUCV<8(8ayk{_r*tkH>d2aZG5Ejrx-O4!O^|&TN74E@Tfrz z5kf3Xx{(_}k7 z74Y)3t7_}xSzXY$bDRE=_Ynn;|Im!^-6EOAoDOFcmm@OvF|gsA-{`Cbgy1rFv9vhm zdaKS2<~O>HQmtw<@&D25Vc9u?F*o2gXa98DQvCeeP<=-p))PfP;D%+Wh%mvhis1Hg-A0)zvqC&OgvnF@MSH+X(4cxZb&|l2;`oYcv!lODGfj#ctH&gx`~uOtEhX42Pk$b+(>%l z!y5%_&E+I2>M|T&NPF2+TVgB`YfZu+c&n`Gy{nm9KVoe-ij4q^i>eb?M_>@!VR|+; zOl!9#0Ji2qt+i_nifCXwYDlexao>Dj;XC^`xOjzXhZj>}(I{%@ynd(JFbl$$Dch@6&SnvIE^?(H0g*fX9DbB#l8_;aCirjWR@!~SL z9SF0vt`wf%_Bne`1dyWYrA;X$ENeJ#Oa0+nslTDjv{tr+*6!#xi80VlW+Vqc+KsvUZ7-`^FgtIBRHA_-akTl4tCp2?;pCdu(S z5Y9JeY#;m0ewJ#>|0ETgiUQljO;aSnZ4KZQGwMl8^^76$v^f7%bMkQi7-C&zrqw&; zQTHevnTJs+(|Z15V0K#ke9f12~m(y7*L9Tl=9>i1n*p_zM`d%J4C z(qPd#o(bsnuRn3i^RccdS<6g!pqc&81~oGT+>rd<7i!emvjDT^fdRHbGJ8Qp_AemL<)z?@^fnB(AVTCO20c$%BT z^b;`-GEN^U8+B=zU+~PW-$_0GOd?wgaMg!y>3IwJ{2V!u`ljOf_AU;*xuvni!RxXq z2vy7KJZqJXKCP2Y=uOtV*<{zhByuOAe}O+A1caea@j-Dj|JJIU|H zZt(XkcdR9Fp=lHn%Hj6>3W}KRdqwahFJ$`lMuYrS=#_dxlKDG!fz@|)wHp4DW~s;t zp~vJq@f42{p0eKatvIej@|M_^XRyZSs;UuHKpt>;S%_s{?<$&yu`a-EfMqx`i89c3 z>)VghVv9zu5t!(QODlZHO(MJjjG#1)t`hFRJ+4QRISH6 zwt}8s_E;!#kRIk%JNYBm$NR(AI&2e#CImWXm;?8p5rU@i=DgHLqKB1a!@KXDgOedr zskOKC!xeAYDza<6hUVmNOt@;m!%wJ6pJ$OVS7REN{|4T9g)@-tq#2$o2!0q>>z#+? zty2AwVE%7k>=`11#EC2noW7gSpvgjhI|7VJ%D-a%JYU=!sRR^JUBrpqB<6q{qrZ|a z=ko20##E6}nZ9rQ2^A0nH%;`iIN7}`Afjr**x$^Z`Fb&W{b z-$|9Pir#{TSju&Ty#Ozn>)-qpdC=x2VaD+WiVy*6cgWSe*{-BkOJ+*BeCMzXf5EAu z+i~M(eHvKRejqk1iMWQm!_lHn50;lI{Kvqv`rrxF_hAeE@2So)KYp>(O_1EMc1Fw4 zW7%|ZODD?w$IhhuvDC;Gp98dvDKBHW)S*mKIL`V@BF@~v_y^t2ERiu86m_k7ei5qq zR`DYDk~229PC;?JdFz+$(e_^RK4{P9=vjDjvasiaY~IU|groq|o{6r$PAK^+BT=T) zQNJD$t<@#5ljCQ7ioyvyJXP$nU3n7Vdb1x3Zm3kxc`xC$oaE6=@5|XD?pp~F z@udN>50ChncuV@`j`C(&4_*6cO61(GQcI*6FZ|8&%t`W~%o%U`FswIL7whSfdg+{K z>fgv>;_KuqF4@4+_vGeF<+D;x&Wk)3KK>=PId;j?e@SLa18qMk@xIwmG?_I)ONWj< z&eZSo{CTOpN*{kAbRNx;8x1c3hbUso{|fv7MLbzenckn_oj(yP-ABx$9@4ftD3Rof zs~0MC*6?SOwcz*xm3N6m;K(>$zv6g`klc7E5%^)Fn5+=qOJ>0D#T@Hn0Wt>YT!|+F zEDd0YTvPe+yE21Yjb=$-8t=UnA47PIZAP@t(p6GrZ0?t|hSe}YU;Xfi40Z4Fif7O!PMWCI*mwatu-1suI zq`M!@0oyTiDGc0+AEGZ`_8Jw8yZKhJNMIGhKSd6Fe4i(6iNfV47CU+%Q zRpNc_zD>RiOIn<(ql(gbjQwz>x^}O<0T&3GsLTtSvo47bW6PGqrCs(d`4vMMczY+K ziKh7cNL57xE#powj8nGi5FLlr-1wBBZN!(i1E=~Q%_lT4`S!;*td~*Rh7upyg&cDx zo=c3J-L_FuXdKTe&x3}2R&I)b$?ibm3J%YZz<0n4uP2rl|43Xvo8Wx6ijfEV_f!$j zM$N1L=clWUgI}pQ3n9**F;Lt}!1K?5SlshZ6=t5cJ@d z3il*gzwTWPj|s)Nzh|_&R~mmeCTj>?<1ZzzNPZ>0 zo(}w#;%#o(DD6trLnYwGW!FU!h(aNx!q?AX`YI$?i#{wKI>4N z(vSE!NADc+iVlZ0pq3S`&PH_BQ%Z*5WwPY0WvLhjh|P7vO2q9v;PJ_AN5G7#E!Z|2 z4s4XX6-TX8w_&xv2;>f^ctkU)lfNfz!wImkPEph%&D|L48VCId52(BN6Iu)h#fgmcAVm}ZB!5f?QLdE5# zI-qh1s1;r%mHI|$5bb5F_A~+8{YpbGs=mQ|T$%#>Y!LsfQZ4ATuYCW#m`sU+6G1zC zg5-U9_8Q-kqlQb(Q0nd-RAY?I1T%f*#S# zlc`^}#lM7VS^Wk+y5FO83^|`s)xRmM-~Vo`f79Z79DfqHfQ`yWm=luYywrZCy~g9v zc9{2Q@W7kBK(R-yDP%#i>o_1D>5LZ(Xr;K>Tzu39Izr%cy_<7s-)(fSJ;Dq0yGZk7 zh*%##l`K6Lhjv6aR(2wlga*H~qPi7{qHI2`KljvNCF6mbRHjqyn{dSg%RIDk*%P{T z$Z1=9#%j7uUe03=`8sNy84xMn?@u2Xmef!@XNTuAzGrA`??&e@ke!dcX8bfDX7)kh z%MIVg_TI_|p^4DZ0i`RxY$}O6bP$6g$;gk0O)Al)iSJka;=V4+lME5q))HwQDqzje zxr&Y0riO7p94`WN2l?^dxNv9wV*Squpd1teKYd7)+Lro9w5WEWFP74J@|QfX^QyRh zwOKGDGqVDKAH~Ugn3>(=63m{7Xc)*Ylr+x~&gYUji>TM%6jh7jvy@U)8Dz7u;G=wGCoIXt3dQ!!2B zBB?K@m0^hK1S@@X!SIfk6&?DMw_zm2deW=1SZa?ACxlLE%E3fbYEOBe??F7|E6(CJ zN1SRSXH9bva8;!XHITDcg+nfXItu(8@jkgBK1Db_{BWRiPpF{ZoYappm~_AZGu2HB zC#nG)y#89HnogSU(;DBcFkRJJ-74>GPO~krfXs$!z+YIR5YW#m$X>`$R}fdk9$btW zy&kW!meFb^6HpSkXRU7AA+dw34gd7LB=A!x$zA7@Vy>E`bwp|i3vSE4v^u$|5l*s6{S zFgR@JPT8Y;n!1;f8u6bP>*ByGUg`04^B9@UrO6WVT`yN@*SKU`*uJolFY=5d+a5-) zSYQ8~to<>@uAluJ0fvtx^-Pj5o+qIt-i?(e&L3JT`-7_(Zz$;_Ntl&4{d<>-iW8gI zm5IsNsxr?T7zrsEB54CYL;{m(7KtR&dTu4BFY>%mx3tWWNhQAGmixpU;CV!)Kf{}V zew0zE1~N~4ludRamsVwSiB@?NVE~W+k|+!;QGC|j=n{Eg9()+j;)=9vPwt6B_*qAQ zQhTawRX2%?^@%?s>}?;^1MemE;s5&BoZOA1^W``{jNGA%*zH>=!^E9CW%k98_I8i^ za(^zygzeV6vhgQt#xn$!Nk=mZ#0}sw{r2;l;8uRu_8pPvM60tz>M4f(^tR?B?0u+J z>4;JNG6I;e8K0#GW%sUgaQ($-mn&{ta7ESn`8|F*%jnC|q&TPnT^;=JRJCo**zgVC zb+ezaM++n21Y)#FijpzXR9)9$vSFa9pyvEj&Y=_vK>dDqpyC}Y(vVHoqhe&HVX&>H za`_Q!mu~n7HeduKRftXNiF0=hoX{jzjG46uK(0?8oVF%V&3(=aRrZzON?S`V9dF{;(D3n7aPC&C?jmH?^4}s^ z&1qv;;GQ5v^wi|!zS2d$X54vLOUcvHr9RaRDvkxqwX-HUlDc&gjJO5KCc6> z!(ljiA=n{R^SGU(Q?zM@pSH+pK{g2ek*=ddSrZYBG%JgQLcN5& zP1O<>reUQNP}x(}vn)^qkJ%hP%PKz1ObLT6;`l9u2N9ibLPVo!_6qG%kj6A^M6xSn zaZW>s_8p$*q-O@-S=hrwVMyy0?Gl?b&N{2-CwEX^Tnd+EndNDa#@m<|s!32~L29<9 z2CF6FZXFj&T1M^{D4K&#q+-6U7C5@ytryI8B>6_EYdKT|^C&29Z?MzQ!C{>bx{&?m zN;*H}!tDF()Ew&VaWD2Fq9!Ex!H{Cr)JX1CHoD}NFAP;g>!Fi*c0R3Fket? z3R1tia%wHXCE_Y`MKu*zr>3Az&BXN;sO)A`F+XNl{c7aeac;fk8n3nNCIvB)8z<;p zBKcgw5T8JxozkooXMn{*i`zUdCLP?qtYlxPl|q%*G!2I@CPS@8WA+n^{O;s-h9gNf z_GKlIn5iLu@;(NV3uqAAj-GoHJmLhPLn+GUm-Kw-uB`6*5oUi{U@Z({WAm4k|zV@rcP5Ra^|@(f@Qy!8Q6;2b#{U zO8UDT1I8K44eO1>OSTlzJdqKW?Xt%PlWtLiaePDHSn00bW@7qMR`2s z7@Ff`vVsC30mY?6<-j5Nt}nc|8Svsbo7@Cf5|64DEmC{Pk)vLKh_*ri?2C!xZP51L zfZ(@Ix@zz7?HNtW#Dh(7dC?w&fMT9P-fRIBck<+cFH{pWQzC*L*U{$Z+`VFl+MyrYhSXmNsru5iSAozYt<+Ue%Yv#H}6 z*}W5(7>pZoWIdIW@W29WZvcP)Tg+2nJT9{`{+>g9dq)$UWusHPt8 zleIgzc-#_bkaBd6;jX@yeMd7o`N+0g#1w7Se1dH=ZupTlCWG}_>Otl24F$`2UR+Yb zR(rC~bOOXS^C+iaE>>&2ITb#h=iHdq8+Di^=$Oc$NZ(KUXp(qQ^8KNL5tS>a!!Q3l zrctsF&7V~;iP1$}HswCkeI4@_hRG%{6Bpi91 z9xu}0ujmhS2o+u8got#-r9*GPyri!4hq?a1=zW)1s?`3Nj_&fhy0yBGIO)f*8+ zR((?!g;j95Rv0XKi|u)f-FYz9J9FeLfs;9nTa@OclZp->fm2NT*g$4oC8JZ#B3VS^ zRDrtc(+uMG)lHyZ4!ClKaU=SC>i&>=ib=jGsWz!8g`S#}CWWw&R5b!DHFZDdQD|*x zc_oR7c(w%x`&9c48dyj)dAuXpsz3t=9PppP_zwGEaZ}RM_02u7-wZV=DIkHD1lNMo z4gC+SRpW9TKuLqfi$&Z@p+$3vTF_mN;5W;15g6q$%yAD~b}W6Ei#=qOJd4;GN`=)H z*O#xhYVfER8=}1JgeoE8x086<<;{Wb(?YA*Aos|?(=%-% zNLy(*^O(b~Cc$8F3=Sl>Q4f9v?G095Vu}yVC)4N&OG^rjqLFmIn&zFJ_R@JTL&Tx~ z45z}f-6wL7iPPN0F5hUOEF5-H+g6&pKN)(hG~hoO_@Ks3_#_t@WARpW7fqhWx|8(n zu-SVA`rFBkp8d0ItB8_p7NFLbc5fi#G zZe+`G6JKpSutp@L=xl~|%6)`naN)mn;wW%o`b^f1=KO-_ghwk?HttJq_nUHj?=nDW zE$J5blNDj7a}0@iTpB;LC)Y4{%?V?(Sf~6V^Y4)D&_~mcW&UAsh$k@8akXnpgpiV& zmZ6(%onxX?vtz++h=D$(IC~QDh?%RaSEnMs>gD1FbX)#m`*veAKxoR}zb`E28I$In6H&r*e&Jgu z?9-+ZBd*g>{7;pZ=3u!+oFuL4T$MJy zt=PC~%1^YU>s=$_NI0!%bIq&j!vqkj zyw+?#I4i8*Id2`p5-Od+lTR)oGqXU~K~Aq>tQO7i(@qBNKJ%k4;nXT=$xbRjH2@|9{7X%U>!7}oKWBkc-6t88=Eo1z$G9Do%KvxvY?D4pFdBITj;or0#wUV~{HHD=CR zoQTgMibn)*Cmy8VDx6}A{$dy#5=rb4j+dVh+02ey<=AskmWhja?AhRhak<+p(m3<( z^VP*sllS-+4)Y`4wW#jKAlFBfD2_=0hlE63yS>tUyU+9jjImCY=t3rEZjlQh4D%aD~tt^vYy8R zN^9zTzR$XGR@fdm60Fjc^II;s)`ZK3{Kmf|Lf)vab%?u3x#C+(KKb`dgqrwOrYdfhACw)e;+&f~_Ly!u&n- zMbTpBpyZx)xn%76H?JJ`GovT#movSu$H{T(D5ed7>?A&%O4K97wQs@dpsb=<-NL(Hf zP3pA0;PC!}>dw^+7R~Wo^v+d`+$ky}di(04!Ohn>v!BbT`8Cm?JMc813g4P#G-*0X zVBBQ00q}VVP`}|jPuXy0@RN&mD667oHft7>AXdNJYT#!Lma5A> z$hWzLN+3-RLZZ;@u`Md-)YUNlybLf>+q) z{}hySPrXPd0d>^&g)Q?NBc`wP^G##ev~vrf=P_sH?1l`bx5b9E+vyiG6?XK1Bx^S) z&4qV#D|`G!)pI(p-RM4L6r+VJWTundn=LqDSr2`!MlS=xh%WeM=Eb;-B2FChtDm1` z3YqRS&R@_B7Hna*>aa-Dk-pF{HjC*d^G>&MD46elWd)xQb`PP735vsA+n7CLsvgO{ zwj1vMdcgD>{fSbVaK4;*RfAjh?BF4~%zX``PsBoRNX-FCkrg!kqdxvn$nd+*6 zPr=kjA|0IEF&&x*BcI-g@!>Q`yH(0tKn}O780(Dj9Ro@Zh zki7Y?sO2f}g2H_NYsPMajexq`YRW~)@HN(M;AX%%G}W&O-pywC`)hFraX)u~xD&@a;IKGm3X+Kt(LHE2+?)yTN zK0)r2*{P6`1CJ^6Vub6jnM*sTC8DfV^?aIMJ>PZDl46&3t|nj5By0$o!Md#Cyo8a` zo{x+?@*2)d`y2Ia*hIJ&)n+*nAn$o>6tB1*@)cBBJD^VNE5iE#-?18GCNV?A%ynHe zc>bvp+pcQ|1+D82HS>HD@t;{s`$)>J70~t-esmYfjyN=JXuCd(!{1z?*D3GH>#FLL`-1Z=57utPX8W zIWD+TfOmc#i4I*Jq!C;TF#vMP|4Hr4zg}uXjEZ8!ebYO+HIwLcvw{t^DA~)O`gvDa z&E~myUip`Tm>$@x!#n(3)}Brd+}AV@F6#hQw?|D7MsJFqakEC-oM>N?7Ur>NDC-_AgFiX#Y1lA#r@< zi#(V4e1sQwM2EaLTj%3ItLGlyw;+hNoe2NHeY#sUq37o$igkTy|1SaR`hB)Ft)dGnVjnUA3Ew$je=k^CzaM)NGpt$6!qZE>jrJk(4DouS+N z**fx>4Ok>uk><0xj_wey&*bu&Vt$`$9z|zwozkIyM!IZWB}+T`1#=oUQ!(Y_Acpt3 z;l2kt)LycG*0%&x3W%;lC91-JSpnFPN12lyjE!iKu^lM zf4*U4wxTLEx6V)HVbEQ9DTR5(vSm0g`jak07QLx_Y#hwAE;L^M(4MR9TQ3{ zvs6|Ud?24y2yxI=FMsUDqZbF}&tdQi+nwnHTu7ZGcfTXwxoO=XPkQ2N&Bo&{keRf_ z5l&Cc0cCz`YLx`gagX6kB_xD}uTg&5nc@DH@&C30ihp(lg%C-{&7CtS73|4wkiE4q zlHqwJp@Ae9El^DOmoDsrD_cuVHqMecII%JyrlV6Q@MClx3%bWyEbFUEVM2x(OltLg z%CVvuI~DDp{I$51AEj`-rO$|19=Z*Gy_Ru(FW(vc>jiwe+Dt7ZSEzhl$q-`dp%c%L zO|KMzC7oEP__1eycJHgOqR-g1iv_Y7^yWpW*=}E7l?)j=M-*CeL#JPG0eKk5Sk$U)zFBPR|` z>Uu-9{kxpC@_^A9!+-Xa!q;TDF-i;DR)NK4GYW}F^t3PSR9$1 z5;aso$~z{7JB`@6@sBMlXN@KW-9S(?{|M!0Lr`t9+_D*1XiERVA&w)jh+=^~x6K4xm_*N!Vdy*{BdU&+iBoGOvG*y4dY?g0?hYk*yxh8&VLqh zm`!?G2_WY92G@9t#mC6y^H*YnON%i zoH4CJAVw?`guVI3fw%HHzouv_r(ZsG?*9x3`I`OhN0js;Izns8&hJcD1BT)Cimr2A zX)RwU^f#YN_@2m@*EC~KzXI?hMhw$etse$yf)MBuPdis`4}*hl$)zY^N>^%M{1r@g zUX!<3C!$+6*+$rY2Sk6JpMPSap%<>Z0$Q!$ z5ZW*vOTI9U%ksP<$~{d+-y4|`%kT}f-ei+%5xCu`mP9MqUT!;ptpA()CE4%#g z+XQ+qRwCBlld#9yG+xL?aq1Y5FxofnsEJH;{i%lsgtfM2URx z$Wn~3a8*zIv6!H7Z{(BVWaeW)U!heQtPADf=vvj9$7d5Qc3%7sh{F4^#>{&8-12D? zr2A|`c%2Vd`@}B9Ech|1j!X%O?z6KCm0~X@kxZFyMikGHCagv^EX3_<+!;(tNndl;vB%=gk_!8Iq8g8i{@H>1 zd*O)Sz^yb+Y+|nRfH=}Sn7UJ>7o=_m!jsq`9}`aJTj@?~6bGYnYv_dNrDTYpFY)Sr z!5P1WpcaVC*0G45|Hmo(>d#ky{mEI;HG((j$;^t;_k=p)wb@67vEA3`+fKXO#1gky z&D8hQzSY@4{Huf$`Ty1!b@+3{{VEzVlic!q$I_9G00||M_Qwyx*>0PVyg-`njR$i~S=HUW;WtUYonu6nqxHV?f{L z0$Jl`#4VCzX!s16$Fe`_q^YNLMq{fsAZND|ZPL=&LqNn5q<#Q*JxrDLvpEk7J-~@# zpgbKDVcDLL>p%QHME&AaUraC1N8+(3n10=kRA;@B8_WvJ9kKEAJ@8_+Gfcz^U9Y#R zeN#*4EVg}2&E@A@;1>KM0z}QLXaKnT33?%W6(}4aQMeK6#kLxR#4*7O|;{5jw;$1Ku^Rv)lGG_xn=20%t)w?G_FnvM{q)Fo(k?Hn= z;6Xpzx4@RhTjyV~WCF-~l(X)<$F}@|d{vA_IuHHy#?N6RZ5FpSJnvqUaHrS$Z&);s zGWVjp(c(A@0{fVwR#OFn+mu@||NP8pOE+Bo9Ho(sY(=hP#!4h2es;4#GfeV4*2Pq_ zRufQc)_lf{!S#$*`mnlvn#nl6HUC<*VpsZfZW~uyc$wc6-B_%jJmi z!BSPp6y;$SWusEz=HrJYaogFDOxo!fNI|Mi_SbzDds)Q48kiZR2y{_+IK%s)l!~gW zJ{RaNaX^4p-RiAHEUwDJSlnPKZA0RqtYrM4W%y9KtEJVQw*C)WXBib$_^y3v5Ew*|?nb1MPHB)<0qGWL z=^S7{q`SLCDd|q>4(S-WVPJq^7=}6g&w0;!*SpsHdGAkqJ@Xgh-yyA6z z3{+mF6jD%%r9b#5pJ`5e9V`6P?hcpork7pdmz8(Tn?RaM8~g05X-KX(2YKZIig^y( zX@9cXpwfoU;;ee_#FdmGlTj z(Kw$e`^2}au;GTxdh4+B)bE^4G4uCICp59NZ{H2Z(XjOo1+mgk8FRbvh%v3F(Ipev zx}+5DlrOnhH9qon#mrop#nE!_q#nSi#no1Vgxw#@DHcqZ zasW^?&n~Zkg9Oj3UB-TPAtmp@Eu3SWjdh>n>Wonx-7&}T>X<0lBE3T#V*NzQ#YuPL zc;>2_UMAJ4tI>I3Q6-RUjVcPLx44NT@O4PkD5_#-C@!U<7<;%SM5+|In!0z(wn)L-%D-N zB7ujtv4oE|jgt*7-7kLemu>IW(hQ`&Ag`%-)ek4G$S81rYE%y#j}zxB&4d742zK;M zT7_WEPYsGa_%@rmM&l~S4phFut2Y1Wd1*q5;I z`kv)a>l9~u;+=w}-Ec(>;G>9|rPXHt_eYE*pWgG`KaF{_c+wD)y;#D)kDdM4cwN>m zbMBwDj06p7g=J~4GvWS^>j$L+!(GyZXEhf+EPSOCCW1F!7WVKfIhtUCqw#ov{o2e4cs{@2+3o zUb1r?CtyFp0`~zAUClFVsm3|Bxk#D;d7m0Yt_*0DZ&+OwYAho5C@m)KFDC3=Mg!N+ zp|#`$T_)~s6EORQ8#jwH{N1gL_7aEum8-qryp4JZQ~2sgl$w8kmpH81Uj%sVBq)%;CErf zg_c8TAT%3zvHyy%xBO@>cEvMJYe!P|+AihtQF8RvJO2}CWm|IKxl7AiJZH1nBkuLN z!;U(3zLk1}m|w|up(STNsGKJyK8Z;EVq$dcQ*H`cy>5yrzx$ne|5}XnosW?1wMxHw z^-Xr2%7*6qM%mE~sP{;)s{1SX8}HPAgB<&|U-d4Db1-=$I5#iumsKj39a=tokaR+B z;ldqUbgJ$8l8t;X0wfH9A+ML8rJHP4$pkD)zFRHQSfGL>yj3@&Nn5!? zH&7F%Lhe#p5J@|qkkPFglarjSKKRxa9V4Jw)|-h z@n6Dh9hA<(aA^5n6Hbf%EIzoq$33OoVs%HB{0_4vf_w6g#)VHo_~T>nQ^L9PvWp&~ zgm28u2k07D1&xdX?_!$?lED{b4>cas{m>psKy^YK8|ht<0_+Xr=A3asouuaa=R zY6NTJ4#-1K2`}d1Up6ttIwz#Clx@cdgIx1%932f8!2%do99Y7aI7G z=f*(_`*@IzF_Sn0T8a)#kq$*Y#L2ut(BHb5b6Q!Ng%U1yKHG0HNX6=Xvp|23w;vf8 zvCZ0sfBV8&T5w+=I*_2Zcg-$+dH~Gp@K`fk7MF+elrmd*5U3FBh>I~TojMuU(XIE> z;7lveV9z!!&iuoa%#4fL zI!2dmDqUTu{+|R4Nlp?Pr{~ue797Fv-Yh2I){iv58Wudco?4I$hf=r#V6VqQ!3p%-;iF z6a|gSkr&cqiC`OJHdG!Gi~73b0y;$}zJ)R(M@G>yPAER=gl6egKZoF!8qUy51GPdOB{@JhCoXzE+l}SM9zXYk(qB)~Jw6u&)gwMNpXHy?nlb zk8VqBuJab(BliNo94do zQtg={-Jcn@(uHGBA+XO|AapMy#Dj8_8tBkknaEu%F3u}b>=5S2SFSl2tqQym6q-Le zb~}R@DvY&0>NMLRCq0$DdG>omJD+`Uk%sO~vbRFXAn2Uf_rDkc~}&$ z{pE6N@Oh>WjGIB^uUg-o*UWy-(puukO{Yoz)u}w@1e0NeU+pFtljrbaU7h4`1?J(` zsq>U}R}Pn6P0ikv%p{b~y5|ntRWO;I68o|r)z14s@mrDf@t>EY-E(;1Q2S-`O;oZN zA5qh}fnX)qf(x37$b+rJQ1?ZpvXM+kqq3p2V3iS&`;VsM*_Sf9#WaW2m5+Y z3DS1Nt|8&W1MY}_m-b<}u{JJ$BznS*5%LuL?Q^w>5>K%g*CZ|HNX6qToIG;qG*=h= zYuO=x`Ds!j6|h5pr@}z@Txvg7RxKqI;)G7C<2OrBn#LhjA?QPkvGey8No*ecS%j!B zZ7HMVzZ6dn(yfcV`b@o-qv9)AM6L9gZ3-oeK?2Sx^_soUr6}y<_#qyLIzNt=j%2XS z_X+)0N#rk+~xvWIws`8d14% z?_)yX31)~Nh&YuiAYRS}s=?|vG9m?u)vWaT+TRvmW1`Fp0NrTGdfPh3t5StQq$Brh zf9(2HdID?#CF4A;*{?N^phjWAhY~l-JOxwSqWBxO5Sl%lL6U3lAug~TgtZ#Smw0oF zF@;qk=R3PtmqH+fJSd{(j>2Noerg7K)Fl!0QK6e!=A&Okz4^ITnkNz;{N_d{y;x$g z&ayOC!(FMMV$uR_!WX)mZVZ2}b{-neYDVm`VGPcjaey;@rt6qUW{)oiPdx>1#{n_t zdSHu(@k4GyW`|B?so?rH1Y3*dOECI zCuf~^*8BJ2qnKh|#l~7FAMdA_$v~Y+!ImrF$fHjcPcxwP;^AUJnF60V)n;Asvkb~v zD)CZu8afuOrTrPI=#PS z7E%CVdpiYTkhmnxVdc`=H;gc|bbNk}T!vafxS(#7Q^e$5MF<~jR%LpET6ye{?!LLR z+E->u!3R4Hph_$a(HXV}8XDP8y&>fNEOLIm{f@W<1hZgAP%*xwOpbA`xkPzKU3##L zt!FUd$g%!IX;3!vB5;5!$A|w5Hc^aQWYbR)Ux2Z!X?xSZMz)KY2-na&V;+;ogEcTSs@OH9}}B4%H-QM04Vkbr`Dl2mccjL_cqecQxRF~?A~4-devY2!*?r_ z=XS+H-}vmH%Tb6r)e$L|gU;}!Nc?yJ+FMnD4-3{`NT$j{-+%a?>M!j7>o&JspU_V6v_5_u?^{;=dSZh9bU2d(@dq^% zwy{sw*QtRAHxoW5n0S6P0*ND)MB|kApX+3Rhj@5x48+4BG=J}=El6BJ=Sd>EjOsUFrro>V1t1@FU8;=o^3upYTzvoSK4_}@UwNBRz zdQV&4W8yw9;s%0S&7Cuwv#p%d)^6PWPcrzY0hChpvt|Yo5yl%W32O@vUt4RO@meqb zweOzeoF}(mwCSJoxRtL3&P{xS5sHkgFFJG;wyXt0x$m=QIc_(Qi`_o?gBa%t?a^ES zGk?%p;Em@u*xSYYk#pd2o8H)?;@E@Y*oJ?+KH0{rT{GCB=VSMoS1UrvDY;JHp4R%9 zw=gg`?zUoVM)_AnS6a)*^R9EHr<%J%erUkudi}l#Zc58*)93lX{;k#pc8G%68#Sn+ z)+r97PcU|Dt0_hDAErF-X)5&v15j~B&S^$2ZKmgllg+)o?|-faGg7ab>b{3WQCg+s z5}3k34JhjdN#s| zsluyiJzlCNh`;JUsp~524j$L8HhR;Xn!@GG!>OOf!6~wCs(U^g)Vdif{adW|4`Qcl zy?b+wePOMtIk(XJMxo7Rdae2--c!!^{H?pP)RO|LjO*lh6_V}#BQF5bK1#f z%e$-j8;^okQoZVbb~H3S2N=|(lWVu^SQ-Dla;@Gb8vgQ=l6 zqWyq`?=?N~Jt}+dn5jLyCZYLl7_AzcKXQ-pCPtyt%OM9m#4pTUR2Ibl%mt$`H$a|C z>B1MQj>4>W9Jk6Ipe5|1=u0!7ta?_?sn8nYzxhwf?&}21gub0x{z9X_IM}OHF24Xc zT6{zup7=EUn*g7-Nel#@M+Obs^Y3k8fl2VjXP9?l0W@7B-snnH{Iv13*-KH90`hE@g>KZz>T>}y8aJ?vhVUACa=9uWnJ#W;xgg# zE)y9({^WAPYu?8VvbezWC88D(4aBJzoA47!%!uT75(IiJwLeQ>xyDA>fcM7x>%A(y~z?u9Owr{CVz08PItaB1#^rRj^ zAt~qEUp1;1by7be=xp$d^6}XwIu0!WvTi~4FbL5T%)d3^Pe5@J@$_VKocMf604CB- zVL(Ig2-0-(E$SYXR^t|tAEXQ|^fL10FOG2+p!vhS;+edQ-r4d%IurWPo$o^eHBtJM zJ9v8%F5MHgDO2TK3#n!DWNNU~*X|lCEH%P$$^Pe^TEK42b8VVNf%%#`;t8i2=(e1K0v(Y&%mV6D{odTmH{gFYQI3+^sCDJ2e z%Ch@JqPb=QBg3UbdkCj`J2^6jvH@?l`FZ{)NNq|h#KwOAg)i-UtN3jI)w9(%FFx+M zpL&4PB|Ls0&``=`o^=9s^e}*7vR8}#-Lf{o1T900ucLnKidsPAhOf*1cRA%F^VqkV z+|#g4&B3j<(VfI93i@vXcR#A1WoETOF7VjSF<{Te`OKJUdE1@?jG26r;U0LIX`UhR zgk2`5$+aYcbmwRY!NG}@cjV^xcoF$vnj4T}7MxIw#soXkvtNAVE4@4es~>qYY|C_& z5xdgqJXy=m=@2>kkvE-Gi-U)#8(J&5_Gr<1w3WTP9nF*GcyM&lk(kuU>@aV^nc4Yc zKi~$syksyWiLc+-Hd^0We_%~hiML{zdwf4N#}RKlw?)OdtdqY!2pj2~;sOQ(3mx7a zknX1Lh^Ud|;NGOJmFcz_P(NC-XvPafAvR0pBLH=l1DP1>LGdH?|CwYn{ohIUV>G8O z18aHN3Ma3X)%zFynG2dDx%2-p6I=!E|7}$8QC=gZ{Ak4c@%%7l!%PnG2K)I&@%S9P zsxB)!=JvJ4nK6sjyxtMB-b#4ujhj~2wuW%?@wm0o zDjA0Pq|9lG{^chte1+uzVfl=yO_O*;1Rcq+k9Sl2Mc*%3e#=;pRkWIKM9|DZ<&zvO z!I`E|>)4HjtVESPQ&RnP&G7-RYgd6WWtfK&`4D95+T%vKgWmUM)IH1t-IF+&Uzg!W z`sug$dD>4};;~WbJe@0Qj>xu`devEfQ>vNx_ipOHa!1;)eXv|b3g`Sz^=f<>*7K6y646x1m-$5aJHvWGN#(PvR^=b-5s^@{R>P32m+NIMcE`rIqT&QsmD~6-!IYj;o1H$o<}0Il6AWi`ERv^teLMMs|ym21}P3p}k(yuMb>i`gzr}@92K7o0__i zacQg!spiCqNi%9%T1lwja8uXh(>6L%cb4xth@>IZ|E2hky-g->CrB45J4+Htr%iWG z{-hRg5r5Nlf^?YEJy5&oZu-~dY+`R#mgDhLS9yE$l-I=eJk7f~ItH$}*eo5&8KJ<& z^O?I-=HbIilRbCUXQ6a2r&T4oejpP9`eM!$F_cwdJZGf;)|0!t5V`PYWyRV=Zt+Pq z{Xr+|o0{J5yk^^<<-Yil6*|g`In_oB*3q9f?#<70Z4d!uAlu+{9^{;zS}b-Ar=-{`o*GbTNb-ZQnDxm|VjkJH{VEI+kKBYFid zmEr_!FM8Ln2WB=_hGWWvHizOsRJyE6KqwGpz!l2nvdu(~W|t)56$DoitbWnG%V}YD z6^fv=syXT$H^jrDu_;u>iwq%>|B^vh`A!F4>TGthdrk|M?GW9hBi^k-SQ<6;c^-k&9Q!?Z_tSk`5iwOtu&DeDd5(Y2 zxY-H{;yHM6Y+-uHZeV>Eww**0r1LZVa^nFnErLYJ(iI0J29sV`(ewQpd-YNXl?irFEz!641cuZ;fN)<+J@#y;f>nq<=x+a!ZBOS z?+DNNU2V6;(CF8*(B<;Ma{O`_~bZRQsz{%p_ET_ACv^|Y$TO^F(nI2ukx}+nZa0)UxR8y^l0s1tANno zp42`M&mYmuK-hFx-62{!L@l^vdis=myb#K}7m{O#o`gMPG`!*ZxxtCMf7oJhr$V$omTN7FXmHQrntjWhtQ z#8Y>PtYOi&d_rU+;r3%s*wxEbgUdD)jju!a@IeKWpoc?dk?&SqzrdG=4@XO_zZ;w{ zzc<+L)#RhpCn;+7)1q|5P5bp0Uiixe*~PZJP5WpFsj9A)S`cm-G>w&__7_>uQj%&@ z3aX~dBV5E8{YFc*4qJluW;vE2-i>PKA(;?LxAEqD{`$AIr$k@!-%dcE5l(47*(ppf z^y4*WZGx}n+;U4M6U4pzga$PTk|9{PQxxC%aO;4!z*uJ7j%UT}2$%GP#2lLNkV$L2 zJrdDn2KHdACvo-d<>|pzu3T$$-LQ!{_LaQo<*i>R^VR``#x+z6;&fB}Pdb(Sflw3E z9_*cH&23F?&0KH85t{1&cDt)$VgbYyYToym4z3*Q zukT9kcA6J(Mm8M@TFE_v=-|Z7wD&ybtWm1A40U9x^$IG3Rh%To0tXg z4(MdG!6uN^4hqG}a+!Y!-G5R6pZI*u^&Y(J_#ixDBGP>Hqqf!ckg!d`nirkB)~0ZA z8%%y}a9ak*xpHkN1_=0ZRbNKNjhI9z)etL0tdS8sGHksWm8;Oqx2v;Y)q)LBXHCa5 z)-aMY*k$c7cy8k!!a`0kzZM)kr-MXxgn_tw-MlDXqxoFN2W^r5+!>5aYD-+E5b@&n z7&)wW-6%OT?<0OCQ7E>wEfnee{-kf@6(zr>B~LK{~7U`H`xKz)K*?Ze(=U6O zy+jm^Odbwy2iJHyug{9MgKu}<8*nR0QkGspCPj% z9X{5j}}T{=|Vp-ZpZtg=JFcND&S4V6U7+*S75 z4O4bI?E86ft4|Vh9zdkwQhLkU>svV$n#YinEfM{A2UPjDS~|qJS+n@VgZeRCYcIwt z6Gz5m^wnMN!#zs-Zvz!0QR8|G)K+#brWb-c57L!x9WovG_7^j z#w9rwdAQxEB*(M6Occmdsc7VvOya1G=eh+aTwsF8q545)+hT2vgx;TvyN3E1al-ODg z9I{V_`&>#`(y`~z)VG~IgaG1qU2iUcgD!5&{PatBz$R?K{P}_cpUrCVFZPIqw>gEl z1t0pG-4|FMIZqE9ty=nX_@}PZZwdo3lY41v)BmpK_kQKWre+99?G1b{>ZT$p%{{*Z zC?`BtoY|?9;q#}5zC$!<&>P<_QW*>xG56|9S52+ypRrphdQWCqoYj6mjV(7XUm)KY zf++FaMqn10pX_q$leH+&dvx+?t#NVn`|T4Nnsc7uwIADLr2?&alT5xzXX)|$3QXK} zOwp@byg3483MA$c!?MR78==RqiN5@ta{o9%bnI?Kx&elY4JjK>f^C8TS42+=E~3o3 zcu<_3!t3mhlRtTbON|k%lH+^k-D}>}cJr5l0dSdI(3!0@a`OglgTA8M&^p3nO>?n2Jz6$=~ef8!;Z-BN}v{-Dmw|()$R#k~^f9%|o8f zEqh)6tXWt&rTYsCk>%LcSSf?Sy~uvqW6*etRzL#8u4Tw!GRX zJ81GZoNmHKXmQTBs@&(f894L13+D#J*78pJin2+;Q5~Wd3Wtb==sRnyf0bN0b%OBv zXtGG7IlJXvsHN9`(o81um1l|2X~#_4N)Iu%mn1gUH`NTL6u_#(62QJ2D3n>C3*zGS zmrRv+SqtIeVU*c%@An~4PINdV4-AuWpv626*#hI^l=lHOyfBq}zV3D2>B{b)lbLKY zP&~XplSQx12TRiOUTyS*V+bz8dhi{ime#J^_+Fkl$h?=fjLYypBWj5~ixV3hBCEt^ z@8NAm$$BZ=hSe}fhpvtjQiN5G2Gp{EnlbRmJghsD?4j6m^0^eabbfbHmmGUAKVl{_d=7%hZSGF@~7qu3|x1( z3V^p{T*lnp*!n4jb|)iIiB3~&(DUP|vKbgY!&)G~z$C+*u{X9z@dn@!b_{ z*0b~yVl!)%TmC!HKU(F)esfeWwq125_zhAWf@;na9L$wy{!ndf_>zPqkmnZhUVP#I zwY9o1JlR)CEhrS0n0<)1@b}n4RYWa>!s#sH!RRRyIe)*;kf2FEkxl^FxP#Nh1OrRd zA1tpHNHA586%Hr00l#ZQW=SxU`Zqu6D?>#&;ebzTTdVrosFH6XxF$)@^YhnM%`@|rko$d&xrHZM}%-)a~g)0 zKrnPgw75=OXwHu?NHhue^GDZr33hY^%9Kt~e%(QspETDHuD21H8!LU1J3i5K+@asF zJ2%KNeM7&;1>|SaF;WcRhjK=_h8q3HAPv9}ec`?-rdqd6{?YoeNddlfNG#TBQ%;l7 zs(l-u$ApN`Nl8?7IzD0GdyHUkX1cR75dGfzf;j)z~#%cf{3n2{Iuq;8nJDBM#v4eeFR1>8?<9 z`u)$8%YBF^I`T{UNset)p-@0BiH6wvL}%`|`>dnPYWXK`crRtO`|~%?)!QE*xxVWd zd%I)^F>^c@AuZkOD3Er2nIj92zDllZz(QdYeHkNg;6UHXjxqz|%lX`5k1-=OT48@z zwG3o@e=|MTtuB7!{98OM!8q6HRVwp5Ly5h5O3mVN0KIlEG+c+&c%p?@ zZK|vAwrAygVaY^AHsOWF$aq`P#_PQPXD1nOI}^T(HPlO5=fq~an?#ccud$=2%oE$J|D^sJLo6w;(+HtZ#Cd* zY*Z5vp1YxUd(6E}U_rB!l?Xezi@WSgA#RMvE7XFyAvca$@tc6SI*8F|9}pCf_~75S zLO`HRu-0S}$79Td)1AY^r973y+hxp2TL$D>CqJSKDBeEmLVo`pG22-O=0?%aqx79t zxiMZgt7PMyl=P+>l^n$&Uv}@d1hnmkjjskNc%7*yGvnNj70Qd}i=!GmeR?g$AbUcF zusw9jx8CX3vwN^*o)zjjC^NfhCcnv3Wdk^qL*T^ck@83_eiUOqkF>W~wX9T@=wRW`u1zTrX z*AZUKGjm!wc6<-pFEFs{z0sJNXPEuBN<8&ev)@<0tNsqNQ=AHvlU6?ePDe=%&lQXJ z;Qb#UR?*Sg`>xhAqQnPARN?ILzu%?$HKw{{?&tLhkTOZG-}Q2xOxy&GWs>|V7GX) zIa>22NY(vFSGP&ku;PhQ({Z)M^=&g7rn$i@Lo&1cvodt@&(yf|{(Dt@K84MJRV=Z!-`7K>XWRs2=KC}>a0RXJkH2(<@xz!8LohsW+5R>u)uOejY|w;s z0oG|JiZ_co1^2FWStJ_ce&xlwH?3Tf7yX*qXgF;_dP%kHg zaYLDAsxsGykGfq0{`t9T|J76sMt5S2cro0&Dz!$VX-;!Z9JTdEeqJ zrbKM`s(cd}KASnd7myN$(dSAn8uZVXA}6MAu9PSQ9CT|AoHLZ2{h^w^)fZ#BU0S}J z`Y0P;ErE>l>71^;GG-i%@12?IO;Lt?a9Rhs`4stF$Km!mj2r4lUgeN z-ql{ohw!TnSh`uFZoJe{YWbDbkGQ}>zIhU@MIg2LzZ+oPZMqeG;p z_+7Hr1eEyQbralR-v33_bi6Ljdjb+k{j_31o!EDlA&cWG@1fljy>hwa#3uc$ zSkQEKys{_F6au<6_RC0$ehOJCm`VyAeKFJI_Q0}u1BM(4EDTE8}yTq25DEH;9UQhW@uZgN>(eH9UM z^i{mXHGbX%zdtE0iP@jcGShxU_0-pl&}wzCv7&CWB(EMEu0|c~f|>=k#LQ{=a4O5~ z4CK}NsYZpIONr9tK69L|1A2oPyTodf5sDErTbkMQ~Ifg?x@nz=@=%kqL@E&6gg$Dr)SO|!B zZI9b=Fb;|JR_zBkTAOw)h6Hs0o@qP~GvszDu*dcobl~1Yd&}dX=le=DE-+>DR90dD z1D~nD`P9&QPiJYoM>0Z;1v0gfK=1?wg_fC~k+<|vqiqdbhszW7xI^YV@?+$EH&b1V z?IVV6vKGcdsrw_pk=@}D&GQ$Jm1sID%XRWKy}Kof>E|;(2m=S5s?mH`HtVt8k8D*S z8aKF%2+1cg4so7meK7Cgbxqc~SN2-0jV>bh!h0jK_Mq+NuHe4P9Fp+TcPHR)aG5wt{+$o|a>5y=*W-TU8uUfw%iI@$e0 zlt0_4=gYbI3BIX*pM%oyJu^!+>tzOO$-N1b^&OJA_73vb^~IyWL*ZG<@_|Ai^gfA~ z?D;SVZJy$?iVs@6+rvK#fqXD{N18Xt0D%Dv&GX|Rsb*gj>2lBgCifn@n07Pn!xTyw z#4fBfah>ZXQ?lc2FlsDN1F_u4kj|aapOBf$Uo`byewx>Q<=!s6`B_RvKq=^(Jb&~P zu{LWXnR)Vx-W%QL`WO^d8ZYx}$>8%>_73JVcBcjr-6qOT<6q6kEH$0?YD(){UcIW2 ztB(V{L#7<8-ZDp9N64t(Q(Rqbo4qDnBsrkUTYl#?>;2x??3-R#dLzA-; z`}S=8b9RURt@N!tU^h_J3zmOzdh?TLtxR)43|S|Y8#K#vwko(a7aX4wXu9~)A?g4- zr^NK$3W19fKZ$Q@c?<<2EjoEoy7%N}$%|YmNmsFEiQoQA4GUhP5{y2ZGPiy;+dWHN zwmN|lbk{ui+N>6n{J;es7!DB;i%pCqLudgR*d(}hLZ)a_%>C@c5UTZPtFitFjE%6s zcyp;;ElZ@d`|Z zW7-}zjy1^)wd}S5Y$2A2#O7&E1&peiA~(6OSSe%+n3uu=20CRmncX0S-#CyFX&2MX z#Z14M+(U49v{U7+;e?swj^uz02)X<G3{xy$LQqbnnsrkFnnO!%C40X=?Y)U3SN9;yI{YKcS8=RjUUz{r2cll%(dry~S6* zUu)IVbLjnvnm@UX^vI|)Y=gJ0g#BZ3vMp8Xgbk{|S7Kwx)}0N~q{#(^$sKw3#?sSa z8~$3tj-p2U_fo9?ALFqc_k-7{369tDh%GKP2d2&-_fkhsm}pp;>pRX!S!`~b7o=_r z;nGR&`{(lQ8e6eY?zl#Z=@&sDv{2C@CW>tWN9_66c}a;qnqh3mQ=?*JAhsy?jjnAP zx2J2@L9;6H9yC|szQ+0m4U7Ao`=@KsiEWA)J|Zc($o<3Ya4Wt=Vn}X1&yBp6jGMxs zT$Wb4s0C3tI(kQLElBrWI1p2c#zsdI2kXN=VQH<{iE!sUreTifkzL87w#;*o@}}Q> zw$U+gSz;ZhkZNch+zIC9!rh}l3eGH!TZD4NwaeXG4aF~0f280V1ukH?lt+@Pw3@Fn zi9N3Ch&9@z)8w>j&njspHf)iwI?Y?FW=k5)>Mp3PfCHFYkQS@+%MB2*$~&bJG+~R+Vb4{rNk}6R8C8agj3qT@ z+gykk?SVI3SfxDkHy2R{2=Kl|epB*o z&gXSN3vZmXbeL7W}~3Jt2_rZG^Agv_;24uz)xPS8CC%x`2*Ar(w*K z)Q6+HZ&BxZ8&^S!gW3f04k8}+mPZARxd^|b#AIj+kKsZ6pxfs;4{2?bJjEZrFpDoG znxTw%zlt?u96OdXPZ}Z_`Sf1c8eRw)ro@1E!cy>TbC@~42dk#Erm*(BC}r`)u8B92 z<^kme|Bd9j4&utUd*lP`WVl=8;&+nFX)lQFS-~AC*(*XFFgJtS%}d0lz#iyQ&oyb8 zZzSFn1P%TTL}$*Ve>@OJDic4K8ucI;zfKNv%YBsjcfu(c)pk-ztsF0q=L;5xT0S3G z?Cc4;B>{^xoN{TSgQ9cla0V4tqFpyza6|*Pw7(NS^IKulCMI}p$v$dC3N17DgsEJ- z9W{z+>TNblc3rc4pn2J|3NGk1(8x_hkNtFJY@;b}4y+Bj^^IGQGH@^r7fD4ZbR#7|rKMj#L^Gr}80tAd*Ix z38|T;bDB`Qe!^XY&*b*pAWX-e50j~-`6)J9&w!w*kY0%Hmo1Q7*PZ_u0K>x>;JC@C zOp-oYh$m?MFJpN&Uv`vIVy{XOVRJkvg#R7WPV8rJ9nS16^(5W=6rn%S*k4+i(RNYN zDzZpbGF26EPWqY$(|u=&H&1};y+cNB|G$klu>s9KnKbub%POhf>PgeL8hnm5;<}s@ z8CfcssPl!Asctr!08Zxui5>Q3!s{t_{vk%ijei;pP?>k9b*!vr+jwp|A#3xlMOWriW(Vv}qco_t>XG_tt_|qv zG##=Wa2q4(ead)5g!qg^f_2t$xbWeBI3P<(P}{HiDyUC-L(@LO)c5&K{pQd#rPnMAqka!+OR!ho%?HZd zvCL}Sz(82uy^Tq#>gDbkx+U7hFxG>U$l6o+B%=naS-+*xbyea&c)b@+A?x;XP)ATy zM$Q)>9$iNay zvY2oFS9R^q4&QH*QMihDh)`3Gqe(nlZB|!Pi(+AA9l6>YJM(`6X0_(&m#~awrP$Pc zhW72g6>x;}_;MX({AI~a^HOEH9Me?I-&3}6yb`Hnu}RfJaMhr!C&u2^s3a0*iwrkO zty<44D87_o+}I$s5U2HsJZ$~t=O-Nc_HH6aYNo&9A(WX*{O6*ykA`#}@=vE{RI?_S z;7dsS;q|ogc7SrO=+Tk4qwX+;>T1$BoSv4%Pay|lkHIcaBZ9XtkMje>=*4g#q(v`! zhrdqtt$ajpUc>*ja?#E}FTK>OZ?qvH^O0wGzPM7au893OtVwqD2JQS_qd%u;kBe`1 zdlx?$6&%e!He|quC!n@O7ugYIY#W!m65;>y{13)xUz^}lxlA>K%-n!})QVFyRT@-; zMM^IO!Dy)xK^&m9HtW@-7+L)EgmPai)>~c==fkce!MLJvUcUkJBGej}V>%`^6Nx*K zoAy9vs`R$xSf^sd7XfitynkfTIaXBvEgi-;;0xy+2GZkgA6qm$%ycAB_+*n-{Mmc|*O={Vs%`mWtRMrXT`$nbF` z^-3*ljOko`o3c###8GV?&LD&zzfJoXE{+rNF2tHIX;iYdcuqbbbPJa zuuvN2+gf^j^3i5+QP6rI@71im5_@aW-m5&Gl+#d|hl7#*42L!Eg{#i2nrrBKR^xd7 ziZLVMpm3Ufc2^p=#KPD<9N&5%cq{2j4yX!u4KBH+%@G5NtJIvh(4V+~l+{PCYglbE zuk!!>emWrgsa%u0ud-U3ykZ}_b#?d0(^D+0SocV(Ky`u#J`bR}=?M7qOz}HHs^hJd zYr`Y~*OJBb#2r?fjZi_M>HLp4V%kK3pX)y8vb|4C36f{s6ErE`VOJe3V!hA4O{RG= zTqfDC-o$pr<$)*m5J;9isfm1ol$5O<7Mlg*z0wi8d)&a1%do50dnj;EUCOJgk5|HQ zS2*KQ%V|l2e#e*m>tYX$dpYcq({!=l!Xv**O6zQ|NDVIs_)hwd`OQYN{$kbn?0u&E z^R4FE;IZ>Rv}?+SfSNZdrVgSC*y*88)zckbHc^!`k`8Wl9TL8o(t@h9Uq2*WXa6xK z<5o{={N1rx6_br|j^^GIxbx^nUw%YoNpvtssNuR+{bsX!;jN%`jDDI}F;DeW=0O$r z{=X``^PQ}Jrh(Pf`D0$F#;Q`)BmuXqYNA~BW@)mM`+_b{ht5X`Dm|HX&mEcTDP-R! zjX%~=XQpveO-Qj78;=2!2U1UF3g_{G6NY{0_Lbuzj6LCI8JoU`v=$(dv8;S89uo8rA4t?q=o;CB4KU-H=?WV%1LJ{d zBt!47CB*g6>88uy#h;T(aYj7rIp|?@G{mg20QQ$f@c!uMykn5VfGD2I43cljH{f(H z3PUamy20Wta@*75uK(`H0`7F;^ZtaCcVOX5ke*rM3n7>ubbP4|#wdD$KK3lslue5G zy1v}m%Vu~igcuq_-jvv~g?Hm3cD`;qPA4{T#4HKp5_RvpN3$`-6>8 z;_Ez15d~#@05*SoP)H4?_cvGZCY#zUq}*LqF$;j)uDka$!%ysNKBOFwDu7v-BDRJY zHU&^M*SP#72;>dbq|fGb$KYNeTSO2Yb}9l10QCobgHiEX(i>mP zpp)V@gnxwbR1BK}Gif=dOff6jAmpnq5a|^^c=`TX)+;UgHU#4l$`@$Z?2t>KN%@B8 zHeh;H-hv41`%K;B*$-g16Sv?Zk^K{WelJV?OOWo6_63t~;f1;YpYPAg)z(imLS1{ySqbx;2KK9a1*XeWK{p_cV$$2{I z{Ez4+hfurPm0R?hiY_i*g{M>v-$&pRYu46UY5;CI$Q z^+$Uo#5F=wPlZ^fEcVG>wmavbRk}IJ^}Gt9v2{3W{hPQfku8a&dR}Bjzuuw(5pAs} zkdF^X-!6lqz>xti(b(ab$=D!N9Uzx+RH_%abw5Ca;2FX3Pa7V_!Z;|IgsT_DWS z>4q|#Z9NX|44i%ng4qLNjUt0_4#>#=Uaxh{oW)OoxgSUx==5SXe~JP4_sO_Od*TdF zEadiKeZ&Q%Qk8ebfi0Xl4J*jCD17CZ8A)H+>herm<@qXehqhs=BJXk0qx10IP&wAk z8pdyuB2cstR;~g?f=(SonKMHSZEnhL!m)7OtY(LiB|`8f8F;W7*t}#cpkm`sn8<{g z1vn3-p1ZNAlsIkj?^%0=i43S~c;qsKvW&6LjbWS|^;j@?nYs=!lXT{?Z~f}g zenK$O{-Y8D9_V2IVZ!+DvOi4czpd-5wIpeGO~wG7x@xCS{zftVzj!213xhd z6(IWJ7Y<0Hzrf%rLA>S>ya)mr(lNQO;=70Ua`{B{VqDiDt~W5IO;?kh)y72!!a@Jk zbKh~FVbv*XOM+sq8f*KlGsKa+yibQ~p!yLB`_u+lMCf>#>aj`32pnV0k$v$Au07j3?!3d98>O|?+{tDbKEe-P;8WyT$Jzz5+;qb zgvCTHl3n&(Y@Z4$Sk!M%(yu6oC~9U0JfUGIskuJ*W%4flsQj54{r}El`#b!4Pvz9H z(aP)Pejf!R@F3V6Yb^5+!UezE4d80@MNfi7m>-K}O?Z;D*AOrm8^5w)Ua%s^>^|U&UB89$VweB+N$hWVT zeBa_QW~KLV-wn8aeuzaG6A~#_`Baos9z=--b*+NBwSr~fPk1_tJwQu3xTj0WZ9HEY zE^a^K>PkJE6xR&$JJYycUEyXFwy%-l>XxPteqG!-6-a9naJ=;k8}vLmJAk^a?dMf& z?UW2lNpW?1>j#Gh6%3Emwl67urN5K0s(LzB+BrtsFMg@@1P5I%fBo0tbp^5wDq}yj zT`~Um-JIbfJ{?!LvPU;q_}m_PS@yDn67L$dM|iW|G^w(#FP@#nJ9~KY6GH0;pL;jN z%_Kw>YhMuk+nsu&ywlKBI^mF-~iI`Bom8Bl~^lq3nfw(m5<3*P!D&exn4$-nifw$n?$5z^cs!JF3DprmbL`^|ohBd=tFY3pEW&ROx< z_59%Rz99AcRE#g|w{vA;jy`KmV3E&($c#92Uav{~%+(f(0rt1qZTyeNK7jJrU3;ku z>s$&&n*k@U8DiEM8C^hhAN&7+>?{;SD>?n@8B6F^97z&CFaUScI`m_xU!OpbbwX8D z%ljona+NS}SSnHiuj#wk7Ri;y^{*TBdLVm@BK`>2{TQ`_J3MdR{WE81&Z25>AKxi5 znr*mX$~DwqgLQw90V#0pc*>H0k}hx^F2WK@E}D&q6ox*07$(hab03CJk=l>_w(?Jy zWJG+XWJ>C4D*M4ax7dp$gEDu_ro5PzhsWXljWOP*;!4(cNF1RD#9ja=wO8}J@LeG< z$5r<`#V=`V(J7!#a0H=-kkyKew-cue<6(NJ}09^H_eY0~6X>UJvAxz}u8 z2DVaY!X24Vdf+X>RCU;0@kaUJG0`L~^Gc)u9=F6j?w<+6fh3U4XQB}c%VueKkG=XA z)1njbD$H(NKKtWEzqg36aajQvqj=5_XaJ<`Ldi#%2{&n7e(IAQx%`vZa%v;|kWmc0p=Ngpxy4jGmXx}e*ut4CqF53 zh^gf3Kh$U=x6cya0zcz=7o^ci<`#)l6xEo}bq*Tr&FQWg;JR`Qg<_AipXM7Qi(`^( zC86>0W@~L55G9c%Z9x6tfklW?z%M@+K2G}t$gk*bix$TADU-j~W4Tg)ye>Y;b)jcJ zN0k02qwr5d+e727g)P^3j%iMw=k_1?s5JtfHfkP+Ib@-71f{WNzkKB=#6It0KXQ7ny=DF|0F#u4~}j` zqcy<|NaaSJq;Q`szl-RT-JdJS#u63sRPrWc1qe@?fTwbIe;8pheO<&{FsU0i+TXC7!i3%7?(ud{S*H0}Mxm2c|fe!{=Jz$&60hji&opl^`% z`G7Nj;bzy)-0W2Pax2bcZBWZ-Me$DF7#+BnU(9qc z!9H>@RdQMCeT~I)w1H=Bj%R)(c}Qz?6!JVQ0+eu*Y_FB0Fxzx`d+3p0nVkP>tbZ8y zxG!QqVPsNf&-M#GAKnoO^jzT(IQ#O7Ev4J~XkSs-QwC(zJ!UWy zEkf0KcjD=|+lfbOa2Gpi#K?<$m%Oq^`3tSC{HhAM4j+B0PwREZ2L{?+SSD5hkuPO8 z&A(0Lz%+JnCi?Il4-(T0WEA=AQ3&>Pu!`(9Mb`TpfbLJ?_lc-!)Bvk~N)LJ#58Xr@ ztU#Aa&9KwBR;gk~v(8-%1ygJ<_BwPEED+I)-iTD;Slhm985VsX%&SeLIO@xiFL3r7 zW<`7nJbfqyB)Jdasc!=h)ee=>N4&!X%{U~H$2;b0SA5Fr4>a;YOe+8tBw4~n@;{TQ zv(pD;83eqWXh{@3>(Hks@s#{E2FU1Y^D*bmkEx`AC+2XDm9Te!))U~>yyjLE1d~H{ zlT4tKilSn%ovFNCHVJ^=4Mz%#Zl`fc?uLm1;2M%FX1MiH_*vZ%khl*RtJM>nF|5*M zW~zG}A*}1|=;Kw9j5jPpg6}+!pXO9IB&>e-Chl%y^Zj*K2Y+z>jCN@*gaAv?ZpMGR z0^xNQWiNSrarjRrHr8~taMo_p;a~BEL}Lh!uNv#^=AbU^Quy>BDK^`XzTNI91&yjl zqk(ABNs4~$gMAQJ&c0oe@<)tnTC^U`9wm{&nM#b?ULD~ZEG5MwD!SWC z96Dzh6Cj5ftv1Zs@~c2*c$d#RY+~Yk!61RPPs-8_Z@_|C!}VWn(fjcV*>CxNf3V)j z9GJ8o-&HZEVP8B_6UZnhUet2;rVc(IR2%eSOv(S~ptV3`t^E;XB+<~gt2crF)X}TsYFSf}oHZQ&-h?&^* zLJA%oVF826?|k$RsGTl#RLo~ao=mY&jFF!|cQ#?YNexg&zq#f$4|zT{%B_gKiWaS` z3C=p_!@6X)7Zz-IeRKk4XI8uSZyt-xl9h+;m?f8zS?*;f&mUIDxcR~#U|?24NURGk z>K1n^u3&s%pZyP7M|BWv!}$>qzPs~M?wy9_tAmvvF}rYuV{W4Yq`7jIkl$%R-eF)A z1g3WBO7=RO{9~WwyF`01Q-JL#;SaUWaZZ4)1qqzM?yu2cga@+A-<(SFg%odP&k1L| zXTw;-+l&~J2dXbIW8_saf!xQ|Bx-Ttt-^=)Ni#lt0wbn<3~hZya0mopL_nk{;t0Gu zSquA(M(o1-zpc8a!C?Vuzr-;OrJ-Bn(jbd3heDMyeRMor4RkkA)oFq(#hiWC3tT^a zEqY$XV_$1`Q2z{-DVmz;M8l}<>q5_z&^pjCF;E!6iO&N;{TIj&f6nWje`A^H;|0jh zBykHO+=JE|+Vzw5y)4+>m?hjShu>nL5oVe4-=Of@ektS{la@qH;g!3rgA(r#G}dX>ZeA%*c)DW^7uF^JY>5+1vg-F1 zm>Asui1$!m<;pO8nIB5ov)f7xDB!*^39NhyZ&oGVZNGOK)UFB!7x+5c}8t6SN-pT zkSU{d=Kc-G{s~*9xA2`&;R>k?JSIesA23S?DTK(3MSaII??`i-bsDUJ{wQ6ujuqnpr$@MT|%vGwl*aiCD6!KsE$WpJ_3i^M` zy#GhOUr>C2LofECO}rBhhpuL#CxnRI%3Vb@GAhyNG=#+nO76pjaa4TC|7iXBeKhM(#$7X5O;6oP6`c3z3JT##w%L5zZ|&+h zY@cQVCEDv(Q|NLSF8{I)>=YTTQeEHk8_r&7Sj3_LWw-@=PSv%&W|^`aDj7B5O#Xe4 z)}r{IQoHqkl-i%bsOZr`HsAmRv<9i6M326l5RC=$$&Y0MLBT4J0b-%KnV5U zqMMA2h2gEXO{2PiAHbezDa0rM;@odS@d7>+e)vwr>um{*SW<|FN8WIFlPt(XL@}Li zYm@cxVls2`T>0JHS|d)*MTX)-;~lNq9WBSa4^jpQ(^>zpd-Ruh^Z()hXvBGyDLOi( z&rD7Q|4ChV(?Hicy1A5ac6LSB*!-`fDXquMp?RU7o8xzhOz59;fV*qgu7@H1pG~5t z;THp!gan)eA?NoJ$)@mzl&8o2;L~!G3z2cx!?3r)#eI?2$+ntXm(K;o@AxgcFeyCSt8dkjxxjW6u9898U<;DQ#nUZbz~r~R z#XHl_X0bOE(|S<1umASj=Dfc$(~LpnLY|}m{>bAgh_~uy(j8TNrEz7fXr}raF)%1L zU5C<7bf)#KK>9}ZjTehiBraT6CLjJ)%95SkRFRZc0!HcrcutjM@-v^F?_ZF5k|e6=8zDzaKu{9emxK z_)ih9lRITHT8#1C5%3a|7t{E7v!8++9H=)=AHaBphN)C=PPyFEEQjx(F-G?_XY63b zn6useAg#-nBVksDax7J}VE1h3@q>c#P;yvgb145!@ED+e<3S(ZFW&Qb83u&?;syaU zkbvf^8Kw-)^E4Bh&DUy2s;S12Kp&P*mVZHYpJ!L2HCPtImv_lhRi#D-M-tVYh5=I-z z8T)MaoGx*r^ZiF?J_|N?kL_FSTh~dl`jNLM4NXM#d-KmoZM{#9}Fy<0t?4 zMVpuMHPR@PkxJzo+iO&u#`=s!(mW=)5b&(y&7i&akdqIKl$nk(A*mj1WZe2jG8O6& z%?=zTmzv}J3V{fZx(KD=0;LKWS|}E9aEY%{j%H)r={r~;HmiC`sY02qwYei*?G}dyb@t29+WYt9uyxHNx$Lk5wn`fQqdCpZnoD?Z$rZolyhUKPSUwC`00(~K<9#U)SVe!?5Xf^D74&0zqge=8jhDy`}UJ`$BUh1XHioN2*}F@COG^oop34ln8>~7`9hS3O#?>l&fw2IkzJ++V@by1MbFxv{TW; zM`5LgN=N2Skv+tN#>tR^z{%(;$Bgg>wp(cj?=<0-KooXShd=j$bynj)KqO}?#V@Wv z(aW(u%sdNI`|5IcZ<2>OrtM4V=7b`pR893in3eLiH_t~M*2i-jWO(LhZ!PFADv!*) zQ2mF#IL_0xGLK_t%Q2oO569DbbJU&DQN6Dy$*PJwYu-eH^LXFArv$U|#=Bf+_|rWv zIWd>b&j3ENd`M=mfe5FhqtBdcghFfSNXg6@#`W3*3#H>sK2KeDg29oq%TW!_LowDt z>~8TvHwkO$Mhe|L!4rvn=?>U6QhL$xhSEX%rVapa`R5Qzf{J>||30H3uQw^is3$4Y z`+34T2KlbD6FDx*e^M;q@2#irL}&1(`~}l3@=;FvIA3sf``dQZ_PQB{=e+Q9Q?2|! zS4Z=brljP{YURN4HfO;^oWOG+4ZQ#D+?(fe`{^H0aR&NXnn2hW$BVgbTBEK^vZ97PVwNImt>xL%Kr&z+<5tk4U1N!B*C{r#w#c2cc0yEdcb+O|(KuFfvclNk|=E5C2?t^^|2q z9Eo;P-vA782T`^|*byX5(PSj!rg?Mwn8Bn5G_Yhqeq`3d#`ygS=@6{8(bw<2dr#r7 zcrUcrsX^Zg=|Yn>sfOer50eb{Ft4mVBBmdOW&qu1Afd%&s8p@R%R<-(4(9F=~p{w56Sx$dRHuP;)N(Yref$m%=LF*aj&) zYjKu~J#0(k3i3-uvXg%_AGymY5)UxO%5Q;H4m93%K_*93f9x&4$mr4N*?X{{@39oq z;_dpAbBzurenaRDz3j^%CzK|tz}$;{a|WwEFn19fFC7KeLbLit{>i7Ix}qvUy7#d4 z9nOW6b(2>+jahW`fO=Ga_$8ecYn7vaOMEuAHq{!tjB+`{vl@b zDOkO1enx#nCAIbFtj=__mGF$$fuiu0O02K|o%A#@&-JN|m$@Ys{i66@!&V|+$i$Cr z)7o+;|2aND#H(XEwbJ03z>Wy2G`4mB+?#9^jr(31(9zKw$rQpAV++;_AOJFfW&B0Ej0GJK zl)uU$m;lsXoc@as?!!LN3P=uEhH;F2)CC#<@+sf39$LDmh#i9TczyovBwL38?7?*F zA@BOYtZUh$#C{rNcKK$$pm>!?_0l!DU+Pz7<_|1)nid>fq%Z%Hs9X@*`_1s(@ET&q zwt;+NUq_;<##ed%k1~l|=+2Zse@3!a z5qQt852v-Kb?3DPyI|tGG*Iv`H82Ir6I#B^hDXeXv#8zChMGSZ%uyY}=_dsu?zAHX z36o?8WnSWeqLp&&I#{7?h!X~r0nO_r0(>XF!<>Y4doh%O|Z|GCM^5t)hv z0>c6P6&OVk6uJ%1CM&Vz8Ofg4YOTfDz|vzf$+`zkrHJ?Pzv*x$rATZQKbASTJxXUN zH$T|%nBKuWy4_~Xdz+c~g9Po*mNN}z5MIN|pHz&VhD)y(qtq2&&2CG-kHol*1bQnZ zI0&DGz@wFXS^t+8qui}|>p;cp1<)hmHv2K6Jj;(UOa4FVvEI*e_aDmcsd!W*axcglmsj)Yug{UH$^HQuj;|Wlb)(O{4u&D3(evLrknG*3O|BBE z_Qvn;r2f~b`CqLj27MTIzjOIuQGxcchE^(05TS#yDV#PvlL~$jiWl>a20b0hzqA|z z@r2q7di|p``K(j+OQS;3}od!nT>%*Xo2W4PS;{4XUFsNg^?L9-Zq-Oq2jQJ6} zaD+Op2=2&9UOiX?$hp=rh~SepVMYJRbkUWz%Z=2DD+yQr>SYRAh4oFgWVAO%X%`f$ zSQI8(s{8_c9i_N-Oay1=#q$qHX??_h`W^aw+A8`i(}BGx4bq!>1(&C z6iXUYZTbpmap*lFEq~@E==H_P(WXalgXU=29?%l=)f9|{~jvlXJRuEX5H0t9ku=u zyQunI4>KXF880^#?k%?tX>;Aev8{Q833OO)qsRL~2`vO~!b*$S{^f3uPr4qmUHuqP z#IH^f2m55&qfmP^yMx-+LD!4#YXq{e#M=)}wJ=lcM%_bY`A1rsFEN4Bz0PzYp$3G95JVMiUyBMSLOVe-`X3e|bpEz=+ zY`Dup6Pd|`Y0^bBV}7?4v&C4WyBNwBp^CSKC*w#H-OQZqFblS0zJ(Z=Zbx`_nUcFF z0d`TlSPG_|65vvvPDJnFC!uwn703*Syxj%$PX)e5B%&mVAV}(adqM-gh&R;G^=d(G zSRV8g;g$|cD}G1FDP&`SnTv~L77j!vw*ln2eDCghxQH#=KYA-fIhq;=T9#-Ra`}V> zLl!O=#=pGEz{buCRiPY~h^5_3N)L-``H?RRefsWhcPMD8C3zFEfElBgR+Bq9nz<$z z+AMXp@3=vng+T;=Yp&6^s=Q_jC(oUq)0oX`!J}(24&p~E+ZUWN6_ENIC+JRX={2?` z^H?s?D?9#idb?cgQ0suem!S5V*~Dc=ECAgYHi4wzn)e-A=F6I30^lw5?A6}B5_v%H zP38sj^qDh*PGL9LqsFaH;l<{TVll0&CD%<(KV)EBkL|d5z0wa9Z0P~VfKEwry$00z ziNT1Tl-GnG{~>DGO&{GnQr{7bW~{gfm|PgT-9U4lwIhMF_3jJ%dDWE5->45}ORp8y zp4@!vCorsU43oB=zGv1rzRb70ou3WMdYOCsGcKd>nd%RdqHNxq`i_5g_9+AXd?hQW zLJlf^Vd(H0t)h9uTE5YKbp?$t?TwSgr*n(lt~B|3jRtswHqH26i)(=HpQel7O$t|p zKkY-$*Y=bDN2ouxlly5rmIsbyP4LFBlls%$5*QI(j`-3g1lFFN8Mo)U<46pp2RKTa zCd<_i*yHvhv68NR_PM(O!aYBmexkgF>q38o6^84Q)p3p5=_3p0L336EJnUnRwilga zEUVW<;l09%8s?pm5EGS`iCAx%hEdAv*1>FJioftx!aFOBrkF~|ec}DiZR}Fl;OzpV z#+$saYOf5kFoDUZ-JvLa^xmtHo%J!9*ZSB_!O^)-)$L|VP`>K2H^q4|p!;w` zX|!5>=VR+quWF1pGe<^iyCFx7cm2`g5y-VJx)4t$U2#3%!VT^^?u0y9efH+deeO3` z+#!-U_&D?&2-Ypy8d>J9hddII58 zVP2y@)2!Fj(6%buT}}w zu16L3+3=?1^4F`oA#o-rIAxD>3(h>F56jHVf=wL&51@G2ZIY#E`WkT-y!-s{Rl2p} ziX<|uAk~H;;iVxPi@kP!EN1PWVmQEDtI@5PDu=$t|Xk> z=2+jE*wyt9JQ0YB+tIXp)#i_B1Xwe?L(>>$`k*82;#L8SeS2 z(sR{ezuzJGuISWx!{J+_qiieUP|?+{wNEh=Yn&tdkBB_!qchg8=K@X!!EKLjL+{5@ zEyInTN4KFY<(RQsT|*A<_g5(vvgc5b%w~C9Lh`haTgc-kRKD{rC}MCK{pZ{Nj&dOR zFOQKq2PyW>>B_a8`ZYRY%rz^UmvS?inzLp%$FFRAEmdm8KbA*l>m!9kLtrD9;dSsA1{z0L_@&ZWCQU9+4k-cKIWBtas zg7=D8k*xs3BJ)n2jrLoC_5+%sc^<)Wc8r>o${hS(0Wl<2&oIG2mYB-b>sg~Pe$y<( zNYPHL%wn-IGmJaA2U4M!H~b9@X7S}(60rq?Plyd1Tpqu*$< z(B_f_NaboX&|o~O&v-q86Bh;cvSk4A#Z>8mT!0~r#5b0+ZIY&-i<@{`l^UswFyFSr zv@Q;M3O4*#FD{=rUrqGlTr&=bg6^O$8TTiu8q#eGf_q^r!bE+Pn+EfpT1|NAnP%9k z8r59%yu>rcA^BvX(K=Hw_!gO@!{V$Fh}nkJV~R}wzY4QxU@+W6mOq@FFc(EGVxo`= z>{(cTtM>JsJ)Ati<}mpRukBMo7B7*7vx7mm+| z3$x-9lQWV&O&&`ULFAV8Zki-q^zTM2l%ds7d6DaEsQ0Q92{I`C_Gis9Lu=);)Gp2b$I1S^@D^&xrawSx-r^MW_Qr`?N-ocUdA!B}{~31yA^|RiXY) z&V(qDZTNI6V8ybl{SPP_6%Zy53HJk5LP9~1@N4ig+w=LzqmNF9C<{}R6- z#e_ww2kwB>E7o+9^lRuQOeD|yfz{((E=O?7C)~`|^);aB3xVydbGnD32nYLcP0Ep7 zYq~)ir-}D1ft$H2g)@q`Qx`<5{i5N!+XQ>-I4}QBlAv5|-KTLm_``8?OFM)rfzkZmA6tFe5jcLG&oYNYqn zc^5li7qCpUjXFuZl{|jzRv&7P@7-ih?v5p zgrA9+!3nTwV1+dY^Bzzk^qB4JQInr(K1B7I1Nk3Qg z3+)5;vx9*sL%K^^vYgAfJDe&hB>0WGf-6)LCXL+ivTCE!fqW#n5cyF@AhXX0LLSBd zjwDT|*zTz(t011yLr6x|ZJ+rGs~G-T1_IJQNjNHb#(rxAf4kYTVed0Haz2oi#+kww>=<8577(9!4 zVaV!d+`z&Oc3d>@jhDYAHad@AvTgKB2$7<11? zyXZt|sqVA4Cq(*Z>>y)2%T^Zzl03(8>ELB5?<6mgVLBdD z)JTym*SENvwWA%RbYzU4Q#fT@2Tp7hNkb4tdHlIV_oJ?OFar}VRNAzkCNJqgS}S95 zwblwlS_B9G$cdC&&Yt`gr#i|fmc%k%Dh3Mn4>1KN96g;=4GLzDh~L6%P8g>WMr7Ri z-bwYjakfC7x<)kK+s{P46`HwCdbGR5K|NIUrr<^a_b#1X8$C+?g7<<|rfWgnP6Q{-+f#8Ub8! z_qYapS%FAb1fTN4!8wB6%+x!LvyQ=yDxsoZf;pKyRHg0d08JuuuKv4(CSC}0db=cZ z7zsR*)ejdnCt9xXh4-*>f+EA?*203@oiu`=R}=_w5Kg9RiI?p?V5^L;JSYyg%wv{^ z7}f?}-Uu!jTMs8hbK0$gww(Ms!lzFRqs12GDO%PF6eoN&sU9cqWrKIe^xWs73M$2d z^kse&(G?nBzmI>pb{jgyT_~&{Y_jL%rof{w)0U5pPE5^gabze9qPx8Ruq2k9NgN#= z|0|PmioVPZBbuf5cF~cU+cB-B`)yeTR4etWWKuJpr6O7y{I}W*ccCtN@Jn^+?eb)H zBfi)hmyHYQ~rVj$EeN6A~*(>MS|40kK z=4zj%rIcVwSa3|P(`@4qBglt2(rQU8n|liECSHd<)vyofdBfEH?4*r`KB3kedArPv z+w}(3yz4g)>1o_?6UMcd84j>oxynf2Z(cM~;-DLI)#kxNiOqs>=$*d3DXY`u+ulv=Z88 zC-@1V9+I|XBAmlw2T;8efO6N74*67YI#VtQpNWwL)5eJfMv3ol@glgNu?|VuMzVe$ z)n`i7&UC+5iT4`Gd8H;$d3~iU4miX(5NuA92Tz8#s-{r^41jc3PogA2gG^nOzGzs2 zyJSmt$|`6FESTZDQefOVoF1f^(1Oq@FhSk&70G~IX=#4LxOtzUL!_@#E6 zc@8xt{)FTayXI8u)239rsdZ(8t&NaCSIp=2lR(Yfc-sxR@BTfUsKtazN3!xIAW|&* zP8Y4s5>?!tTiz25*-LwUBT-Ke2~RA%${Wn-VOs;$jgc`>kb%0r!Pn+$fg1KHiZJu1 z(zW=!`cQqR*AVfuf>n8z;ez(dr>#5kApIU~!T@t;UCk9D(4VvmqVeNz-zgf>3lW{i zbaV^zf4w;5}p(|8FCH23p(c{DFfvqLv%6y0IW}jo^j|0TS?W zn0J+eb5%DsN@{L$gAe>S^b|)N?cI2nGOnW7V<$^80jIOWD zi%em<51&zl%Cs+{1}VFoq;ur9+84_$Nx}<{?wPk9&W|>}O5_$fGR-KoR_liV8Hw?r zpmm?j{xO&Mb)zVbWM&`FadIz(c%}t}2L8|3ThTM#|6LxAoUW%FgMaR0J!1W`n#yLd zoJju_%h|K)Zm|>qKqP`LOa(W>{&zRL%O3TWPDxB;9%%yFt;(0|v{Zi;Zd%6criQCh zqCWDczQ}S;6;377$qzogu?puDaLxxb9%k^ZEY@C%y>V!q~1xY*mRTwLh-Q&q;WU>GEZy>G0#)Cga>2RBZGK2M*W5O!x6t z_?}~`z|xsx#%A^~WEVbq;)FhYkKYLS)bDQM0kgzzOqgA(nWLknLK9ZMP-_|mT*h&bX_^#S}51b%l8Tnq`#QmGk; zPaVd=U!iR7iroBIV7sP3-rXJiRwxDA_}osd35VzEP#Q!oSRv?Br^$u07#cSMD-5B^ z`?xpxk!EAh#_oPqxU_+?ohm?Q#wyUwTsBGxSc>Q(<{&{VcxUVoeJskmj3I*3 z-Kq`==wC*N!Z%};Gs#J8wK;K)n_5HWUFHOlzn0Yqdmyg{XE7~P{zrmDT8HuU@P72f zHVnrT1ff%Kt4#|~E|a~!v=iNa(h$8EBj;DrFuJp8N5(ZHH%l$=7AA z`Q7=5oP^lLHNVU4GWJ)g)4T8WvRyKkS8P|OG`*K`cGvt};G_FhCy&jH%b9Sz6m8@_uQ{VAb4vB{LJ+*Up91Z=#SUx#LnUVLJx;s0$ zoq#-<`*j~iq!iB~x&;|+kzsEl?tmR>z)El*fh0hDXSAkPmCx`qF!n%ou-E|=m^ci+ zC`x`HCw}A;R&Xd~?p|<1%g9FDu7OqO+|E@7FkbsuJRCI`-Jzf>baWj_nc%2yu8a4T z0u3gVhzXhrrpSkxq5q@mOU<{s+aFbdqlc=N={S#tU5>Si3-E>jTD(Izbw;f+rITP@ zh$v&GqJczV5aB_-%vE*M)c-o~1a!b?d3HMgMdQxv9KzHA+K>UYnYdn*(4P=w6{M9u zJxJ3-TA{bxr7z?`b0Xj<8;u&U6Vd1IvHpn9xeDJlaM3nx90EjyY&9XyFrnZ$SgQ|F ze%PdOO@fW$!uF8jq7BUh2&zTlwM9852{QnZOH*6Q`wrLJ-MnKA8% zI(bGk9n<*UyU*rcA|k)}NOkF}omBNDl`!+~-RJY)ZppbY8Zs;s#`|u=uN+;>h3=**kcfu$vd(WV(yzf}gq``PJt|)&~S(5WAi1hJ-ru8bQ^cUAm0h||zfkz@dYz=HZ$_VsfIir*0 zF$npz=HYZeJc;aw)jFa+j`7vi9bn_Y2K}67VK5Me;euTV7ea@@^fVY0(T#dr7ukO; z+#unPAet6^i@?z!@!+uoZ;!~vaINaNs=tSq6{-JLbKl7-$p_nE zRXKW@fC;W*)n@?pV*)%PlxF{J##RpaIkfQYE9bDItsB5c;1; zW~}okBFtq)@~cBWNa9jn%u(Dg5vg-jYu2A>Bm0zdjO~=P)K;QP27lxW22j(}{3-e= zr_j`z|0jt=4du&hF*!XjG=vJhOuzdU!EakA44!RV|5y{f7%c1af$0$0kKDoaj6ng> zQs}dF$$}ZT09}<<@UVdvHr&^Tf7PkEA<`}iV2C7wCminy)(35Qb$#>#<}@5Hqt6P% zSA^2yA5cVh)gr_YH1MYo8WAoLKVTngimalFF1}}5m&nwsBxz<+${V3yqU15!{Dm3o zmOC*GtNakXsjMdQ88{qGHezCfZN=>|xxqIx?Da2gdMtS$rNh3pgt0b#k;R?f6Kdt6 zxcgO-kjY$O63AWwb!**1Rb029I<{w|Q~baoB^R3v70S9ky}`oLwkh5A{`X3DVqz)%rzPX-bDugCNKM&(ZMg7DTQ=t4ZDBjA@{)Lxn5Db$eF z;rXm@E}WMCF$(J}!}-2!e81WMV5+^7;SgjrFKL@twb`SNSyDQVQ>wUexfJa3E+L%T zqV9FE#p&KcnWC-}$cd`RRC?Y(#bDJwYM`7rmRZ|6x_)&-ANay2EEb$x;<#paPAL}f zDS=#Np`n6DcH>k+eIvVF`H6jtj@3qa1EuAbYQjnF?g3R^14a1Ow0!oP&$S9X4PIUfXD=tPpf2{s~d+$ zj@PZHQj0LG^Sg1B%IzOIE$#QetV=!K0o6{fJe^r6-5XiRU7bS8uhT!mbqgcLgAf7% z69Aa|7DaTyQpt~wk!m&WN`lTg=q6E=(o`$LSp<|MJ=3-o7a|{q6jn4(e`m_r%Jxye zBA9P_9&4`8t4A?_b^Z@qZxz+%`-N>54HQWW6emH76)5fyptzSpi(9es3sy8p@IrBS z_u>wPqNT+t?iO5v6Wk{MZ_TWkgIVi6OpdaiJn!E7+Sjd3UVzub_UUZ~JGZFdE8h{@ zY|V~`!fE9fZ5%_TC1YBw-BgrZd$K33-lQxSCn0K>J8HFnoR1yD`2Li{Yo#bs8*0qw z|J(gx9T*4f%W0{c5W*3aNtANv?}(vLL_rL*q5(5xn`}ossJsIv-Sf=)F8at~)JXfs zc(3a}^vVxDt;m12;mFK)-}5Jo74bEsO8bm$B*%ceUm4eRU?)>N_*?Aoe8~kgMK!@s!JoGyEkZKuHSh1T=V2# zAa@W7Ef2l!S9a|VHcx04<3El}@swX1?Z!J0{teK`Rl}{eCo-F}IoPV7#}BWNDUtKQ z@8JvO$UPAJ3qhd>HiG%nKMh!qVn8Eqb{_eUQ9;_9T9+2X5Vu>!Wo^2v>)~Fmcrimy zOmw&Q=YLs!h6vshYS@ocuPwzBFGb*}e_t0AA$mdyi<&N&o!!5H-$~f18Evm|&plre zd*n;5xNsUl$M2m>+7VxM*ENBUMp+JlJ_)yoZybr-Jvqkn^vCyH*1giEYZn>K%KJ-y zSM<7)$(FGKIss(?&;ec0;QIP4Ld93heDB9RN z=cMEH8k~|^s6FxNtC0B(`wh%IeK;+XQM^whrANCXIf%X0W88g`xOgb8XU~})-dV9w zJ6h8g^w~?;9va_tF#@VITol`EzHr=$tVPbvX%ElBN$!|0ssC3~&d&+TW_+AKY`rAs zN}0lMwXw(%u?q|})^?Mu2c^oMkli{-b|36pR_Tu(EbapDL}tPJ7@c%PcT$%Ds5D6o zXiR&_;?p>=u19~S&G6~1OV&lTE1-BZh19Sk$wJZXxWg?V@vbfZFySjtHw-JMn>W~B z#Rm4-@1JjmWaC=$(LArxXU?EwY^;M=d_=~8MbEjFEal0;(! zn*X1LnLCyLSH|7yhTIGSLSoUk)&R7+on>nvj0_-cP-A$rFxfcBSl4@5|StO z&y^?a_jvc(WeZ0g;!F(XTRD{ygdvm+g8PDr;Z`cjMb1TyMqB+C55ms!Bd-K>%NYe1 zrxF*Ar<0%O=*Hf8FLBGKlb@m-c6|oDiQ&7gH5^|NL}DOIyiMwr*Oq+L#2&s~jIAGC z|LeEJM%QIAE{t`NqALZ!-vK~TMJsXI6?D}kT5<7XhTN{V+hdn1ZW6XZ>c!!z&^8|S zOu&*bQ*L}Dolfa4+((&*&J|lTRpQ&furAfIL1SRM>8V=j5O_|0=(yc)TQ;Xsq;mRCgOZ zLe#qLUz$Mbmmtr-UuOat!IP0IACDQtzRZwd6pQ%A$BOUe;Cwl0idGar!WC`0U@%p- zR%2ArE_1fum1lyVeuT)bGvZ~F!iq_+m<{JJ_f_igE}Obd_}k3Kv0jdOwSQK`V?i-W z)C`g~s6^Z#>i&(|m?5ibQu{?W(^`}XF z@iz4!cLVW${z1>v8Q*G-pK@8^>FIsReja?EL3j2zsbN`H)f3*})o|pz)qeH~O-G|S z4sBWfC*2=EEWG5)Bu*ov_0NaII>M9MR?FmfRe5Y0igK(P?R(#urb-D5qmqPdM=w^R z7$)@mWU6#JDs(s>(sjzURMRi-(Ft=)433VU+PczQQk1SXCnt^)*grIXfS009EIyo; zvKH~3S08>VGg>(*P-s^;>s7QU+PD|kYuNqXaQ``dU6bRXGE(OQdUzXX|7qIDc&8?P zn{V(5%>7aS2%Z;zfALr4Ol@!QLtfou)%nNDRw?ijfiPH8iuMT~y6!Hi^ z#|m|2<3=ZTJ39mu82)%@uyU`pQ_l?(7`0ulP!-OZ+PFfi@7;ldx)Z0)quJxPwoe}) z{t2FORC_{$Y%r+}Y0_2MiR&Lh@DJzOE60&(wa&*uZgm~G-fDZ4SQh5SIy$X3ZV!^T z4LaO+@l!`XTq@?Sr&vda1bJs^KH zj=Iu`9gNUj=2}26=n3bZtLN>vhmy}iJ?YLl$@~z-lhUa_8>)MtNkto}A&tZ zU$yc0md)ai1u6FUr4gJ&J$0|=f(bBiPBgsBd1SB#{b~jCei4CM)} ziT17R5NpwY`G&Q4@+x2bQs96icjKAVHgS8Us?QE}r%aGZVmsz_*V_It?;J*P?G;Af zcwb1h3z%&bX557hyT}^+zKrsl(+~rT(Y2GxXF@l5?b5 z{|ZlZe>0XwY?Xd=zuutTQLvOwSHCO?pqls;RPuCIO9sMlM6_^M`Vg&Pq#*34Z#JSUw`%@R z)*pcnu6ioP8Zgiyzja?hxBNz}{uJr3!vW%W2r@b%Abe~1nsbY~Q@-rn#(69J6`|^l z-HTb-b(YG6Y^0pn=pw7S_eDzCbeZa3itH4Xr->UYH8Zj{!^KLY#yTB2^2x7)`?mpq zH0CX3QV;toV{dCE3!RI?S*o=>ei}QGNmTy$d1IG0c-EZqhAJC!X<)@(S(o%+(q7gC zHivXE?VEG)P&k;_KMDk045uC1x<$`GXbv*RcH>u%WNgqE|= zVF9DO<=?GM*2LS{Jw@CmDi=sLxFbTXi#;opU+?2SF?xNk4C3eSSJ;+2n2c+_YYO=oaxqGl- zuEs+_n;Ma+GFATL&#-q*z4jw@@oeo+Z|B5Vx&I*!}skpGwgv zhw>q$u}FafmI1liLjT7eT&w-BBaj%E)s5PB+gCk@$lB$MK_k!sT{fZp8AHCYGY=)o zht~FdUpSZm3jd>?X)X23bl6Mv>x+HRStoV2lT;5o$GrbPJIt3M;bV*JqTS>&Sj-Gm z<}TgaIM%Kat|VL~X^a*0O0qFEkuKc5(@H43C_G+D2mCkEscUkeOdY0i3jz6O>rsXx zGmI}j+YN_jKYN8O6=_F#_agD-dR=~>Ex8O;5PdifwpQX0-uJlOmys{eoCte8U*)0a z`>42;k8nfNCH&&YS(PLo}TlGbOk@P^6g%gh+X{ZsloVYT+A~ca% zLs~9PizQ>3B6wlsPRLLi57&-DN&mE7rOs^Vo&8lIPey2m_?tcNOO@O3#&`(YHyo4p zW{-(g`F58*9^x5Y$Zg2JC+?wqn->&Me7GhHdN`ZDy|Yu!xo#|EWha`wJWfGOQ5<-Cw?enu)d>YE3F+x+)+;2+tF6nwt`uDUl-szG3+PvpHizi-BxY4X=Z=Q>9O;US zly7fGTAL_fZqA)QHReYaU&H@>MmG)q-2}6gOSq>O#!T4A%$@+*k@Cc}am$LAgNyaI zN~ftL)@o~i1p8JISZtgv8q#!an7-dh$R0!Z2zy8*xM1y01;43gd3DUc2X~6z&eK@a z`1Sq>askeo-XR1D3`#&`R#dZ6Q%GbRa;&HC=TRVK&upH@LkTD|CDJ2LMB7*S16db(D%jC*t|U|J3N+vAU8R;H_KHVl80j;lo4xJye|o2KrGjHu;r_|5$`zv z+~;S0%RP*j00hUsw+EznPJhSLp1p>V@2Tq#Gq{8Y#645in8dN@YEwdqs9TB5{S=+` z95B%_HCZb2YqK=s2Jyf{(4+TrLiq(;aZT@c(2Wl zV!RUhijy_2L@VbX7tsDKD^XW6V`oWjmB(q{%gX-qoRuWM)Di_j;S>awK5lqPdzpAH zl_{}xN&)+Aw1qEmd_w5-5`>u(G}|Q{c>jd}HCU3HgBIiqJDh$&@De1>c12kKCrOhC z&;nk!h|KrMIKAq?`WHQW_BKWvVnJDvQ%}MSUuKCBo&dnAHsEgocUYaljuYT(Hi?%j z{l{^Gk27`aX%|VFJlLG(oZ34ow<^oO4zy{3p!PujKp)zSJMVHMm#?$hl6>ARFlQwT4Yf%RYLXWZw6SwoUR|f1Eu%!8EvKn_ z9)i%Vh!{{}kFOzCf_7!)(fQS2qFZSlG-sB4F2`1_Q{dNKznFy|c1W$p9JKHYcn)gi z1`e{)_f~bQ?#+&J6L@#SfF!iDe7e%Uz~;aEW|nnu*f9ZTdN7yj>xdS__tmBlI5;%Q zv5jz3^+x49fFEiEtFIF8sM*gKzZlO1)vua&8HLdJ_H-C}V%#}hbKs8T4hK|Vn5@~E-(&d}+QBgb`4%2Xa7$kvuDsY7jm}WdSrm6 zX&^XCakEFAaalORb^JbgTl3Elo9lhv zP~lr=?}NkaDnN_6)Ob?<(>q0lXABsC-8j5L*1W?n#GfndwATE+mn^Mm`t-+bpRhw6I?%+-BFhL&e)w6@IAX{bOC)MHWv3SzBUa`G z2xF`t6Y9pGRiW^8VQH18^~$S1)g!# zanFv;GcxhBVoT0R&c|iG)Y~QTQgHQ0uXv9#GUXrBrizTMbjjD?d;Qm|?nH3m3?Ivv zDQ?~ZInzmsxbtobrR-9Kw&c~b?7rxGkNNTIio605tED6A8|{CgYRWGdt?-oAIsl~S zGP&Pz#Os=z7=2eJX?TPyigMNZmnH!OUR#j7S-G9}-2}yjbTgo<;GpcWr+h47x}d7B zgQxCFu4B6^Y5c^i@|WA<#Iv*qmZLZq3AN(;UhlZO#CAnm3OMIm9Ej5=HEBObG_Wzw{xxBp6>c*Le<(^l z*;Z&Gnt!a&pB;O3Se3{^nm)8td2V%8WnTv!;F}j&SJi*IanfJaJond1SM*x#q+~$$ zsU=>7sam!}kVxH%#ES%Lm_Se8(5)ze<%7ZB^^pv#hvF!k^Y2k!_Cw^yF3Ry0PtIi( z$JK6rVt>$6(uL0tQMaXl1t-aSh3)2%j0TM&!}?D%qv_np(5Um<^J!Q8PR@BGKwwO< z%%-4R5$PYztNvgx1Dt3ShecN8Kn!Q9jkX?|*dx4*wuN_paND+^Z(|GdqY$J|YfE0W z!bUSxzf=3NWdAXY@M*P7q{jV0A+5!{(87f#ki+Od;hMexaB~NZJljy zRbQC4rG;~y-I%Z4e5+QIgApq`QfMGG>8^0+?6~Oe3~V_!D4=Z6EZ=0O2NjLvrV*}4 zvCk0tGJg^O^K-k81hf*37#b{S>T$_#S0^P3-riY1z>(JTU6M67soSHWRY@%bMErJ= zJk{uy0oHlVm(mKb_<$j4K}Y-r0lBBZVv`qD`nOhMMWk1-^?{}1@))^`nsLD6V}6B2 zU5At3r|RSj{v9vmKxNQJw4~y)(FB}7`}5ybqgRxK;q2=Bq9?l_&DoyTyLb0;$1B>t z+q6YgSn{wt^X91^HMXxc?>r`c=4;@Z&Vf=0lD5DdLLT_3g*#sUdWMA0q6%^x&( z)c0hDbk~@~FMI;HBE3k2Sr;Z`BS=Q8E7F8}RVO2AmiL$Nd`i)Ee0Ujwaze!fpbwlHJ(x z(Gb$^H`o%n8?X)IHk?OHV>`_Idsftg`ul2v zx?|Rp^%a@7{r`;LPFa#Mhq9R69%v$dWhKE;xBs3 zf64AAh>!Bm@zlVR%%eE<a(E|7-=?T0BUb^mo>RA*ZWE3+rwbe_! z8vOLzae0Zy+Sla)-+DGh>Ecms<)?3!IeQL)&_S{cji##O-^o!jO&bSMHlz@n2@A_$ zajh7ukTB$Urq*tuRk_KbIGczMexY$gfAa$!d!jD-+GWP4z^y8!GQ225Xtxb}K_E@@ zE186Gi+g*dUd^Y^od!%?WSUZ`EuXeaDkfKj`-Lj~Hpu-T)@;#EooT>J4i49t->6mn-Z4LfX0g;3Nv!dVeYyM839J~2*f)Ni(%7!%@V!N* zs>-#I>}Q96X3n9{aa3Zn?Wg;Wt4i;por>%xQ`wS+jYT@psI-HV1WS6sjqV^qs7_dH zZW<{()DX2dp?mdQQlOw5u6+);h2QrcTh50ZQZ;n8R$WTP*0xl9oOdnwe1Eh&DBB^m z5%lD(W^ETyysB_^to(o6t=a+)y(ED~a|0w8xIJi+S)_|mDQ`~x89}FfSnybnAy2Mi z+`;Zk%9ks@!)|$B{oJi=YigyHbgGcElG1kh}3P+jYv8Kk~2=wqM z$h~@gqmhA^D?Z_IJ;k_M><69wjl$-1j#^!^^?8l0q@lX$Dki__{;EILvXv zXnnCy$)^t+t=v|Y5?Ap76zzDqJ+z~lbjRC}KYe}PosD0%rnS|pg&nrXdwZ3ATq?l} zXVU_@N!QO8a1Vbzl~2WAhp0DjAGUj;HQ~;IGpY|Cq2BQSas{Ra9G6?Y;Ol-1Wo2&C z+SPG?n(cR{C-wUPQAqE==%9{Aaz2-Zfn%D7P47@WM~7t?QYi6SNR0fp@*yTdGZvd` zu~NGYwM)yLT|3>+PVsVYqieQM2zGK2|S3eO6=Fe|AK>HWil{ZXeWlh|llAyMM7ZIkYF|>RKdA_V#^whlitHD2hSDHV|H34UZVV=$G8`m|=uw zcn`2b1+-^cIgwXqD%u7)p@V4qgb~3Wq%h)-T4c(O6kI=CsPhjd>3jElPB~scXP2~` z6NL&(rZd7@;v73A2qSY4-*M9q_Qpqi!mTQ9t)7i@HSIK-Y5?!Id#B8m%f-FX19nAT zWDg=t>je%~G%clt7P$-ER)%<1rdJos;D_e&1kKq`$+L}_NdA(r{6AJ|l>hh^DpUFh z%`@G5OFa-}$a0Rmh(+q1@kv~ZUEZQlQ7{#5;yG=d({4bvdsmmK(G&MiP^QhkP1}+p zPp~v)O~7e>VQ7dX2J+i+1$(9oo+Wn9d-71yRGcG(ggiYPvU1>k41_jV>2vk7=O!qQNek)G+&Xw8cQ4Gm|X7UC+xYa10v{Vo3AnLtZ#yE(%)Kn_u)9$=C_7x@N zchNlHmWaX!U8!)}#9s&Yfhbg{jelb}=Hd(yEaGKz8AWiIdD_lPEKUWS?8(o!ZIGu^ z)d`uL)uWA-!QU?X!k5?TqJKZ0yfUE}eubj$JjIuS7FpTSK$Mqd9&H}*PZY12 zAN*$nPDc`V0*^y1ATwuKwIRrx#yVu@A|TeDQ>@tyWiqGd3hD+rVP{_40Xy7vGntzY zUvO__uwPCe(vQBuow3Us&HKza2gquCRept&c{paW?&Zf>YmuxJ`nT`3L#2}9--Wjv zf|@Iwbid$L_WMh^?9!nG3(Bgv%=gf`mg<`%#3$VhVVc+cxC6PeFaK#DCF;{g=3(fv4WVIk7+lY{ zz*#OaBam)oZ27+;P-N;0=(Z zBJ_ysew&$i>m8)VOn6f&^HiIew0x!IUXmOW;hWXhM9pCgvy-0O6pDycNYu3L3+UmOfT&kK2Th z0CwrGOm2FUiow#pe?>)8@j9eC+{E+r;i7UZO8Bz%zCwyEW9ew=_?z(cYxCfurX9bIot2%f?K1^?sA1pT=DE*GhWDsT zw&$qlKd-kN_M>SuGbzahqodsLj>JjsS#B=B0aQ*?hZ|gr_`Zq!OU9$n9h6_+%_%8U z;_C+!&$Cx8+o7{C8Jb4HdUx?JM?caUk7rn~^%8s)fZ^KEzi(d^eXbAx@YuJtl^N{v zx~dHF6uoV@UO4U_!3>=-oA-pJOuV#5RLP#5h7N5_{R01&&04Zzh_HIhftYG=nQ)a{ zZV!|uux{y`z7%b=PRLAee6KNL%248d5U0JcqAeCul7G4rBXyb0o+Xl$!d>#IB1N13 z)s-O_=2^V1-y)+y$97`oU!+E<$)gQ)>eK8mwg0tAW}nljq*1xTRzmzL%k!~zog+fw z!)(cOMMwqQp!1`~hzV!OHfNkRP4iG`K_4n@9U)p{Wi>11drr{aF~-_!&1!)@c{eF- z9yj90A!h;cq$QT~D;9j7@_ClSTE3^g9jH_nC0suzX_KrLWp{N`M!$@9CCg>&o5C8s zRd$wpZ4N^nXBF#X2-n7}j~!sNy^IYHOJP{d>l^DcCD+WaOy`cln3`)!l9!+e#q?M|=Lf-1N_8NjCTu0FM^l2`? zE52E8SGqb7m4(?A7&X``iq~*OU^U3smnij#v83rBQQIZO3))>7w9&UUHDe@t5wKzd zf2N&()!+1(JW%R^GWK+dzIx;|VlQz&JYOLgbX-|W^7gI*^oTcZGQmrTcg2hB*~BWK zv)(0^17dNqteeNJm(~txOzNPPJ3^vB?$hk^6^_b)CTBd3Rvy>?##~YH*vgcI4LG;Y z9yZ2w+7)K;4+B}gD($LoIG$nBt|_Lo6G#&IW`)W5V+*OcmWD)dldMqN$S~8gNx#5$ zrOhgZx5RqUaWnVap+Ie^xh}BMX;nnXB~jMfR%Mt(sQ2et-cI0`tmIJ5mm&i)+ zROG<_lsOl3(XpXgtrc6d$Gy)4JA>gVB@;p_B8$K=jccgR<&8N|OnmC{8)qt@0J6Al z&-F0*@>NJ}#}QUf!s#qVLEmy|`A!h^JG(cpLT(g0eP>KqJ<|5_qWl6)XFsOuKH1HU zZWg(54-9l>B~BCQs+KPrDt{A!YmD`d3Z6T-o()oSyLs0?<7Q4l>S(-iEu~Ld(2ajS zs~hWlF{Et740q4oUj3sy{qJMZd_9-d%G;t^zsU!p<0HPUuly*wP?i&Do6a8QJsCCT zga3>)K^}N1Xr(I%A>W(glMW-VJhQc_orok_Y^!_On(xLr;o-ew5+m&UvO_!k@eP;Y zZF$2hYJy6f-V-*XpOW2bl3gDzH{e`63MjMKaA=%;IPdlj=) zXi^P<85BEQ&tbBc*gxtC(TN?N4{L{axMr0Iii3Q0Jg?g%S<%8s5~{L$)D~Btm2T~m zk>_4tWX1-QLuJL{`r+R|=-*z=>Xtr!vlU&v!S~66B?9SLrQJ2UpGW_!gh`S?Pa~=_ z>iCesXAR8#<+>H;msni~+8qGD9mpNla>^XM`4MKLH(CL028%mSE&3hJpee=7MqGfRudoIFcgy1g7RS7AL z%lnJ<@O+EhF8zdk7gER4oz$Ortxcb?F#Cj_YrFaJZ(Ee`i z!SXA%>Z9tm)~2)nEGJb3Cs|;&-XjfB2c6v8nt!}|+yF`H+C!>Ei7FpXo5G!staen4 ztl*zi&tn9j@YyB5D2c{{(hmW@igr~w`_BA$dz#|{))qr!auRWVMGqDhg%*z5Y3eRQ zXE3Nba-~=1-;Lde;o0dWKZ@D7cFcY5*n_-6*XBjl3THd7lRvZn@9AK{4|rMhHrx=C z@%TmD!ko{FnAxPBPWP|JfQlxG1C+`IRMKA^a6o+@ z2z|Q>bmZShTndr_Vq{2yz|Vt+_}=n&rzXVx#)oN~{e2P6^ISrPkdm_iPW0^*1H@{Y z>4dK*cN$sXq_1Mqi?L(6U{Nx_AXkQndG~CCvpb@jTZWM=pR8DtZGy-I#|NR6x`9n6 zfe{8>z-r5y+V3fNuTb-+-&95VZZnYz{Jxk;0(T8xOh)EKra0j*N-jKKUgo!$JXShR za%?QHQ0>IGYH;e2Y4j&6Y9WTUBwRF5*)-l}|(1;fk+*iuFmMcwouUZYw9uO3q zow#ef@T412ex9*u>auFqpmw}7zk*6Dj8leI46+3E-*U2}F>!)^?x|PuHxp~gzFg7nUqwk!hfHB(4X%7QLei$Z}p++_9^aM$vQHje|X4BUX zlFTcS5@W){s%RQTF9}p?`eTBepr% zQPPh1cwMDfFpX1%mTiQVnKM|v>{kpwp#VsNZS8%{JMU{T=C;45gkSR6ziBzkG#^FP zljn*81(p;&RbJ4U<~k2bF1%=|wlCl&j=6isGN_tZ%9gFZ zhdW|NXTzFsb|Lqrj3a`dSOi~XXG(ve%hoS5nBX4*zAzm2bE!{1OlXp#H!b08mr236 zH%{nRwF>4a_diL5j22DX=+n|p%dZ%7k{x;vaKYQdLf?gM_%@f7LHI}koQe=D|1v@Q zn#Y=i4PTS#7d_~j^0_hLLZrD!UWIhaa0+NmQDooAnSLXfucIRPE5&sV@nh*M@phYj zrl@}R@$V34V&2>+Ydc(!Mg8vrBLJkrzvuxW3?pkrbdCnuNY#9~V+2pAvK6i!i;Pf7 zT))bO%Pz7mWV=b@^lEEhSo`!uW1qOMh^{E^^A}aG?7*Xri;i6kBuf{KJP$|n04f~o zNsoU3Uz7yI$cK9u^SiJJOc9XL0IZeeAaePQ`nUtBAlwf}^$XJOsFz*}R420MKQivd zT6wq$lAj!{7eGsZF~y^#X^L6h5x1nvoSkz6m;+bQ%{0O<9m@8E#nKNYh0gFm4C}oF zZ&s3K2M$GJlvi&;trE}X@CTua?4yUL_s%UHwq@%Qrt2H)uk-ScW>cjqXJK5h2GBK! z54kVFY9(D6l3>eebs6;CZThb%I!ql59ONn%U}+vX}B{^ zM{#b$WjrKh4nK{u`ank&MlK<1OV~g{t?J}HrjMHzChg^dx2HFLd79YW^}TjDGisRU zkoodL#F2y(6x2ZSn&<65TGA=bbM z4*{ZdWQPeIQT83S?Qdvqo(N$O7@$RKiV*Ck*M<>yU-j$bm*V%PP#Ril&}y(pNKhQU zpxu<;RM=G9)Yufh7PF7Wjgbg9C%++sZGLQ448t8=Vz~N@vh@2Vac~J9N2H1G{J@gH zeYfcl6vOwamQ3}34{AgmjoKT=-M{rKCowI^6oe*Aob@yDng7Q2L<9gV&o&2YX@^Yj zB&-H4J@3ca&r-K*Nx_MU6}4xfRXv;g(W1^DL+x7LGAkY(2pUahnj7uU|6v zZHZfs5nbCyS#0ZNu z`$w_oV;+nI`QpV{*uwO{Z=`438$l*f&u}b15NGw64CFaPf}K<9R~b~bD4dzxC0!f) zi~1vuoFE5zJ|n?*B55RcJ@AEr_FpAS_5q@_&aylNUfo|V-=l+k3dVe0w?(Q+PDC7s z+CG@BIO7|$L-8MkTRnQgcOW=ZYUquCG1I>it~Sxv{olp(RJ-yLSn6^2uuK_n$U`kq zn31E0z~v)R#Z^+9R(x0BB)Mk2@5Uxn2$HFP^SSUJtC;y?W!F+qUC2>F*I$ybKc(`r~H~L{Qi;W zK}-Kr`FJyblB0ainyy+tP`SCESYFgSE!f5#dcs`ws{!1;-_ND(nDDY*VL-bcl)zdN zox=Wr+rRm?-OBmDR<)zzPU8Wu<@Ol0qa5ahV=#9D7%s;mb&{(F68Q6LRa~1ThL$TK ziB;40o)`YOJMa2Ae1hG>Z}s5o_pr;t z*_bS!=dMy(=FBK`G`E}2GyaI6>-5h?xTaqz4XjApW(@-{%9bFh!*aEYY-F`b^@yn> z2G}#X(A}@{+0Xd$DWuJtJWbpUZLZx$OWfB0o;=~VfODP7>DINpAmPDm?AG5U1h+MYM3D~7Iw z2TPLDmwjV~vNPb)H*dH#muRZ{X_yL`E+}aE^Gw9}c+iCvNVQf;#0UMC1OUzH7!Q@U z7VmK!QWx3V+`~*m{?os8JWlG+uHaw6S|E8NcTMNZNn=TqrBff{fsPFB=yVyRsz6EXZ-1PZ2KIS(B zy(uC-)C-pGw3+8gy)P^=vRq{%-o8xr*O=)b@hsX#N<2n7j4``LcXjL52vSuCrTo@T zGLZqV)sHE`g1W!Z;IH@0^ve7|0dCVzRYFcNc3-E-3a`mGWcY4Onp=?OcG7--2DX;C zHlP%e@AwZdhewjzowX7DOw^x# z%TT{2HvQ)D`?zN712A;Kyo3<<@6SIe-K7z^MwcHefM}+FJlhCWI1L05%!~^vJ!5kh zT?Fi!5O-*F&i$&X4txq4^0Ay7s*OP_M?%s#mPc!BHdJSZ1*u^5<@FkP6I)2zR**hv zBoSPGXBZTA5we_k^XKvksnywZAw#2Y+FR~D6StWXZ?(X;H60V3jI3(c80=%OhUlI? z>haqLczo9W)71Y92ly?;+0>I=XlaO#J7KY8>Fwdug2`j-4Zs$rEgO^as8fHW#o=DY zrn~Idi1Or+1!ahT%=UdWhleHs-6lQS>N}7pT30LQ1oi>el!sbgf8Dr?*vGK1i5qYY zuz>`V`~@zM(exhzSlKv|&nL2dRiqS=Ai}vim@^NJMvgo6!-Xx>G^go|a6#4kr;rKt ze-vqV5~17Utcu4=D;SS>S;Ah&Hi&`lCpuH<4jYMGuth=<+O_csXpcAj#J#E5Aa(M= z*QHl2ew&JE>#9_?Q27>bfKpN!^eMHkFDgOt?yjx0`m9(>tk=tLFfr6>PLfgE7GDdmuu-mpTqn-xlt^)iw5+dR%c-TR+;K`_raL_yz)cZi74dld%NNBodYx??2SD^T zQg^66u!5^MOA^zbxI_DZ3+552i*&1gJX7ja^W3jJJ=?sC8Hl|K832RY<_X?!W`%tUFhRrd=sV7x+hvrrGlUxs|rHTK&W)a}PpvjmZ z>%heW%HZPpUSr%9;r!}OiBq3n<#4d`90A!|hu$GbKTD|EzPjkK$gLc+hT)nfViUIz zWKcO*Hfr5)${aV~EIhB424Pm6H~i~0^=cdIfnj&Z^~+vUP&tN@pUJD&O_*9b!p3OI zS9yFD9{P(<6k%^9#1{JGPi|x`17doB0ch+%Sf*qxSdw&Ay*IO(ir@Nx;e=VnJ^0u~ zFSh=;I|j|faNmXzDmh77u@0x3!M?EiBtBmHRd%9*FDwv*VsknY%hZ#Y^-}5pzpLB# z*&{)WwdVUzQn6BLKp3?%vp3(R^}#DjS>pK5VC%Dt#f&|#KbH}aBMTM>vEcbWo?{kq zvB!bz=e{_O-%R%fTS;$a^snn~yfFGY@O;ah!C-8JnF%J>TG2I7)MUiur6?IyYEyw~ z4OY2NPDTdnDrW)(`-m5`OaMBrwy!hU&Rn#R6M^#;tY`IraUDc^YRF`qkBc*t+R)eQtCme@#f#dPL2JU57`+B47T z{o~B}L{ZP`tr@2bgmAWpMy0UW_H212HIGl5EHfxN?2GA$5m9p#uu6RKGB6uIRp_~J z8%$tP=UW`oSuPk!<5wgGN$hI3J6iKu7;?e|tpZB|08kbwo9|%~;V(@I(e0Vb% zVdkhFeSrmbQIR@EBjF@A2NwwRUCd7IYUJ$iI+%|1K5) zVqL34eBG6&^0(mAsKm>lWJP~oT0Sl^jv5w;eo-Y=qap$UEP`)UZ%s%708+S?d@9BZ z2$B-vJlJ3V{=KGR%a7(SnBQR1{ua>ae9O?!n3~TiC|}MkL2~e&M-5@~0%XDa+)P87 zFQ^$9lMj*?#{6$AR#lo~@G~JPdBt8&!7dI6fH13JiEd}pz|-UVTSrQ+^_$U^QI2tw zQK{_YyORNPQU27fkvxgvAJs$C+1Nh>Q02<~^zxZRgHu72n2d|tv9OE_QM;*X4l7Ic zz`d#VG3&GHn(6gMA3<&xy6UUnR?yjG17$Y>)|)is*pnMsaZ^mrE!{tA1N8QCY3ZmD zd`ecl>o}VRG*WaT7XZB6l1l2i;6rZ$&RbW?AyN`J3Cs9VmkqoW+_ zoX(-68v91f@6@ZaI9iL*>R!!w`i*Yl;MS0GPzc4qafU}6#wd53qVRW#TFuSwEXJU1 ziUH#waLSX%wA%+s29q)!G2Q=O>s;+$AaOMV*Q*Q)l691SFrVJ48p5`xU+p5LGHk0? ztqn~bj()mBzuQEn&y6s%UufUkWg7#F3HfszHc3;k=&z@a6eDPKpNr?f9 zEa=E1oe!}d`Rjq`%=2=NXfLz4RK0#BmW`zP^d7s#Bg3@h^?KH< z2ki!(YpNOlE-N~Y*YL2aQC)cplQHm$m+@V5Uip|TCgb~eYdS$P&n4JSa#bm--pi51 z4!|QnVOu({;&3>FnX`fvZTEJCPS_S0Wg-_G_GM*uG+Ja|5~>$)K9@~|RS}6qI^|S< z6IG-kOr(_Sxzd{W`mW{fT{Xd!E5_C3g=sGkCpVf^geSf`W>*;3%qhQX3-Vl_&|gF) zfZy5lYyOhk30w8@&(qAW0Xw{pRrbW$(to6)p9;EzLK8f1!1woOQdgcwM;0P~c2&H8 zYQm=?Tu9-C57@8?ur|wQyg&XG$e>)+ZMMvxihl36Nl(6irs?63;BEsl)J9WkBY3e3 z=+S_EQ=MkOEUqU*$p&v*<5e~mD-ZcOPJ`LPPrm~8b1llywXeg^{mc_cFA=BKYG#MOb+zU~Ruvy-uNvO< zon5ZX?CYujw_5Cq3RU6Q-@P;b=pxwObhTV!Zh8I8=dF?p?edy0_DYFG72y>f7bdwE z?jgSzC8DyZZlxS4RY2?{;)8hJ6o53_Ho@++&XJ-ba(}pCggq|LPpewnsWhtJ5fI)p z%uzh*!mjCj$g^=f%L{#8fe5O(Oa*10G-J!Ap-O?Bona>i?HWHo)&CbwXBpP?8*qQg zkwY4!rE`RIgVKV4N;8la=@>}2N_TgObW3dvkZ$Q1qd{tP!=K;(d7kUqi@n^7eZTj0 z&iy&(_+HR{uQq(c_c-4;DPdn1_0-IzwedsagQ=vFx8p3O-k_7=c^r213-#o|&0<4x z6LzG4v1$1KTBi#xC459PH_v&wHKw5To@w@GgF8NDCpOWUvZa>IY%PE?o|f2wy1ZT0`{pYE*B?R;7Y z>B1Rcxpunx{{4Sn;$-+mcO|8NA}Q5BfBt3cId9bjav0AGGIkwceG=y@-ZJ+Ah*v2CGTZ{rk{wM9&E~fZ%Sj9Xg;VIIt}4i01x=*weLvkXQL{6p42AT z`xjQP5{eM2I-D&D?Db52!XH|=gyF$5VijQ^-nI4!nOHMn+N z`c>giEb02C5X?zJ4w%_pOWCI?85IpJGxi-SGTKNcuTDyE8$Tzu4Ae}oJ0mw?L8G4t zCU@DeikfK7m)(ebh+>B0_IE`wSVSS_;DsxS^lwTdm+|jezP>;E1*E4F@Hm-%18h*F z-;~kJ#w=Uw{Ba*+ri8AXcI5n0Kj9|M9#>Fa`FmEho7+|7dzKsJY|QsBK?RrA!(vo8 z5!!zR>aa7t_WJ1Nn!Rp6B`((z2?8syki2;j;vc;Bn=mt~<{G#8tLvtIPS+(Liu(>f=p}yIN z5x|przbUAyqK3-)uxH;pk@0nhbZ>t5O^p_6sNSaoOj<8O%$?RxO-T zLfrNkPRb-5y*K?_%sLo$&zGbwxz~2vrgWnznxsxLmZ<)DI#oU4pLa`@>FlfzAqa)I zJ~C!YedSnj)X{a)4n09e@+GJzu`kxEpHn-l74k?LPRu=ayfdfakcoihq&PW45wP)Y ze4w)n9if)kX;jmMrr<$A)scVS&r{I&y?NgZ>;o2(w!EcSB;KB+GAG!y# z#~m7nLYdh|ka#x+-^aUc`woxMHaU`i!e>v=WO%RW=V?bq!o8`)-i6ZM?OieOR6mkN z209B= zT#M(Go#^T4osj9&5%Tx;48Q|j-FnonJf>c{@NMTLM2|uWS-323zY2n_M6?F3uCAAj0c-T{as35`fa)ON(A zNM7I{MJom5uS5YFDBIZKXcSX@`a5EGX5&OWfm43M3#|J-`|2FAenh5Yvy2mC*ykgB z6H4eeNXZ>gtxy4Xn2k2ORJt5R>e6iMM2jm5p3z~ypNEbPUVW5hxN*ZDEHc>0%!ic^j}=e3mXqF^(f^HJna4*vg1+GPJX6N7_#5g@0oejj`vI zJj&gq=L{AJb@Ouo?qY_j)?C8?GeYP@iuqD<p$3}2Jwxd3k{e5>`w)K@#I3<$rytmLLeSP)#wEltv zm}Ao^=GA=YWnCkYYYcE{Z6y7?zP*K%_=cPveneWv_JPhZ`sP~B{GW}K`lj4Q}*2sb(+EA09%$+PdgsU9n)s(YC~5(z&Q%47?}i>Q#W>I zsKaaGy26*C>G!>Q-#HWqiOPYi`+1WtGC`%rLCTNu=6TWM#)TeSduRZV(o9%teBP~S zR0q7sn|<5J_Q^B$2!nm{D*M!7Zg z2=|tF!^8`{4s?fqE$k&0qTX-aJ9}fi7Jc8velXOHDf21O3M}`oAQ5X5oBKqWateG9Czt3L+KFs^INv_z!V0GM$oOe6e5J}Y*}D2U;r0I42E>r4+0~CGd)HZ{xJN21h-hz1=Q?fNTR-B(C8~x ze7~YUcKs8_y%8b(;Un}0z3jcgB3Sd-Us)(9ubl=p#t+&IKw$hi?%rn@ED}%rl4D(+ z%gamuh3P|vo_M|Nv|zHNUP`OqzrjD$ltaZ1pyXbd&cZXW{A}nbrwZhgc*-L_b9(!X#UTA@uywxES@;Q4PR9=dUQc_k(gS{*;ST7%2&@PyKtF^*_Y9(32Sss z0+rLcY`@n~X(Ib@({v%vap~{ASzufyVC|PCj@STM&d)r}Y`Ysi86x*mwO*(u**Ahc$?ubP~lr_?{H_ zA?-D8r!?6d&JN$PF!$jxPr*I_I(xmfM8FpX;B!%1SwCfHe#lsTVRcBCllLu%GD!UA zYh~gfoveq9=XmXFeYR?hfI(+y=O&4o4U!rXwZpbC$(HmICln_}4DF}0L5J>#me!-q z1i?Fs0qRBV@F@r8Qx~ zu>4KtgqC32Mcl9m+Lal!`NLUVd=`1D=i^@W>R8-x5?{i6MRY{&-mu1z0mQ*3vdNT= zPKQOPqd8VKkex>AMqScQ(d`du&`}`@qg@c=jI#Z4K%-;Bf1zp0aX|zII!I&{iiX-| z2K(iY`AgQH8C>L{O_qWK>=5E6{>v4Scc>W4mbE6$lR`;}fyPVE6gE1K%2JztMqZ5l zPBhaFzuSuxM8{tiNuL_2^Pb2$RQKDHiSYH494KC*=r;mW!s0&|E^dpD_q_oZVCeZzbb zGLBV}9v8h)0=wy3m7p2JMa_hH^~FIdtiF-^3!+u?EQ7=fVnH|JN;8zAn zuc6xM-pD}8FkIZU&5nlu(suFCZnYAO92y)syY^e)TSy(gEjYI%O2~@8x7?X?d>RW( zUlQ3#b=97|$x*<0!*=xQ2lr);XrxdCaZk}#%6#j#cDaXZ_>wlQfh40i9e zccH;VVR;jAM$+9Z;XKYR88kq;3DnbtWSyh{?HyGrPv`67K1fWnh#NyOvvU#_(Lvn> zr(;ASSZ`L=7koUycBtsKigAYf;tLv213N216Qd`vkJB}Z*=nF_U)GSaJ(RbqoHN7Yrg2UJHLmAkJN^ewQ+E$S)*&5#jSo6iJ zVxd86gfv2tY|s*+{IGpLb=eRPt!{5_hbi{CCufHOb z2<+@2h~`dyUOZO3_cMQgh`cQh!4E{|G9?SA>zQjK)8dbat9U6d2% zQ0&|6Bzq)&CpI}|n=bR0(%(SV(SIIL%kT;OUTKBb?QH-Dey-d4)>cC`Om;oT=&7We z0dtme8GyMsAfa(FE8b3*^H$Qth*ruzYUF+60w<<)W znF9n1@6_V^k^Zz$?{7x6iwTs0-*!GX)wj4dF_y6V zR&}xIwY*@(7-~O`!dnP1L!JUnhAK&!UsMVwLd&fR-e2U78A6V&=Ea6BNK5PTIjG6} zU2qB$1F{|ezAKJ0WhAR2ERjHvw2sv3is8z(jv6fniQlEmepxtRz0~*YMQ8++IRq=@ zjY*dQz;rC9E6XUaP(q0cL4|R}3>00(3loak2a#qnKSd>Xw8TkRqhfjaEdi}jv|HpqHC(|czd*#OlUKDv6d>iyNP2TYk1iLdCzga2p|K&91t6SBy>-^vFr)e+Cnn^I^NWsUYyDS>&PecK z9CZlTtt%$1JwyX;EDvuVOVLTgM5$24?y2EU!0O-rl>ksNA=|l#r zPSi6Qkve~?XFmaHw)SWAe`}!hV``PkvTo7J!v(~y5on;;g5rEv(M$D!{KfR>yej=q z`zj>-ito5$dMAK~Oaeqi!`^Za;H}4c&jqw#u0?E*Z}6U0`7KL_G@e+Q3GuYgN{~Pc zUilmr;F^4RqvAW0PQDv#hMcDLe)VjYFR@~%NeZKgIqY->WV$Gw&sk!H%S^+nm8tjP zR)YNsZpsB?J?T4*u5`+6J2x}za1Z#A)+@cpM7P0g<_|F443v4Zi?+utweA6L$e?A*#8j)xvs zzdjJQB+MLmy|(IzLB(GE(wTNrw}SB|bL%Czwn8M7-E_K@oX)t7JK>HrJU=y ztjFvj<}vOMC)*Ng;Y9G*3dE1(;)EC$2fiSD z3yDZJd{=k`g0KFa0|&})|6suMd{N(L>r=Dx{MonV@W5flqY$Wk#6Gvd)X}0Njv-@b z4rX;Q#o{V%!*?_F%1orZm)BK|NU%Il9@Y!o?90B85Rt-3u2&riL5qp-cAlbDp~lp{zJKejH@bWi(rF_ z6_rTblSxolZsEi+PPWGZcStVw?b}C=Bj?b)Yf;N9o6u^z@aBbjTffQM&9RB648^a{ z)1Oc3VGVJ7V|F*x!J+#MkeoTS_w&}4LG`WRYD z#rw7B9Fc>&=i*;M+NYqi)|OHG*+Ctd#wUSJ^cV=`l$Q7J*#eCG5$Vsz97nf(?kQv| zAwM+^xgBIULo;0UigJ<-1Q>!2Mj@*HH}!L7s5Aa0gX_&db09I^(t!zm%21{)Uz*c7vyRS5f$YJ zfm?9JRl9MELfE+EPU{#E&d<=;B-Xu`i%jcGdvHkHZa07ui_}4WD^wP3EHy0qEs6NB z%#Zv!wuo=*4CpI8+oaSfO>2nbZni5r_+RAP zotOLNsZ5I{>L^oRr@%i>}V3CD3u4z)nv-ND^-xC?+MZgY)Q>6rd{Y;T=%)H5RaOH!;h%SAFf8sXMH)nUKD zcTq8qP~A)Er`8puujp95L{<^@}p zngF7phz*Gb?|~K+DF77Y#h9khwd7b8=m(8T&!Rkac!FuVSkN+YPOw*w+Dhi$h!L;@ zV)9~TUUfG%^(9?G`NxEn^g=GNBuG$H0Oyz{kTNz> zAn7TNr=`@D7FdV2I*0k5pads~%emlcvj~cuLyxs^!bJ4N=KV+rHA_b>t^QVjuDWfP zEv@)0+&77sL;5)jvF&-Q>Go??I{yeB|3hu@>s69#FSjZ(hW^xG3_!8jG8?CtQw~|X zlnI`xml2-nS3NvLIy2UgY(ns|PjTK)6}%Yw_~7n8IyJIdcpt0vu84|}$<&5Q=3qZ9 zJk!4#-hx)C+Mcl~yh*{Ic)?yOctAfT>~Mkya(ii7r{RR);__m%6@N~#pAIJV+IWcj z&Ni5H3)#lu#j$-G?E_;@GMZE|@?5+I3+r)y|KF%2BUbrL_U_P~l}?RY>IKtOs@y#D zn}`klW2X7c(xcM_X+hVSkGm&>N_LlBUw6+Yv3D;otkat;ODl#(o|;mKzDzJxe}S$^ z{JaG2Qsp38^ehj6;vK#bJVsyT=uJJ1!>b?kbLL$YW)46p1zqUkhH0QM|9R${$Jzq) zc}Sj|^%p6Q!maIr!1>$F@0$S2kt$!#k77A(tq;Zfy%ECK6WE+-GJ1HXxw|8D_PA+; zM(vG*ekOfbi=JQCt=3C6sYPeFA46=S*V6Otr{PWj(^dVUB>ZNkG!q{nS59N=h1zsm zcX{OHTE97G|LnQyqBUshQho&=!Q>wV;%>X#9=R+wJLck?D?Z9tld83c`L_CY?EBpE zdSB(gYN@;2v_V*rDHvPi!M~LriJ%3IzqjT7p^&BW&U9J&+EMokjv|aMYf8xP2B(oQ*cAk83uu8e`c@S)y zaKzumS&tG(isblw&5*?bT9r-O+|WzPLlPjA#lNoKi!S&D3+-Y!IA6lM(*ha1pikL= zS&@a|SyGqJa7O#5PW*}(Zua7NTvsDXD$S%xs%M;$=*hY_ovNA3e$cIN#L>4@!Qz}_ zaM)hJNW$OOE;p+GQY^7;3C21L$G7YgI#076m;D~LWyY5M2DNi;rxg9J`%a>5@_vTP zW?)d+|EVNSnt!{_V;gsk!%o(MdQEnYncih1MhCq6k2tQuqF?7mOFsMSrA2e}N)m|1 zI1cCr^RIHeiR1e5S@-i~leLQ{2in~s&sD*)pw%JSm|Se|-w0*z;qQ-G|L8TST(jes zk=j?H$HATgTiwkexZ6d_TUbbV^5P9tkaJS~H z))U_p%(@vH6l;%5*)muS4H7Su!p1disT*)!;06dw{lHkDEQ#Qgl#0>I4pX)}q=cUy z4K~EK+O1z0bEY*<+0)!@FfX^!zI(8DaI^3z;qfIv;1Zd%32EgRw(H(N8&xH^V#8)V zeLM=I`~%8|jhmIdKSAMLnVgx`R;%@Q^z#ro%TvDi4~wi$^N!vYcJWchE{1FslDze< zu>*)Z1#!b9P(<>q&J&@`!28j^P4oI+A~&$VQ~cJdr(Mb$(k(wKC-*Jz2~HUN`ojxsDB5sg0Y(N?*M!Q%ADSrs*;Rd@>d)=Z?_^GWnVW(YyB{V* z?8x0?QMxB%|}TuO~}G&dyg4L z=!7BTSs5>vU0YShlzr49N+tU})~gb~O7D3KW>uHNYw7M8Bf6vgoGNxFhVwscN<*o_ zmID1xcmHS&rBPdG&LC2&vVD0;5RqZitv=L}bs1d!7CiZmtj|e8K{+nA$u%ZR9y*27MyxrcP^duVZx;j-Yf2Wbn`hF0$RM+n%rs zionG?Cr!7aj}=pZ3(rl~s0E9#8c#NRWo>5!tnO;Su4xJ7fcXR{DZia_XJP5|F`HQ! zMk19F1VvOQ<`+Hp+#s@l!g&4JuRYpyGVdgig==0S9b(Y;M&$KhKw;aIH>+9bLp`60 zFBZV0Z55rp_3fZ>eoI!>^=~!T&FbnTzDr0v)afi)R`a3o{gKYH5jWE69$E84MsbBb zD>qZa?j_N%pIpgs&dU+ySE*l{cW%8JWo#<{{bq4jj{`@w=ordOtF_85ylK63;Lbjp z^lsU7RJEJ*nYh4XT0 zTN-w*scMJN(Md>5X1m&?t5mrNXj!Q&*K6_vsM;-P>`l3fa+{)7D!ejYB`1k2U;`L> zNQZ$olz*l>G69RfqKlSF4zOmA9(g!L@89S=xJKv^od%?3u+n?Hs0> zS}z{7PaWR2`wLM-WpZn^8Jkp9f8QJVRCaulBLlgvc9j|YAf)%ST52o?YumRbTaR;|!Zxu4@#n?$oU^@i`K=|L%`=8XHqviN&ifnYnv60o+RTzCS%c zw3af^xf4F?d%>)G0o|e}*b8p4$r<2nV5k5Ly1vboxVf^Ewer&A=#y}&T$gKGw4-~g zZv#IqA9&;i&{UvAk~*}5xJdZ1N8a>(lf`RzM)&fLZ~P?GozJ)7OY4(oz3m@~)Zq+*`)KOXT6u`h!{-DNttxr3d`)e0c)Hz@J15{cV{?&sg>ikV20{wgPBQYLWTz`^25w zSA4jV>2#l-@nT)Dji}jxRN6Yx5qYq2^FkOL%0Nac|WREVQf|A|(bwKJ+=?J-^wZO|IWQWRN%UqE}keD4=4A)kZcu{HYysxHq ziS7$QQo{aL_(qEo!Fr~BYuICji!~Rrx@8;cGrp0Ws8c~w`J$=%@uCed*PG%^a9-T zDm%6*hK=(+J%H5k!po?XTWXnS*~QTf0}}=dJ6>fpM1AG()xpHFq3Kgro4(mAhZ#Nt ze@O1hBwO}IYf1LTp~%1>K)1*~bu{6{ZmczIWq@u$wNwN_TN3T3QD=Qv1JVJ0wa-4I z6;Vx)^muQ&sFNW1Irr|y*i)*#a1B=Y_Q%~n)6G(dXPoR^aeBi|;mL$7w`|9@=`H~b zKNgTKU>?nHT|q54+vIpQZ-DxNVLZ&e7urF&hoSF9b6?=#NDs5M=2^OHn2}C9_6#tM z?z5M!utA`i>04CEEY|O4JUcw}>F`i6I`Gq$O7iggl#M~;KZEC&H&)@(46vwNDW45! zQI}Y8OLZUn!oJL~_i`lX3!g0YvF?!^*~Q@g*g55jk|oa$zxx7ot>Ww%80c#pM+DY? z2%hlWVFP%r?U{>ZN#*%K>Q^``!<`s2U#cslu3AtmRX5tJI`6TCb>+q;W5)jVu+fcxH!*?p-4`;%bPy zQ|I;gn}@M(+0ePV(Gspw*J%F86QE3iGBylyo#xKDYa~IbS3^{?F6*UxVFJoqg*@%q z7%K+c4e$-`nUW{P-)bIR2QtrnCMAJ)Glr(+sY2!>yrFzmSY@)`U14`Yi^R^6>GBzY zq}-F{%Cy>M?KE^&*<$ zzYUs`r|~(H!s>QduP{t>*+*iw{sWIw>z-=7+@%o+wat|_$@G{D5buYkkBIO4(LhSE z(%Iz82My3u<41G>cCZUSs zk>#xZ41Lfj^iS9#rIKbdF1zNjV!tAlyqsE2$`_@sI^?x0-k=#7oXVPb4u=PhLq$k$ z{x>@*v}Q9a;t4Glh+60CqD0|TXMHoIkWzXbDnJ|J67xnNN`N%z+9^PihCZL(JS8#s zWfu(39LI^{gA0kw`7tNMg3w7po^$E61X`?p)Vdfh#`&v7V6avRYp&IiC)^o@JB{LBnA#QdrRvjZbF<- zg~9fF-(XHxcHr%&d%uS6qXPBXgYN3ah73SuH9jKfUtgAUKaGab}6Hga9ti4Ro%;tuG(j+=`w5 z81lA(hv+W`$thLM^Jge|DR+gCKLK~;v-bBt)+^_Ae)~p~ZPB3?S{&=iprTrMD>=+z z>K|2CbNq^r0mroNUJVRLgLWM}$Wd}vHxN1(0ueWCdq}74p&qTO1e|&hh^nj-z#Jk! zs;pK?FNioK+8aHy(bmqV<&|M!%^;%XN(sBw8~`^*MlJ9p)N5Pru*Ityy+I)EMdvdD zhS4Phr7JdnHmmd%?#yX(4v(d-eJC&9iH5LTrq6D4%%=4B5S?1}DCZ8IF3P4v17Zf_ z*A&G4e~%C99MyKealKQC-`F)wZ)}n4<&omR*rrcn*V`Owm5v}o`1oJdZ(Y{AHXL(u zjJF^*Dg~Y@ul715W;>l0wkoGZS5mvKhl-uI`JCE0`mUa2-7J9@fxm&>)wo#dpuALs z`$46iRK0@N&)Ca?jWj^L!J-J{`=!jBkHB9a5Mo>~34eR`Ox*d*W%skuSk!fyG~o3| z$AoqWBAJuuQifFJJG$yFHCil{o^w~HDn>C)%yUe^yq^Dbs6^W3M)7> zot&6AvCIU$L%ADwECs^~^LQq`$cXOe?5-rQfYK4b!Yo!s(!0YXN0i&kpF*1X-`viq z6@O8`&Yn=UW56?uGQb=S64IwA76>9(V&_jl!ccy+8hIW|>}Di|MprW6*^Ost=xhS- z)~0&=X!Cdib&nJwtE?qOZg{&s>jVI_I21EFyMQl=zDj2imeOYfh%28wA4geGAt6Vy zi&sGv(hr>}n<@R7YE}kS06GsLZ0&meN_OE9xt;phGUf)!bxkUjzMG`9-_C$Ufz#IB zZIhVxJUsKq)shqc-bE@CnosIaaeyh=i>b)Uj$?sTnvYqf%Aq8re*=6#H~xbf zdLaZ5GjS4Qfct2VI*RXPcOH_kAAZV39tW9;&QmaM9!}_U7ku8W*cY?=Br%-ioI+tw1{_$&6u&ai`U~Q4g3flp zWn{c0yDeFiV+EaF0xcjcN30di@7keknkoJ8T27(VZ>dEMJo_Cm%e>~bSLw+2^RPFWtW z^)?H)ZTf}&iVmTQ*)1n~VFOvx?5tWtT{f|tu;P7l2Y~O{&yxv4AEJT3gJ1w>E?pxs zZ+PxK&}2FVilodG3}cDBFl;|4)kF z#)>&E?BlCkqB!F=4 z=y4Dfq)zUbUv;YN4!iX+$t8DxG*W+PDh|oYxh&!Feqh~|YM)M*Q>%jxIf4lNx^XG} zsqbFMJhg}ug-aBQjuS0cuQ%Wyb&XRJ)F^HR+kDFE8MnMW6G7Y560JnIr7;{u8NzMgXpQwq2XprxC-*NbJXoqU8^{3mR77ege%#1=BWDI* zqeHBki-i`%Hb7VBejo_%GcPFV_$2g#DdLY*`n`6T?T9oBb_lN*u-D1N$NM1vgiR>C zj$-Ns6lMygB;-=#oN#7d1HNni{r8bNxz(kLVCc24N8)XNs#qt9Z71A%j;$Lo^NvDU z`z(1F*Hh#y1=C{O@oe@iq;*1hn|@GOS-+P$oxqP%Gl8~;B5AtiX+HHRigw^P#u#lL zfPmfd%R+Q}WHs`Y>B40b|m(-%_poyD+C z`(mqoYqrvE%@hEUqn)%hE@B?QpL{q)$)(L(&TA|h)HyzE2b*3ilAZbZG5$V$sTCaPPd6-xF{sOe)%oZlOt&Fg8PS ztF+F2TSsz(gx*$)`bCHgsj(V&jSxv-j7*#v;LGbdj~c*y>bE?Dkq$-)FUA`s=dMN6 zIZ{r;3-=YBX^;c9@A&60E|_0WJowPJY9E6l%}CIhRdyI~ojYM&w7Uc2?<-Sf+PXiO zJZJL7ktMRHgfmzcm-!y!Nphc2`eaRjZL9FTW}yY7TQ!*O?eN!&NTa#E8g9XlzJGpn zD8xmygyy7$+|CV|Wd;*1Kjk_c?MLq zozpDx!&CM}g8@ID_C#syxYzd=Vk(8QkjE$~s7i0UVC+7mM!PVCkbS?xdd#x27RIFi zp)d28Iq#bbF55n$Lexn2>G98BA2AK;q@&!y&;qyE7oYjJG(W9mp7P7K&YF*yN%o{1 zp6w;AKf~GYd8)6#w(;mZsv+()YK*2Whyep}{e)x;;#&Yf=oQV#^s6VK`(QIWr2aQa zkMbaKR< zkt@5rpH6Fuwc6p`f?fj{=(NCgi%92f0kyNXxKVhW1w(wXUTJt^Nip|lQt+L60UFQ^ zyE-Tv_W}5z9@{LuUbHP;FCI6sWj-J}`5dvbdMi30=|cu;Pg=R& zgA5k6EEZobE?REfE?V9E%dXQkkL$bO)JSVS`e2&gY;>E_rYChy>E;A zLWX?>n=0a4T0bI{QS`mB!Zv$s&$JAjzq~V+sTQDbR+(jR>pr#J+mE!2$gL}%{rBS7 zTeAI-b7V^Rv|H%5wf)|-gvUO(gBev%hHZ*7{9_tn8gprT;d@YeVt#=$bPn~2?L6`l zJ|dP%gV+U`?inr=`u@hr+{%|qZ+6Qsf{ss1ZbV!zrIH@=%2CMC2k|YSagP%f)AT1E z^3&XDB0=M?HkcDde$onrae2IUGMW>5De`fIK-xH;?Le6kvRAZWoLX+S6#1mu=4jhg!0eR(Bs?VK? z*=D-HtgnQ7bEz=Cq{>UuM{K`rj?EP3>+*>&rnb2IDAto1>%oX2!Jv=C&9ijs^v48q zZTFFB^vCv>U*V&LI0g|p@QbX*7LBu=;h=o3WF9^~RfEBCeF@nYI;9qA3)tmm-e1Ry zqs!h>r^a=p%UY@$aSzj-{K}pej!YT0~N`p<=iYDYiug2TC%^J*&8)1!)F|T>TkW)E{!DuHVpcroPu)d$DtxYd>>w_ho9#axH?? z;XYq?aBNKPYo0rL`YVn9Pk?t*r&C>1LOZ;Ds@m&(BP?OfZv_!gJ3F8yrg9M@mQeXDh(J%H ze|sa=EYoin9c~44F{UE4F*^t_N7p4BCM5NXg!B%_M=XEMY!9jJYT=CE9MLI@)E>uP zbwRS`VY5$}DU|&{eGjAw3eZ{o(5b*g|B;qCP~0o3wUb$&+4c#N5BUiO%0KT_iE^1H z=%BjI=t*~en~kZOw*(pByb&jiL%!FgSfaKGH$Fs*&D)zqtaW(C9`|rC1nDt7XSa4X zM|$8|VZ&oMigA~aWMDG7v%YgItZH?fa;jKwM?5g5!T|<4tD0}Yhzo4Fm&+;|6s`O{x3V4yIFZq=$D_C+Z(nf^H$sN1)$&+Cq8f?e!-*;K!949C~uzS`-g4Kcts9*{%CeD zEOo>4jlH|m@b}My zfrN@-|Ac8+^#%bAXLqijRxgh<&${Q2sFiAi)Z=@a*GkYfrErZbE&~i9@}}4rSPbiC zBTSq*T>YbF6M@Ph3w84fANAYA#31oRD^!%R?r&f=RNj?My2Rmc?da4wGL^2tDjYbP z5@awxnGZ?1D?4Rusr@V0QhLj{J1wh@eLMC9`nhMq%z!b;(WzVV!yc`c&05F3KO?Jx zGy({Vc3;?*pRO49RqFn-ygb;BPUUu*&jq8-#)XH!;&ubJiz_sY^lv*$_Pm;=8v!{R zdjbN&*5B|OFQSE@9g6Zz)z+*xYk0c2xX$5&hrhN%66M{&efWk%IH!xjnt z2M|y71n=cnE^Q~h(%i6+vMr_7v9nQY=ZqJZPtGInO{ufgy8wUghB?cMjW$GH6#fij z&-3l2iVz}IGB%qdb(WyN-+Cnme8b33j37VQ(5JN*8;R4!HNfZiK_~TN(OF^O_U6~@ z$UA$q7LM22`^^_okqy#bC-mQ?G4F%4(u4o%O?^@+%|_iISBdwvcO>C)j+N-KCn+*@ zmpF$4&W4`|*MGlR6bP<|1s-)nTzv7dDbQkF=$R|AZaDn?U8D-L_bd5DEXG4AyH5>- zP%cnQGax3)0HNil1v)eY9}eFn6VNWIDMf1~u3D_>s;S@XIZAh=*~bA_Tn)j3BWJ0Pt8H}2PM6wW-x?HtFw}ZwxaAsmaX#%zm&_JS3e6~a z1$-!M?{cP=zA%?1jcp=bz;g(lQE+O+h&nw{5-qm1_5R9&PZlk>|hCNZGeT!wDtQNn>n8 zNtwwjP3n4v{QW*aS()+?xQnxe=E|IIO>^E6iuS*5Z>r3G{aw&wDp&bqy`HO8y6z0N zM`uXe1?u95V=g7UOnj-SPVl_7gT_bZ!W+5aw}YqILAg)re7J1(kfuDtbKrKXHIr+v z7dP89c_I4ud_JmUop)gi-+gJ_5`_|520Z2xjTsT;F_jX~)j2>pV$R(sItlpW2S z#%9^~LmdKEV%LdEgSsROvn75+iQ2F89ATcYFQ!Mmrn`&PAb)Ee!l!s?8ygZax+eBD zWw=C;YP12Bz$gVS+3?r5UJpQxgLl~1H!1tx1KZ#=TO>-{QLcyOyq%p99FZ=> z2!IpLkWT}-c;Y>tdrp)5-n<@iX$|^sl>~)i+%VX94xCjwMLx5Iyx`=V(98u{!{RVGXCwaWyB3$GURf^FNgJQ zOutpO+$s1(-LX;A-hA+LgMlwz%e(5@E9vAhng@y#EX46u02*j6@;vM4eTiZ~NH-T6 z<8(rKx=`6}qVu!Yqpi!5EcgaQi-dq4rpQ%HgEHM>A)q(1$K`_%Fsk2j>bv4gdC!Y5 z<(ykIYOyybjjy)@cSuCIEOEOdLpXpj3m?xu^=q5fc&(yL!{s^GhDb0Q71-TwC$(_?K&3x}ZwD(| zKukO?HV+#(D6bb8b0Jz7KzjWG4@K)9iXn&=)Tw;?xkz0slH|*vp_%b@!3Qz1Zq^M+ zOSdf9iFhHK{wbhr9iQ9x1H#*aU7$Pt1b!WMts*v2lH$j0Gs6w{t?rr97-5G#PU>t0 z6hFg_vKbIqUtwT_VdkjcxApCpTX^BCnBSOx8LQEq_Gs?-(n7#62j3CQ>$FxJe|eah zXEzYNYPs=w$1#B`j04{PZ#RanLG=&_*s zzG}-g!(;LJtja0)zdmjyLFbQ6UwD_8TyvpkWm`4UmcbkO{2ZsQUGpAUX_3l~);&z*G&zpl zDWoKw4KR>_cVzZ8M05dJboRAn_3(zWrbuM^{=svoGE&^{ zXcC|z!6L_5iQ47LD8d`<5ry#=IZY^pm^7jhL%SiEBKTsj#i^d0{?8%veIck#Uo=?BiP zzTQDXMdrybCeY@)2SH}_y$r!%lQ5WA2)Yl{E={0tY&TB0FwW59U+RiwT&_xBW&D!E zRU+^d|8#7?ota{t2DXt`cRKw7Gn#LdmO8GpTlX*oCZoVpg&`JseDnLDv2@+%;Flv( zTbF~CcK16bK7$#Y>q==;PeCz|g{db_&wJKTxlGYFMd3m?otg8BRntwB(S(3-&ZUyP zZs}a?jn;A5MvAo;_u5CIQpXH{xWe@@ zZZc)zmV_r(Y!)K`-7Q%|xJMdF{ibKeXC`$h5nOhpU|{`?$E;J#)&W>mvua}5bA%5f z-0w-k!VBpiY+nrpC2H^2B(L*QPelk42NNyh$#dKSEW8`>>ev=juRq2|T0$qGgkK1# zdXo0^iJ$ef;4=xLiXKSh;;~*+^g%0BpRfi~+z-l~U2zU%N@Qg^@wrzVf9l>3w)LC* z-JU@%_*LrbGs}Ks+Y4n~W;w>>sK4-tWSzh>d&i$#<@5LvHk~pV?3QLxsuSyOXgXIpRy!(?0 zS6DxV`!J0Lb~F|^K$<@o`Ibwyp0!AhtkiY!59%^y+at3z1|4OCtbRFk;@$C})8XZI z2ah=p3ap~F%{#9CrzxhYC&3l1At_ajr<=+CPvW;X??%`i$I{ab8OpX&W=Ne@lGaA} zUUv}7U_WpJkEWm0mQZ1`ePoRTXWyPMB~J=hnC^~wJFA8;Mg(eSH;g9-Ue(4fZ&u=;0OVNmTPBONyAJqe|vNLph`E>W|u7g9@ zh3^h?+0#0UjhW2@jh~+adDW8xJDwFX2n1`6B96XV)-8s;X0`}hMgY2DGoJX(F~$PF@P@UR+`u{-|lzj^In#4Ttj<93Sw zpZQwoh{KuXrseefM17ro8@jqN9K2Om;&e)h6hh0(+&zBekl{rZ+^ftpt#a?zU1btz z#vfZ;I}tKgQW>EIL?!_g8)1kafqmFcp4{ZUHVcn{SnU9hpl;J zv?odE#m@e*&it2SVh=k28c&Oh@>{ieGyN2tkKnu{Nf5?P8TH9lCCB3Exw$*w=pY8i z^3y$Tg9Nd&Mc+$s>iq$ZV54VR%Mx#iyb;QJC*{m`O4mFR^JDTRySc)uIuzjOXy~)W z%B6);cw9BVMP0T13c&roID`M$8opB6ClFOf?lqo2Meb5?D>Rzuj~zOeqS&XQHaUqz zd8gp_Ti+NdOjsp*_;z}e18ogC;$Pw`bx3ZATIK&LGNg4^u8a6+XMPaFwXi@YSW}%7 z71d~Al-zDwXnY_2tO#-R5Qe@yop#pw4|bb}VdFy~c<;^Uv32kF0|JJ0Z|qOAC79o} z1-&|#dlekECi;oSF}UYB)v>MSMp<+Xe-`A$|H$0uawVkDc1yJ;S`%h?5oK{JL%9}H zga~kwQ~nWBS6oMrqQWYSKUHG3atNXvJZqoVwLMirZxCvT!_NZJAVw`>ITh!4IOXoF z6M-gSG8=&F1MHpMCWztKmAkvtO_eS=MYH<_*AASB5x6$UftX?*7>xFNM#ekDZe6OV z7)}9R!pHxOl!+%U0LtM=e8I*;7JFy8KHKG{?B0icC*>d*fx*nNbXlI%=8z`za>u@d z`Fx=yIkDb&huUOK@b1Ad-MeO~^rfYV9U~Ct*3mFORarV3C2G|=9+!z}i4Pfl6LV!A zRrLC&u`+3XCh{6T46M>z=I8RcHN;&Chnp_ZG9(68HuT(YL&yE8lY-@{ES1y#Rw1Q( zM&~Yb$A0xKr@a?^FTwNuXzYUM(ew*+(8QpwmGG^5|1kQ*vdsOSq0bX^xqz6`=~pgt zaiuG4^_d<4)8&9?cN>-mJnhJE-ARD}hhx`77sIlkZIT(p-+}X$dFPpn?PR+nhtxQV zf8MV0r*$Ig#h6fE&A}Kv7a!ZYwd;a@M@CDalXVxdA+JV<2jS#wAljlzZ2w~CIbFk1 zbYmgn2kNw`YoTGT#_gL`b8S&;t)Z;O4=zrPCR2^H;;tsT&F+gZ-UVCWw*+e6ag^{v zH3wnQznfW47$rkL(8yg*!>7qCVUzTZEDJO_7pyw-c~#jG?+S(GCnvkTlAF&Njay>B z7qzV|`!B)Sjb?Y}OlD;Y6|~cW0(c7lLDFM6}s@}qEp zP9uI+Jjvcq?FC63K=gDm;yN~XlHdIBb;V+Y2{fOb;x!d_`ysgB(LtSvReAg=K`ybL zAPV30RZ;NMH&JQwUsp9ggr~ShPTL@~>FB0E0dk0>aAes677&1H*m_YWWzU(@IDswM;%A zaRHx}e&vDw>4ZvXQKmqC-DOGEOnUBp(#44~fKfZ#fmZ&^ncVNmJ#G!ofRjqf!hJ}V zRUz)-$m?H`Vy{doW{l$$l)@4#d6QVRNoQufl41!>3CFj=Jj;)CpvR@R4@uc^XJM8r zpc88xItU>;3SbGIyPEOaqe}a=_s`j4+_}nt+tdBCzr3v_hPu+|Z(3x}*WGL#k4w79 zUkd-M=*x~zr{B#=9}PokIZK~Q(=}ZFzAS(kJf?`~3H-jDnXzy37<7eKiH86g>bk@; zPv?o{04=S5q!x|$#BaV`F%>c$-O%um7WufA76Ri4p({fOr#R7nZY~<;4i|`ZHfMo4 z>z{`}ZOyIX-*bZHD#_dv5GLb|b7w}`u1>YJ7$|=KVXz6m&Z(;hBi9w!Oy{7vhVAp3 z%d$qMn!5LTIOjexVU~R0JA#H!C|`-Cq-kgMpUvuXaz=$U=dz;>3m*cJJ>rlS45g9) z8tNoapZ_$e1Fx?C%`S+t0Uz}Y!hAfM_A=j|_{eqxpxuW>7#6Oh>TA3WjQA`yW!=b* zMQIX?95RKg$foMj0$`~cPJr{bVxT>N29qbus3Wc+M0~NW@Pj(DvcRud=M_-p<%`n4 zcq$f{o<-?=OvvzWHqRI8FT!5j^stxqh{g{69QrlX)ZN~xB?h`y#%r5-9*~l_lAWg!;nJDsFQJ{gnDd$lA+9^1jB#BJk8wQb}n3m?@$ zU?5`X=M1>p>CU(Aosa9X)4rTj0vU_^2hRJ+(oA%+z5C$eyWA!wfZScU9O?x6yb*Ns zr+vG3ohB=7n<%#LXPP2eK43b>v>q=aF629Qfxekw3gw_S1tcVBdiE1H4WU;FgUH7Z-^-4e0dv6XeSdANK>E4BoAhYNGqW^l*o+_z zH%!+2>3g5q+q@T>5fe1>CihzVg`zJX{`lYpeGA_4Vq~HA&@yG<+9+t(EDHi?MJH=Y%Pvl&Yjw#qb z$!9$5J{?%geH&gJI!KTW3b1oMur>eLBYg8tNbv&%U~#pv4f<_1e* z=H@G12g?Vm`7;2)L-&ClYO3a2muj5X1+L@@O}(4Nqvi$RZ`rvZ@2F>57<*J9(@R|e zuzsQCb>rJy(cyudE0=4-d7RtnOqn>tb%pgzE%D82O^<4mji$c!rfop-`m3n*@wB-0 zTvmV%?Ted$QyyD`S-Yd|o_n3-^q;l^LyKTsdp93Rx|QZ%3GS7x>6SM~?6$ss+KRZb z%+L+#xL1w@)%1?loK*=+}1mo%uZ0#Hk0n~%uOU2_2Q4|`ENH_ZUL72sS|sjU&+ ziq_c$*&;C&s`@wgV5gAI^2o30Qv0LuS9B|?D{zGW)TgtsE^Luc(I+(F90nBT=23Z! z9SZLb%K)&lSMFtKJFm7e(B&S#EunLl`XOkVB5rphbx;^=89b;<%SAP~Ay_(?kjcDc zyEU<1y1i3aT4s-)7V)|@rmG9V0}AVeK|v=h;^rqT(r*Xe1{teC+Vo-trC&g^n6gtn zYchlZ3eN*QTv?5E1|7c5%i8<}4bzEQF54%kD^w<@uC$S5{z(e8Q$f~>{L}*Eq_wR+81?6unIe*9f+@lIts#}kJ5eYMNrB#AzqxicI z6bVJ+r?}}I=TQxvSEHzAo$GnbVIgTSK*0jf#Lg0F6jfeOX#jFvBuy=(mdb(VRd$(| zx%&6#Bh9iKi<*eZ4nzJ)68^33a_7gNH@0|{IjozMdUl$ao2A={A#I6KoxgXScX`Ap#4SjW`a!X#j+KQZi!e4l+}Z#}S5#D1AXZyC0F87Fd3Z z96n;dl@YsY2X@=uu_!QH140VYz_yMKs9xecPOI?P(~pj7MI^}%r_YY-KX`R-!shI( zok?$CmCa!Wa$`)JalpJ#Y8127PP%Y~X9D4|Lzq31naTEYyjpALIrBKJs9H>L zq*&qhwa-1NRX=1j1S`HEOMKKO`nt&hM>t%4fn}?bzfY!=32)5K6t886x2FKp=Tau+1pAogJ(&Z>}oZA*OM0 z<*m=w`VBLhOqQ>>%G>=7-rR-{st@0ig|=K-Ft4cZ`|U542SJqcfdPl3M(9it8kWOO@_!u+Fa#URtS+!D1m#-AoS3= z5=yB3)~HavZ+B9ukg=?$2f11bz~t+3_kJ_rf_rrH;1AQFCg_E|;{wPIsl}Gwt^YS&comb_u;uE%Ats=@mU4!OZauH7J7G~z9Wg8)AM;uj@w6X?Y1jJMYbN{ZId5g zz36x^x{$zcJ6G9NOSjFl1qm9LFN}fr*aS-yKy_r+5#Jwy=!T{;=|2M^zSgJ#oy$O; z1?9}js~LGV-(|Hx=e%d0N$ysau3vnTZuASqdt~rqJGX=^MqzPWl?Oo;1(%b{|K6^x zV>7!Psu!)u7=gUfMX%a?1?d)kWy@W{gaQ!-pJ&iDZ|{!#HZ&3i#N{A6vw%qQGp+DK z+e2GVk6_1Jf(~703RJ^>MukH_$fx~Z16;+z2y)QRJC^R?2qT$@8p=Q{6C9bzo>@*n zczT@z?<>OoUajKf9)Onh#%oUDyG%g=*9XRr;hj`KoXO}9ruDfq=5~NBK@gu0-m#Ay zwXvN?O=j^dMWAdp-Fn}nJi3uFq`jl{PFT8GXu&a-pX$f(zEMyZWA$w;g z*HTC7F!63yN8!5LL)MkoH^kjrQ^nT3&)>q>F*F=Ch%Lpw0s@Y=5r-DN9%J{P#gM3| z2A(hFb-%U)x>X@WDE_rCis_iRS8N_6{36~j>Q*{dbv$aUJCgj>)~hG@OsSXUODO$A zBsymT%Xq$cLb#_QsKOUqN4Ly1KuxhG7^bIKYd)ej&UF(D_q`rit{p(N$mrqdB})Tx`xQ{j}wAh zP40zxDWP3iV2B z;=tamN3zJ-;fQ&8`G1D>|3_egp!EZ(eg4u*Q|cr1HO)0zgKVb4=_(VJ!Es9zx6Ud@ z(ap_9R4-K80Z5*~1|enxmX(Sk?TL+*Frz%j6C$Or-OM_MKExQk-aDMESH@JRmO!0> z|KQqt-U%Q+H$^}tHK`0FSfyU%|6m<9g7XH{@rnm=X@0DH`90KvU&Bq$;wj(z^uF`6 zG-K_$Dy}ylBQaxV#ZA@0#gF?@w79G0$8TA624+vw51e#(3l8sFlYqGMnaM`0wQeS@ zSkhPUdxf&o^^ZR=pE>J$l1YjGTHT(Kv&={q7*EL9j71Hm3Q!IRMq$K`7Dj&x8- zos-cK82vrwgdDpP)tY9(;nQm@QN&lkP7fI-LDA-UeZx{vd#T>y-P~g{oB)Iw-A8?+ ziDAX|K@eqp;vVg$BXd$&8py_O)wOAg`M6Vb7~un z54a&t5R`J2z1VLWc5tUv=!ou{)sdVKn6CCbQg3(kr zPAntucL?qIVs${qjkC6xf0$Ya7j05&F%I9JPp*7O3XpqBkv9&D+DFo<{x{vU2SNRa zL=iaiAAT6xh?p6Ryn} zT*+q3+HKsn4SSCMo((IYO-T&+DCUo%DjbC?!zi`JgsGNS;%+s-1i z>B&S6ugOKc-Rs&xSM@p?i(jMLlH=_f53w}#MTvFh(;&Zkd2wFDG~V3-jjMyXt+3jM zf=0bZlA_}()b$M$QY(s7u(<)@&&S||8$ylwK4zmg0N$naRsXKqd+G{nLjRC!>c-3D zS~0_(oM6J{RcZ8J!~C6w#fRv)`2fGq6PvCK|}LOl$^nmPG6I_1(*1U+2RSE96A zapnXMsyj;S5g%-c`bsh-Y=;tdo@ll&;$kJEHetecvCf>tbO}(46kDe}*-pk%n?_tu zM!Dc8jNajc)9J#|*{WJot~vtvUBgnBOt_Y#Qg=%8fH7Ly8o@uRd8z0W(Ns)iTR4fp zUNM_dPUq*5e~KgAkXD{<5|h^+Y7ZHv3(R@IKKEUm9)5YzJzVvF6bHwe|5sr((;Gut zj+vZ&;4w6sb3Y8-Pr;9OyMsQj!fbt`E+(>n3M|$xFK*2Yuzo{l%<` zk6SBB%%=`;qy-=3zRy)|3NboFOnf?#UuaBlkD1&3*g~qsaG|-t=L~Nn%yGv>nRa!P0ubMA!83uHeP->q4)h zO4ysB!&4TNFT*FEFJ=GKyNic-rO?JT!887miv>@NPDAQ@j6T#`iRkR*{ag`N+vC4GC-hZCJ727S>#T6(Kwz~dC|}g43ll7EPOZGy zn65>XxV^M<_5)SpZ>dJo7d&Kqil&m8>0l46P*%TI<*Y2g4B5haLfRe+-s(FX*7yaR zKh~<;bn3ZqqF#-e{>=wFz;@*zss$_>8 zMzsV5{WEW=$ak+dunNJ6S_==#FXipJSD=_%$X zugib$Y@mAZsfMqM_L|h!MUAU>;iK2f=9_=6ly?v+jD~klX{-R)lW3<}*zRfY&4f0; zAaN*F8F=Gu{!x9M>2;i98~=59MeTK5!xKXw#`MI%M^Aj4RAe}xuW^poo_a8MJ5 z`6K28o5a16%}>ag-%uQ^z7C{$L%xkGF7@p9hqU}k+u0QF?^U)sIr_);a#!>rV}ia= zWBQIHHbg>z9RwFKrt}SC&HZ+RzJTyKN=^}oV6Wy(5n*W}8v7(x{ znrqF3WxH9L=(Q=Y`i*y4WdmQAH0|;5(1>t#id*JK7_QY^@Z(Ud-@DP-xpJ@xM7eI3AegF0#T;Usf zOG`(N-FFE4)7vBa=f&y|%RrPV5gsei)Ag;(4us4t9}3sL94irKlkbD14NlZB#K<2BY@YQxRtQug!x7h70 z8ERi(Ba0t9_FI(slQBz3s{aW6mJwR_jkb`P)MjjAemEznIrMI6w=30uDA(HK!JmRm zj=@YegpNtr3a{(9WTm4GR({0f&-C8S@SO|iLSv`mh$xRpz^J(_S?bjo+tD=;@49S2 zJzYF%*JWH>j0)uqaafalYOXKd`QxSiOAV;U?kIlPbihsfeeJ^E(6~>ExEM`xk`yKe zO)J&|iqkE!j4j57}4zflhb;%#gY)vCq<2+7HiE1 zrSLWCmT8SsdA3F;jI4VfvX>TskNK~oq3*UXE6)bHY$#64ekyZhI#OkAIxPg6C0L;Esk02BT;V- z-Tu8Qs=VUbm-%keRr2zsc*^o;|8lT)a+jFhSRx_zY0JX$(IngCi$gWh1p=0@01^A1 zo*>*xhJY3zPU!s@Bb`G3>GCFsXW_CgsTmv9BkfQ`ySiY)A_iqxlkpA3A2^oZr*ba? zjvx}y5#@}aebM7@T{PTpnK~T=gWp*=->wkM2$}4D*A4x?UfPzw0$^3J-0(DFy#yqt~Kl06RY z*+)y&O(dwgZfB8GJ=}4a3-cnxu}Ee5iM^?d+coGx^6F|zT~_Ez)3E7_L-CvL{vaH{ zRlTk)nL7~nnZh!V{T!oT1maqf$oVfaCpG~>QnDM8E`h!yxhgyMZfCN+EpfQH_Z>5X zvj6fv5i*Cgp08ie9l5SBdL>&%pRpq{ezrimwMrEI zN5`*cetX;Q&)4Kt8~^OBjNKTs8^B<$UH+ee6N9-f6YB4dn@OpA^1{zXs!!wD=7&ZD zD@tDBnZI%eFz?s+%xppl=*!AV@-SNF5(YRehl^AMp)D(pAc0;~nB#B!g{S@vh7YXPQyc29|MKL^3r3~SFmkf&d}An&M1EhC{whbtUv4+)5+b%~yiVE8 zw+I}?bMGbD4^7M4#Lv%r~pYoFm)gKOv_++Dn(moqg_hRqGzarcvglgUWw# zTHc(&fc>lME(@ss(JMwMs2OJ8`j8ITEV~f6b;)ysa$LQ9NBDcVxS_<)UTfHB8b!l* z*0X(T8IfB1mp(MZU~zSV<@X!)||(%vF3wD%>r~jG&|KmeoU_=CM7r z`(;1Y$U2#sX`tI1Eds=6oA>?IyWDfwP=9E8M27}vjqWS`c-ER5FGcM|agT4+8x9$c z#ZWS_FwceWVvnpHu6c7+Xu`A1k%uy?BC-AKbpw%K1f#`+mg#K20!HhTRboA7IxPPK zIZ1n%c}*u2ly-TzVg?U3X?>(yX3it6lYcO6$pp){X`oA>FAsC*MEsN@$jrc+KI`WE zxC6kW%(!;^=t3JN+Oixr5E<1X2L?z%3)?WE|W%Y~zz z(n!KL*wjwZ@MqbbOCjSX-9t*j&0?cQ3*Hxku!hXgfRP%&XXFM$P{9yT); zld8!ekNcGM3A`+#A#E0_H&Ei{qiL$c7`NlYLXRUb(hJa2`{ow~38ykgU>|&e8Lh^K zwf!xU?H{qeZJ2eXRv0nVc@Zb!{gLsvxrx_*a?NC+C&0?thxQW#g<}}-%b&O%IlG77 z+Yx4R(ER2uQ%&*Ko9STfoVdTVklm5UQ7_(;Hx~B{-w#LI>aKqO{Ajtl4@Yp}_`dx< z#M6<_`0piijG&xUzFu?hf?o5}Ke!4isU)7ngwknxM9@S1B5myj~y zS{}ZHaCo-W?BHxxB_-PH!?NuzggwdlB*k^ak_AI}U!V<xGfRo&*sy?V2nqQR z^%KTW+En3>Z4YGbeQ!RC(p(JZ?&cO(TEm2bIp<%fStCirNQ}uYz}>=MtU=H({0?yRykEsKU&7E_0Wvu3ed5ePtggn z*ldM$@JR2lypwBv;%XFuay(OKRN-r?9_2L~Ijfhl_hX)QLf~y|M5MKBl)J5*LY?Jy zFhb!L7CsGlGcg)(w>M)e5)y^ktzxyP_`XP>ajMb%&VRoPJ#rE)sCfHt$)m;uH$Vijg!ivB>@~zw7Ifc&6YAg*!6y zj9LPu;x6L1{=JIzN{g^{|J4%Z*|5Ma3)I@_6t=7jX4*XdQq;8kqabdfr#^YF;wG?m zb3Lq^+hWqzuDcs90HOV&-*DfP&-vO^`mKQ!+5#k*wESkw5KUr6E}q23Ekaejrx z$i8b)7)9Pd#N|sEbtF{SQ@XTZ`&$_t9%n7(M{LVtWxn%L@A^^)tO)czCx~Us_TnyK zY9!7f%zbNez@^+pWJfmYGo`pEs3VdeLP3tFaG8O$St0{5CiNnwmYfhnE`PMbQsHFl zXkJ1QOEFxIO{|)MoB=YQgk2`@bsPNjM>mQ*C6ghJD%VkSQd)a~e@U6~H~O6DwlrQY zlbjMkp+?ejIMR||X=P#X78{HiN(c;tZZiF!eR1J`?~BLtBy~-j-JG#A$dvBRx63i+ zh5v}4e&?qYn}ltO8zt5WWd1PB9?5N`00Od+$veEcd@i-$-S2E7U#@1d&b7P9wi{;o zN{3T0J!@E%_kM01+YznIoP@&LDv^9m=+ed|(!e4zbj&P=42`7r0`=8K&z9o{gf9f> zh!K7`P*jK?S1p38`~zi8iepr9m^@8NKfOlQB2@X@-s07=wdXKu)DtCvO%*2o-P4Tl z{tR^}w7T!WbR0FFHbz3NZ?)b_h0Jid5)xLWUeOnD`shp*s!b6zbK+c81570+n*YP* zg_vOxKj6`XDtE=m4@=9t&{-3mD7G;Aoo~@QnjeM1{CdKs^G!AIKU!U&Toi}WW;s}Ld`sWo@oL;do z)?GbDokkWWNs5LFhRXtJcXMOOwuyQq{Eb#GMA~71c9#8X?F>HMPi8;!Y2kB!iJe## z>sMBcqPw~{f|!SmjI;SWws4}g8e{_xMa7=|v5@3}dO$bo_MUW}`;fZw{rror>p6*e z0g!Y01iMTyMJ$wu1}TL7WAVwPvu{%V>l2XVk_7#U?(n|JviCR%dn#&8($$J zL#kSTk{a{lai*jdkF-D2-SyGusY&Y#NY0Mlxj%V)y|KAGS9afOSRT|ma&PHcYu+C` z={o<%9NJ#{Lul(3bc&|GpSbAA+QIAGG2!TP6YnI5McUP_p;3{zv~hK@^VrM?Z*sE9 z9{dU3WeFG~usnh?pF(iEx)U7C_~-%-X15o=2s7*__7L%+$ZpqN`=f!zT%Y9MiMsn8 zmnOJ|;dLc%j$-731Ox0Pn$#MI!N@0sH1 zav$Ftc-q|~c-U0$RRBf)E1FPr+;zt{U@~Bm?aH}xj_*T;3pKB%y#*IFpV3^YqTqEHg9n+$mK4!cJi!@y7DmeR~w5kpdjucEb8$4M-IXEpnq{u zW4^yF5Np;7d)cmCQEY^#)7hF4kot<%AhxK6RxvxQHXZy5I!_v0he`=v@}i~ zGtReprTcJ|_N8CND=G?a^GfdEQHmCS!-0`+>OD)8IiKJ%a9_*a4;2z9PJXC5W@O9u?eo3Tn7r9a+CFA%>QNO)pd}kFa_NW>j zGa-yk&4>d>`Gz|J93~%F!x%ibldEMh)!=#jZR?e27WIK#ACgfBzU9zj_vqbr*tL7} zKn}~YikTnytMWXv*DXv4oIs6QnU{5?W+-XSv3_$Zs7w(~w>=+zyZ zyhXF=hv8A++{lP4_vXRw@-z`)W}HSitBb~$y`fEKm8az|~1euo%N-6k!gZ6P*P z%9LUv01Nm#HvFf5#ZnERFw~M@qKDv2*7D#0n*f!RZE9f(@YOZhk^are7*b!?INFqo zj&jWo;-MM7?}84lQ(Kx^20B|5%)0umo9=@SEL%QiYx?K}mtzklP0^KGZA_vdOP7mi z<_}S$9sE* zTQ(6PKasDng(2D@ZM^2qqA0S#g%>~7pN=_(`Xljg!*w@^7nLQFvS1G|B~BHI=oYHm z)aspsWyD~`KgpU1 zM2Ypcgv~j;;=e7wUIi?f5F*<87V8CtAaDrT{w?ih=^?f>d7i*$d8%MmGnxb@xu>9Q zVT9*AVMpp%)4m2w0iiNa2BHhLFu08Cd|r=GzjoPCfYK8(8+#p^epDC)M}no-wa_U@ z?OjE>En|0p6k@-;rh{RRZc6eih1$x;1|Ij~94O|vlk5>!6Y*@Q?8X*82bOiw+T2B{Aq*UiM;50k>NE%N6}@Y%ty?@ji4PP zs=#8l<;5nNj+TK@e)MAHkb25S4CU?x_S!Y}@u*NRvyp)?sy-Mw`e%r5vuZv2A|;$I zLVDRqH(Y&4g;j;9vTkB8zBoQLr(2`)Er<6AWvoTC1#;$PWHyN_Ej95y>k|>8f9>G=-lcL>VRxG?6`9#$8oF0QR{JLF0IS8Ws8P64fXByu)=%RJN%Ndhrcg| zH(IRDjjGQ^I)Mh~Gy>VEg7%3Fd5qT=jI*3$Xg*F znAYV|u+@c(XsQJDJe5vsH@JTOYy^pE8I(0u+V_nlZ?`56+81Q;Umi-ohs_1w>OmO! z$Fe&E??h~&*mTmKU3L@eT)M9&)02GwE*9$-uUQ^R?Im>s2|J|A-K!-Bz68pF^v)MN zuXl2VQC^?7JXhc~tuHLB5;!&;Y-`a`yX^gc-IKS@4wK zvwx;UoybWPaHbhO!>>zzi{SHJo&p8;C}hZM;Fo$33Sx34I>Lg8=D#7)CC}ufSS%Fo zgP_T00LSMiQMk*5Od|b^x0xqTQq zcrm33Wb1>e1ahl`*mx+IHwL{;9GbsJAZJxm#?(!CYJ2N<6J^z3CeMBN)?|-x%KHls zfxJ^aU;N*k1}QY+>dna}Ss(GgaAf}TICu_H>agP~HGl;ZDppv<>`b9IJcFOBSGf== zSipp?feXEtrDc6^f^usEU6TVw-^kC_Pj6W(&q^D)j8ns@5u(lTXVF|=;{+{o;bsfW zsF)^|aPmq#0;*UnvT=psyU&$!_WXOxsy!shq|j1Cp9Xo1A1yPO2KcTpib(JMipD)c za(k3k(IhxS=`QJAMDdGxcOgol5uTsT6R0$#Y}`2UsA}4W_(ckRctXOjAZ8i;V6s^J{hY zmXU4h#x48ta6fm^+^U5sHz#5DsHE4WC399~?)LTv#U1bk2`B?u*ZC8WM&Wt8)2;gv z4mvv({$H~x!FF7ohd}Cdl@0@jyxr@|ViKSaTn#k7<`D#b>!|x}eCT5RzzuL`=WfZgCA@%HYcEqR<1% z{)IlAPu$}+nB58b_axWUqiE);^l;lS zygHIi!q{Dk(_MQbL0?;`hpPc#sytnAC3FP$d$#|iWq9YB*?s;E?m7uzTOOVp;9N0d zJI@tNuzY-N*$s7#$SFY*%@f?hf2t?(K0@^yZ;JCRyOeeI=q+wn*)e7~2@TEf+tcqG zba$nesH0vWU7g5~?mDXyv}{S*h~iBrG?Us?m{Re~kb9HIP_93q#p2il)bq9V@_(~P#i zlNoX9u$fI0-r^NHA*70)-U8%A7?0I=cuASpBMpRm_L{qT5S4WFqo3OmWf=VSXXH>E ztwX9Hv5%b~PIAHrnxqyy)xSezay~rBWDLW5I3+GMJ}2N>#{*62?qj+%?-;U?*VjHS$zrXgwir&tsHIP6mF0|>w07H# z%!Qok659CWduyD7yLy-KgI#wc_RK@fiO+0VUYSxIK_TQ>!Az*5n>-%1^=S`rT6jOC z?o!+l{EJjNZfxc6QN1({`z;Pz1b#PFbp-H_pYEPb#NhKyM*Ly^PGw1HskjDA%TK+W zTqu4D8JGU8-lkjQk)G=GmmE!YI#h%YNo8d}q5>-xOHP<|ya9OeE7%}+yck3=f3qjImLE{u@J}ULqch6G{ zZ7O=}Ut=Nqm!2UG2KNb}BM7a>4=`mX1*h`N~Ra^crIjm0oU;w`Bu6ubG^K3XoMp=X~Abq{YRO86OWdbB^y{o9~W;Er}V~wNJ zo-4B zDE2q#8Po>EG^rUYeRavz)u4Z)IjSt+v}F4d_?u^=v@!r)i|kmfEnTQAe0<;xIz4en zTW4E>7JNVL34dD`Do;%TVgN94NixF%LLV9$4h1)RzOIjg#4>^WrdnzXwKG~axdF%g zU9RbzT`toG9?lzDGXA>hKp7xiZgAWgPhsQ9eQkhN<~nYJJ#6Zu9B zO}1aPw7jNz<1{9GF(@aR)!E20Ms@LI><={8slvD7;n&q5qmKfSy4fnNC?^X1;nU_I zYVj7s#=7AT(73N@n_pWMtsHZ&=qj`bZT{eQ+(=wQeelV3?qGUM-2OZ1*LJ@LJ(>qc zeqjQEi!iEAT`SLpkSa?_XU@=ezZ7h$+49Nw(5*tQlgv}{d&q7vz#+|GeH2#0J9nJ{5i~B6lp{?HsE{vU3RQ#fwVJ$ISnp572*~nuvjGc z9O{`kdC|1TAjTJ}s;kAqHBso){CcDq%7K91lVePWmQ(KA1qj0fYd4}o*1c{J{w<7c z89>1Z3-9py%V&bO4dp@{V^aV<;)qq?+Kcl2f6|g6XBCz~YNDUc({A~S?*XtrTE1<1h?d+J8 zD7cz#+vavf?{QH>*D>i~4rapTf$~#`gyj4zx9CxxHgR2ya%b_Y0o3{S(r{T=nZ%() zJ~-UiLwW#$a}N|9nc{=@w&=kiF5@V1WwRq|oOuD1#i(_*y~1EnR5lQD>rE$ZThTUbs|GiVcNSseiVH+(6)WA8jdj%nFg03Q0 ztFke0KJPB>o=$X|(%F4QbJEN+eQV?4-O25myU%!0?yz94Uy4H0 zG4JqDpo|XPNOI6G2U^LMx^787?Z)|^euo2RyxFaTx45{k>=OogD7>Vlmf-`7$0b0% zm3*hbS`%H5u7Ij@{o8$}kwIF6dD7b)tCm>vP{$hTn97>&)HTzxf@-Vf!Jtnec`|#t zU$f5(!;^PgdJRs~*WFFEcIr=N&^ctzXgBp%pG(7Q8{A(ky_*@j@F)!|8NV1T4V;tW z%|$Y}H)RpMlRnjHxY1tKG;KNEl(`-49)CmO9SK{NjjmtEGie=XhFjRm5bhK$Y1W_J zp`PJ)4@mbFGU z(Gyj!@V8_N_TaP9ehP+W<=$Y&>O7l}T&V9?1`tJXK|O%{^W#s#pRJA|sc1Ua|Hal@ zhc)@f|Nf*ShXP8EmQXrJj1mx#R#F%U2na~Sh*6_NBnL=?fT%PQ(j_rEluoJ9qeq{8 zzUOzY-yi2(*Zt4_-hE&1cs-wwM?GNTG_s8@>S;pdLA6koH@Zi6h1Bx5Zj3zsHS$Fp zrlT6c*8XsMNf!Pl-X6N zy5y_t-KFVbjHO)d317OfeORHkR`Skxc`{?$#96!6%3e&H$7a9Be|?@P!h{aL_|Ymh zLqxBaT;EqB{iN@R3YjjgCr-CjZ(VGC$BhFC@!M> z@jt1u7Q@1mt58rQ=s;T|naS)t30l9)UGKZgogDzHmJ+r{EkciDhluC2)YKy7?yirk zou&i}?3e!hKRUrz1d+GIG@37vaC&#r$}PFFpHJsoN5f|vimNzarvXK|#0UA&&%Sj{ ze+ZLglv8^it{VHkoiojUIU;C1{VdNzDTt(1n#o3vGI%I_jTs)QUKOH5 z(`ywbRM0UY6fPVdU?`65H>O=6>6c~)=(clX9exI);Oj%!MxBM-_Z zwuz_4-jvlpf9nF~vU#*Vkk@c=^kSB9<%|A!eDK3|a44Xz#hT zqU6d?CWVFPJd4m>MFuCAPY-TjD#4-dcR$Nh%MK^GV4=D7g8{vkIs0Yi^=Gf!;?hh6 zjj$69SE@$NNWz2WCx)PH0b^D2!nNR`<|$rAQb|twqnVu$wWs(_tF)Mx^2d;lkY3V^Wgb16E~CQ_Z~Uxh$Sv8j z#3K2FXr1Yo^)B^O+n+noiO2Z6k>n38*IZdRr4x+&jo^4x%(jd;Cw@8qj+M9R(_RZsaZzof;H{$ch4wdck{#Iv^L{m#Rx7*2A z`)rZldzIB12CaPTuFP?84?7eZavtY@vVf>JOs)C}$3L)POE}6%Y(uI)k{1NTuYaUX z>)VQ#)Dk(6t-)u+FALFWpty1KvMq1zHAkK)5$3Cmau#(Jp9PcaAL%#<*L;QyXB>?A zJ3vMhsC0z^E1I|~0HK$Qc(>^>xi?Co@ps3UmTHj)9e2wJb0Bl#R2?WyzeGnXc)bcU zoAr=&pkPNA6}Hg3X0>7sb}u#2jAKoCgS`@5KmLf+bqI(X%;MpZaz-X~63hgCduBQB zGmG6Vlqj|v9;RMh{X+B%M3)NBGWMP_p&JbG7Ei=Uv7qH%OFnRCl@tLmmwSLc0 z=^&_MNWR(Y!#+7edPYiZ`;|0yQ*&Xis(1CqYN@yN&z?4PO3wV8B(u&h0Iz={2!nr@ zRBp2j6PnxtMQ@g_tn$D$Aw@zElbOs-MM<*vx6nqssHBJg@MZ<{gxMg1~6e=$+i77`_zM!(1U}- z4$rebC)T}WmVoQc460{*cdSEK5>5f}ATWQiPPQm~ z_z%(WQ2};xcBap_Bwz}-fw^v5%FaLt@QI zH?W8Rpzir^wV~e$z2%mr>Z-{!&+ch-uwXgLb7^Qxh1&(xM*KGuG&{PqFii`(RevqvL*+c6ni`AL*o=5Hd^>D_fm+^{82qor$mQ+(eyc zzRn|8TL6A1EG^7fJt>6vN>kGM1?GTf~);=N! zbGLd)bF6O10#<*OwtW&~G;ey%k&xt9vnfrr^bc;Dgr|4%xg#9-2>jRUlXS`Da7W)x zp#0NPDb*28yl?PC>gyn;!G_O1d?2qybX__j@N6|tVZuflc6VbX|MR`rQa|!9z*x)D zI_LTn)z&W*bU`lUw#qRi{h~fT`6zop>&^MID;fHO2glJsdJ6&kx~~e>EkuNTBV(~$ z{4lRw!NKO|moE-@N{%M`K6G_^1l|~dv1iVxfLj4nzy>8MV8sQMc^kS`wy@l?+0ex0 z+98Sx@Qz%=ulM-0wzp8cC&%U29g&n4tal{on$kQ&&ULW9m2_U7dgIVC4p<)}CQkCz z%SA*%Z#VrH)KCEjDyRU}75ky&X3EUd3w+cQgFsw(cH29~DK3g;KC=cGMQEZP^;)nm zty$&L`M?roUcQQ*yh=Ks4M>s-{=Vk2v?UKvSoieRTKw`b>AVpV4F9?&V2G7Bdw&i> znKzF=Igea~Hug8!!v&7qoR`@Bj#IN3;vdFFrLHsBJa|9VmShpkK-Giv^SiJBi`$3N zx-Res90B`chX8henp?($H$dr)wiTuGtxz&#Fzu6g>2D|CXsR4{^m5R;(3M?pE8^%Z zmDA&H+wiLs+xN_7B{3n?(RZOfPTfJ|37t`Y z$X4ch%Bv>ZUdyuNE{l&;DEuDl0Uy+rRiadH-TO(+oc)DTg$o&@n7N*h;MEL?cC*pj z^`XxJZ*LBnrLFpcpsjjenNO=MO?8*y`cvm(6H|G3<2#NirM$i@u)qat0k=s5sxJd4Hbd12y zZjZ@hK&9SKM$>_~1KH1Xk((Fnl{u;zsD!I-iidGhOl~TSG7+3r={HzWIM6|!j0Tbi zhQ9?blEaS>l2znyK6a>u1MmA2%GYd?kp~w-PD+-48>t4wDj*CU+3MtA)PdwMtU}Fp zfjn!vKrG?Um3R!TZ{_1lC1(@0k2h@K)s%kn{3WzNej3q_i6CdUx8z}qW3B|0H8<0s zs=54Ju7s}EZ_k^SiDPI$^KagfJ84OGoR3CPQ;@LOJ0Jd3@@2*)$eX$zsprYvaO)vO zRtF}~Z}JCh13i8L4z{j5psU6;tc!ra&$@^-neUl6ZWZw8ZH}P)lQ4B3NSE*#7KE>%s^u?d{o%X<}0zF0bk7T$lY7Rpi)3JA@*h{PIc|GA;4R zSj?9w4J}t)+(q}n`yWu)ib^qrR8p0^xlkTUvo))lrmgrwcr@kE_tKqQ5I?7dvu#%L z%>0wTRMLj7c$#m0^OFnii=&Px*5?y%wTqPZ$f%9YS4g5?g8X#)!PHCKkRy=5bYuG@ z*_op=s_u5Vm?#KiiTi$OB%mc%-NUce%8L*5Wn@%h;ve;+=HZ%|DH|={4#b=PjD6;Y zu!hD}7|5VuG=bhx9gn{rKRPG`3qx^ZY`{M;}b4C6ZLU@ThcxH3=SF@dI} z89d5i`eZzzyZnu3zAjj@NuvUCiJ4ww&i?va7TaTKn_aIX4D5<&|S9g<)Xg zfe!~oJ0E{PH!*C-(_*Njz4x!)Z=ldTcJhsDr!jtJ_81;4M#xDSy6igo7zXg7UHlmM8-KA! zg|K-F4VdcB&8i^BF#mgV%hl?veqY*yRh;hf-VmZ#F*8=MBrC-E_njI~2c>1MrFn1- z97l2AkRrXqO>(`DzlWB0>L$t~jT(d65qas;n+`a%Ue0sbgbxY%3F{=22v)_mstB{W z6+#SQH=ynJ6mJ&2mhEd>B@7!A2Pa3H+SMCTn{X;1fVl%oZ)2->`5S`IK+Ev3i3c#7 z$7%)bfQ0$;vO;!4{41?FXjFE#qyiYQTH;sSx3VV>7g71DvGPZ$XZN`kqXfDJO0q%Zk0*Ai%lYF8k&b`Ckx1_h)T9Q2*o@Z~>L+)T^F7a=Z{n3QHAQF=wJLhu;|w47a~j&bSf(do$^|I?G!%1P81uJ=5b*Hwv!B!2cW z@{*`d6hMSwgJsa*hkYX(J;*usJ z=TQUKOJA}fPCFL92i#y&(Gb1iecjc&`LsMG@-zbK4u6nkw;mc}qo`13Uub)CD!_1Z3t}i7;IMD$8}-Ja zOhC!`6++1!R4@L@RCDtLgiL;4r>AR^N0@K>Zx^2Axn{&N%4@2%3L8cWJx#EFqJv7P zpXy)Mh__AQWc8dqCTNa$7|G63+*=g?%#pi>}$cF zU9NAc-o!QxkNbQ*P0a#kw;aC7rWn1fEzdfEEWWNE6?w7G4`#S&sUnYK|Ml6^t@xa> zeWx+*-kqxG9s$p~Kfvv)&s5czNd9n;3|)3j+{W!y2cx}w?Ig5(?j5x8^yHFYn1W@5 zb`KFyY(m%WHFg{J@r<%y_(5dwZ*-{W+rSJ8#yPY9(9h!AW=n=~`3d8(|32d3BA9t8 zSs{^}_kmA|zNp-RSIAY_Pv{Zs_k?v!)Ei<%NoV`vI#h&l)wmTyp+^Fl_ciY&jNrN3 zWj-+WbJqMjZ1I!Z2E(VqaqZ6y`}pK~Ug-pIMpItz6Pe83JII4)l>?#65Nz1F$VtN$ z(erDb|MKTJk5|#5s_JDF!C#8Ldf2MlLBaX#_d5Du24CYxuOkiJ)wjo&o?G0Z;oX}( z;r?#@%2gCgo!`kChox0^Laq1dbvGh>LaO@k@a|4Do+-Utrp-!rI}6K=K-|}80`7@I zB1F@?!<9cLzXeOP&7!KZNVM|!c}~m}1>;`vZkzYcbP&a|s0~|%^?I@v{p1r2osv+^ zbHx@ysQc}fMOyEzh$M-*?ox&Y;A}s!G(BopGE8bFIytAh|GFAT3ZATLnx^T!ouE4t z9OOA5nBwh2#JHZlh~+CT%_KNm6oZntqX!p>?B&hRF@6-BG9Wtp*BrhOpEQc`2Ik|~ z))DZiD10e%D}btv^2k(FoKS{9e(N(o7@lJJ44rTr>4-b+&YkV2HSyc4 zj292CRF9OqsauO>Ts@rWxEyX22_N2}2RbfTM*3=}B$}p+dK|5azG>XwLyiC~^w?Cs zwiMqOXK2Y|yvyir!7na&uO;D%ar1LxT0v<^+`$GxbFIF06oWFy^RpUv3|%WStc0~g z7@m!|TH>~-13)jkTx6Z@_}xtAFTY}EUx5M8J!z>WoYw21@cTC6K?RxN@}VNP?b-O{ zKLH*7TZHF+!?-tpGhgTA8Bp^OW+k(I%b|UM2#)^8BBwJarV&Ze z0#%Dgr6#U6X-*~94@kg;(y(!_0oR=(`Ehd@ch`@s}QpWyQAv{o<0TgE~lI0c? zD(FeZA6GFdgHe$Z(TlVb2IyY7OS)s?T64L7et!|^+_Q-1Paoc^#OIv17K|`%xHm(~ z0I-Zm{CKDu_ZyGS%WNj6Z2>Fb+3vZfjh3)WL@ZPG62Zy9C;z(Uc0b4loZ9A?jyTj|mVeqC z|7akNQ1$3vqe_xkg`(QG>&xM<$}`4#PkC-OqY99By7r#mz(rS{3vsKv(vHJi7y85T z!_$hRc3)Jw8BQceaOojZNjl(LrE|pbW2Hx5c~tM7neJ-T2ZYk}i4#?`r{xo_kpp~w z0V|j9fv$&|340O_+%mW@)Gg^9|Jb7CpWDd;g;y0NsR6@z=RYexgiu{1`ydV$rDbc# zO?!zKADsB&s>x;nr0vggBVwaf3a&Wl@p-4eSDNcD_TaHRly(m)0=kIVC6JhH7YGdy&k%Dn`YfB3LfOX+;via?F9e5(PuKXr$3chzv2Ekms5;7p+C_5R~ZT$ zTl97kU&e4!4}&Lj*^7P9e7q2}RLe%q{FU^&JD*NoWppOhiMf0Xf6iFdTM#_`;ZmEm z-~W%~3VIu8*WHko_sG0LK;9e=V+1n)lIkd0`{C(q{c|8I`00qkS@Ub}?pO9Pi)=v0~En#82N%W3Hfqr6=SAc z6aT417!2Ygd5}D;U>r2MsR(xKAuC=3JLBM6Y+fjMz};Jj{RY`&l)g32&~J7ro~(Kn zv-A>6m8N-k_F9&<3|sh*kVh_PLbAJdw5vhUeRCg-KtM4GEb=n`FJt1jeufe7S^m_I zu^&91Z9d`TTTCk+Ik`a5SY9A544U7y(CXglt%wss-JZuB*Y3V+x!ZqTajnkO9DvMs z`BUZFMk{1$7j^Pb?EQ}94$Q&S>Ko^LdGKRd=05<-9viXMe4CP0{c5*{tofhjWHD3& zfChEjd0f}*#5z>2fw9q;t2Yi77)EG}fUPj}f(uWdC+^C6nY`VV7F>nM2oxCSrb^Xi zC(K`SE_z}=F5Qz2(cauJxn)l*Yf?3OpVDd9WIOqTI{laaT0RSh=h5wBKunx})G&(z ze^mPMLO>C0Ow*+zj%MdAqC8XCLTXSSrj2Q`NuwPx-xxY)4!*%(&AEc}iZzLlzm*Cq zHJ+r|xumpM655fmZ_%Cdv#gplXRY~A*HAskeWyMd(^)NO#4mRcE>ATmHT9?dE~K;K zc=E54Sj+hbNJ`2Q3>pJ{C4D1bBjuI*ppoEdGhr)2TYal@!EnD$h_6YATE%J1PWd2KvZLgimLH{Dh!AAOnd(#M z`wUm&W)e&Pj{pSl&lWoGXXYIW(}%1lX#rGyDKs$h&+7!S^K-|aB2`>j@i zAX*Oa`%qN?T~#}AsFtff@g6JE!GR(2{ggv(P9HU+w6uVauf|#{_e=U|3Y*p?pd!@% z3!$B^;thuJq<*Z`3>EsGNa%~Nnp8jjJ&b)TvRercL%(Z6^0UE*1K61&Yuu%-L zGyTx$h*;f2CxHL3GY0cKFd1m>v>|D4e-yjOq`)fLD$0C45EOAn5ap8WZ4;%#E5wa{ z0Ht@1Kz6@%1e}Tb$Q1AXB>}GM5dbytgg(G2n(3C<&ZuzgX#6!IU*UV0BP)(*r1x9T zuI6f^S|EX2dQ_eT65I*t%NaZ~nl%ExD%vA$lybi+Wd+{m%e8Df@v7l7XBmF+SPz~KR-%O0-!A-x5~{Z*&QYa% zo@<3ifEg%n?`8#N(GRv&qG^*y7#B~=&k_aflv;eXSo8XV|Ab%`KRDD#$vjVFl+giL z17IW)ARA}0x>&-FZQuXzWG|hc-GuZN{NtnKx@er!=w8xm*p5HsAOW!xQu*R2hsWrr>zXxiyTf<0&w^)YOy;hAZp3Cz1^S$P z_*~6MTr&jJ?S&GOGwb{HS>of5;`(h^AEMeDh(+BUL!VCT^G3|J8TJCNqQ0jNGq?L6 zIV7^YYxEis@v~jvBQFf}Sk*B7dG`(F$&U%*JqE$^ryCt(462C zW7>Wj{x`96{718vi7rub0a4#Qg#$jsMeJ#LQC6kW7cV!GmXp@29i1=;x8IwB65Z~$ zBKv_*;ikjYj28N1RCBAS%EkA$lYpz5z5d>gm7WZzjrlU3v&dUW&spp)3#VtnFUlOB z?YrF)lagJ)@*HMDDg`RD$RI#iaDl%1xOO0WHn60Vh5UOprCDL@uZ6JWr&8oxX1}$Z zpE;>~Ld~nrrcft@Gt2cd2_e!5bivmwUL&^!yR0))%%rxQnEN@ZZaFOF1f_^5T}MA4 zt1n>rK6A9QwO6#23;_n&#@XdAO-9P9bh*nKmpULvHIFXynLxw$<0FWBr+GHV(p0PmeNFGU|<*vNfFyE$4yp zA8`Y^0q6Lo7J;b=Ta>A_Kb$i2Or3vBn>yBO#Y#!q{;YT+WaskWo0Erx%T%rYPbY0u zpp>Bx3+}STcRw{B9;Ml3Mkzm2Q}uSu7{&!3Yn zY62H7iZg0&OL{E14e4}i@eI5)i*@}0=x!X6=3zBzq<=^iPARv?6?oTHHD#w^@ zqyo%kejM{6Xan4=z!+EI3Js<2#r`C%LyXn(WH?9t`H89ObffqQ4Lkhm)n! z%jxsqN7FtX_{@2KweRB>d}5rX3`Ys4CPe5t#XNkNK-&7c#lDu|GCKq$!iGM^iIOz_ zzJJ9nBA~zR^Ai8G-kWQy^O?pEBdw$#e~^VlH)RZc5+|0Yj7hXmi(ncqK7H$*zsZI7 zPPxCg-cFW1(a_K+^0cU4tSI=^p#=6Q6Vj_IW&TZ?L)51I_d3vMP8`Qfes|&Waq5MF z)6`iGnAx^mp(49o4_XP;KirXDR-l5Knz~PZGg77IH%^HB#5mw;c7{Z58ucgCa;*9| zEwU#`wCU|LTz|eE5ej}%OYQXh^j%7!&zHJ_mjOSt-V_}fG->)d&i|^q=HoWRsnY~i zQnM3`R-q|(EobKjPx2dIPWe+iIX2bqHwKj#G#Kr1eb9Z8^sY9EODWiC>TtIA*kJEd z>W<@5mreK0GnRn*jnC?=#TIjy{VBgB}& zN!ibbPD@4jsH8~?FkfRR95~dZ4ShnPTTG!Ye<*`!JpxZ3T=R0S5Z0t8{}o{z+gOI{ zB(44tC%^B9_9;O$hMl`N<13syD#ShepOpU8Q%3+sdeQ9*6Q<*e7b$Y*9(!HyaS17>`S-bJ8BAnZ6q-qc&^L zb~uIIJ|xEC*7schwFj%2ZUU)}(cAWjC*VXk%}J6Soh*2vC`Wux;~1{mSGl{IFB zp5!sNm|H&HSJqhTn((l+Mm?P`%~FeMpqj+Y6}pmgZn!i-hI+`AUpyWGx&%qRvb^FfQXPGR zb#B&W4V!WJ(5a&PEApPQecvdjdS=+nm<0ND7<93pU;d)Gx`X-m>g)>4sZc(K#vf)$ zD1^BBiG_)0je0n+oogKu%$nW1KBh2 z8a?yM47C=vouEYh9o5FRO679b39Vt*!0#{PE1pVF|L|KU-1~0$>fG$%fW}S6;ZZX5 z&T=*`cn0gdVOS0$!iwvyj@H&1U@i@2}mPo1z> zK8sxX8A)HjCbw$zj^fnvyU3qG|3dlY*!D<_EPX#<2itsY>H_>nQ8#vme>o2;8y0c# zKA>b^KI!*VIUm5Hg}Q1w4B&}dwv{vNR4XHajat?{Ca|CJ#>FI>J? zj9)q61m2^8AOz%T(U%LuW<22|e&_l4N;V8~2t|1qhd8j2@`c{nKgLGNAqhX?21P$| zQG72ltRZVI*o9W+Qx=}Klpisopp$cnjgx(Vjg^SfP>@}VT>+!2fo8jA0>!V4fU}8c z&P8-OorF8bKo|rM??l71;A}$SYDOKEU4{Oz;_N;TjNUR!5Jk2vmOQRpkbP%auIsiZ zY$heg2CK6F+u^w>%(kY{ZM<`auK4AdAUz_HW*|)cOnIMJ%0oDSr~WQl(&+yKpV4Xw zUToC4svb36muWUMG#nibG^VkNJ`)ucwQUc)+79Vap$;6?qkTO$va_W4m7QSi7)?G(@ zQ-2v{##+sK#@%Y!mv8+wS6Mw~6$E8`Ded)Iy1FrZmA_HqUmOv#Kp8 z-L=+O*)GaRT!yz5jY#Kl4x4^ZB`a!QD&!nK$a8-2hSam$T3(t+Y>Aoq+5P+8m96M{ z*=O;W7e()_Lb^NBJfw5pta6VM!ikQ=bt;*^_QEFycHkSI&-5dgtv!wYUIl)jXky2@ zN2=zwUmY%#9%xob`J=+OXbNro)f;v>B78%Ufq%)>jVB}SKo4m9o2x+dHssF^S$v18 zQqJQ;ic7?y-_yJHGkP@2K(%X;09WM6l>flDv`Ex9{To}iD4irvT_%*{k93u~`TWn! zzj~}qnM=F#9hqBE>{&Q-j|KbMY#n3n6V zwr^cP#DvGbqS5|gX>o8ngWdOI++XPRVjllp%_b}OTY0H-RNK1?HpqUlyKr8eMz77c z;So&G4c8OsPi>L9k!Cf+>ArT(t-ogt8GsucLE7JgitU`EB3@O2vsy=bDo+utEW0&zO)>9=;v147oEam+c&`4zbvxKmFWRy z&iV>>1I#xNp3}RUOUVez6$`xA9@Xvn3&cB_x*t_TKoc(XBv<0??XQN0JWEXI5=&lR zX!~o=O6DCzT>^tx{qVe*b=-oI$Nut+aPw8JeW}6*8LXP+pNZQ0qI8v%Q(u!@km$x3 zGsES^eaGV$vJD)Y!7WzAi||FKB37SIf(A==y9%S%AAa&My8hlIuwXoUes%dsj;Iyk z^3^npSV1v4Z>YU1I4^h_^;DP=649dVP6N}y)UP^C6YuE}z{a#Q?$&T7^TAjV$is8s zE!XEHm06M(B4$M{5s$xkt_g21Pr<7k|0Uzv%H=(}?u`dG9v0aknj^TqJV5wt#^TVc7Tg1&XG6w3&X9)I1hEStZDp+iGl@&p~kuPB_; z#sc3~=7&xlK7#>q%(bNBujQmeue<1e#Rp9tG7f&7{{ zn5n;#iVQ@JrUvLNlM_+c=zx2Y8!ej9LaXaAzEx$WEEc39d*{CRt!HdWY$AQ5asooghE!(5-okVV4|95qv=$R*v^lqjx zQ;8gQ9|88BP@NF*cq>sYbbNlT4mAFI);{NdAt$FS1ee)DKgBwdPnEYI*Xb6AJ>3ie z>+>De7?ob!w&7{u;(~8fra83swP|z4A<4LV!Ox(%+r-^Ve4txs^5u&5t#ze}mt17= zc`7@2X54f6enW|U+~K%+(_4+rSZ9=x9A>=F`bUQ|ATMB5WUb10cjqOM0TAw5LJ=U<~Z29@8_J>ho?1*hv8M=_MY-J97=<5_Maxd!8fVH)h*#+0CACa@aHt`&M;>2vZ zedag_hPC4kU{2uKZlMuB9_Hq`DdH82HZ&lr>8H9^GxGM?YJqKzgfab)ih-fspI`W* z+kaQaS5qvHcHG+%w~5S;b$;q@v_ux)2A>TRz9{+k?wU7yuSr~j(Xf@)u+8g}h-$LK z4~?h1EmI2-#C1ZIl_y_BdZs1RY>2xf3BEk9;2;arG~dQC5{OGt7r9FfzLt>#P2Vn# zbjN(p(l=WJ4${R=bHs{=y6u&=D6ibmtfB-bkzLR{z^G#Z0I3p$IN)6f(do3$55?{& zdR}~T1^Tqn3m|$NEc(2O%)>nU`hLHz+lwtNA zxy-KNkv7R6QC(z!+}}b(jPcEcpQ0dL=lLxd{;Pg=m+&-B=(oY4pfLGxEuxk#rdXkp z>w7~{6&&QDbhlPf_xV7!zJU=5Onij7t2={z5R8GzAxNggW@-Yo>U4g2(v z!DDb&1Yd}9rvmn+vnSloE&U4f4#wS#>|&Gt6>>F5-h7IL6#ov4LldrNImfu(PhWa} z>p<8lxf61>Qvg*&T6ND#FZP-BDh}B%V1H%!W8;1X07UszF-?*2ymb1XSU zAd)aNWPRBiwsny~$~DEWF9XDgOdW7VG%?aMCv;8sKg0FM+c{QeyEC}3y-3S?lt_A&K;o^K zfi%wtt);N;%Yuc4;NuN}b{#JwDw2E%K}MJjdYW zo-eS4UeyLO3k_Oz`&hXPa5A7H04p&P)#N#Ji!g-#?b)erXQyYU>s@uo<54pVhZ2N` zxbyTk5(N=WxyIdnCGLL{2yfZ;CV#4QzfMsTNh2LzV)dNOt|ZIo(Q@LLl!|EZXF&#g z^nnpy(wMSm(uS>2G5TjL1kBe4PuY z-RYgfOiy*Z7)@3TRr6kFAi?b#7Q6ay?1kU$rfE#w5XK>>!Uu;Z)u&i2nY!Fxk-}ES z#;?LRyacAcs){+z)O^VD+2~zQvhzWd9inP14a<3%sVjN_TqBeH;BrLnA-S6; z3l8A^_gPI|5HwvgX9m4kfAQPm3j)+n;~pk@;TA-kI9Msbn2s zOqmEYWr-cBbM%iXjPm^K=;By%EyAsb$(?;Ye0@n(IDxz>db9q3FX!hgL69Cl%ZQx0 znZ!i3Kqf=*`5Ycp+aPDm%7vy0m?efM``08OTo)$6v-%|YCd3!`91&pO+A@Jm>3%BOhj?y*1gct)G+ zm}iK~CT}<(D7A($!}iKnY*x|f6pkZQ2|ZCE%qN#FaHEp>ATmtVXA`WG2NDmRP!!=% zu85nPE6E~&_w?EFgeuRKldREV+0_j1v_2psAYBJ=m;+Pb!}Q<12h^euPna5rHucv? zC_YIe+M5bc%cl?zsw3)Q{(Y|ZFe7n%D#~z$f6`$;k+OSPa8+?IEbN&G5yynmQsQAC zcvv@TNrt&gH{tuKym%_yaWE~`7Ylvn{-N<#d_HxWYG+EO6c%zhmRwCe z(^;c3uS2+q+dJwEy-b&EDdV!QNfEypl0TQToC!9al&sYrn^&4UD)Sj{)e1oM4|$W;m1jOBNl>Ix?b!nQp}aC|z4XxDmr`O4zy;Rf;J$l--uD-h zw=$GxD6X&LV-XY)3%$+;(>5?**6l{gBec@Z@3bPU$Gt{gFHJN{B0G3q(DL7?G=b6r zo#?1;KFz6%DjGAol`crn@=)}TU1*n}2XEt)@2$_ZlE|`nvBhlc`;YFNHs@r7e2#S0 zG^8w?QLA~roG{`AdJmgsAq5W;VBFWfSSZ8s!=E-|JrB)|f7|L3=(>}94epCY*QV3# z22B4==qBaj#)N{Ef;AMrd^mHz{{ULpjzYQ<=dj%mdtC70jHB3tm(NGs)?>CSu-b?> z9vD)sliP1{>@C5pknXf**!sK2)w$wPNa1;<-M{dUt<)_1JG)G)t=2ZE*Lqa`mRWhB zN|D`+t*g5|~i!cCAj{4@%BQEkg^Lf3!i4PY9{NH;GOQVjmD%vX_nQ~r_%&G^+ z3Npg^cV?K4+;{hDn*8j{X_)3%oV#S$(wYQqWjL_cx) zSv%}`zUWRYbnqbkXm;`IO{Qk1wMG({!*KR={QSI$VZ6@bM~zDkhl}~PgoO6&TiaRo zH!iY1qrS~8HADU5z7YAo^h*lHc2Ci^2K5H6?v9iIBzHZPH8Vu?P8KWP?Z@0a*?YG& zTTbf|-=)E_cLEt2g4jHnTFC?LcketoMSR>` z{t9%%Q&H&H!88R0k2>$29EPtZx;xA5=8aXlY|TP($TfnXM2)-CAURNC(edZmuSG16 z&kskkIMd=j^V^Z1^-XhokAJ^@bdg&TsFhovL>qw`Z%s;0riFY@3Ea?vragHW^lyI8 zW59`{e*aO!*{j6Fc$cJHil-@JcblH~GBf5Me;X+y?fKx-nXpmRrOpG?&CJoW+f7v{-{a&-|a#tLmqr*yiOIR5$rL zyJO~4DR`G)_ZTx*EYmalsF2AL1qEEmMAlXJ@lBniS zjU)+0do>@rxR`U0D||=alXOw0*&|6cxgZcH-fX1Fvs{e2oEwu@-^8-4%KAs7x{Zv{ z+-{~9WR8N=KQaqpwrB$H1&Y7~SXWue2%T+e=mUEX86(zPOAt?Lo~jNoT1=L#ra)Nl zrCyCe#!{2dUIm-a$DOD_ ziE}<5uHBoSUnH3|c+8g_HFyTIIXdzsl?F^FHwQN5$6NH2jt?1 z%0{$Qn%hGLhNPUrWac45WmIiB^pK%zsw{-P;1^8LMuWM^L5iV7J@9yoVSFEO2|07I z53qnZSox?eI-Xm4uD3kixf=zd$sq#R)^~Fse?W7m<1}Th2hMELV~`?N-YV zOMHQYxb~R?V@+1OAMBG5jR7Dus@=qjw*zT>4`9SecPU4*NO(}_GU{T>69}nd<&f=s z;&ScvI-!l8%0c$mmRQu>{rk~RNmzZWOLFODfePnvzhxGx(xCQBa@IG&Ar{m#$UG%1 zYc-QN>y;38Myj1A0e=OhpZkz{wOx+=38$DC59VFi`Lf|yxW#9gM9;&OFx@WP^fO)k zI)lWa8}Mh3X4G68a9v))chAG5YnT;K9C`$ z?qEPFDuln#$y*5rF{|OWGE!M2@rMtTgmOkdb^}4J&XCL9-{V5b?c-1LwhqK1KI+TL{+hmFC5lBnhEOD70xVrknPb+swPth$owaAh=`@su%gnS2A){kDX9%}Z~s z(jY37{=DVP1NVN(RCpGWp~#MTU+pkn&JVZn^LFEdM5W2ei){6LgzxcmshHjhJ(U5q zj)d4?fI>4V0>HUtOkl|1){_g)$br6QYtgsP5%Z@QX4C2x%gGk*qro{2-(9-&I!oJK zixDl;eO|uSYkt1%S?!o8;$x{Ffi1gX+bj(~`*k3Z8i$&dvQ)l+zMY zL${f?!2VhzhWxk)W}8Dxw*_-pDA3fht=S0sLcIm9F%jG{=2FMDg{lR_;nQ(Hnq}}-w?0BFFWp<6|x(NNB9)no{xgIi=Xa!I&p(u)D6Gd zT)f=(P7~>#F*w{DGr%}}xW23#SS$S(4mwqQOob^s*(iRiXjl}dsXb)BP+L~0$xvvJG99%v=Y;p z6NAIq)^hTFHO(xjB({BD07QkL4;aj59r-ZT6jVr-;^EPag3+>ZgSxPhx-{#9R5?4b zYYBM^c8Zfkx$E@`i-euwwmlC4fd^Dq5~)uhd+wYkEu;^M7Dqe{LR!Ku635^4k{Wjz z8m5Q54j@OIS@|Cd@Z+(mQ8^(e>0+UwOWNc)=FfnbuJ9`&{?jYa!LtwkGh_mVP6rxq zBpJUduZ;%w_%th~$L5FXoBPVS@+w7r!EmWZN%J_AI(_K=jtH^tKwRtEcAU0MD<)Vx zE!2d+eX~hVqD<$-5pS|k(XjNdU36gh>EE&x{I0S*TBM;JwkN(6b_J|bUOSI+IfdDZ zMSrK~)ciFt>|wH_eh;ycG$ckn`9;;@})zNsl=*SCFkO#;wh4I}4~97YLRM%@G9l!ctWk zst(H?YSZGNm8V*Z3PJPKbfm`_Jy_HF=){WsBAq#p5?P*`@_T1i>nEC4ONXn;rwiA@ z!N~%}_pg&Ao&jLQXq#m@OULzdO)V|!ATp<6} zOv@~iS#^_ogTN~IXF!jP>-8xSzW9*>!foj@hn3_GTRgPv&|rFb(J6a`l2%3J zDyy~%H5Bm}h~hjgJL#16qs#5922_wRV>_vi#dh!CR@>T>%D!;m{Qrhe*6^*y_*cZg zZWGmU^)8Q=jTf6-1d6lVqva+^CUU`lBHZ1aJ`&OgxTtQ=qfm@HpbTKbY8gF;!X{qGDQ^5 z_lpn~x|@Q#k096An3Sf|d`UttDwN=^SBPp^Ag*-s6_6fG{!OW}cZkyV!}-t>CknqM z0Ugki=$jWbn2~n3E4&XRn4UDF5@wZ>KKg}&n1D`2LWCK}ivW(qAVf52d|PY`Et$@< zeAR&kksm&HzHqCUJBsv4Z3#;1M^U{cv9wq(*Pb~yI(sq+uI@Y`yRRtV0A~g!RdtyZ z8t!+~r&gsaQzHN#-?vy~7Q?7{yk8;r{kGr=jSjY!Bl8|a;sJIW zfB?*S82l-&uuEtaexI@Ra`9Ln6W<;$9!XywPpQ)w`|2HjSa{tf2!HPRt?`Yd6B}06 zdvWlc>oMbT0_NoFUwkR|Zjsc9Z?|`vz0AjW=*B{N=oaEX^k&+D_}DwcOrKqZ+fewQ z-Bv~x)slur+Mk%1V0{| zL|I?*Y&tg?9RH1qq_C|PGh)u9b#f2!U0j!FK3BT>0D#YJrEnw_Udi5VR*h`-&AsmX z-tLazPs}V+wsCw)FMGy*?RK^1t}-A}6zi;=oGC`upL{8F=}f+Kn^@85cYz+BKlu|| zMgbHUV5)mQC?fsKa3HiZKkEDO=&9^%E+yI{J8^EL59E&?W1Yw0 zYHrD;xL}3jswjF8d43)M64omPMcqFQ0L(_*jn54!ZWC1o0}YoG<<%iyfwZmS6g zf2^vPy%+tnXmH?*eDwe)ptAfE@}ysT3F}E*(5}m+{yC;cY+at1+^C@?IPHZtdqODY zudt_Fjrd1L-p5oZ_CZ-@MAeI3+~G1)`00+g;7L{517_=yr<3Z@pD)B z^9LOd=~4B}Bq@#|`%WoMSzRQNWc!Av+OY126}CO|3K6Xoi^F~C$B3dfiY_7kE~!8b z!FS#o(p)kQ)kme53W9 z$JW6ivLU@Eggfa4>Bwv@&=nJ(x9bdI8eAzm?tW+=6Nj@utVi2fq5X_p_%O87ef5%j z4VqR$5Kw6v_f*=5X+QEZ)gN^BZ}x+?Yl<7fa|yCjSFLU6$IgWvm|l4Zi|oP3lacS? zh*Q1M5;dnn%{u+^+v~Ke)ch-gkQ5wef0Yimlg*^c!-$|C4R-lXuah=@6stRIRxpjD zzM0I+sGizZB<_RfWU5Z5fdpkvy%I&yxq$LAf%wMEl1sOzEs%(Mc%m5VPBm*b9=cK35Lwygpr4^^e^n&7|Yw7yJAxj z^sj?)t0FkN4Hm?OB6W>lL`fk>gccHEe-kym?rSI!O251DFz&p)cW1lyGOvBp z9wvkISj@`qijdcSACg_wX#V^HCR6q|liYV0>*yu`+h0tx>lbJ*YQmol~yMvKl~ zKaomsj!>ubFT7mtZuiRbFBL@I_OQOf5XPZZ6!8g19g811FC|bR6=ZViSSj25CydW% zE#^|nxIi>HwYZ7q0m_2jL=apzn!cDP(SbC?Kdi`7*;AAyyFXmx+YOt)>X#3G9DRyy zt#JNDfU8=UwBS{ZY#y(|>kS5sMfFVT^(9VAt>b{YxB03nh=j zo3$3byU$zcH<=H)0q;w}5Vg{)gTy^3y3lVq0=`mkR_M3;sbs~B`>z;5VQZsG>D6)C z-rk<2Z>P=C++XzzXTDCG_P261@};)FYNZ(j&}Q_I+FormX=fwZt;Tz`i^i*v)d3}M z%-0ugxI~zf@i@nCZV!b*8aG8E7b|i68l+e+?qMzJ+ra_yCmq-Cch2*C`9f0sl`EII zPA9(0)SlE7>4~82OTcX=;0q3fu zMWnB0TVQL=KgP=3;vAA$I*;EsC8bGwWSK>NM`zu1vYm>hV2VXY4@MVKXqG^zhehyo z>SjwS+4laORb;YPM<7Bd>x%*YA+8OgY;jDv`%Zb~&Y3+ zpv0`>eW_2FU?w=8qRvrMf_wlWSL@#1R@eF7n`wzpoWK3uSayI}9$aA|tagAq_&i!@ z5-LNt7>h-`I7$S@XJil;`j~$n<_)UfdgejXqZ6h?(yuh^Uiwqoi>!}+eE2!XEw-k857lxEe|R(=g^)|9 zgr6K+in29_qv=db<~k{uQ}T{PC9yXJkFbwd2Ws%cg|2j|*1I;2q%qR%Kyhb@$LZ@7 zixuZjR2j7lRBO}?`$=s%hEMxO2Il0PuY?|p5G)OR8&-oa4U`Nn44;$?)ZqVIcBG!bCzW;1Ywh6J#%|mjX5TVxID#&5Q=ciTiGXhE0 z*20lGSwXkBLF{!+-H>P)J-K0^Dc6o0OZ3;xFWE?Eh-}=)a@DOdZKP#PQJc$lO15PL zLCo$J0KTQVI(@7q33fJdFFTmM+RVoBa)(q*aj#qvcrACk3{cA@&@e40#mWh%Y;cGgSJBsSdozD)mDElr_ zZ7v|8JG0PMXIp#VmcZA&H1Tj$s`tx?2i&Y1wAW(Ed4{*5Lq1}{14va zDxv7u@mcQ9A~O_U08QY{RNRXejqClNc>Y$WXZ{;QWavV@>*M^zJH0BedAgdpV7YE_ zr>e`^xSed8f$4lNGV5S#up+e?NqKwB`RiqHa>J+*HYZx_(zrgq zL(AR_DwrlqdQtoG!^6S&QsBNq(e%~6)P`xOZL3$agHOP>;MQE5WV_$)r$2<6^BR-sYu!?5i8{v{ijdjH!P9^QHUeZ`???N z@qr`*ERvQUyjJhM#gf8(eJT(VcYxDHFYruNihy;)U_%N6Asf(&W=krM;pMbbgQ!pt z%hdltG8j&VM&SRh4F~ow=$~)escGFsZOEN&>nR4ZYbRIb{1l4K3R}C#1_W9egXr{~&!CQ~~f)+|a~U zCHxNWs{58j47$-u4X<(2g3)a=p|Prvt&Tfq{a|2^ULbo7O=ujf3p*YS23$kXIz zTq(exxB+3l}Pa>_UxG7Kn;Hth!kGgL0E#{uSIu!E&GZ&348%mGQ&#ptG(tMOs6YU7~WZCDUGYQA)Y` z%T2hPjlu2E%A>=3#$xvDwD%w8Zbu8OZ$fvccE_`yL+$(?^)BX-N>LBnGmaWIbj~iz zwMCBI{K^=g1~B7(6XI3kZ#z_6E+uYW`3J|R{SaHYLGFfyG!cec`U1C?h3!c~s^xiyX!s!oF!@nuT%%r#{K<9Xa}H@g!gdM2%CJ`&FDJNc%u&#KBN-U*wBGC3J}8YS)v@%nPLfT&Mul78uODa7E-sWDMx0tq;f1!SrsK z5wGITk-;d-cs!<%| zYF%F)(5#T%_|Oijw+eYgk-8`h>U^p4@(QVkBG_j)AwWG$fH6J$%QNJG!3MpUS+bR5 zo+57`j$^BjTm&~4@fU8JTYMJH|LsEk!4T&F$0^WG4m5LOI=ma0gae*jOGXN;vk-^+ zI9AkY4X#dSl^b5~(#l@p4bf+_b>?3A4?!giWW`nHsBe?Sv~qomxu`oFkW>2jTyjNZ zT>;=TA5rS8k_U0%gh_|gN!ip@71a9H5@9_Ioi18$Ra2)(AtyC3ng!cGJC-{i9iQMB z0O!%F_=qx+O_9ci%>D-|!*}vMu_36p>0d({LkP@pv?H8Pc%|D`^>?#iSHAfpDnSjg zah%gRl*0u1sVcdq{^xts?(QjDW)*ETfVjpfPGCeM=@=QLebqo!9C5Pe7=J%y;EJvB z&jiB+I>=p+4NLAqV*Zi}gd33aFtep?$9?^hl6LPmXh;7x?^e$q(;%_guU~KxL;voD zr8<1v(t4?aVkpd(hcChXwr$R8N%M*>o<6z4A72AC zXEq1XtUH+&=?;VJ9*MFQ;vnT9IH0iJ%C-3U{yYby=9#psr|w8MSbBM8Xy+*b z5?N#hd0LATuz-|a#H)IrLDU|p?FxyAB8LyQ28F_ zg6U^SL$dYc*mymjA=iALHJE_}Lj;7$MS)VJRrmt8y`gKpGWY}!U6CgScwfCA5db6O zd&<@(Z^r|%0>9iw1=yowCV+TB)R)AlEmS0Hb674>Ewg3xDzQYY?TaA$n6y(yMxMhw z(tyW7l;kz+YyQ1+0t@k7jBAO5TV1~q+eqn~;6L~vkDhtU$XI;+kBC41ECO&cq%H&t zEA=L*t+yx9Y3(|8IIb1{WO_ZAh^m$&Q14~npre(kCF=b(8jqa9CO&lOHH*FDvirqV zm{jik*n)p`1QN)m^EanggXwtF&lkShYtkAvo?b!Xnq&w(zp~6oP4j*|C$_3FcUxYf zckkJ9i@aJ}+t=!ZRSr-T9?+gn1>VS^2w#P#_2e#K#Jz!S=Lq)&r?jD;s;WaN1jU14 z`>Eav6cb|k9`64;1h1w{wzC?i?fM;vxX0WEAi1uGx^$;}>42K_)c%2?2Y28c$*lJ3 zYMp+b)xeEJRjchWOCZaQAcvz<)HyJzM^f#7qa-7Bg z2;wNwm0h-8Cr+5ERMSMTegC_nzS=P|DFLW^4Mx*>Q<3?cD^MYz|f z?$2JT`)^)v)Qi)6CF~$f!ae*geMb)CRutuYoGuuKELLRJ8ss88c(tq(%&tp|Z;+L6 z==ptuD82Dn-ul}EX}$u~E42{46(4t%{Mbit;Dr?9E-7~d^qEg`R=Z%iUHVAY^P7;& zO5SJ#j|7!(Gac~ff6_$VUdQ44v6ipXy{RU{`rakT!B6=J7W(Yct6axiw41&!%7%ud zg@Qc!o%G!BKh^l*)u!WArSZyyMb#~}!(NY~%gO7ET%!A+R}Vpci8Gi<<5Ss{#$gDK z9{SX#{i3;VTiH3X&E3$(GvxA}cATQ(4g z-21e-)6F3Hb55#aAK5J>>GxCXlIdsabro~M?ntTipPo|3d2R+n$?|#Yj4bsExK`y;(=qARpHb8KhY#LoZt^3}5V5Xn zI%@-mh2i8hCtm5SdfS3^&qKqGmmkWy+FF%A(eje*8Eq}E)|!6G1#>slUEKNF#m$Tb zy!aqj22s7lM^t-7&hQ&OX|tr*Jxka27krj8*ZAVzTCez`qrs+N2R_uH^v?aP_TOgP z&?;Gq4Of^Bt0dcxj@7og36Cs;yt1qEX4Q_DmkuGlr0TrkYxR0GIaw0;WSu^n?JE0( zl}d)uwdB2Yk0%Nat7j|dC=I{!w#8_+qj8CEQk~!kc<(LhWwt6F*CAaDCK2j@PSekDn zE)cm{K`V~=Jw)@G`XJ{9JeQROVvhHY`!K^j14gAyyP%ugfm^_%CU{a4?E2#%A;@^eF@y)d3)MvJL6QRU%NjW_k z{K)vi1C<8yw?RJ8LOCIb6AmUo26A!h(tvZz-pcVf{9~%BD3fG~ygW|5^M7GITK3ON zt4VE5-`HxMO<+V{ByG53VSJF0pk5V>m0eLihAq# znEoPkuvzSQa8#iE*%u!!Cpn#BP>TvV&qLUFkK9@T+06sbFNcjBFLaT~^}$ThUtym! ztc9}MD?6ojfUNr z^L-=E%-lHRRu2SPi;fZvWRZ-8mph4%BK#JB65q(A=E}*M#w~%87|}gU-C&{kG_D$x zBk7vYd1C=-`cT&9GQML3_05%)EA`@?Thjcusz=VW#}X9elhtxz4Y;kZ7 zyUnwmaB^j(m4HAV1w0veeuYO#HI@)C%A8JmO?r)^%5jO0w8?TuFujG9_vK)9Y{7}* ze%onuekXz;gMpI75Yn1K_p$CdZF1)881s=hU#8fnmU-u!s%ZewDJ#A~{}e#M>z0Ux zor{^R94J4W^LsA$LI_IAT_92#HS~N>qD?H3 ziu-?$p`wYU%f#0fX>xkI**+_Y5%|khdn;d+Mn8G3rVmElT8wx#h`GPA*4>z#yP6rq z*}oc@-!8M7T7TjD5fQlX?g>aWu;Sf3MB+X*{pw^?{O#6F=3r&3>1iZhP(oEK+9 z=t5ZQt4OibhBV;sb*DD6NFnQejm6rdmNM_O-AdOCQBDQVY>CbA8-oMh9qsE+R8kTf z{2fzaz26tICNfX{;#QDAxi5tPG>HupLWcg8qz6{GtBG#>uc`(-JiiW#?XYU*e#^AL zBH;gtWy;_BZZTMvnCV5Upl4nRK|FejuvHS9;!Tb<@5J(VjoEwZKf4~V9R?pB< zgqAn}t+)(&V5odTP@=fo&%THOOai#!JBi~Pt&hBu=w~>mcxC%rU`~IEBe8ZdsdrYk z05dl`Wius;s9O!7YgeDpw<_Kncd@8m=OrwsZ7qqpo2U-zY;V!YmsSqgFWiijBL`8s z$(pL@hN15n@eD;tvM5kzb+Jj+9+0bkMWCBOK_p5l+Ho-PLbs? zf!2?mH=W-N4WaJSR`gTQ&*k8Dv&+sJ@O}M(_NiQv)XRCVqYk}{I}?N;kwGoVhr5n1Z4&JMmj+J7&4 z(b31(Pr4sc@a|hSyYIsNfGDYU7t|A=AVCB3eep_~xZ3EFvfkOZb#SZ7C+`DNczIxW z5&v*=fX;F9)jPG(FO0C-^X+a)1>iQ{`>f2OA4YCCb(hv9zNeBUzUl*O;bh%1y_A=K z$17K7I!)1aR$deQuFg7HXs@b|;|=Ai;@X3iceg8T>~!M&@h1??0a$B~UtWvNLvJG_ zPbCNH)oD^!uC5|yz#e2~%qMURGWXMTjK4@Z+Y7N3o%uUxG5V`?f1`via&wV(HOYQ| zR8JR>DC+CfPZAxYw{bYi#SuFbl6ND_wKNS4gSJGA+ zMUWKQq&7mEDjneB?HpofX}DKu6{#7+rV8kCJ_|Hzwc^QaH{kGrT8bRLHX)mX1*D(L zD_e{n{|SbV@+#*s+S@ zFyw(EVC*O1@@a(Nu4`svT5nb9SJd}7nni%PL-hi<_=KuwRDeRso_Xp*XyNQfthbbHmz(xCZ@_~V;A(_h9y2IW__m)DSDNKEUFw7}WUjaP#6SPu z_~|kgK&uP_=Rta0u~*tD`Ve(mf)$LbA5Qx|UdkZVZk>@AAqLYfj-L?BjJ9XpQ0(_v zotmQgX$=A&S3SdH#lRS>KXy_#5h*8WmgY6C&S25+np4huXjUP4K>Pv zrWyxw-*B$*>cgw}MS=8;|B#Qq&^=83q2(rua|1tEweik}dR;Js3&XCc+WdOv3f78| zB2H}gFXsg$6S|;%BHoYj1iSPiyzPNI;siHI^PPE}jlEO7UKY0rd1-BO4VF`4=+}=V#q0A@LQ+ zjDs}1$YkzNf~BdVt^H%&{%eNtW5hA|3eXJnh*Y{!;9v>fz@owzxKyIDGQ50qDBgv8d%eTC-y0aQSqb>RT5 zo!83Bsb@)$UA*L1QU~wgop6FkV{zx~lT5EiLAKeFpup@(CM#s~y|BT7__F`TWuffD zxd^KFs?2O|`IoWxkhE&tAjz+}5v1Yul&){WB>sx;iidDO>ch~4GODZtJqVg=-fHoCje32)v}%-iOVyrhwG9YeH}W1kVHhp$p}$IVkoo=4p5NO!#SZZ1DnMKPg?kMzkqxl!*^R23uV-c^dok_K4TNB6p)8q0Sf}R>whSdHc*UM4+=l z^Prco+4H3vCI^U5;Y_}bb)1gb2HcO^Kd^OH>6lfZ_eUEt*^k#i_F}I$t;~1Wjz5oU zV0I8ia0RW0avO-f|LE#eIeOlsIG3l1|Cd-b-hO?D+c{aXPh0`T|Dwc>r**?CgR}mn z4ja3gXzSsm7u?_v>{lJl;FrhbxeooET+DF~TQP^>S0~7|UYq1=LC*hs3()g{PRxc% zQ~^oY!;eiy-YyYO6%fg0FN!s8AQwE){S?L+BFsfV3D+@qU(W%a2UF5_GxTi+|04M2 zo+d@;xe>iS^^ioO_(bK?B1|z@A1Z;7Z#$ghGKalc98&v57{FDQJrQ2Vd#LpJGTb`s z9cezDnr^T(9&a?(Vwh{h`T~1O#d(zer%^hFo`Qrf`+2xSe7EImmEgj=E(r+D!+G|7 zlCGmA=pwZxh251}nLr(z$KRJl-kriySdgFcvEpj_7c-x8VQZWoN%C>7XMz&0c=$>k zcuJdlbk97$r@ZTa%!azM2>?fo4kwq?agLntk68Cq=j%;EgWr1Y78{Jp^f-*)>j|+K zzaWk-{CEo~`6j6F;*_N5`#1~q2U00gqi?=OPsqCV?VFs!@h3mNuYd5i=J>>K(A<`& zH|cM#^U`3%J-$}I7F2c4eAuhn>e z^@qNt8%7~}Lu}uev=_w51a)Hmv!_oR3|>CWPYQc`*3i&AdEJzi=&pObc6wLYyAeGG zvo0Ll{B4F~)K!j_lF@ZPU%kNJ#67ek`@OikH|4#xf#(2oFOuqq)gK)}i@tjZK-2sP z_APckn>%5PsL!$O!+e4pLkp_bfj_O46tWZet5CnhP^SLqk$PcX`k~wIN?DX8z}AfRlmkB1}D2VsMCUAurCli0YDavaGD>EpNPQTOmhVq3*b)p#-vFr+}N-o>?vWfKR2Pc%IA< zt)gD8Xm`eY8Zx8jZt+TZI)zgzs3#ymAU)=dZY5osUR7Qe+5rZds`kvmtKz`bMaFkO zzBk)2ePnqV&W>y~Bbv45Ln_HnC=?XacR*TMmMg<|KRZ9aG*{gih{mmW9c z=2|;)?eo-2vVX0`#&*1j6)I|VTOj>9w5S)r~XQlF?_eh#=E?V@y7xB^|3mXSF%5fV{~mO|ihTL;5P;n8pSS@!hsY zUKGi=!LWR4R#3G$!^fID`z4!3`o3}?mX&)`1)0yC7?A*Zo7%d#U00xr{95QRZQ z5PA`d?{9L1)i~^#wR6`Fm3n+b3~FdCwAaV+44QnfRz@*`?K!bMxRVxU51&}`B{N;l zRk$ey_`_dptGe?D@3i-heLtDh`O>-43_cHRmb6*%1;a6VFy~fg!)>JFa3~4nNH^z7 z<4W-fj@My~6@LR6b^Xc-c-DbB9fkfOd{;#~JTow2wF6Ujzn=kW)SilZ5Pn=O_rzW# zSq|ZV2Snlg{O9s3x!WVuhmMpS9@>BeLD+P{15l0m*DC31OY zK}ae^0(F)ne1wmV#jP}-Y2t;IXpGmO#8IK4-N&~azlkEybo7bsa5A_LQkVW9yR+TW zlX(Tvi`kgu>q71ca!x)IP{_(*QDhV3$iKFd+EC$Co#O0Yl4}4ASXqHKbUTkztR2_t z0JV?rsMv3@VC_NcuxKQ9}&Oi7Hxt)*PGvrf9tnj(Nx&cvC{FO z)92W1p~)rpPHW@Uz2N5F-is1B9d<8tnU(&gY>Uq!Gku+TQ%sO^6R0(?%JCocz}GA5 z^kJ$^pqbfOg4d<p)b((8!fga6UPZ5iqZaByh)f zr$2q#oh0GFz}w24W#UHlmy~=%e(x2tg6eC*AJAAr`dSU7H5oQQ4fmAtXO#13=z4;f zJy0tMm+q(IPSEloG%CSw!h-U%g6r?)k>kdPmiVklOCF+@2=tabp)B%~^p5l?XXNzb zYQ+hx5L|C_hPa6O5Mc?iPY-sC-7FHnS<5~uDcMF;#>%T>YfiLNx;|pw5Wu$iUYktP z2zN-?`JQM`Ptk!4mx=8iK@k(6=*6w9Jrf2)11O1$bexwklAs`{0h4~? zK*AcXokn*xpO+{lk?tDIRnady(7_s@c;Y-iVCTVYdC-onfet ztDW=Ru!-#H?a4le`}+k$z4KRzZO!}2zGsKx7t0Xi%eh(gznAJIMkk?fW$t6%%AgA0 z$`ty}PV0%CmB524&z`FN)u?)%dI~RHWGE?>WO?`ZuUm z>+qnX&c=78Ie*b`lj>KcX3JC)iKA5nu3h?Z4@|O^U4RK5ufs{dxpv-n9y#w&EqWKX z#3hThnz{QT2Au`kw;cL$Z60ZFD-3}tMRHd%PffN##S-FNM>2X14oz<>W`rP~W`g8p zvv`{T^2q5b7`i_Bp3j8&94AZQg;sxw%0FfFck8=@_|^W=ZVA{nJyWrP8QH!CDD<>J z9n(kVb+z&$y~(ZWjd8v4aLxjIQ`HjNe&b`{1hoqBr7pIs2a z;JdLourb9LRCca)4Gdtd_w7PVXizzlAJ%pWtpu(39PkpWA^}oj*whkl$Yr=Z6O=ao zFypj$KRm0aU#qJ5xKB)?;vqVI{^MD0SX{Tua`zJMXZe8x5> zb|E=Da{wQ^m~k1OIem=pO{-iO=qj5GcaLvTj2wC99?Kq}UCq5d*YjZ$L*#q)#DDiQ zrfN%)Ax03mG-uWn= z?NK-mVr>-+&9|uJpC6*)@dPM3cd|E!NoM->tO?)t< z*h?i;2tZll*FOGOdzb1qSXQ5WMakI2oSQ(h`XLYahlF5k8gnWa zei{PX!L7Ehjg&C#siHB%Sm0PZgd$GJMYiF72T6dHNAcY*1nD`!%2tMZsu%#2`ka{J z`$O)F`xLy)MO4J4^{suPMw*ME^Q8rZ#-p#TYxThV(d8Sds=+^~$InQ5^lKJ`0|&p9 zD_@eCx*UFrw8%?#b+U~Qi`%$W+a_rKAW*L`_f(kUY%qTVMOO220#9(IzHiH5P6F!c zuLu!1{K)j3(cGn5HrA&HsfWLiBzEpQsy1BKnb+pG_jaMfWev$PwXg#zcS?4 z(5kEedjb{40Jr&=4IdyWrlTZJp=hel%6Zx;UEpsm zS8b`C3OYG8OK)~ z|K?8G+s7X%e>bxR>pW!>7xdrJl*B7E}?5@?3Ghu&_ z^I_S)!_fB7i1qI#<>f1w;DdcogiR$J3k(9qZgK_AHF`QpJ8jZC;am+N#UnUpwQhbc z2x!p5=?m=IFF{%|4}Z!pnx?agBrBYx)rJR?UfQQ8WhC(%zKpk@dN!NmorI~8D5)?W zOwUE7+&0e<4*BokrFW=pM98qtIni>1O?V6pmY#uckHVAEzkaGVOgA#y-+R2}oguQ= zn3nSAGO1jWudKb^iMBp+yQWddCdRyPflgKRa8io7@J4} zj}(txl+iEjbcP#GH^x-rP*LQ7vRwQj^*SP%dXgyPHzZ6rCfF;bO@&q{z?)o)ODUb$ zwBHS{Qb&sa zQA<(z1$5rO>I?!!LRn zVW`zKptHw0{Qb?tCCY`MpJZ>!hbUZF%S0DK(|6$X2VUWU8x)Zy(n*(QNsg0GwYZ|` z{`04k(9iJpro)T7!+AsBijMKNxqjP!@=7W1<=OK5C$Q~c1)LMam}mP50@chs{fTHh z?{jjHtV|)VCzY&2+xsB#{;Gn7Zh3uswnMxs2a)s9;-pk=6;J78YYB~M z2)R*O9KO4nj(>0<_jUSlI+^mPaf(AmBS~N6xza#Ec4ILtPL%*bJsh8E+aoP9trwGK zCUZ|t|Eu2YzM2i*F#R%`)ZewjWX7ZUbX}X#(a$}Tj>0v7rh_<0b8JTHB4aYYpnm#f zHxDu0J(?>g5JTjE54tyLKDo8+s+)5|N-+<_P7c+^1D9ICHLYa1vOZ^lik&?TSRg@= zEp+fBFdzPjJlMA5^#nky z)Hn^KaQKM;bpH=qZ{Zcy8@B&S_ly$K4BZWqLn|qupdb=M3P|_R4JzHzASKc*UD6Fo z3^nx7Ll1rC`=0l#-#KfYKVh%6_p_h-zOL(YJ;dLRBFg7(UosjMhJZg|dLG?u4Y!LHy5aWz>V2=jkqe*HdiniG%%v zf%TLhhT+)60e~=uutvFr>qvEW>VPpPc0R^?LAMjY!Y^^ zT?x-)KcqY^&Z+{>5=fHlHJeF!{{vZf1wLwYps77{q&8I%jrT;61K?a<~JpnsiIJF!H)7sfjIkoR? zT7Y|dgS<*E z@b^rf!r$igL+J@)xtWw)qJr5MLe=zFF8Sh+8fX$aGCEkKI;Wfb8&`ajUAWZaSRfjDB^VQ8>cm^A0GC3lXkk`dk25 zVm2*&Tp<3(L+E+O<;5O@6hmmJZ*ts)ZeVC`N1`p|1%Q^JKG*Z@fT;tAgt7EpZES1{ zG2rG2@y2?8oQoOQSjLE=<1Y$3vgNtjM1HudS|{eUSrz8>VV5Gc@DEk>o*g6YpLS?^ zN-PyS+ZLJSsLYvFPpwpX^=WnTgb_Pi(kGkyW}W%Ev=~jZl1kxP6>aKL-^y>LBIwTH zoV4pj^zNo&5K{KCxRY7u;jb81HZ+plYhNHd%`5Rohtv*EH1!5)N!60u*$c1{*+=cS z`V~puPx@na39K#{ECwYOzVA65>5frCRJN;@_nT#w(TY*DOI;)o;}7G#Kk2^X(uxYD+SJ%vC09XSo& zea|NgKSF4TT#LBSDlS5-p1cDq_ocp9_a@PSck~i_x#i_qct%QY zH)PG2U$T|#jxYfKo|Q~ct(wVGCXzOrqeI}+V)F+Ph}63*l#gjkO7JloajTa zxhZ}a5|=M+T_LDvWXsa1H)HGtxceqS9PIt%`3gSWS>GDjIY}#CeiV8N;Rq<41MA3)IW88x11jhH`?RG-jly2hro1NpKB z$6;qpirtL(tan^%)G&BkS4-D+NhoQ!?SmKyH(?W7yupI2m2h$2Vc&^FN8<*Y0iSaErlOphS1Ahx+^X$&5#af5Ew}VU;7I8Iwyy2@Tv2} zjN5KA=tBj-8Da`@YlmGJ$9qC33DLPJ-C?N=BAGK={)-s^3@dv=mw_M#J8r+sjtChW zfp%c`M$`LS5+i7mGK%z!UanF^CP%+I z{;@MwJ0R^y*c>j;MK7c5*!K9$+q~D&`zUCzH=}BmTc@T_YuM3GM;-TbYf9R4&zX*$ z-K@!3sQ*^r+??WlZjJTmU^Hk0aYIv8E>~sQA1i52QnQVQ6{sJC{~ln7tVL=)SRdB( zFBCYhIu)$VJzkG}+#QnO1pBI9N*sCD8(TI3KFpLe4Nv159&@Y}br}`!zqz(mt1{7% zb@?6LT=g1vS?)uJ^!?oi(Efc#|4O!uv>(vKG*aj6s8^%sNdVfWfJ+lW7ukm86naH7 z7G%=p6|CQ!lqcEo8h=a$fXP79YqIJGXmIrVEb+IGtt0OXv5JhR4Us5O2i~JD3~S<# zmU2kinl=_Fqy&{lhf;;;|%&Ot2<43ENc~!_!>DD|@jPw4a8lGw0>B3cm ze$hPVxwl4#9qZO@TzDpT5%U~byh6o;vC+@&2p|82q~kOKk%yi9-4<(*!G+jBsgB{4 zhi<#<1J&Z(do(Kg(!daJSR{UpTE&#wq95x6l-mxowPYxnTe^`JHjbkAs2(t-#{^b+ z-epM5lT9+ra_(b;%MHsYSIA-IwphNBn-D(;wcRFkmj5EpEy$^dW32$GJ4<&*(ebqk z;48dM-pNaJ^QVc#L|xhXRB3G0k=Y?|Rxd#BRD{dF`rX6%UG8Qjh1Y_L)^`Cwk#HdW z%8TJ%4O*f?`=aap42q-CDeK{)Cgaa%qL)?Np%dut=;c>puXf0b$o^B!d!olFmU(W& z6Na5yx5$UJZ=Mgv`A`mSg%Yvp&y^;PO>On}o~&j>0zv#rk${x91EW zqGHSFHyJ*-m`A#lCEGW_IdFxcpws6{W&esSG}`eOCAd}Ao~iSpu-S;*@~MmPF*#ya zI0;do0f0WCg2^s>9aq)M9zZs5z~zop7#~VYq)B{aS5eIxB~Nmy0kd zx%_GoaW>oi;{CBzgrF_qDmxms- zE*T}AWx554LXC``CfliZA9G+YrLtU#@AB+oH&>d5L!=z%4>7syHiv7PhOIk2f>|3J zZL7J|U`|ylqGLm)yVFu^n)~WWtn|6>`o}{h9Y+i7Lan)OqIZmzsrvc_>AW1=h*#D; z76!Dpn^NX#;czbtG*r9N*s;=~0^wv=GTz*~HH&-UJOQBv?-(pLX2?+GG+&H4Ie!EM z-l7%}4dE*q|L#)W4gFRe0PF8KexS7#69ngz;w-!mJ_FQ$(cKm_e@1Mp{L%)X&g;MW z);>Rv8BRhI+YPi}?dQ(o%d=P-z{fvStO-}b?)ICsO!mWO%pfI{3Q;8c_`{*=OL#Cj z+rqEIGGZXEDuN2?Jihi$3;7iBML6mf&e9cp%V{cPdVSR$YEG)ccw}MO`wS2ID9h0{ zz9=zqL{_dEWOFV%`~maD+flQuUP0)Re>lhQXG&;U-0^NGIycKXmr*6FDvLBT=yiYA zNpGIo({EFLbn)(fHY^I?vHy;GduzS69UN*~!rR#pkaZkZh)jaNhEu!Um~TXf03W8x zzApFfM%D(HM|0&$vHl}Zp$m3Gnzjq8%`LCH5sA z`ZHA4R=Ivg)lOA8oW8f7Et8~dzScpx4UgB{lf=Fc_~Af>dqDm%@Vk^-g5+dHkHq6JlH*OX`rRYr<-J_NPbf!QrXQ7B9E( z5qyAbb}xA+G!z>j#h@&cdxSX=04hixqVI}~Z9%@@#D*Nn^D`o!mArMPsVI_B&qR?D zDGOS**eDje-bKk^2d_&kn9E0>Qj#LGoMW=WL`WjEZ8#%dp*%#X{h$bUY*Uz56=&2(4pD$qTyvzFF zGOUEF$g#yi`J`vAo)`#Og7eT5#&sMIU1&$dEA$+_LbB8{3InAKAL1B7z6GEY-I63B zz_uG&dQK@#0ISE>Agyy(#G-w4eEvJ-R|0B+^5;mA0}Y8p=G^?NKX0GW)2DaIbs+x) zmr0l;+Ry?L=PxEzSkjoR^9QixFrN8UV3wF#DxJp+7Dfxjc1zg0294j!EJbuY6CKJj zW3k9Wh}YU#e7$_iIkM&>*3@DWKSwZaT9LQvY0;n3$RYr1A-D*U2xE35`#CsQW~5lW zW5*~lS&K{D;a1%!@dUV|2Z{XFrsj`*;+ppqvT8nNE^Xz%Ahk;^F}VJH*sK9T^}*VY zKItN=;u$n4$^ffHY)ZlH3+ODSgHEQYo$`jxSP&`kD}y2U_HU?c>Sf}^6_<=wg04>v zGv95KsG(qNJ>B*|@auUC2a>nA?F4`Z{`kb`qjQan>va{kF~}r(A0X*5SUT#9BIZ!^@|+>T7Rew(S*> zsyTN%iEGNKy&&eZ75~PR6Ay57M)#Wxe$m_u)C#zDkIX6*oE00p!;SDP!~*qD0q#Ly zPspRT8UY%0?XnekGa@p4EqEk(#R<%@f!t@6J6EFBVuz)x#pw^Z#1T6g*SRiHu}S-e zti6#(Tmf9%HBey))|t$Zb9yiV$k`S00nRWY$ehIIg7Cw1WVpOhlat_6RmaoUk&oZ0Sy(64FKfp9LBRr{E1 z)~3IWIX@iGzqv;!ty=4cefq+)j5O9UyvWFAMO|oaiq`kT&l})^v-!E`N>h*m2#-D} z)rQ)JOiCWXbxwBA(l!FvWgVn}B3|Rijg!eR1bZ4@IlZ7EX|D;AF5*KltqlY)560(V zAc{_eLS7{IBa7HE`RHn#nqF43dg&k@p}_=gYoUtgXa2Yi!=`$F*s(zxO9J_ zR?-B_7xKxZa_LynfuXhmIh&^efAYzYiW}7BI9oCZOG#DWy%>bs^Q*x7+DOw0Q}8#B zpQyDIMrB;AYD0y$Fwm;AV(Q;h?R zXmPvnoB07MCq3vTNmqex3I9RpCk;~w^=UvWHv`mmMpmVL>1h zuqh7+U^C6?D3MgEoBquXn(_O(z90Ba6trTLsEqIhzwzE>Q%{m5A(>kugcZAYosgm4 zM<2`QDrR6pT-yGkN*@C0CPFH0sfSNGeE~6R3=3=Dy6t2p+(Ia*BSp}Ks8Eiu- zwo%!(viB^&hF=iucIYx;-j?jS>!hDHG8^Z-GQg^;g| zAjfB!1h#yHP1GHiYnw&qE`oB$n>+W}ny)HSzuK)PJ~WM|RXw1Z5|@e_WVAoS{`Tjk zJZwLf1L(K#jB!W4MCy%rRDE4C;`2oABf|fkw*HVCEE&JfsMnJ~*$VqsNq7thZ>(L) zJ!CjrJg*|Y4y*aQx)gV4K^}Q{e=h#J>k#SU0ggS9F_5v$!MX}qyFWmWZD}OhSxXf5lJ$sjZL-=v1ojAXNruRs<~PJDL@#& zFAK&a>GDF_^>h;C_*~VtlJxg0d-I%wnyGRWdqCLD1X5!vFOX*#IYND_cp0(w0GUsn zA)Tbc;!u3qzFflF&D(}&Jp-uEq5&C5wyE9+^$Q-&6Q7^e_5Bd#*-ds*G%H^_`zCZLMwfZf05E zpLu6A9BM|2rOdKi+>fcH)Q_a(2B_JR*FI@sch@>WDE%jLa!D`H((~0`1x5#4J}No> z@}*kQ2WWx>O@qpfyzf*fW$o2&GgKeB{y)62u(qFhk#9+h#3Qu5TzRyL@awPzZ@#If z2_Cs{1HZY2fuu>4!%eZ>wVtywV&w~DINufV6IXIpNG<>rvbu0q;a@N)R19GorV`hl zYh^?hB`4PeudvkdvSKxNzrHnGRFcQ-5!V{vOf**9jh)Vbzs$0x2NN15cVszlCjVn4 zua;1D`^fW6PuJ3hIz;>Jx&`z?yLn5T&@7pP(?io#>1|hOSXS&$MLKodJ!ZtTfJQsE zqq%(JljLfC>~}{eqm*bk35)X&RiPqmx2e*v)Unh`IWFtum_G@!@#DGe*@0@QZY4P! zSVth%Ensw_i>`|LZcvBLbWu|>@n}loIw=ext6ut)XUHDmz0=-26T1pXizIIVTsj$VantajRC_Y<>5X z#1Sctp{;M7b?e7+60$ky{v;6U?MJ+ecokb(BBBO}Qb=Q~XDk)<`rOV5GN>0;D!3rU zUK!_)3$@otOgWA_MW?^*r>Z*c zzSP%Bl75Syv#WIwWO?oiNR}Cqlqn(}VU}sJa4d~7h(z0u1+HWYpuH|L|ZJ^P{KFq;X+fr{JWd&)j@-BYaDe}VxbJ7 z*XDE~mFAp^Uq8o^cM>G)PP!9oQQhV>V5`9=*R`4%E(SSuCtW~T%+T1@$Cu&MYZ7Bg zWX*RoCtbjp?LW;T zPHIib1bp+eTmBWbH9RQtF?|Z~N~8q2hF^3RGfiQ^YbEr%In3qz6OH7AQGz={CGIyMV2g3qy6ZoWRD*W#?7!IOak zgiT|dzX#kzW!=_4@&4~sQ4=p*uvOAUWGl>=kSlJ)Jv?k9%LmWx=F2W=b-7yd1? z?F{F*8tgEg7UW#Jo^+A!3MuZorJpVc6<8*p$_-OcK1a7YviIR!4{JO!T7W)slj(_4 z#l0|THL<)4QKxoW?1f#`VC24Ec@0u;0Q)umhr9l2lwz-NsJ2b>sxU-iGH_Om1<4V~e|3T3@Z@S9FjGe0|J+ zd<(S^^5e+CI*eGR4Uw79%*LdS>?kAt(}8_WZZuWJmSn@zh7}OireQo-cwn&pk3!p1 z>wjSwFF*7CA5xe`DsRHW{q4wr?4^+Hzh5czA+9jn5;j-nK*Z_pT7%NS3~QagikbuM zX8C1_no9dl&Z8k3Hk+OyS9~aW>@Ha_Kt1hZsiH=kz&?g226TTjZ}BciWT4$Q;(I#* z$HT4uvQuOOlhPW#O{6)~?K95n%r4t!DqwrVL8JM2eAkyCYdpx0t8T8R?eVGIpF;hD za~yheNQyT$%8U`86EyHE|EN(k57U2ALgFddL;&wAhqJH=^Yn{M0GO`;-QAZf6jvEZ z98!uQdMMD2Y7Q12*vG&vv9DC`Bsi@L2w+s|-*`iphW}bVaLCMDPaK1jTZPmplAgr- zD;%HHQzFC^Wsai|k;{8ixT{`DX2>ASpcRizlgH5~nb_+%sp*QORuI8fhL=bcW6lAUe;GYZ0JgM#^4&kgOxq*(TY`ZU5G*+G32Ydsz2hQo`lybe2XKG=4 zb+0A)jLoJ+OSs!cmP&}7tvSJ4gYdz3ezt_YDLWCmXS*eM6U|c49BHU?BXDueIub-j zFNN(~&!M|Gr!W#);LvJuhz)Z7s{|>xpXY!h((I%K18%SVTV~a~?b6n<1h#^%7Pr!h z9PYMd{Y_sR=Pu1-YHy2Qm4ntKTqf3B1l4a3X+ELkRmL2ov)FA9rPRNjej(nXJXHD( z_4{El07y(pp~F7G3(SUckzbBY*5%1SRXF>gHBSxpU8V7IQ%XJ^;WzMJKtIFpRtYUIpN~1ux1UBMU z1R0jv@{DZV9MLZVB$2xAGf2Pw#QWi!?ES+@Ec-N6c6<%JGB8cVWbZ^fw+Y>TX>UrG z)wR^~m`>I&{OghJ=~n(fAP(fuCpnC&Ua^w;9RH_&-<7!T!s37sXnixsPmE4#dOMU@ zqz>wqf)s;+?uR2Pn5|2Bg!JHRRYKrTX>c5zzOJ;k7+w;)8tz9biznyxwfzfy;uGS# zsh#$Z92k}5-lPhp(KR@qC8&mlj**J~D)UO~X@5EpNjSO5(HPXO>XggYI{gd-2} zSdq)V-M;3>G|+0UQ)wF2^vZNNu%q}D<5rMvp+^Ai5Vs>w$xaTt^_`;aXh||Z8<+oQ zlE~&i-}yHSyqA;Ga)ENqT@Gu^D50H{;Y~La19N(>T?@m@$SaMc5tSa1=`40`RKbaPDon6RH)@a#M-G!u$XbOB?>d@H41?cO` z`u#&mZ$_=Qs=^w_lB4TccPC9obRggW_0?slV9scICgt2*zpI5W&1`z-HkI6eFaC$j zE|s0un$a^L=CSahi{IkOYv-3DSBKQj$x|JHmlm!!Y#DgjOowNSYNyqk7I)7&e$->a zzG)NGvIHY1eE_c&B7`17>4?TGfR&=e=_H-cpo|zkgGQ4dLdGTL`w(dPx1~hihW(D1 z1}nGQi!>wbj;J&Es+)2x5NT*>;U~TJLa(V2eR(M(U=9oH=18!r@n_!X%B+Df)0)n? zoj#WkKU}mt1+{hGJWHJ>-6CNOaU#+6!d*`h;p^ z7GU~EtyIoI~Kdy zEX47NnffPtjl#$1|5r6r7+DCPVxsORmcLBz$Rx;Qs0Z1|`?6+3k=7wnAv7V$gzQ;Q z{#f5;PyN&8Y>|8cWaEhK#INThc|(p;*CrmtEl`vY#5cw_Sc*%t;ov|rvSvQZX#5Ee zXAvdz3Q@YNl4LH=muH;+gFW*!XU0r2;mZ@1$8%};wIXrICvpZW8O5Si$W4e@R?<0d zSFiq3L3pZE7~Jy7x&G1$7jIze$i9)U+}%odpG%C zzsib!{o4CbIXij}qv7l1;M8ab%5uHGlDeC)q5Us7lmz##A_k||THe&%^yOv8ji&tS zD=YlGf0?v#wWto1Dt+B#!S;G~%YhC2JZImuzm;O3n{RNk= zXWUYAN&7#{bF$Ee>opMuX5P`aapa?|1}%@C|T-*vMv4i z8{F0Fwzmqh)}kE&?3nZoW#o2#`1Q%hZe_Ny@e$28hVJi+&f)qSbP9dwtzT=lf<^Et zfB>_2&Xt`JXI#en-<(oSf=d9ivZ%BQf|YE4SWZl4(dQ#(+T32A*^$M6FKX)?)9!4C z#!j=7ef}Hdh+q09iIs9ae?X|w5)en zb)sYaToP{ot9R217eUG4AmLZXZmzpC0{aqN6Y>jcU33xs^ZtEGoz9$?a>H7v?|~q& zM0XxG4v?d2%@6ZG5Z6w<*ipfoh|XXRPsjY^(yUozv(W{GPG*8~; zGej-?F$~G+PGJ5u$31SEjHQgrmfmmf2}7?ap_Sa)-JguYPBB@e0g7(}#H}F&wcw1) ziTIw$?$Nj@`yp*Z0(MB@#V{!>$yFpfG&e(GW#QB?FdHy?Y zy!vexe#(Sc0sD7CykF$WO}<(QM0DN9;kn~|JUP%Qh28nc6Rk;3sv~gEaa*w3Lp~{X z{tzXhK^MAKP4c0hU)-Sb)dYCjrKuU@ly2#?Z1_Yev)nw4o!(^NR4f)B8Wnm>Y1y2$ zkT3-lRk;g8RdjM}Og zOKAj|)cQ9Qw+I-*xME(kbhVbd(=A|bklcPI`nV}GcQ5AaZ6g#R6(|rF9H(UB94puy z+}?bO4bK-qVnG~(80tDXoR{GFw}cZNIrlPm7}s5Fdp%TNj7%Z@#A^w(@!9bP@&A4( zM6qT$3OUwTcM0Lb2;+7FMC0Sb&iElY*f||D0Z5B?QFw9X5xsymVrYM)jEV(P;*xQr zn?{_t*=JcN>;2%M@mYN%&ATHo@?cJ# zj&onS>puy?0Kx2jYgc33NF0C%KOwp=a)Z}cHFp7#W}QC*>m#u|gEDW<-w}1>(IZ|ZXllk1 zXFp4DaS3BZ{Ow-n)G&+(xxm=uF-kP|MguulVVJzmcX8!k$T*$nDRz1A%1^d9`@6eY zlBOt+M8>h(-{jP6M3GKy;`z>3eW~Ln;DBHn|D?@iX*qcCx@c;4>t@B4IBnqTCtX+! zv6*67FTc?plVTVw985t7Pf505GhhnZxA9LO05td>KuO-mA3f(>JT+!BB;D5Qz4JfO zskP#@xc7&I20K^d#JVW0G+sXu=w?QUC3rM@5_MoVc@BK+)kNnKGLGgeUYXj`BHKfQ zSmy#dnAMzPhbF*y0O+tM;p_^~`tD8t7&V8ujBgV0_5IW9Q71VT%?JJ5KwXi;soK@= zD3T#x#t8t<%PS{5<$T(11sd!3l&W8QxqS>mIJBptd-qC2?flcP4P1vRO`p{lnUlSd zz0!kLO7>d8ehOh699N~-2L9G6HujBqO)G9vO!D3+8Kc-QYaJc&7=5!eNm_ZQZEVqx z>kKcULrzNJX%ydibk5z*Sba>NmHh5$o2l}ANc0j%x1OX3wxR&zxDo#GrZ^_|DLju( za<-%`&(EwhHJiL^(s2*2zRj@JjU|hGo-$NFq#I&gAL`lcd1K|R3_=k3|B!{fz6V6q z7L3GQNPE2$yab;Od=*}!l7Fnq7Sd$b&SqB{c%3q$td*Jz%;a5;Dpp-Ul?t=?0pwh) z%q7@uA?(~TEl0TNU^_H$Bj62tr4(}#$dFI>5o(9@BiwjlT>Lpl!u!YT4AHlas1422 zKfM!!FbZj_i{hrOsY7OQd$RPFBhB`Yw#)whh?6`gM{unN zSb0C|Y_tMnZv2A*-^P)M*20QJjmd9qgKY}%678=E+-+Pt^N>mc4 z15Jg4Jtnb_)SG8-%-?Vl%;8PEkcP^&KD>n_RC26P&T2en@4B!SX*Tq9W)Y41+;AY(tvEVGL?f)WN!Z$35zgzg4@Wf0%;Me zG4;3;$h)Rv#{;)Lqp|Czm;@vA2SYnVw>A2e@}l739HvphY2*{!Vh>m`k+|Jn@To~1 z)PQ)uKZ|l4zE6oJl7E0_%?kTb>G3dL7ohs@7HKPdm2Wpsy6ZF&%r|z4M+~OT84mt+ zcYOM{q!^aJZdBuxVXSxE11x!%JnvvV@12JbXjy_3K|iBpu)3dFqL{#BV7l{&7?Ms> zD4&A`*ha1mt7EsfSHBsk9hBCi8w@#xC6Zx+3c49&ojeHqMH*l3^?45XiA0VD2U1Ci z$@gRX@yhZ8tNXXj-rWsk}53qINwBIUUW#8vqLIo(LwHrT5D&j z>X#)s#$hlSmNI?Khe(uRY@-a=I~(A^lIDZ#yMC3)r~d_?WdNaZLz0NGW%W=In={#1OaoY98mb*{*)aU(aDt-EX{HQVF z!!hSxL0Fsk2PdK%}j{;#1#@-y65Wf%9=hZ5d;{^lXDy4=uKNZM1b0MP%Hm40nD=Xe z!tOS|Pk9lerhNq9wy7yDJ8gVml}j59ETh0Z(GycduWC|rW6i~e-@)DghjA|vdD=8| z&lx;^^0E@CX=9fxH&D20U73OFXnQqzu^i9_L1X>oK7AS!(^(cuvDrE1@=3pUd6PClMolDa_a_F=0=SAXK32+8;xAFjYhj%KJDq11 zZ{ttaq{(p2HkEcit;vwvc3LwYWm}SWftJ_mz?hSGZtPXdMM8;3E#8;z{eKN(R{E)z z)m4SO{+3$Z&&JUHtGZmy>1(|O@0;Apq%h){{BltBtMG2wjh?eJGS|1JEJP`%&jkVbRH%<*3B}*J;C@pxdG?Vod800 z4rm0R-M_Je#0zNU zH8whd3)cQF*ljN`x7T4ho+VjpJ&_Y1;wSO{lf&)PO(gvjlJ}*XqJRmLM_nu0O`^~| z{+wP{NcQ1mK`uiGmKE?G-u2|G8@D2nRq$61`E|M|=g<)P0Za15EOUf3g~jGeD2qHk z6}Fldppc|ersK(e);9utp$!*Aee`6U={P}CM-p#$Eay|ftVYD@I@Mj*Y>jU6-Hf%% z(ksS4whP25Okn?kXOtY)Aco-7qs-rTH@?#}0(}y$pV!LzG8nzczpLa z(Hw2>jW_Ld)2A2TcD~$eJ1e&HmV$Yk+U|;@jqe-DtNc`k_1e+C{5ps@0fAbi@PI z1RZ%i90W@mS}Mhy2)6HC+B@AV{vOU#L>I(ZXSqbrcp3WAD&0j`$E+{xu1(pIYm2J5 zv^4S=F?qzvl9dk`Xye&sqL(9!*Zt2*rGHVQ^i7CvYvuP+%Trqp&1j(ko6bmv_Lld1 zIS?+7I4-SOso%jn?dyTaDwC>>Isu!x{l%iAg)N<`jmt}z{>#s_|M8t24Ln=3#3e;> zPaLJKo}Pz2_FmC%QAcPJ+hzR*knC*!CSr$})_)07Mo?T4xQ7+HfVQ~187ED&oZ;w`LcOpj#23R)v-mGlI;gCQ5!kswv8j6y919pzNg8zSz0Ql zug+x^zEX|j|6xQuvl4fw-x3a{g-1GdP2g(@Nkub#u;q79ki^%<9+(JxJfqTrb@_*g zj&TvembnDyNwL4IQ<)5@ZF34pu04OnN~i{nKAu-HckCXqbw^q!!#OQ!iAc>3g7G-i z9g>T>X0$JHvIh6h#I)c_3HA`ph|WBC+pn*FR-^i_7Y+kor2pai%9(2*f;;h@6I}BA zN873k9Chio&adt$($y;T4jTPU!u46!E{9g;NV~vX|5w>OODmAYEopK?h7hOeQcUWW z9R}xsrsVM++VZTN%`%gl6VNl{-(`{aWJQZ6qUsdj>e1;f;6gWfb$-XSnq-)^%=C}s z_E09kcdS2%LAJ?guMy!pehV<(ypKey;-5Z8=<(|L)K&-wEi@bMrE*n-Ir6^o!E%at zkZ*z~#TGXo%;+L`&ZB%tI;USCm6A-}`{2d6Lg_Taw#B~bR!ugusk>Fkw5UKeZRrG& zj}!vfqSP?rmlGPo(cOZ-u>bq)(N$6;`uqZunh;EcO^9)JqN@;eB@6T3dGoe;E@d>u{Lhj=h2r@N z9naz$fk=wg;`WRFk&(2&R8F~9^E9P8CXdCZcLlWKYWELVQerEp-(q!gW@Ef_&890m z{D4abz{>W=+u<8Q=zXq#j3LK?*ZfuWinY2ylA;c?8W<3hlNELnh5jNp_j)}UE{M|ipQwX?{v!h^`Xw@y+ zn%lcYZ89%E@>4Ff%w6`Dc~+(z;6-HQPujRp#XH>EASjQ;u2PN_o!}f2Yd4Tl>p+0< zp`YCjoTzUyg(p^Wz7}$|D}+4QUs%n&!)!ac4IX$B27a0%03Slazi(J_>UKpYy!WH- zX4@1(NI|grYm#MMeIz3kQO0OgqZ%AZzR78cX9H45LEhU4qr?HQ(qk&FV3mkoXKI0J zA>684d^&Ui0ZvPtSrUBA29v?0*?vUWEm3jB-IuYSaOXKnzn6AEtMp<^ANJaSE=}-H z4@9Vg^yO|ze{}BuriznQk5d%g7FC$TjUQBtyjK17SD+gtl76UaQ3Qiz0@-!%_qds*w3(0HNAgthA7d=bQuGnHl^^>YrntfOob zy)}Z@FJ)goep~A6wvr%Q&vUwAN#UCE_@VUj>T3r{^E3LAePFxCGA^BT#zgsTktD@D4v1)$Y7&Z?o!29`cy*R zQ_>rio3P3_A(k$enH9}X(l6zPelyXY;yiV?me>pdr6<4vo4mt$K-NJ_8QN2tT52Cf zOvcHmbI((F$I>*D>R$6d}kp_)#xplQ0+zkyw^^wi_E6DZRbC7kwl)$ zRF$Sgq={+twy{kk=R@GvS>e;jKW-nDA9q?THSq&gX;uO>Xx#5;W9bqihL@+S-5s8E z+BAsT>rz2cnNF&`Z~X!EYr~IsE451K?Rm=%Sb${2o!9e-0Ex`v5j~D6=zhcls`nQ2 zO(V-2?@M1zBYSXd=OG1G4J1{LDG@j$g=@C$oqEUmhrr?XGtW1(H}zZyGVk^W**vS@ zDgTIulP`89D`me@BVGvLp>p1~(L$0UT5c>RmOH}AQZ8VxL8*2S#LO8_(b~mf_ite> zOL)_9azvk0L^PR2v%kLcDv~EQex>CuwefIS9H5cCZcu-pXVlc9)z4p=-ZGqfPv;n_gk3TUyt{D5s%%52uk0!$n>at0`~DY^9P=#RYMPAaW@hM z@yGJGG>@?f2seovrABW{OiLpmY@+?zb745*uu4y2mdxt@D*U14uJB<(WF@-~27(pu zUmkYi_y{8AbOk1A-~_${`ASU2?#EJK{C3a-2xSTGcsQ{T<8x0oQi45k*m$A^{lnvH zBGk^{^Y%bdITT*P5U{toZ-^}}zdyP4EbQw<)Y9Y~?0&{yLuJMqi~J@+p4V4w zZwBgeY>XhK?aS*4I)XI+`71zoQ;VL*t4UXv_DpoEJpn0T&mY5e>BNRtg0VUXGV(N| zg&>)mcJWAU6z5%XBeGB6QV2W96fa`+|KjQ_yrPQQzD;+CARz;T5(5%L=TIUbEuhjR zEg)UOkOE3Kl0&1?-K}(^q`)AZGxQ7$@Xhmn@xJR@YySafuXWZr``-8OzApG|SVCN| zC0agfYW`h0M^9LIpCj+(+lRNDi35j@+7?vuHkFcheX=X;wL3kNpTRFu7&XX?2_oU7 zem}wuOe-Fp_npUmlKn%aXj|D6kZDmFgRhlo?Z@3gXTs)*CogixI#FcSt0Q#_lVu7} z4%1xpgs*)%URt6I_S!;KUeuKR?9zJftG#@fNU(&j?S?<;T6uLd+YPQ4AJfa4Vk^(6 zOg}bj(>SApCZMh#VG}Te^iupBBH-D;ystWQo`<(&Yqhj^Jo=*j8X{sAQ!k9|o6`k? zkGLj3m~bGtZ20M*LrUfpClmi5eU>9hBz zI~Z^L4Wokqw6N-t`f|aE+y_+?G_VUjaxjPz3G{}Y8Z2%4RTq61@PwTruNW*y9fSk3 zp`;CuJ7Q@CbkiBCSgE;6#Q4jK_ei%|Y31@&LpY-7B6nPco6Mz7 znT@wuw$d=>^*i7GwypDHz3&0D*>wf`FS#dmRTrqYB%(xtbKc0S+yLddZZ6mAK&`o| zs`O>^>vq$dtf9k|w(g1m{ey67pfF(YkJCo4p`+a-UiHb9&<6;n_I&g-wl?ywj{4?r)2{!08cj$ey!KFt=J%zNm! zJilk`>6CVX8#{WQad{GZ+0n$$O()fWdne+XTb{I8yr-p^a<3mJ&e~(fOL>kYc zzmyn&9HK!or|8fTNs}G@d>c7VvHHo3hIMlnbe9Yd1@(fHB1p_%H1&W9ff{~!gcCg4 zfyP~;*BbPI;exfHu%Z51F#1`rN~9Ym(}Q5M7goF8%PvVlEcNK|F!Uh=SDtDYEc{pw z+%pU6(k9LG1m!q+NZwE!rl44+?JzJT`9Dj1OcZ>0=of(R6Y#;+$ti})v+U_I#Cl#`T8$IK$OC<*nvqhsW z-M-;LLk)_;YoK3E!c)s~(yi_mC2A(0tn1hXaJlulnz2;SbOv=GH-xt`dFrl)%oi&W zM?Y(6x5nxxQ@Qvrq=+xLC-jM7&%XPApRw|bTKE`^K1}qV{L8>&#>VNv-$4hln5zg# zK$zc{wR&#l3SpH6Yv`Mkh9}tL&)Qe5Ai2jwb2kUfo0nt7-GfM3Umz>oqj$SBMJ zHDjBw9KaY$nn4WV#>tD!hZhb#8+ZLsU|%`g>1_xFU$7fa$&-3q1WK)x+mqM@EVr7eTo(anE3 zWP5soie#gpq2e#JHqqN(sMZ#QgbO+}2Xr#IHtsN=V*TyPVvTNmnc5X?`bMA98nC8+ zsW%w@bl|Fw*%A(ltqLV$!RMEk`YG1*u7iTFD$!ymJ|!k9Hsu5Qk?{LNzgD|bf>yiz z7nv0<%+*fTfr_=Uz^_Ht5|W0@The6>1vQ2{MmxD^R$)!9)Ht&1odM+Sd2V9{unM+; zNH$4ry3_~{e6#Od!5jQ$?)9W?}$NofpCGS zbGQ@eA~fZ)^(L25Rk4DX$i3J zA*aAh?yL#8Q?F7%qVU}I`H=$s&9A1eL!T3z2(oe)xKI{;`{Vs(Ca2bYLRNq#f7G!R z%Sg6ALjKhuw=i>v;t!dm%j>y%jT&ZHaP0eyK=6`S{&E`=nPYe9ml}^Pvoh+U81xMD z$J%luZV31m4LFtj=oT1N-JQ>{k{|(iriFI5SU(Y>D807nG^p@=?f|4N$78VSp4Qnt zkMT~{{B!01TpoHw{7jbn&lMnR8%67dBmF#5MKTK?9J-^RL@)yfuA}7QmQ+T~Te4gQ+XT2m#4X@szn!mwXst1+I zp%_y$pL=}^iXF8P@wujZ4Ie*%!1Zpk{z3M)4S7!AubMMLe1}4Y(pSS7F~n)3)n};; z>Ca@pkzmX78Mhu0Y(id%_s*?6Hn&l4fX^xM^y2BG5hqaBH*~9+@CjJQfknM2Z89EM z?pfjT^_yg=W+q2U!*O4<+DwT<;mNrMajKe+tBVo>*W*ZGi;@r->n?0QXw$(AtR8Q& ztLyiVBCb!cs$2v_S`Vd(y|B~yxjJ;h4P;&29XRL|KKK&{LSeVM5;Tn}nd{9Zx$b}vP8_+ z<_f;PQj#UJok@I`>Avz}g<2=gs{V8{KtzBfR(UxWR=!t`Idzk6DhHw z{I>@mM^;%{w^$;>ezQ5KfLiOADkPAmtFpS0O5ys4cFM(PbOG=X zsw%xNnY1LID@v?$4mlF|&#*cGu8PW1yU&hgR0gF=9-%4Nd5=N`lxT~ns*uDE>;az` zJ5E_0+#y&tH>){QgsR**nv&EHParhPp!bGbRi)}V)mE`d*os--I@zjShyIqzrt0R) zkr`hu&fVD!>AtXNDvbfn{g}d-OP9NEX%C0 zJ7tdd-?g7oTtC0cX3NLFd8i#R^mcc`?RrCjA?#T5vOg2Jh-lX0L*apx0VbsMFM@ri zV`DUZVx+pPgB26JjM%PDektcX!aAi?>c!zLg9aINk(LBk-t0NX(`abXKju=22A|ot z0060Z`;RXymy!5fUqZ<4BxD7#p>Ffh^#^3ENU0so!@$c&51f^HX0`caW;t;m#6CKD z@(S*03nR|~PTS+^WCuTzd{>z5CCt(UhRa)A`p@pHvyGw*U#aieQ-Y8LS`|xOBUI|_ zZlONNh%xLG@>l05idcRsS)g|Hw2g<+=7R+X;m?wF%)*>eM^8W-ZevgU7X(Rj#Il*h zrH()s8+?ZYA##fyk@2H|7ekDC^hVkFuK}OY!kpS2^e_6Z^)hojhRSvs-33&@3G4#6 z0QG5RG!m%EQd7G+QTKKmeT#o$Id+Yf$BW}2LjHxSxeUfWCCyMWqkmOe<6 zEEOF*9CV(OP%PdJm+~5xEn4fzW^epwC+pQ!U(ffq_La{YM6&!E${szz(B;YJu<>r3 zKrPu(Ccdg*HN|(p>w5<|1juN&+U@Fe{H-;28|*meyFWHl&vF0dsZpa-B>?h@{{s9W z)vO+tKGF_M8fmvv+v-eE-=dv-xkY{ueib=84^pTr$G=74{)@{Px zy>aCI4qxI(BUqg?u=RcQ>$ixM5wrGUk6WVef%}U%^f?FS$?7FcX#tPynz2#j`Obi_ zH7B~kjWc|V4mforLxO1X3b)(D{r#dW+35XpW7Q+4lH?}&Z^%jhw2^sp>f`E7K}VYCJWdX;pYe?Wp&+;m5c_1~ycny$p!KJvn_{MS4R~38ovPM*~jL1LR`oq$` zRjcLgG2SL&yHD;qJQjCRXSJLMZ{5y4S3Fq#?%Ak+Q|CErwfC)`Zsap7ds=3a zm)H^T_T}&fcod)4B4gad_vJ;N*@-_bG#?zh6=_iHQ8mHuiCKVrH|-QKlsJ~UZE6!c z%MO6xQ#&Pl|1_+tGPHo(@frETt&V|jI^Y&HhR-biw3hK5%&r96CB6Rex1y!d*{kb& zOslt3o>+rh=D+F2U!KA>LH8(_2mBqSz}&@8=~ja^hE>r1d3~qM_1O5;We`tIBT=H|Srky?`&cLMY6yN7?cTOcH19|NYd-KC* zgl+xRQh>p#QwQ^E@00o|Pv;NEQ`&xnO`PZ5a23_)I|ddZYx3aGvJhaGnx%YTYSRg) zKo|qOJC?EoKbY3f?LBJY9AZQ#g%#QYj``4Bo-MFjq*K)4ZyRQfesKv%&JUj%x!wWjn6M!~uAf1iMP z`~UXpH}d&8fN>u;MDRks%CdF|Rj?oCR`BCR?E)2_L#%-Vk~0D_Ew2zK@@-yWuG6md zzCzida@LeH0^3ul{HC4dly3fD^Bem`s6#VE;$EutI>SKYjB7kxiLk4L=|1OfJxy#R zs}Oh{6xx4T(BahMCWz~l3667T#KnBAmN|I5sbQLNk5>0TPXiUVeSiTzLfa3sZvv64 z{Tc|}I}#SdHg(77Q{9qzl_)qqQVR~y3SmtI>IM;YY^Wkhs-|EOBcrTj{)y}7ey?I9 z_wJ{DQf3!>bTtwFO?U?WB=8)#o=e5Lgte5*m{Yps78#)E8z@ zDP>eTKE6xTfJ__Z2P9J7Wx3SFyb6;N)ThJ1Z4uFZjjRI4H%YgVyFkqyg|MxI4ns%L z8``B>c>u@{3NhDO`AxH~g?u^4o6%LL^ue$}i!3ce8|sriX4b9b!>xIdmpkBj>#-?o zT>8HG(qb8fIk?#>y->yKx8gIXMo;I<@%**@_daY5B1JZe8oZZ%78|`<4+AYrJG{;; zHoLM})05@F$sI=E5v>^U(f#pd+v+CLptjMt@KJHrC5G$wH;h|iwb0mO#}@mIt+|${S&nyV^1lPs*l5@F|H-k2nyS1iI&mL_B{i;3E@?Y(L!VmFaxCQLzYJ7` zp8@Ep6UuUtdQ_6Ca_qHbJ@%0yel{#Ntj^U_PKR;uNQ-ITfshcjrLfq~d=asqq4ari zho53!NclO7cDkBB4(*>%hb2ld890w1fhd^($}m|b>s|TDOdZR03;Ny3uX~;-R*Mju zRjDDoyh%x+yP@X8Ugyf%iBwP@xzs{bxOdHcAEIX%YPnuUpuGeU0gZ_m1=oYEV?#ot z*kOImEC}05tltAj?UaNLmoV|)rkA2IA9!*5!o)f|K!253Lk9>+d6gZc7Xo`i}OfsJj~wB)j; z5th-WQS$12&n>52hxOYNH%zmgv%rFR&cqczB0mhPva&XLdTU?oru~0RraVb}>zc;i zH6sMee*-*^Udz#Ty+I8PjSDI!)8a#k4L<2n{4}Nc`s(urJf`PM^20J?ZT)Dj@`G2e zGDPwU{jhm9o$-FfOmHI{6RkU+`gMsl^_06nSK!9ty&_F~V(crIXd=4pXRizReuaGL zoxj&3S8+mausK@@dN>qdsllO@zd(N-}T$odg@=lF!Wf9Z1bpt0`ZQRMzb!>HvS zqww8U>JMoN4%ybmNEh@s1r32?mM{})6knNu%=?qRJpeSVjojcEcZ{49rxSkWH;`H* z?NfFs^G7>r{Bb5H3z1JEmHY?Lk$fJ{k-liz9)gOcs#~4LN?eut4EaPbh zNkrDy9_~w5jTl*)zLm6EG1vaH6>OcDIY~RC_0Py!%aL0*0wUmA^Y_+yC$KN;r{dGG&meS2g zT01sZz6@@a+v4xLF??I|msD!W_m2AJE)G{U#p#{st_KeE@0HW#}&(>5}g z=q)QDc7dzISc2xMMsks?=;{N&iVl10`bp43qzu4Tx=U=Z3 zJr8pSLWzDk%yZcZe!5e15|li7q?&5anA0-(Wi!Wvh##_kHxh94TZ|%};G~sytQ8pE z{XK=S&?*&Qp6bQl!BzreDu}w;@y3wVmBg4x*TeQ|lo0pBo}OFcxAK-m!pN2zIIcYC zLpbpuLuwI{;$F_8wIRjg5p`L3;S0jN3^PSn5L#t20w+oS(@>+n-yf=}<=dx2WDPEHSkX89$h==$R$t4@D+0@zB0ig3r={xnRIa!*ux2ru(_=TgjvkuXko@AO|E$AzdH zER`a;m)5&;SPwy|$AIJ>=~~%`-jZ8_OoUbD+0^f?5H9WO>I~IyuhEV0PO4Q`=%oLe zKcawU3KyoLAOaZQY}K)EL7XXD7A}^_!;AQ&-i*p%;!Dxz4?3m{ff=JzATNO>R;QTs zZ~-Nef^keFH5zs6>>Y7*}mbmR9EK!4Lxb3=os+Jpm$#|Rhv z2{eGJ*lrpTSR%nOI36S%yC+y}@7nH*Aha-dzT-^uK9d9QhV?oCJ29#q&HTaU-?25j29H5jza zGO=%=hwasG=InXy<@Kday&{jJWYpgVl^!K5g4(YZ{P>BqcUxwN0Gxu5lM7S%+Q}%p zT=_kWf?Q9M(kPbOu@b6sb4pN_TbE!jkLa&o8~pz1Hu&{jNYxWCo5a`Nm+MLLZbXsQ zAtL7ge!s=*5vov}&o3AfM$-FfiuP`hMi2T$DaL_Sp7Mr*SRoAz_>3JC_<~3FuG5T= zI+h7J3+j>Ysr^8B^~!^P{}-RsS*yW^v8y^F4NaVye`foSgqK%HB+jk0*5$vTC0! zmbRd3RjPKf;KU~RT24zC!d~p*pGrCrEpvCfn-i7A88x%LA`alV5Tfs?v73^wpGXX~ zCLR!)tPn{%sBJBbDhu)&OXx&>ZH$q69u*zVd*(Kb0N0-MyI-V4z(gSg%Ys)}HhhiT z4EUH`qW*17S)oWNcvrkjaz6%33g7)AOE1fV+=-P{C4xvQsEh+R4R%cV?P2~t@QUbq z9z`W-yQXyijG+mmH|_&DZ-yl+l0&yTd%j;2V=Y;^*)R8}M5V5>5bB74>!G3LsNtdH zyX1hav}Dg=1jmkjR{ z;8(fZu)2n{@}hPtR{GN~&bQDvYtCrh3}^K58>P)1*}9VX9stv0xt+)S*|L5iHq=aP z{pzY3!xnkzCmIJfebGcbHwT`{2GZJf#1|5b@fjVdhWGg3tBQ z!^#;W5<#m*6P*CCQ%NP)5tC3PGs%IzAYc4iLj@8BeupK_$##2BgplEuPD>L zN3gm=Y2b_Zuj_xUCax9TdC>_Mq;~=<7v?^%^89P+)|Uz^nl4(TM)d&=No%qni9N@2 z!Urp#5F@S7mFV9$@`DRP>BlCZlU~iq3(eO~rvll&byVwaR%s5pi6&YUFwCfs0uj)$^-R)u<8N z<4;?G0bh zxt3?_y)u$~f86@Am4b*Xx17EZ@VDVpyd-F`q;0(JF3SXyzh8q_cge5~XP2E(w`N5<6rM66xChIQQ`Yl-U?yRd>qz^;1mVznC^KkS50-y9;1jafOYgS8(V~2FKGR2 z0Rv~)?Ks&81-dJ+M(GJ^BlW5P3b+W)slN5ikk|YFm@dL;vjs2T9>y#*xU2|*Dn$H# zoO1Z_ZG^KtUcH;zk8*bI$;irm-)7|;w_shXdYT>ZTdSKfcsuN}PHt*%ty17e0D_b;;YrGL^F*_EZ2cRyp;~kXk(*UrMwJaaIgcG-Agb}X-<4cg_-*Ayh-+*6dZ;@UPNB#wVji16(MIW zc#hmUXpHe$!iddF1mlS$KjN5Or=_nqZx~IP4c_EiBP%qN+NSmQQi{r__*MI3Rrdb; zvG#hq#|rs1xU-v9lskB`pQxia+7jG2!@Weh5*e>Y4R!Zg+*$6K@^MRXq!x^ydj=cY zE5M}4DVX%SG#J9Bsf2G&{9zYrB7OzGK2Y@FQ$V?gsH@^0#9lYL-b zb>Y+^Zu(?aFGkg<7Ws z>xtWmZ6soa-|QI|Ly(7_h9*5|WYE2#K`J4!ts}_O5fNh!HCOk!wV#|fTNXClDYy9) zi&097?XWLCZz+!o^qi5vUz5B1Wi{1cc2f50BM$V_2O0_zn8LtwABBPIIg~4*DBc7yDDD|+7RQ^quwqQ5;Dah(kJCFG(r`hYTQNHw<=Mf>hf7G$y}rjL~epgOojrxRw(5eM~q;JJOa~zQ+pel>tpzd zNW@(K8Jzi$5GmyiA!vPMlt3^UuG7nqe;c3CS1)P9>L07{1|?{X(-}Fjd@D7G1&FUV zBF&J4H;=b&{*_>Ug<1!@O-2V)Xm8rtyu2jw>7n+lIKX4U6PAFg#z!PfgU*ad=M(mX zULP0bj?vr*gsBc=s9mkQe=+u_aFfg1AtJyD%fYq4cPnfLOKplx*11XEE0*E5rpPi* zRH{(#)uZf+6qZGUUR9&=3ZXNecV;P$)g}jR1BN?evM%iBrPg6&^p+yq|+%;0S0|Z4ivJ;DpeiqyyKbkH6i7~F-BIom*I9)d&aW!&}}63>uYYNz!HOKFIhE!2=6!f4{| ze7XLeA(S4!Q_8pqFxNE;j-{3qzp+;Ce)W6<66?WeHT_8?wTj#JB0Ah}H0Nz{a>g?z z=q%?()LwFsN_26vX8O6E+DDh7=ij%*uVvH_oChMK>xk6XYC0Mz=U)6+iPfHw(SMNK z`jK_18kAO#M>Cle7y0-{?|H0b;p@Ts@L*)8MNXXUy!JjG_{jN>^jP$=2P(KhfR{Oa z$2YR+2DaFAHR66iHpuO4lGVg7#jbB$R;qE3DylZqR9woE0Ma^X_%N+$oP&jM=V2k- z4TG{*Yjc(9nmkUPgB|7a<5xNS z-V?*{vdS@6lV5wM?q^lDx2F9uqf)V2qFT;C^uO8b&vnUi&maRIQm1wOVy6HzJqCqPKW#k%xuqa13#n!txj!I? zN1edY!~M|`A9Pe;3aSx#+oVq`;`dJBA`YKJ`}eQmQSH<#xGqOgLqgx#FK54|BV56- z86cLmjd@$$QSB1RxpzkA93qRnRji*I54z?t zQ_gtwC_Bc(ZtJErcaw@_Q!T+)2g>mz+VP2});Q838&*U4U0Ov=dayECuNXV7te-Md{AIiJ zccryLCvq`%U}+51ezJN0Qs%bJ*%>V_?(2@NX)jNfjpwCNz`Ie;{ZsVe@HUHSmHY=Y z^x}Z_=)%&R2MB329;xHW`)mG3#5R|)${8s8<$z9>x=Q!!6^63CyOzeBe|S9oP?13T z4O%Z&tS;q=-z4WIogd4MM~X~7P+e)UxqnU24(*izmFb{*{4m14J9vJkJw8QdHSk7j zqgE&jzhi_N8H5s4;kWQnX!^(D$zVCLmp>#=fbm=c4b=N#2&InT)8S2E9M-`HVPJQ` zFtBP$c+{HJOZ+@|K&=07W-X%XhQ~Wkax#)`@)3-BMP;QaA*y-LC&FP*4f^B-2OfGc zfuG>xt=(7M_41U)KJq9UNtg=W^>1*AW0Iof`M>Iemt^BEz%O(@X!f+c;5+}lrN;8& zZ;-wRi6+e-a)-WP3PxS}#t`Yx+9jw(x{D_7U0PeW^~FF=CSAW(mQ3dcx+Z3ymPO=9 zE7(evWuJJ97C1-s1L|^9C?yjK7sJ-*hd$><0;D%|shB8KUpOlc>FyS~bu?7Je0WYn zJ5}GxT%8_OzsIn|Sqtz~#%*_f)kSi$q?QW@=IA3_mU=jT^ z5ufGpN0D>qp#lKjV1~F`>86wQ{CKzad+PZte4bFwq2IgJNlc{L==;n>P9`U>79Tiw zn?Ww|_U?XmwRrA#*e-jWoc0fKyY-HLo6(gi)r!}oxts?f{ZV65_4+kLQw0wVM{vD@ zo`a(G-}9mHr5ZKhbQ*3H;&0@i*wf~}Bj(`&9HhH9D(K98slxXf+3jZvIEU{}I^rLx zTHrt|ayEnK*sp_pzdbzIxY6%+h1%qDZE>o#ANnL;7Ku7071+YPfwLI>@ty|@>#Lyq z+xL9++B~Y;&FL~FYdkZMvet%?KfcZN+ttmfb3EfE-n4aHGW_TFZ!3$vle=bzhqF?` zu-yDrr|*+|2d?le-arxl!L)#$Un7nmin>GbBYE#{CIPbU-M#B>dI{k=q@yism%$4H z#B0y1pTxzkKb>VL53fL(npK&lHs3vyLwb4(R#Es_BdwMXrH;r)-AfREeJ5MOmR;Ge z;t!(iEQ^lZB^|>1ne1T14KshAZqk^dyLDL${B-_^c}H*i0Pl-2&I=qoW;LoK8NY`S zBX=CYo3V^{lP0>=@~jPCE+t&JDn*;8n#5kO; zOeq-$iCH9bxLh|UrML2z?6GZO8y?b|U+x~svflv#-@a7Q$}nBNENTX5hIn>`8vu53 zNDFsxUO9+fYsz@xd?ZJNHt_%~`adN+zt?nCznyvrI2WUBQ4GOt7}Hq@ Vk#el_ z8ZZ19>3)X`;y_7;(yPQcvSYLzg&SDy@3^(ERp5Dl5@_Xcv!ZElo^{OY#Nvh`0YUp- z9Sd?$mTK5k<3q#GD^x5zq2G|{*F_gTyCCau{)aFCN&tx(o_gzCN6>>zv$p_YCbf4p z&>!ieUKrvK20ZrrdYrPrEELscMs+K(@%%yjIJ0N@0Z!1*yYPOG+Y>gn$Z#U--lsiI zEP<3U(D0l6G7aSW0h}94K9tgOe*wV)&mu4SKUkFKZgGnw>qpWFu{?|0ujc_4S$4pd zBD*Loibb5B4zJ@fwDDip3$kFTw z3xtuZRc_pjZM9idY;VAAi8k_-Pen=W26{TGvP_b5!Nb{d+TBR}wMMq8BVlqBv{7>G z4+&c8_+G`cmFA+Szh%;W#3S{8u-8EnlWE{vq`^#G#Ed3%wNSac3JHx z5uNn`4)!x|lzhl(5hl7bRIwVWMVAJRL&|bYfT?AsUb4#Wo|Ab8Y3|;(Os)piP9VXm zpkvh?elo<4gAx2YLbkrISHr~NMur*Ax(sFMV}as;mk=@Mu0O$$VvXC#jd& zU_lk?=>Z?2+(tGTYz$lPL=SwIGoek=y#d!Nr}bB~)jD(^QoSoE!=vc2zO*gC9;r)c;Ti_pC7NSiUl)aQYG0~&<>a6_2b%~JEHi87~K*fcQSvY z7}kk@F7!{Hl*ignV(huRNY4Kdt}S=$2ayJ;x^YHvp@%Wn$K#ZjyobSbtWxRFIW2Nm zX>GYdRU!*6svWEQbvKb96hDo^aMviOpV&3=z`z`b`0KhV>M-vU*r~tRrR%^hW_V}N z48S9RSK{HQlrk)pGX3&_5)atS&7tOVlsJ@KdW3h7y}P zm()+^lS>>&k*~r$MeA34sO7!AI}M29o6wloh$5NyN#*BOH8Wjn4N2m#d0(c-pR2w{ zr~)5KCEaT+u90H~_wDcHZR~xA$Hr2-pf@AYk9eQIKVR2entySv^FQUgk_9Aj(g{z! z3PY5c;zDfImFX4@2#8+O<>e$t!7$1HfC^k#6L>2U@Z*6)swJ8XPY$T}bbb#lrq+xbq93CNl zBw0Q;v|Ho?vKPFDZCER0rs_;)B!}J>?~WYnc^Mh-v_1C(jT`LU=LR?&^C%k}xOz0N z>WR92ri%mcD3@^RiT+0`$i%Pw>zAG=w@e7)w^sBuMPlJPd-1myRv{byy3^RxzHNyj z`r&PEF0b;+%}ZS@(OT59hHi`DrLNUFasQ%WQ`<;c%s-Q&Kcqtmzr#nCS(4 z`&9nOfLs3X4O<4~v(!TxWj$k44%?-jhn-=*n@9+g9G`(oMnam5z1)+S=0Bt4uF+$% z93C5QlcKkxiqG#j^&n_!X=3&gH`J?2d5dTzNKu0=k$}DKD=W>}W4LZ%mpB#+x#Y`dM#XPdsLO|-rQ*?jE*|k>rv;YM^bKA2LGN#6My$YiTCH!Y`dtMc`eGcLu)+eW z$yn(M%j(h@K-h*<&c z)D1aEi2iT534vSI(-Vo_`7yz04?F-?W7NWg5$yZ7Q0y7Ao+=FgLNwq0sh#LBtJbt>_C=cWBv>K&?Hzvma-jqVFv+ z0<=*Il_}rdxL&$_G!eS4}bJ z=~4wrae(qQro>f)3%#g6su(#ii&C}C)>r=n()aYV2j+yY5o_<7bzE*bWETv^2R$z@ zxJ9};9NLap7qm{T9*;zs-4s;AJ;O$sypRh1Qcaj1{L{L z?q&VM0}ijC{`meO_=btpf&Tbt+^h*j!LG5Md3DJo`n*rpydXd7cGs`N!tjuE#paD+ z>nX`=LKmeVrDGh{BEGr;nB>Wy-i;}16kZFKi}tHNfa2f`#C<0*_C07+dFjM9DlMz2QgN9r?A^E69Z0Djpa5 z*Ne4vk&fo8@$XlEGes`y?50s1>YpdFj%{@Pz9mq@ehEJ2eS$L~z(EnRmU$S}QGEK8 z$Qa<~MiI{$Gmspy!D;^QzgEx((s7sWFAufR5^wA#^Z4KVc%2kRNN$Wrw;G)Xw&;@l zxo$v=?w|bYf2Q7K>c4fJeKtZ#w&=N&2JxT7+{HW>hyaigdO?DR!Gx;#0xbFi6|tZ5vxT&mBPy2pgqE+UiLa-=KPZ!5ebC}D zsP5_UQy{XR*Mo^FZm#Px{4n&0ba8xXUHS-)`F5MaLDxzU^#(xX{_!UdoxOILJjrFv z#{zRwGe&6$;C-!a=7(7Hr->Litz-y)ypIET%PpEPD1S|O=dFzi3%;u#oHBb1e~|*R zSnQSolTHn zh1)fU!;LnRY3F4!Worn1_gB;Hz4N<2eNbf!2iKdP_@QN;JnCcFFZU!UD@yL6j4v{@ zTGXQpS_~nxg4$he^aN}k2~+iLVH9yeY=y)m7j2T&r}Scg5Ei-k@}bG0E&AW2a&4AJ zQ6~jw+pLmO465dMy1VmXpBd$~iwa_$*49SYz!yP04@JZB7&F(-Gci>8)fC+un&WxC z;v;D09g|bp0D&BH+P^Z71Pm}S!s?eY^`kC`zg)hJT^gsN6YLppW;&_ldgQz&zv71} znTC9x{f+~{Z;S6x=Jl)`OD%hsPGY=?I1{RwkO?Xb5!?zeF?&;8Jh=R8U zp(YytwuU$6vtBSI^!s`4CVf}EW z%p9tvP8`(MXY)#fsq&`ve+kLd=OE}wB-fVy&ZbtAHkJ#$I=gCgGhm`|T)pCXt1a7X zfC=b<(dygJf;RgC%5m^Se-|h~4UA?uGs}iub0}F~@ zjpdMkm^rbXk)G%Mo32FGQQ`7u0<_4ynOMHoey!L?E3n@u@6vDz(^aN^t{fb%UjEi6}Qm^N;U7#zY3CNvsFz zB$9Se=W=%>;HW(uEA;(IPY@sX=AbvAX0ey~L^<@W@u^seK7okBU_mnhVX`E3mRA1D zcOHd=xf{V@l@#4Hhdu-u$aM8UNV|w2dUm-q{{7t zNy>EpJA1FTw^yY{gY6L39L|^jaPHs8^|z2dSjqgjeY8k--jVJ+*GS!U%CThW+6t#f z33NPOZq9YBNvf~*3U-%QBl|qDOc;}>kSiN1``c@uAlJKWRS`v{UuWl)128Z?6>sX7e7D+zJBs`)D0U|G1xtTu-1Hs6=7jLP5CTKRVYw z2b+`O*LRQo;VAkJT2?ZPc3pYbx2t3cS_#!#BOWO>2IeD-to-JR?~U2E)V>QJ2B6Pk zTwmsyN^!QI&itLX2)2`jd;Xq3*}|?r-a|>%A(t6CRr9hbZ*In+RIGWC^MbyYp-0(? zJB!AVl9&%ylBUdeJ^_S~IbzFTl#4Xr9-^}L0W3^??baR2Ageu)_GY;*fT^*0cX@@n zr+RP=`;z3>eJ<{)YY{j%kqLjEc}^&9vFx_lm}ndEKMzk7<_GS5jmki3W-r7nI{11nx zD$FV%M#OAa-ug?ZEYKMBRNTdRg2THxfZ^C=Bj6BJIoO)iX}_bovV;8b5-H#f??vkG z@7-Agd*n$Of0d5sH7lt5(YxxH7TBVD9Zk$V`2 z8TEfsKGL(ZxqRQBXyEUW&Sx}ssn_738^F6&sI2JGYT+bgZPJ19O-1JY)adx%E9Z@y zr7~$u0o{{X!qBg7#eIZK|49CQegy9o6Tz#-aQ)*pt#B9ejO5HV_fiZY0QNpEvqtKw zurHvW3whIgBz^p)qgOdXG&PlEjbvn+$>Wv7L(6w$VGn>$(j>B!yL%%vb+}L5e>cKO zkZ-=>1AA#~!(0WGrQ+Q~gt9H8k!5(yBVGjhbi^b*+&!di=WG9lJ6`P*9Pq_6g-gOCV>&?J^E(A_JATYfvn8N=EteK z7}{zUAQkA=4KQgo(&L&;+!V4%}tRSjnIPteGdQZ zIkOs3hk!mCwY>UQ$<5Abn=CRM{wE%4cmI|}T&mW-24(Mry|h?v9DkIIxoD{0kx}VB zyL!RW@64iPgE4k(4w?ZT;oN;SDq`~F^k0d@LF~ly%UVJ!!7OKew!P9*^H-i>U0%*N zmt`;aS6Ax%RqFy<-$ga#c4#gMamnCh_E8@>wozM2;cGW?b@42#+KXpdwr!3- zTR5>qoZScF@z5$S2|xtc4FHL@CYLWqs&easuO?ouKm&Z#-j4_@)ll0&Q9!Go`52VYa>q7F7H?LQuD9-myg zQ3w7nw$3x2&G_N^_AFX^tJ<}S))uR!D2kTaEh?0XJrlF28nI^xMYXke%^0n{wKj=8 zVgx~m@%Z2O{oK!+=kvMVT<@>sy3X%>&pGG0OMD-JbFMlzEfU{Huas?-0Ka5s%?3?% zq=SOXmhf~!x<}gZ+*$kOISV{^qG6HxDyLb``vzQmY&Qy)L+>6IZM&54OWKO}n>g+O zsLxpO@%XKXprwV{9sul-4()B{mC)&K+HHVK_aN(P{igoPKL75@xg@tkhTt1c;GL}} zSq%jrXI&gvYFsqok6yfM4UbaK$=@7n+CsD5qb%Q^(MuqPI`N;J=}D_puiWKidE%s z&wmI5rPlRiF)yoLOcWsl_(riut)|Q)cL;)M+d>O}l5+6Obw!f=dul7B$I(x2g&!Jx ze=dk_6`@KavnS|Lb0t$q^*0FHN=+y*iu0wo?5!4U?kHUL5dP({Pyk{49>+skYRgHh z>&mz-{JDvxnriQB9Y3Cf*8CeR+D{nNT~Idg{h?zhmYT{r?wjxqAMQO77#7X!rW*_G zN>?-P*7jgqDIDrKr;4n$?ORDmW?n5@Mq5;$NqH7-MU^BeFcSyXb#WB`8r(&nMe=fk zm%6rD7`regCKO8T=87G_eThzDuLKff&zqNBo{~~-6Hq`&i0hfq_Hj4CY;#DCN-sH! z@LuQ$27C;|kE(bR%)NcAag+D+v3=wOty3Rhy&9i3tzkPQ+!nU~l3cOQ`@LF5zTsG| zQ}gDepcB*M)b{o)iGEQAfq;^g4zq&s3VkP7{g20DjybvvTo9{L?_AmPiV5eP{Wx}+ zI-a?Kg@XFAg=)o{ek6IFymas$U0}{q=Bnv6(8wH?}RHLRFVwShhbeu(_a5wJ zTnaBT^CaoGob{)3wKyQA7E8e}saDk}G>-NP-`|Y)7^3hTT(<~=5W^O#SLW_CJus`R?US=e!KP%(s zra4t|!qFq8`%Rvh9|`tYx{I9Co7X(K2Yi9PZEuW?y|!lCBL@i3>(M@y_I!jwa;-qwUiL%k?G!z4v9T(fbf;w_~U{A&!H8t&{Kz(@wwyj)5y za^pM6eUkDc(!nECtxVk+4g2P+ZT|0vMW;gct_;P{AseAO3+|v zFrh)&#f{Wf@tBEvuarObEt{-QrgzSqzAl~kN5uz|IZ-1XWPQ$Ceu;;$WAN-9PwiTz z7b8x`?>W4fe;3sBHmVHuPb{rOzX)Uax?<0wIi=Pc+4e=wJs+*Kjia3B+rs28*Ham_S!U(?{_6ZhxbkCae zcEBQbvo7-eFYAEVDQy?;j~h_YVT@WV4FRmkVmT-3iO6 z9c)uy$Cj=*!KMP2P(mhn2bQ?3Go`tbPiyN}h5t+}dMrDe1JSrIK**)2?Fz2M2L0JD zSM|llrzfWU_J>B{=B}-W_oc4{UHSv7mimTH$;7cyyW%}xukP2{E3Ju($3+}IlIQ`t z#I@hc5yOF3)M&PX?Ov4nkp$|7Xa16uwdGO0bfEL%u^OZC)eH)vS@lS$8Y-+J8u`gq zkqW#%jPvH0)sGtCdbqCoP*Uy{VtGiW=)^s>{(44K?ay8RYYMS8!)2RD#KY9S`*QzU zjq>)+%J{)4_ccpsQh4Ez`;chbRKQ}OE}WTiqQq2l+oZe!`@=#MN3v8Y3i&y0GB$d) zLlPqA^bn%xoea3T(1oj8@PtZul-s1_+qCsel$fw=Pa@#h?FsSk-6zfQMTRX2gyP#J z2oc-H0~8JCU8};}i#giW?^dfr{y+czMKG~y)|yU~1VQsh-tL8{g%s;4LQNH+bBfUH z6Jl7!oZKo2Ck=5%ph}Pqe4{*~iEy}EmMsE0>en-Ei#E!T@|$XU;>uj6Q%*Kf;$vAH zKbNN}v@=fU)9jrFh|eL%9hlOEDvU>23dx1+obE%uh0vksh|}n>yNlX8jRyjiPqsxQ zA8&~;S)3-zS_kPNFn+k0f7MMcIV#>Z`Z+qt4^N8B_8cePcVtZXYJI7*_I{zLZ!V$| z9a3b!%Mal|HzO+T9aOrW?3dbeJo=8n^32#OVt0hn7y<`l2Xbs)FTEOE)sGv#Seq;- z_VPN*!%sA5J`&t#!;@Vmv(w zd(~3B_u?!$aUIRv;Q_Rq29MCM8eLpk$!_th8@|FEP@a58>W>!g=CE62T=i$ZQMxAE zosA(n%h@AcoPYhHKQw*#J+8N{=*I7=sOOi3XGj-uQU~Sg4`n3St~NYFgE*h#LXPmm z82y%tGRYE!VI-LahP{r|hHB9ykHVUdkX=0#XZf~QGb85XC>T(u@2WPYkE3Ylrm+5I zSRBLuC93z{r5e2gj#Z6_a0i>aG)*7yl_#>tm?!TJsA!}-9`t1H*^2T-q=8Aa;f8wn zhj131%wa!&9xM+xmAh%jG90ixit5s&`0^>Z@#1URcnD7L!q7=_lWdb?HJqU|#Ok)5 zC3FOR@yOKm2gU_Qc$xj125VSDI+YqM-NGgj?U-O zD1bpnqKc!XtC<5-0y(W%Z9$>0i$bQN!V!0Y!a?@Mt5B>LqHCRM!0@1L&&GM7p*jwx zVw??Le7f)S14uX}xG<`0yQ|Ftwgb%uYKO^iULDB}wlG~YUP5MDGWvNGuhn@ z=u+Py;}Wk6qlDvMW+&!jDVu*E?;RUo%i1si@M>JeF$uSO^!e|` zw}iT#7_*a%)}ZGM^olJpD%#L|eU!r7GbMdzZG3o!B0JM^`309EHO)8MEg>Igaz$z$ z$JT^RrMXQf$S069e9YHLc`t%)4=9F;K=)|f3fOa6(1!vqJHSxvq3=Hrr3=>+#*Pw6 zoUh*caT&E&sO=-k3xk)LQuNv7BGMJkg!(E*zNg zumQs$?4FR1;%O4SejpoNNaJfs=jrmsLnGWa8+QeS2&RvE(h$u!LtYlS8WcB-Gy0W2 z?$QmgudGVI7*A1v{Um;D=h~yvP@(hYqY`oOfWJoVgYBOe&fHZl>zzyR1j-n6G`?d| zsZo0pGf^dRCAbABk-#&!<2f+_--*vS{L|_Yx=)HhZDi8kZ&}!+148RAzttOZcs}(CdelJvNj#VW3XUA+x)-WKOBqwAN3xK1CmIX!hzAtO9I5eOry_#2qdZ z@F-9RiGX3j%Q`a~iiZ2Hk2wHZ$U16C2X2g!JI3;pjSL-*LT81GF1y{g`7fJEuYhBYep71?RJ4(P^0!yU-N+BvkT|+Xzt^`Rdd3?j9=J1c@6) z$#|pawMv**W{LnIN{o~z5-x3HZHA>RV>x~g6I7xNQNoNbUy_az@#OssqEsPs>B<_M z)jfO`zB$XSNgDxwh}0najf}+;z_q5|=4m662Al-Ok zK{vVtIn)gq*MWK2iatNjd9Jdl8yfu2B}JB1Vlw>y`>Lkbd-tVKOhZtE^NRrq>!-U! zeD`1!7WZWg#Fp=NbLu`Nx_h_vu0hE23tnsRCuI`5J9QK|iWp)3LgKqdeT6vLKZ*l7 zOzjjBPd}3(L-Z(d^1P}ur=5>+Bb01HKqPNQyMN0d{1%0#Xuor4G(^w%g`oxt{hBKG z=PB%~k>h<5C3WLS4QXNeM>^K3?kNW(USIiT2~~GvgN?QroVLl`CM2~eNc37*gx|mJ z>-lwn@tE)>eNUuIG>BRy(s-3QWGa)>s@m{PY_9!PuwsSRY>jpTSk;y`__Ul8CjXi? zSW2HZc$+Z9iz~D247B}0A+yzzmRmuL+>kRPLK`~JncC**9$<&TPa_9ABL~+}Bi5dn zsufO^2jp~IsS)Ibp%>a%xzZLbS_4Y7gt-9uSy5l-)ep}{9=V(_MbTgFD5AL+bX&2C zL1&~N4JIKRSqFZd%8SSpM>6~8lhXyY^X5&mtn+4y4d;VvsD!oJ!y6`d$lheTsX!z| zKO^EeeV}tssl0Nv7upw780~O&O)TxR7`(0QcUtmCpMc;7tMgR-Rb^dflbMz{Qpm-Z zJF&1?O9;xD+U-20+v|%8mirrleTm~Q}O{BSWT>P;@3a68mtOYydv+6Rbf({d#; zL^B9Dn{7ioTd9{c)1xmhgsIsLg^bl(NB(0boB#M5rr zLgCU{expfm4b{M<=(va1nCcyd++3rAAxiicN|yCpJ2wkHzGqR8v^pc&kujBb z${GsS4?JaEjdMd*wm7zNUb-dhvvG~?{_%7lJjEWGFoi4%D#&}OkFnrm4R0GD$WE6@ z{!AWT{<2f~(d#g}%*ZAW{C-)z7!fvcCo*M%dW&on;GK4#l(CeqKBzAhN}{NNd@!A+ z<&hhz%j(v)z-QvA`arnzt?LOUM@mU+o+ z_bTe3Q}o;*N`W!%GU_O?k#Y4q1DeK)aYH{O>!+i%O?)+!Km*}Um&K7a>T#{%afg=7 z3KnPO7+}`tlu$j>!^!To%p;s{XFj~ z!@jteec@J}J$Xcw|Gn`^5#3ZGkTAm03H!TG4OMJw>T3Hg%DEJMVSH(sv+zMTF#N$) zjVE6Bhg5SEY4`>1tl`)6KB*Uw&aSWCi*%KMZoO>D#AI&A>*8&6w8I$eU9zV`rAn`= zgqa0d?(+GD0yOxPD?U_6U1myjFEol%^;@ec;YH2+oQd3LUn;S{?1_SU4kFGi8t>kc zfKbDn{MTb*I|gRlzk+KI!y}Z^{5s8k(SD5RQAbM@4VTYtz^e2S`%)?_zofb=^UH@I zm#Lu}(q>MN>R1&I&eFa?ihIW(TdH7!U=(JVVfh6riQEd&VVfES7m(QoJ%=k_~gd^FZ%sQ;{(JKZsU~O zO_xP4ke;v5+^GzIU)zkZ(xNSL-&8$K0IOKb2CEphXXlEkq#+tiujlOIp9ifsb$bVm z>YVGGaw$N5o~?a~OubncyfZa=^%NYgndh_4uFV<0uB4;1ORPN4KD-oi`y*ljrHhtfTyf zO;)wR`s*pe;9ic{vna49*$ zZ(nVdGzP+-2bffIl_ivnq5Z#$_$GXpc(+?Ii7yttpPq67n9o&AuWX5|)O|bE$LZad z!O?LW9{=DR$S^BFift!+(t%vsOPrzvxJ`=2Hu{7YFn1>#8AQbNhF(3=tZkG)0tm6~TC zLBS5-t=mtG7(lv9vtXI3L7$t!LP}qb1!u7Erlm^S&8#R%%!80)U#a%O!TO-M>rhBZ zP#gjOhJH((ApzCd>{Oh}^Pq~4d(hx*)$q#InnufNLpDhq0S?;<`4_m=kQ6DhCEYZ- zp*(P~z<@dbi(q3o)j1U;W#h`N-2!Cw0)lUL#+BFH#FS6SgXKuh+O2xheC!z305>e0uo3<^Y?@ZvUj}z#-&^ zC-|SD!u6t+!u9%@=cQ<*jw&pyp9(p2CwSFsgkGUNpoLR4@KwT%w?*p1{zC?#HQdOe zC;0k^AY{b&wq49jP1LuTWZF5ldES?7I^=J==2GxwYOpl3|K${yC%9s&5l})7|9ka8 z3v>2Y!4rJWu8>zF`Ca?wuZs7Zgvv$X-jY!h*sLcwYh`zl2X*QHkhSon6dCrH*c1Fd zne)bU-hRJydhn2#JOXJT5{f21Iggh*m=*11shnsUNnVv8rWf2?pl>(>cmE|ae{KsBE{CpQqiil*ZP1X?+QlpeM*^Q4=4(e-PW(hXj; z^{(||&QgTB6o(?Dw&yPE3=Z&d0X1hiv(mSpwcE1zP;V;_i}o3jn&U0#(PTlygBbMM zZ@*^lGRh#0>D5nD(^~5#CPEH5N8b(ya_Cd#e2kW` z76MP(-+g4x1%B)xo#CI#Hkt5l6J2C9qQZQa~XN= z&MBj;d50f!31edsgid6wN$3l%xkwXLSso{TUwJ(-DwR+z4X9Iepapr(-Gs|6^Ks&? z==if85PwCKX{X*8&?1>+%iwuXelgC@;b(TglXz>|;nMt(O}BQ4-q)A(cQCRN+TES? z`7bsK2u8d7NR|xU71RTJ@R=zi_ZGC3R6m^Fhlh0DsM=mM3EZv9C)z3?0##}qQ1fVO zD+YEtoojaS#3AiUeL&D~x9(epvSC$<0A1c1CdGguF#J{tK%P{isB!E%-2tU1h}z#P z#c1F1S`rFJL;K(a^7B@s>6j)Dt>tUtvgmTDpa{sy>SR=fQfmT=9hlPX79+8EN)^V5 zVZ+qZjJ()f|M#wCm)c)kd3w3!>Rwg^-LB9c0|#%gA|w6uoI>JnCd_XPr-S{f)py8B z&eI1rRG?iuJPzndXFCETHY4gOBXlM(#-Sb1 z0jgb1BGnp^yQx_w%azTNrB(vPs>0$jQ{|21UY- zQu?&hj@W#Wu}6RcQPC-~R@%85H?Iy`TH*3=pnA!7YDB~Vn+jDx^f)pxqoX8UVBk>X z3tf3w^aLVae64)!rN4At+BMLK_J0Zrc zxGW#j)Hes_B58MEZz3qJ{skPIZC9=+W>Z(0#_$ebX>1Z8-imK?065L*BDVl4^2Hy! z8gW*&o0)NMezbaz@NTzYtHfqJUL|l-2S;yOaP_KU@zD7+=Ml>Xy|-Do7Z!pMj{E7K z{**YZ3BE5N4@pjGPOo`i3d{ZzC`=cg(Yfip7@W-GQwvKa)=og%C_h|e=1P|x=vFoN zdMbOM%6wa6f9O6Uh+!XjhymW(=Nw!q2oR^LF6iSgTa?$qgi^2k^$_HA)Tfr26sUUo zCB)`%s%HdL>w~Ns-4Lhtmp?;d?Drzvp`7DD;G}Fh$I0&4!Fh*@iN)qxT;zlKQ_4Zu zFCm>BeJ5cBiUD75s%8o`D5vDQ(x~EeT7|mFp-NVjfA}nU09s0U+==+K^X0cX#V>TW zp)3lv+Q~tybUO10&~Z?TZ&;@b}D^Yl8x=D~$b7OcfIXL$(`XWcx)W zbFJKtDgssb#q}~;HiTkLO->82*gkghbOf5t#dp+@lha+&PIR{4?>1nIT{3=SNhDI9 zz*5x*csH`S_76n}o_4t;OX%JN+cy)R=5io7s8?4NTZc%cj;zluJ~ejMI?s0lVOU~Y zpzDII-U=b06B-xaF`mPen!!A5{wlC>PaN-ekTD!Br`I;RL#lHp6Ns}a+D?&074x5W zMt@K2VxpQBP2AP)J${=({vLO^+=I7Pi2W~T{QiApSB43cGi5sms%w@OGXI@Z*=_IM zEc<`y)Egm;0rl^#!O(rB?7wk+F{(a7$ z@?kwGZTOe&6ntyfPo9L~d$`8sa3{z@@byl(Va_;Co|6y5iwhs+c)AsRN7G@~Ba(o+ zhIyQuwqc|y{)nq&ez`U}hiH=NEb7NZglrcnz-oQ)i z?BH1|GW~5y%_!d#Ks<+D<-_`7v-go_JI^c6(1H0OW|L^O@{5Vm0MYG##iiJtN$tRs z1EIEy9pKsiMCmg$>WuxQ$Sj4K*WiGlvN8B{Cyn_R?VCkei$?XAjadyy{mPSFkv5A) znhGxbPh8vF2$5 z#WfkpaMdYsxa@kxpIeWj}l>i9bd6)#H>*R!NnX4gmXUj{c0Q|pRU zwlJ4RUCRp&VaJjrUo@N^XD#;eQv<%()l}Ydpg^oG5n1s)5;C3gUBXb%h4XaF89j_J zB=~7UQs~`lPQ@8q^3k31?qf~0?>7w+aqUCU-$dW)o}T}N-?k~65rHnl#~HxkUVOM_ zTgrj=ZkPKkJ1NRxNC^0%J4}?F$DRPs5s6S>T)xfkYh;?!{gEPUmPMb|zC?8gZlxWw4-b(q9HZ5;D)E>76EwQ{@y3I@OMR5FML1N-Uq15Dz}sBy z<(_A2f1EloTc?)h?KT<{G-z{i?qUCjb(OouNQ5vM;3I1)W3>$DKrKzZf$@xtdyO4FpEeC*Bb zR&s`dMg)WR4S`_fb zgSf1TTBO?cVp}YBzZl4!1Jbr;QHgj}DxzZPowg4bORNyp1eEs9NpL*#&v_4(+<0C| z7;(bA!-|f+{CrFSBpngjE{Enwy6hqSc09i+m@QHhA|N9#Va4($P52SESjZrxNbAYOL!uV$YXU;d z$qdk9`T!SEs4qlow11j()MJYvtom~!nQv>maA#ypBnA*u7Ti`lfow# z*diZWITPGVd0KuN?@8J@2fL9<&gOlTYPm%nK-k105hnM5qZQUUa)aRj+lQnI^cFOr$VT2tqtX5!BuGBZo@68Y{?QZN$+EH zYTkD%&X!dfc-Yq;@F&=Znl$iwTw@k>iN8#A$_KOMv)whwBd(iCvVZ}P^{g3V8Jn;GfNoD7Kz6jfljt& zg}FC%0ZIK&w(=7hoO`j_ZmxZ8#h~#Gq+!^oWT6y%W$+6s5Ti&zf&zHKLOC2xW=1lU-W#B#Ht6?=LV}8*cI4+p* z1zULhmg@~@DY=WIC($fRGRBo+C#T*mU19lXLy|LKoVrGWB>TGMy_ADa_)|8&ZbJ^T z&iKCZV(B;1JTN!PEp_rfz#|0?6@GR4Axf*ZUU~N#S(3r8^CWNu`sW{xr+rrRKafOB^!H?iFl5>#1HQn zMpuiM`yap=4iG@8Ltn0X#ZW+TYCuWcEn1{BTNjc7uGwV}QTbIz3PZhd8NDY<6PoAnwDI$#( z*sj$f-1q;Jg%HkXiA~p?*i4D=6%1yuvPIY=%Q_=Dune34xg8|tOo5t6d3uv#FXix2 zMM0s!cK2cOW9MvsadYOs^FOa-5`I5Pehf+Hk3Sdd$SRW`G$Y%a$e0j|i02@BQ-ILV z;zmiI{gB~zUjK>l`vZ&{idBpo|5t2yaqaoxQH2y-Zz68CnR`z4DpYfk=3@K8`q$c> zYZO$#c}%<0qDF%H4c(vFA}@Dz60NIoYxw{%eHs}Q-e_t8NfWLYsz_f#3JjFJcZt__ zov$;H-71L>3lJ`|Y_pKn&*9C{hGwku2@T*zne{f!Z-+HzMcCR-6UA&0uVu@vcyme& zQha!tPR3LU(A74ZweOF~R#lEo`TIMzU5fIKz6lQG0P@n=|n0yj*N3UX|Z}NjBu9e0Myk+4)S1t!c|Xpn1Ah9m94>HCObQq*=>Y!3m(# z;DM7{Jti0&HG zmb@V%;ot+YMyWo8MV2~NnnR9~nZs=aS2w*QCDflwlp>?ZH$4)o-wSUUt z2e6k!qL~+5%!!#Jcb;We-`Y0(F{{!hK@ZWv8Ynz^MsI6^l6^hzYvo4VGp^v(GGb^i zqBs0GmVJ}mdwkc3{xJFT%#=DjW%s0J&{}*y`Evbe@J}OJVP9(zXs9fFd(0$}bLyI$ zl>^jmJ3MhsfEn(A3r-%irXG+On^IO@87;Gu`ft-v(E$_x!^TR|1nBfUv|YNT)OHoB z3}rtenKAinUi{mWlEfBoFGaJtw~z^@9i{m^q8jbQS1SzdPZZYl$BGZqDm!gW%W1cy zr_a zKREta*rdCfCHa_V?f}ziZ8@&xD?O-efs0cyZT$UMHoJ6E9$~T9^hJdIB^?- zE}fPe$(6M1A@|C?=zpO?zF(y_pdQof&~ss3V>4}kTsB*?%+RJ?M&9~j+CF#0J|(ZI z!OcQabeg|^M!}`%JR`=~EWjV(?BZ~GRNu4O=!8IXRZ87D&)qKag&jFfaM?F| z{kW0_nEG!3Ef16jpZ1zbjYw7KZw$>w9?H<2o|FZ2vNy}nBjYz+xO#?c*y%zXL6Ms( z`w`HC)6g8AtLkV+mw6c1Y=#s(*PB53w6=>Z7hUmZkUwCW`P^;s%y@hCr}Gv!HUgM; z|2N)p33NFg-Vm^V&b9QtF18jREAD?E=nH<4?}MQVDj>eqr4;QGN)Dv1P@F-RiD>Z4 zaVH61?eO+$eFGbXSulHkIluU4l5yVEab_(2Y@*x|T3#n=H91>@rJ&YV5Tzg7SE=hl zY0TgB3*O4a!P#HBjE^dLOnsgzL+tz7?D<9l=uSKDjCnPswCR>4_VjQBYB|rC+?<>k z{Yf^C_(t17mx#C1%h&26G(-bgfG#_Bxw4g68no~PgxRpTz8qWg^&h)fL{GL3ts-Aj zEG*Q3Vg;-_fI`V{%%;<22+yLMIxfvdj(n3T7s!xU-=VInhUqFf ztm3%^=RM(k&CGM&R}9Z7B_m5}D!W66?qrB8{K$u>jvW$iE4G!Ao_#f$Ba?hKS`4%+ zWciP`Oo3)h1SNTyeQ#B=SYP^PTOr#XudE#M-aUPW40&CAQhVdru@S!azU^qoy2aQ0 z<$7h)TbJ03n`HW>l>c#RFudmj<5E>V+PD;T4?Nx&Dv)UU!B{R^v9QP!yoBud!?UydwE_3l7PjGrQY zkb*x!mUiuktUp61m?EUv((bxiFApaObF$WoJnu9sNEHiXZS-(IMKbr#+%-0G$T?&n zCCl=>D}9gPwf|EoJp!t(CRLX9)xNlznNPiAY6%*o0z~HbAeV(g3E6xy>bX&dJaYgd znE{tnu>}@JrldQ7f)1*;BuxcVG|32^;HF|zsiyVFE@Ny1*!Xb}w@9`=ubGWVwi1=6 zhcz!Wc+^(y3-grXvsm46yFcNh51th{g@tz>pz!jW5t%+G7HiE3dz%ee+`nXxCmiL4 z#V^<)-)Kx(e(Zl%P{ zI4*m<*=~O1F#4cz$s?l`6D;bCIU#daxygLBdmO2Z!-^U|3bEQ2<8h!?RD+S1HrsH7 z4Q^T$c2+QMz-Zx66=9-Eq(<5V^KEUE28YS`x^`MN*?M_oh`gN1?8wNGjq zhNPnK(X&~d+rRET`~0U#RDdY0@XL;~O2l7$@#p2c+V@i(uYKm!b#w{tOb6fvKrtjp zL_!3ku0;g{`18HT7mB)5CCw`Dr;YB0wC^6*R-{mJ{t=$;Z;q9oIvH$zsa$(4Ol_p0 zQEK$C+p@nwTTK;dR5hV>o4rz4&rkgAq>U%Je8$2Vh4NqpO5H64M_$VW`$yV#bXKFc z_YFB{X=O1ldo&&adaMwMZ0WL64%sV=Hs3GF*7@-?k@dD6z#-v-TZ~&evzC3&{w`0UQfJ9jbWIPrn&u`1{TW_v==&}r1)Gv&^&2 zTeYLpRKl`t-rBmV=<{ip1m@eCd9Ja$#m8i0sTzSa&Gz!T(fQh_r;~Ya+)Wp&Ah>?j z#cyUTihI-XapoTR<*27y<>9Ji2z{Qf^U*w3TQk8DutG_HaX98*P8y;MxZH?Yd@vAV ze`ucnGVC&0PMdkXQDGfw*ec8vXz&sc^oreJj$iWM2T{KTFT~;O<$&#SZRF8r3RrIO zK56ayG*xBnr`B1=%sN=w2W4iPc@d+ckxywyR`na6y=`>Q+d0hz5G%~@^h5SSr2}ffYwOnpy|AcqKT3(1St-atP|gTE6cMRQ5#oJV60%MOCXGsA@RP; zt@&;CKge`^n(Gd$tPVRdfYMH0b64?~sN<>0X$umouvQgkn*}x?|&WCvvUis|9= z7IZy3)=VzeN>@wJM7(;K*fO?!k~P&! zG@Qsbq`*B-J@Qqa>}OdtF`v%+MBC?MF%@*yOzK?BmtohR)$XM=jujM`X&ijI3|Edy zNDZ9nr3k*SKr=o5+HNwib#OHdLb=HF@!r!I05!Qu+v(E`p&_I6@YYY)LrW`!+*g6{ ztA=`z9@V@lcl;_LR){{C`{F zL%x8f;icny#J$@O#(69g9e$0-!|h5ndmLd~UuMbs+L`kSxzPhEhe2}Cv|Zcm89%Fr^L5ObcgDV2ij=T-k=2cPG;KkK&W4J;X-K$sm z);rI3c7!0cv~J~FrLMDY#jUK(;DMFsIprJU(3`##Lgfmt0-7muD@(fa(M)@_^P}~m z@CH&I;nEOQv!Afb?c$oF7M6hlq+V*8T$=W)*Vjhti&VzT)}T9eKfJ{83tmT4Nba;R zlIh8cdw@jKNnaiGd35p8_k*>?Ihx{K7lE~Mpf}ewcZJ6fZ@utEWcG}(`M;}vdXj)t*F8>k`vU`_CEfX?a-N(%Q0+88`D{!vV)-n;Hkm; z_1zx$(9>CREIIAfyp0iO4~axaTLJB~ZtJ%*qce7#8+5Mi6f;X+L3{Ozs@HH4=%c** zIs}nNm-li3v8~Jl(S4X2Z2qwEp(s;StGM9_M2qUNgi~Fot2oAFJw<+66H$Gb^pYmp zzxGP47GFiWb)H)b z{hTr0nkwi%fS$ijW^VWwCdR#$&E^99)-c?$StCcQl=H?8Hk0(d@S|E#?%thi@`L(w zK#>~3{A6d&=YqCzQUKXieUtKcj1cKZp1GZQL{)t?Gf7us6~Ur#zryghBA2Lvnt2H8wKjb z-#PW6(TDx!y{>^IA-{b7ovgM?12%{ch-TzSHfFBI>Z41(iuSfY4>#W!we0Z^V&Cg7 z&B8}wc0i_JdoXuSL+)WKq$exBn!&$2fC3Cy{x_o?kn`_7lVojBS99`TXwb;@<{kAT zlGFPa?%oF&-^-90r80(7kZUAn=073K$a_phXLU;xZyku68YkL3$N5d~_C_!hWmHhIemW{4#xx)~;y%+!+3P0D zCqG{5ZFh1E5Zf5rVCaff#vTzg(_if>SrBpsy*^x48e?~2fser{K*p_Rx+ItD;(u0^ zZt;5Z+=zC~l<#P#v_eZvdWJ0x#<};DhRur{**8G(quMY)hxbag5D|3Lu*?p zf-GvAfz8tQ1N}%F5m7p2Thr@HPxF97A8VICVFztj2??_&hB<+4u7@i}Q}$4ubA&42 z!L)9o}K+%T=>^2{it)}}`D;cLD9haPvUz%hG^vpT-sqwqzm^cltsn7MmHa7cg zuej4~cc;l*pEvgVGA>)EtjI-fhcb-C>EG2a2P0u91@Kv}M<{633Fk`IG8>r z^oKfy3>YGVmlb}zYK&{0uMBTh{Pk%e*S*r}_4!X!>m87hMT{+>G<|FJbYt64$sgC) zgs6vY5j|==It?_7kv8{Dl2dz}$go6KJKtMl;pCST?5hq8J8EV+xQHQ*a2{|}{-96K zR5i-0Pzs~%BwCmER)(HInCojo3QzTB7J^(}H$uz5|MJYHI7))gLfY@YflQ%>NVtRVopjx z%8h}`038bHdo|QoFX3pCZa%|qpuFgB-M4OF=^dJsaRHS)y>NcqllC|F5Qc4yI5KrS z8&A7#Ur8CE4(pI#S}n~b^=!4S;Hp&LMEfqqD1YX-f7CvgKl3Kvi1C(Wrm8v4r^Loi|~w2wqap`*4(Mb@lh3=PW};f7`!m#YMiJ0 zt>fXAw=>1Y(}*CxV(Ox`^4lMcDAT^#%huiannBy3tA86Wo6Oz^lyoYE<>_q~Y{T-U z{v%gOdK#JJCGQ^qjx7{YLP9FRcpia>TB7FphfKt+TNgKjmA2Llng3Mg) z|EpJP2hK^JlDr`i{KS=tqJY&-jec>ch?iguyEpZcJ``G=6&JX@%-|mW=!F_dm%Ogd zl45d?!ujuaG64F=c3K}vUNC9h8@Lz}UaeKq?=6My_ zv{Catj1K-*xxKB^?56+>yh-)H1#&&E)n*4yJYsvzJAhc9J6{~S*A>G)%fp}A_RfBK zOH*MV+Kpm^aQ)#+FtpPC5koJ1p*Wz(m~Ev^_~k(cn&j0=S+@^>ja;iECoQWxe=a|0&X zNP!a4N7K&BxL?HvKgy@ zYufDA;<#1WZaRApsY~P;OUp_`vZcyCSf}=~vQd0Jqy~w6zc%veta1ah@r6whA|{Ir zm}j;#(v(cI3A=9b%pv6A+}N*}<@pf7;kzS#XG6y1y^r%Y-;4%u1~a%r+AY`D(>3Eq zr>wjfR3lVRyxq?i=1WW4-FWUxNGlj9FbUCe4WHoR+-cHpM+} zDJkWBj}vM_aVcj?2tOvGRYhrrcF-gSM z8}nP?m!COflyx4Et?BKPs%LC7rMTL&%KmTD(KXkzQAR}8e>EL_GlWZ2ww-3*78<1` z$*dJ;*s%X62a1x86qRUsRne+Ua6RI$Ly+O`kC3-2N>pE_inR2GNm>bJm`-?@4hyrq zes$YpPNVXoA0_@&KK3hGJ*q?E)1@OQK#eELMkw_R_f+mvx3VWSr6UEn&w|h8ZAk&G z;u{Q-Vofs{9G|Ju#i{Rc{}0=}E3t&AK2V=M|6|SQ$m;=bQ-TX&7^$-vUJDwn{B${< zg8xtTedeC;$B)2(6HrCr3d zy@b+s1~F0yrzf1$W{1i0 zO5)P(uS#_>;WGNa7 z_em(Lw>d-q-Vx;rm6Yf1L}H+&seV=k_K4jtb@sp3=ojJ3=i3)za)S(Mmd^`C=8Mni z@auoH+600+Egv9kyVwTfhtZ>9$OD0Q$WBhXp@@K5!1YB>S4@-a{~_xw!*q)SBUZWt)tARQZBgVCd(eSgpMkK=e=?$wUH*!H>a z`@GI8U~E;*asA&+_d{c1WZyc;fa^fC{r#T1YDAx%GXNpES@@7oNXv^@`7jCcv`rgf zp6VVs{BGE;A{1=o!21lt&MVKZ6gY7Oo;!*}nj&I4NA|El^pnhpLTpXoY4lIAUtc?O z>CCyW6xOtzE-=6cVWjKRGX4iR&v0Z(o<*sAL-0~SD70hX__v?eo^Py>>iw`p3;yAJ z&gg|oX*6Jt#ZSIR6q?LpTXv_)dG>DLtD0&I;#5n#JD>pUt-z?U=v8PhY8ObHgJA-pg4FMvx|m>o1>G41hNnRaload9pTn9BA(Ak1$JBX-r{1P0654F` zE19*OecG9zekkhkgJv9sTaS_SOZU$aW)~);s~lkM77$(&URm|K-s9yyyEi)FmUy>w zcv!P2d4zdXl?(-R+Rb&yU5cs1X@xua=rB%Isk;>^^I#NpbvTn9b*>HLcS?iiNldY#YF47yLVC0fS`e)Tys;1H&yZ9!17Z`7?ev3#8MICeq~ zqKe$Cj$e)rUY^}-Uqx*Mr~6`7@)yp(K-=9*=y#(+~K(%gK!E=ig% z11FRId(=o)xJpxhA|_B9DeN_4tA@m=`!T1Kr}`tV=@NDr_CRJ5Wh<3~tuB>k0cnmz z18a>dJ{6ipoe-f%2j9hEKLPGzps$hKthBAqp)!uzMZS*-LbSbFyiXMI9Gn^VU&9a2ZgF&(hmPV zh198#AMv|2lGw4|_aq5FU{vn}o1S4`kzeFgCTG~yu_K%=ab|)JDTa#eI*(o4X#bQhj4XaCTmP;uoMko8Q zUEy6n{wvmMn6VYFOJx!_KQ4f$o_vkm{s9BD=tRa0*%cJVa`efYm446jgN23F>r__r z73K7sB(}Z64aC=s)^S=fh}zHM5XuH+FqSw~jqSwgDfu+soPd0dSHYW01o^Rh_*H~@ zkDC79q+wHw!K*(~WPUmY|8B7|$y!iJKC4I{{Q?n7LPaH(3xvb`No*T*Q*q3%BB)ZC zhBa=6$4Wnn%=W!1J{pV~odQA?mLl*MFA)~@jHFwq1->s?a@+Ej26_sfQSxxe=s-QI zQu*!q`v)StVDV{~S6?osoL4UHsQfzuaEJUlLENGTe_;8czp0q@6?wm&l{?X`kn^d& zvRGY08v0j0IjWkz5-K#_q%7r_7oj5mqf3K$Dm|Rk&Gc`YLaL(PCe~tpV$l2H-mbbB zPt^hMghM<>Z^kD5GH{M@BK!%m>*fN%k2?xPWbcJjQpyp6i98XMh@l@R7(RaD^a&~m ztJiLI&HCefA&UN^@;ynrv}wnqm=OhVg}BVy7>|rra;r_+w0bDzsEr)=A8xuYfx2jk zmLeG*Pxwohr#FoE_PfHj?Aa^{rY@C&o3i~{2YiX_R&*ey-$IvZc3d8;A+?1Uy^yzs7x@ zgv1*iOnJHfT9^$a+$?+K4LPIvQvY5s7KqcifCCab!aTXczJA0a+{VS|mu@EgF1;r} z-_yAWSt}slV8>J(kUqJCp95Oaz^U{vzy}+l80$SlTx~5-t+g$C@CPeiMpAk$_rbV~ z0g*feLE4*`Q^jgXV(<)SNQ9oD5fB*s)r^)fKU8ZAl_b@ifm{P(c;ZOEJ0XYr zm_WODTch^i?i{_Q`2Uq+y)pWl#~UopnRDj)YcyBZ=$BEoQ1hx!w(Ufo`Pt4G|KG3F zvC2%r)NY4@=u~_LZ|ZghIp()`Sd45(xQ*;4xU*cxg-y<>lH{-nw33TnZqxj2=Tv2U zdc{+suLv^)TvIj=JEyy*vgv$PPq>xd`Z{ccb;eY;>IgAKt6e9%2%C``+E(}KW8`rK zpY*dJNVq{4a>7_c63KTvF5mcLhGMv8k~yl3i{FQx%XzRl7pn#EMsS8HC88XpXLvF5 z_KCLcgJ1s>Pd>g-72gG?OV$d*;=az5@7G)~D0ytn6}--&OMX>Ly|FM90Gs@}(&Tk$U>br!wwDTh zjX{jhWUVc=`Y4XQNTX(op;1dqp*;*vTBjE#$*AnM&s9zMQL)g~dy&`CIK?D56@Ad@ zg)Yd^&(0EY<*veMjJd0=RnyNv_J^#i5<8HUq_hIPPCS1!{kav>$7P!_P1~fEMo+C( zy@Mrr_9x!f7aZ@=2U|)kf-DQJ_@^78ZG&%6oZ?0K7F(JL9D?lKdm*d z*1EI0i4*{J|MuQef=r&IKX2!cr}^kPwJNm@eyWnRHi9i$FNae6~voi>Mxk2){S{(&T9FHR`yekHq^8sce&va-cYJ&Y9?IKazlva zyfw=H*JCT?y(IsnAuAx_Hz6Xo={yX0MJmGV=K3~A)+?tfs5ExYr>bT(r*$H3=JN0J zezTG}R*dl`BFDgdQeAEpbod zvKQ^ae5=jgsDF>nOSd3@G*s+}>R;o8QB95Q$ZP$oi7d+-)!#+M{;Y2M+M{B8K z*NQ>f^N%^lkFCMf1diRU1T^UtH(xcI?q71c!rmKvUiTVviD~@U00E;fZGduIomU>V zzcXUC%oYkg=qFxcW;X7+y0z>=CrK~OOsB3%!2N^9t|S8^-j2cymSLTn2+ysw_lwj{ zz{B;p*udkwRL}5@)`u*k<(cOKJ|oT^BbLWW%foA|&Cfbso;@38&sv+HT9EPUSH8m~ zx$aQHj}Ea%zv9}HmK)onm3d=N_)O1%Ul+MhTyWmujh_qbNVNK@#b-f*imWg{`>4oU zT!wg!BoAX+cv~>CM_d@WXRFbnYKid0XhRQVPd`(*sBTo97i*`yCk-KGLOKA~{Pbc2 zr?>$;&w4R6%lE)j-4(WPXhp{ItA&lgeu}+zP zaZ(&{EZk7Q&FMyIF_trF(-&eae6MLtxF$BnaD)9w*oAHCCgCBcenPKQUqOHPQW3w0 zY3l7$IXoXDkRI|2Yk?=k7Fb9bJz(9<5hfEByO)9gu|wY?9*|LBwp@SIXB7UKp+fX< zKn}8-danPE^f$^|f&jSM>Us3PUh7}{8qC9C3)Qv`V@QZT(~$2v%<mGQo+wY`zLTEPiIY+!&1;1;5sUwAXguI9?5cvoPq zN_Z*z3||H-Hv&f{01t4s&+C^EEDfG7D}HOX>8s`mczQ1Yof1l71Xl}(cEdcOcV5${ z#JF(^#JR+W9?Ugu*7Cm(C*DHF{vltg7qiQmg?M&k*7j-LY{>+L9iFpPshvNNp{$`a zJa1ICtHV7a&ZaAdU!U)xz?sXxgYNw4v>k(NzaB7*tIt@*1y3tDw}`VzDN1ph(l)EvXM6iT z)Cq&Z!Jc<^`#){isXok8t-FFvaWgk;xJBcx+!%k zE$>Bh4ZX)BcP&~NqCw5T$zGx%nnMvmejENH91|d6qUJz0pG2)Or<>mpO`M=ohj`^j z*)flyxNo}5Iz*@xJ2=@voy_9y$Ac1ypf6G{(wQW;vSg>2MV(`5w9;e7bS1YUM0KRo zJTg#nkBM&rEWj=_QuH*7dM*ypYeG4^Y(6nIR}$6WUhe2d*1cR&_WCo~vuEgE2fL@P zMhFE5`wo4o>)lxn*%CcfUvSwvpITbOA8cO?`XP(mER-Z+BMA%O!?x0<75HS+U2Y;}i>1^rVqJW^OuBwoh)%SotgltG}@ssnWv4aT$b4 zpGqE6x-}maxwhkf$~+1@uT!6i(Ghf)xh1$Fi=nU3@siz(D8l6R|N@uZF!()uR~` zwg@MGLJdf7SQSmDONGzlJd0kQ+{eJy!>aZYi_U;L+o6;swtJaa`ejsvsrdhjFm31a z>DseLkm|PZ{34eTO-YI5YLmibjr!mzP?b9)_6Ih~n9CZC0dl2*B-5}G6((Qd&t1e< z;FUp3{3BusENv}cKoqLw_qqW8|_>q+vWVe$Zdp5V+q-lEf@- z?yL4{#D1^ReHvL?h^1Kbl2m97 z@a+9WSORYSC(mQPas4QJDP5SmI&T+D=g^d^d=``MCULrE}=0c4UT)t8C(4lE=KDxDD#6@WG1jsdt02rOFN)5&2}8 zgT0rIT&WPS7@p#xqQqWG@D8@{8KJ6K-B6K=yh zvTNR}n{Nlw&5LFf^;{Dw{iJgx&fn$#pBnmP;tbgwDG;-4a$J6cY75MC$0Z=m3fQV0P|GTDc z;_%0*brV=>GZ)jRfd7(8iqunR2R!N-JC$N*w2x6o5VnlfwAm7&%-1hT1jhR7IVL=5 zwI_$8k;*8-u3)#^FTif;|CAmeKE7bc})uR$8TlH zU{X~ALFjSWxs-Az)y4_TuGbUx37%zGdE4SMDbOp}eKE)N{rhK^IGxVR{X)s5ul$Sn zXINm}H-5Sm5$4Sfl)rG>DeRt>5a>_dnj9F8+Fb9C)717;{(qs9)3bcOfFH=Q|M42s zdG6|>^t%XHU#Vqxu$cWM3%!h&J<|q4fwV7*IQ12fNOEs6q~?v@9_Pf|F$bxUvoPsf z2kyk~bqh_2JvL(5tK`RsD7v*l(kO?{1^9!S)t6o?z#YQ+Z0b*WsiQ%%J#TkA)z&Ug4?k&zzPJl8999Cg8=7g@n?xlzWb~cVtfL8?=GSDNSQ1gI0URZd`vC)1m4Z z@{@gR3R8*FSNtG!A`Tm63l8fa*iZZ37cF~0h#F8UXi3RZ&5clrDJ~1Nc88Fk@nn^K z%VaUd^v2&2Zzu?_-&U}PQxV&oMT~y)2VkjLGKqzq(k#@5`|zz$#3igmEU~Gl> z6;H}3+25qZovD>>@)xJVhHtw7u0xeO@tF(>+q zQEKzg(&cp%=99QKy~&2xoQ}QOe|h#q-GU_mxOW*>5YpXvlA`ez84tvp0_AVwjtO#x zJEBASZ$fr;QX0yy@2)bMM@*I1>pH_+R@aRCnQC8Ixq5}ANmXp%X(38xTB!^s-9MJ+ znOJE08Mj?kaTP~5WKQ+n4k8L8KI|dL#k{t7B9q0u3eM(9%x&$9X~Y_IZRp=M#}HMn zYe&sFGCWr1k5Ss%H|J@hR1K4)pvl{|#6n`ti73AKI!E>folwWl+rh~>c!bXwEQv?DX$U3#~orm#R{U03sqicS)xQyHFyMk_&gdgg0rBGeSHm;u)gR)gmr{1Ld~XRpoDYU z<&o8)9s1KNyTbq{2pK~Jl+%wQrRV8ekuM1W5Z-^>a=T^blgA}WXUN6TiphxBx}1wB zwVtq^4*7Xj`uOlcCEG_V?)j#L_W{ESWEre?jIT$hpAg!zex=qLWm}d*#vXE;48U zWaVvpHl$xBW~h8GTSqOtzxMtp2O@GcKyUD(RXGeqc??>5I7CA0WaGZa-lj1 zQ>3J#2;MV_TVmlqBr$@d?Ofmz)SXiGcj0OSp$M~|cvvvPj^ZOGctA309h#4oC>DYg zkx(KGjV7TqRud_m)|(wp6FaBZ*DSv8y;kpJe9;ADVA2eLD~R(slhwVHEN75G@rtTz?$~c77`Cj^9CyDm9%jyvQsTuli+!$eie763eIIO^DR*5G3w+Z<(PjtQW z4YQL^^t1h|A8`z`2K^+5yzHp&+N7QT9-e&)#sy{@cF?Z>ZmVxcIY|h=B5tAbB(0hw z6WqOlKn71|L(-3~gGdI~@^fbIIg@MVr|iE~Ldd+q+pufUgEuQXXs zdOFW`%x`TwJvR;CTwVem66E5p&s`D$u|atLy!r4zk|d9@wxOBlvg+lk=A|ar=wh}% zAJ@tfOAbN$1bS()pt74hoB4;NqgX18GU?$%@9 zgPAgzqkP}I9s>67%8UneHwCvo5VPFbJRiM4lM75}V7}{)$iu`vXiKE$EG`S?E8dOE zK-H`c)_3ONV>}d!<}9X{DV-ord+d^+Vz!jFI^kQuV}u*azzd_$6o@HNt>}gxx`LY= zK`T2&91d}Ka3?bdanAtG(}0=`hyu&*z&nKws*DK_3kk;!`CQG`9B(c%XaDPllJAMr zf#ezU8lhgO-lQ-{I4uBBbV`yrK7@ zOmVB3hY0_aw1EKjof|)1>}-K^yC7-)9#?Ilf5Fglq{8Wyqfyca6Mk@|Hcea#U`jTr zf;IjKsbOvk+yo3J98vo5?h1FhBAy@9J7Rm#W%Zs>p9M5kO{sG%s*iKA0@(Fhunc~3oF*FO@mPK zh|->7Yz+T15ccX}rctXI^D))n(1ivDXZ`O!E&nKJDV9O67OZ^mL=rU{2^+PjDa}{g z|1LT^{^kqUe(uw6eB;&|jo!&z5vq;%@CCKIWfh@EMp%n!P7q?^5GVKN-fEUdnQxu& zxfcHpYWXDV|HFs7eC|i)#hxTj&)Y6ZrZu|a&L>VfDYt?}3)MXnJJbcGF7W#Jf99m= zAAUEk|F;FP75X0Iz5SpUHn#8!reQClR=hS8^&_UXVT^6}ZVeoM`Yn_`t(Q(`rjPra z41)=soM(~z>!6<&jg%4IGo_xF#!W2A`M80GIQ;+tP1@(tg3J=>^){aVvT5Ym8t1i_XZ=YDWp#Q|X27%Ve z*F-bk6_oYOpP+gbHc`Y6JXH|CPa?{nY7A>X$pLRW6o1y%jt%h=+dXY)er}Ls@o+au z?yaX*UdUF;N@ShZ@nOB@@gbFq!QgitkKGm%kKO5Yv@TEL!8~P;-C4(0&w(gHh_BRQ z!@9sye%J=X=a6N(@mG>MchVuC1kzeWE6xg&{J3Y_Saiq$-FPJM1#_fXtB2NHw&&30 zBB~u^(PKzQ+GA)8wt$px(-ngAFUMzX|2VA5xQ~n-o=MNve5jt&I=oRU1yA66JlRZW zCR9jjWH?r*WV^N~dF@p#1EcY*=sqCT}=W`fg>(dyS@Y=U;cBr&+! za8O8~=HbU=fvoEE?D|8w_ZJ~FEv@zA3O2_$v)UUFrcPp<1qu6MQIWMcrJ>uzcL~T>b|4mr!(#~OSKY!S$z|8*=9LqUuPxbo> z=h+qY*V%b7<0eH!v3N6OiRA~|bj8!J=xE^8SS*_&qJ)0#Y{5mS6p#)?5cGFIJ)S~3 zK+e|sPm9yI9rGh#?6`(S7Xcf?Vtt8AAh=93+c^5C$|Z)#BQSMY(2?Es%Q+!LkM6tR zOp&Lt0hs85juUVEf-uYN6_HKFb6mc(TC@ocGE`G|`fkRq=5n_T(S!+loHd zLhMN#HB;ngW+mJf5|q6`Wri9z3ON1q0`VXbB(phF>^b*94`)nxbe^X^v76xhl(Wl_ zO*&;0nrX%pJV)4L9CWeg)8`GhiMUhax@%r;=kwa-#Mo5aM~BVUu8=BKlzfmvXQN!uf*fO z7=MREJUf5e5_;4Bnb2W(_v+G>F?$ZCt;+cL=nh}H6z|?1PBHgbbE{v;;mq9XczLLh zYIOGIbyi8RPixa{W7rIK6#w{`rk45!MkiaU)c6-E`xH4GZgV1cx=u4Cnov6r3rT0JobGXWoZ=lKIFJvGlmAb2!PT_Edg>+ zZ_t|r=$|M$z&99^$1dS;lavtNy$yYh+$Yel)o18YY>yUay{l~f6hKL+>3Nc$juYx_H$`B^% z5iIm?3vj>s3}NxY5oOXS<*IBHvZYLQu}?9ICbHNU4jqf(D)Jrhh%P#I zl4K4>HWOagjz6{Omr8Rx0TOmUtUVWuFcq zw_>EnjoFl*lMmsQr1E@it0=J3{@Xu#Rc>C6DIJJ_cCC{;u_|~lN`PS?(hjgRxrS@`?x5X1SSbG#+A80Y}{^6yFgy;w{3mXUcV`3k}G!x z%CXdWROZ|o&O7a}NjJKiy19Of&K@yw>|-XFk9r<+AUP&e%Z(C?9n?vtcEs~gp}>s(*}*9n)c4=ll0K>&jGIj`;{5+h@s9M9F>2#uw4U+MkvvlgNaBKN_4RD zU`~1|`WNX7?Cc~LlN@`=8_KV1n$gH_i_lynTwdH&=#;K6l;X97`jV$9>US=t6u_65 zz5dimamg#%3aM$e&q(a_&^n?*nT z60IeAln~lJJ~PH>fonDKOkP3`lc~GPVl()rLvrl<4ZI%L%Q7d^C=j_~Iq(}&%8a~l z2#gn%wix~Xk_gIKHey!;hI$Qu$_5e?4WY`gR&v3u;XhMIxUCbt^`_`-_at2yLfid~xqGp`qwibWf}OS16njyS9)zE~bh_m1pRLpD7!|C`X; z`F&L!RHY-$1r$(V8M8Z11!<8Chs$$sf8J2n{5n{a4(Wg?RM5{?=47Pa2JPp)7W2E* zAS_{Oy(+0#_0`m!X*$zhN;sZBUF;js8zx=WQ%~en6D)+Z#B+aGezjdXkQn2%!d507 zC_+2VmZRac2J3teFeYu5sGvODu8t>l$u;)s5Zlg+!~ ze^F*a3BVm9RVRVks$nqDv-=_68X$?YOKxgvd|0cSC6a=?at1$-pWt+p-WPuZqWd5L zkP&0qd#{3a+Bom-xu?q^rT_-qgJ(;TG>iX$3@oZ~dKg>1sfX_lQMdQzZu{EI{pGpVM3($^%cJaf zk2h_N$6WrN+r+k-7DPq&*G0p$L)-s^noq?n`<-N{`r&>K0j?z-1~4IP`1OSOlK?wS zf_m!3g1stgv2BULg?Z=?o2*S03tT4O@8o&QpiS!4=-su<57;@*teyvEY$@|igx$>~ z+6_%V{f0UTFT4kvY|R^wF3<=+0XRFj|F^%1)=cWB3eb@38M(eYmnuXHMh(BCEg$4Wkp);+4`_nzO1Gom_OyG52=jA6I{NEn%>j zs>9pFf)gO|_IMrzXIxR24?vlPn7~a|G#-@2nDTEcufhv(9E7Jv5OZUzNL__U;p=y27V)xrOunG2L9^&^tDW%O9st$ z3Fi{?7vT-R*Lhm5be}sI{myG}T-01@bQOlncqRG3m#`bOYVq{^(@6%kmkKj8Csc{n<9LwFk z&G|Xe=1+p~rKWVB_-xJgPtU_e(`|zIG}?W=ofO;G&w&1*zHU;6wsIs1Bs5Iks z3Grkx>ROgwjT+fd;#QC!8N3Jgl}GA7pFcU|cGw&SE2az(HFrV=|1Ct|{~B2=Pv0oDX*$273z0;tYPg=Gn>fL zf%+LC*q*L|$n3v<^d*e=u({Ba8TP%`?4_@#ybJv&L5RZ022$rZ=-xLlQ$JB>F~E=g zp{;Gw-rl>S72)fd8z?BLYtCr@%qHTaa{Gjd(ExOA?AE}7BA)b5Z#9_Yo(!6gc!hMp z-2RQ2=T4vKD)>*t_wGixyDyRbve$pX_6@muZHSG@8BPHq$GKCcPL=0&t7&$3LyD%e3;|h-=jxrCVM0~ z9-G6sN}qC`0k(AZluFBkiaSY+!}wA~P!_F^T9#GNqjCQ{ zfeJ3h&&Zs+!udh;sXFvC43E$u99EEbvT)IEK&mb8uF7nZj#lV10V-Kfj_3d>BF|_0N#QMoHI2shFXO zV0$E=unhQEP2k?R?$iHfk)OQ(k8O_x2of-Da!RJ=Hylji)Uw^5F0BLKoS_JZ5N1OG zORnFUf`-Oy=Y|#O_%Y?vcDd>Umu${&ACF4%F;i5!jC0vy4J#6${htmMa7JDj*P6|_ znhBfwxF+9Z?`Vw(zrz{-vS$ajdz-U5b-Cy3B|XT4!Y9XkBA6mAsI!DAdhn_+bYnY$ zNV(OS#HOmrllmE}v_Gmm6+0VbI_`bJ`zTW8cO)W3##WzvA0;tjThpU@jiZ`_pFA;Y zO_L*1o)CnwBvD6dQ%ZK!vo66My@}|<%8?Rl`}1t{d@bV|$FRwj2O2J=eAvMJPeN!79mr;HNQ zlgKj6PyJ)9PWd9_U^Hz4Nu0tq=fdAkQdkBr_IrgaZ2M`^D#_OpDAh=fvn{_v!*Z-V z1I2{nIeh?0&1{Uut&SZ7r1n%bW`DKJ_D#`po6ezu!+pkf`txASZi%hHclm#6IU0(c zy|QNe%AZku*}TFgDQ?sAoF8|7ILDz!Obwjj2<9j|3r9@I)R!T%CA@%>%%}u~=~Q8g zSEAmPpa%Y8`yQ`x2m)Wd7narRL~b0%OclLscMD=K&PYfBQiLRt0AvPNUmlY%>A31c zD;>sJ(_7nm_yCd+rJHm&-~9n-0ZFoo>>D&&K}uqZ$gvnz=NpjQ$#f6TIp6gAY9T4n96mGg48L`3e0naE@L|OE z=9zOg2NZaaX>81&)0z>}L9%GDz0mUdOz<7=5k6Aq#6jS4(gG`PUK`^NCjI=6vY$|Yg;L*A^3 zPWJ5sZZ4_khfdWXzL7Fr(w#pivzMn1n|DCln*kZ4xhTEIoi-RhGtwoF;CI?1Hy_Zr z4P2`IE>}P~4aM4krd;aP10(YsRrE zWFDJLF0I|a10{V$n_{1aT(at&;wvn=-cV!;i*{|@VjAu(RhTrIQ5Xqjp3n-UuBB$E zkJZMOJ|a2Az&n~*-S5*Y%v%&G6y~3Af<#@9@y~biJY3lw&lj^AZ?5j#oQ-L7e;4-Rx0iZ~1{%fm-hN>y@m|9>B%+)Qc{Ukk*Nn zlbe9`{Ruc}4?Oc_EarW3KGvO6=rKR47p72Qsf7$}UETH7Y%phNGxFX}2Mjm`8R;<-=*8b^7d}rTL1tQ?X3OWlC!1 zHO0>`Aw<|5DHg=9p%Tof7Q8lyrPHU-ht0M`R}j*GoBOs+qX0v0kvd%P$XPdGJ>n3N zo(QQ|7gA(Z0$lypew!XG+BHC(wwCIa;ID!kTd~qLjUlG3b}xyIEU&`!x*XZIj54+( z2r#toj>oq6dft_gUyr_vg`|-XolE*tyXROq&++}`IuSAVBo*MN7TfT0HdMYNp6*sR z#cWR&JQhxT305*i*4Om!ZkFz8OGosiMvpSRkMY8P7uFn~BP;et)0B=d>}Kz)hTo_2 znLu=4`1+KBTwE)}ZuBG0NqA<|T~sH8Ar!NkomFrbjpAW3D?ShhbpPr^HW0%CPY)~q z3YMNxLk;%hX}O^M`x{~hiX+Z*3QP&Y60#wMA0CN@i+ocEqyumMTLNvqxE{@lco;F& zg+%5TW#VQ&d_t)OPMrM98uI5XK04=c7WXHl*Z~6#g@y_yUa7)yL4$PA^aT=F=>>3! zDn9>x_Le`(UPKAjC^_>eqo5}bB4e)%Z>v)~&+)P#j$uW6F8He4DeE+XL&%T;Jt!>m zg*Z)g2mbdhKgx#pHPh&Rj3VzV1fBwZ30T&M6kLNs+|l40GTq9>UEfOX{Tl>M%D_}> zc-;{hVA|;!ys@|7?b8u%F!dy}8>|eftPH3=b>Qi^ok=p9>Ds&jpGj^4Y0sSdl`Z4q zMP(QWCnddTyQFX0t@1{*Yu);xl5>Oq+%L`Lmp)szw|X$c?l3z0T@&o+x|;for5IaU zWxB@X(dH3-pk9zs?|)t#>7ai!H&*b(X&wK4mPic^-a745itc=&->Qd;;m@t&%lLuQ zZNDju81&43JcM*Q#a>u|2QheY1$5KPwIu0CBQvYwHcHXJUX^p^Encm0-<#er#G5+d z&jf0l2+d?v7|^?xSLEL2Rxp)2_OD1M)+!haA5(f-1Du?_%zJL$d(>FCZ2R@TRoR| z+2I=F@4(4ZW!d_D-)k^ZP8{|5$2p^cC^V3-#lR z(p$c&hiN{nlJ8yFlajIKkN~-VaNc4yE;}X60wV-psrjU#o8gJEvmBa5zbSX}x`1e6 z6LEEzDjQ`VEgT+yq4hMWZO`KMdY|xj zN1rIeuZGmn?*C+gQj^x8TJQIPoalmf7`8rp;=$4*&4SHM()B%($pHU)wjnZgl2G|f zPi$wKnZMQ1if_XK#qb|f*lS-_p$dL;U9L=3)G04$m$(;Y5*Q< zbWx@aTG$9cWi7^*jL*=Ux&7-K&crF?lhgA2Pc_2vwrnX@Wtn41qCDv&>}*4{T&lVY z?W{Vb$`-TqcU51k^V$3!-11KSFkPWx0AKx-^6+kZbMOZ?^+F%QVEhZhBeb)yLpt6` zlZDfRS1#RiaFqZteNVu_J1KMB*siyv=j=MMo?&ikyBg(Ks6LB7 zqS;{2!R#=_uWseNH2v?>D6ovr0FhE8W5G0x{TgJro6Sz0)|Nc)$kmCT{?LQF*e^Ck zoQD_mTa}tvsSX~>t`)R+6W-_d0Eo&AaW`c!*n6dhNh2$s*J=ElIvH6JB-}#6ls!K6 z`7A!coiuJP7&Yi0N=v|JjV0jcItCdPX=%B#oFC?eG)&qw4Z8A#c=JQ}c|B<~hBKT~ z>O%5MN{%zrIs-X5IbS}_o!oaS9}2ua0F5hWn-71Ud&ez+Nhlo44rXc;;!vX=+G-l}=*(o=|#S;B!IEwTrudYd;_WfC*a zjY$4ZDZSfZ|F0nK#%~qhr1384XuVU5q|%u8jw`5oGmEWUVKg-&TVi;;^X|h{)oU?u zs`@}9Tjksr4xWoQ*6{N&g`w|T5p@b55}8)oO|SOtF22o#X3GXTEq>A{td4oj@nHw7 zu~?(ATV9Sg%_h}o!9>84OsE1W_ZC<@+0;>?f?YvtIZpj_}D^_Q|zlZu-T1KkPk$Jy!Y z3*KV2#YgAWGbFC9DoO+1RMk@dLQgFJBX(mqWn8;e(CLD53zVp0%y!|KbW+9DspX6x zMCV1#-mk=WCDe|Zs|_(6$}E0-_00oN%0i%0MOmUo6G-)I@+d^2Cn8|B48UalxNw!2 zF%};@l)z}Nf7VM0*>z6fNgK)|4faCYxRpPw)Je-|>W1pI4T#XCxRdq$vUF=>OCsO2 z#p>1$odBs!9nprX1qLmTP!;`HKy@vCE9yv)FrY-W!QRk+g-!8*-F;qU5ln24*-nic zWW2F{XZgBF?UoO~_4Z4c9vR;REqG>Nz+O*v&E)W7RBvkAbwQqo}{xUeugWvwMTw|FGFokD}x2Eze=Z> z1~p8%r5UPjYEOxBaAA9fNxm3C^~Oc1zRs*wZhvT1{9Opip)MGj{AdaUFh0xnmNGKm z>ok@0!|TAxOA+e2+D3GvTTZY|A(?v=V8w`;?O~&6!Icl-rie3B*BqYNspP8TJD#@GzZ@(Tc3<})pSqnAl6^G>_CL7yH z25C)owS>1T5ypNf8D(pq#FzV;hnAy-`Uy8u9+g{fvaIUy=%BxyR;cYjO?_^j1Ty1e5p+?ta?i zF&Qm<#o-CDib*Dr#-S3^4o-GG_&v_9UC3x9qN zE{30a8nWC;oEdvTetrofXBST3h|3pO2|(T@9MwZ-iil&s%SGq((PKyp(>y*F-;HU| zNRy*z>8V`7B!&N@^0Z#DCRZyo$k|I(JCf(>u_QDGmtWr<yHoR8? zdaGrUTyjXs_Y@pVSK1VHzCn`gEM(+`1_N5a9be<`S|$fo=I5EDFfk0+SZgRT*cE$t z7M;YI*zImeJr(=`7m%L!0S@&+9D$&o`{zmIgdrUTz3c||{Pr5F&%V~zD*aHs_o9bHm*Fv*rsOULy zwZfUJW@LDTKpi)bBCrWjf4Ix!_2~J+ z3ffN#XTv&^dYJRTX8>Bt@KxBE#x#zI;6zEjN;?Ya_xf^XoLz98RKPB1JO5cW(p!x5 z)d1N-xZcmBqs&Az0+M$Q@2;G&JzBDf6ZA1=OJfUMUY`fC~?~2 zX&io-hLT1+PEoQ_ey9-+;0fcpM_m!hCgqC%(`fCX+$DKyy&)v9YX@4YUs7H=4jL%i zv?@Eb5G{FOsoQm)16T?=7%4B?wByW34Y{>KUp5bwZSs(=H3 z+Za!|3uh<|`rAoc%+%9GPt_G>I|V5-vn=_SQ$vpX4M!c5XD_#ZU~mHt>{2D$Jy|#__txD#!y1ovn!dEfZbTU0tjCo8 zq7c(2>*T8GI8N=0Grr1yWZa38dwf zFuG)cn7?LQhk~{l*9DKblbFQ#2lTQsVS1U###2b_CO|x7KFq7VG0~O{y}XFd-HSuL z->&ZQJO5Q;8Pm2;b^n%_MfeLC%|8l)uy5~ME zcu)sj=s)d8*qs7FnhmHEJiqTlw!zO3N0j!D`O8*A^j49tY;~z18k{?4Et~FN#5^+* z7XCpm-BPAG%QP0Ueu}-5>MMxcP*TO0rO*tuRof`^v!t=%#v_=vq3a6n+V`nHTGx7~ z@UqMmoszibD_x-fvy%uG)%LoCJ8?}oBsz#-F!TyALGv(C3O`M>xD&`k}L&B!8(}BGN7F^&&ctQhB&F1o(-jr2< zeYYet?$#{`XMm6xHPy4W`A*`+b3sN~HHV(x6Sse3RG0PnLfGR9d=vN6G4&U|V(o+t zl;~XQ;+}1s7^=uNy+v~Q+sHO@R%33mbX zT+PJfRvd+3CmUV5C|Vl5e9BZNnU^D6ZqU}pAC9sTvW_ik--b(L!5O}mPiA+o^Nkz( zRRgPj?-}2ql13^*W&-Pp(M%XxKRt%5e*+mI>B79IrX7BRE?eiYbgH2zndDT9xJM2; z?AqXda&ixF)z&A%uRFRSmY#eKrNr!Lh;;~vA>}L6t2g$L@+~x{JCmZ7th7Gvr)~_c zG|#8<*IG~^cUqoeeC~unleB4+a?TDyk9yj!FLBR9kTs5^50;4%MYGn z4=1x54g!RGte2&{0iJ$;bC*$qew+h(qppl=$&ydT^s;Xqx3cl3#@wq8lSM>Ck|MH> z_fNnl<1x;G;ap^y5zOzb`eTSWXZ&={>hT`XM2hxJF+kzEZfOE~!E?P}26%biWy1C& zgMLx6ngNWg`_6VImcaQF)U-`AF_yShIYhs8yxbt(g1f}w`mp%`Ytiv=&bWv+uKfCPO>?z zy=pUf!wu0IE*@4|VCWs{CQzUTKE`#K5*Pt7Yq2Lszs!jL#y8ej=UsAl3s;-q0^2EB655!5&`KrXDLALl#=+qHbuV$-lrL(bMII{5)ejlRHc72EbbYjgm+uSf5m#Q*+>&uQ z)=2ncM06@z>{iNsn}hNsv>lRb-)Xj1ow*eR~WVcfjUM;F5&`1)(4}AUoe(#f`AICH1 z2Uwb33bBrdQ%WcC=`;0)P(U!Bu&{7xarflAL7XQZCG>xI;xEg|N6jyYpO|^(JnvEW znE*db&@X0Fy+|iIy z>M;(682MuDXkK2>{$5kzC)zc6LEeQc%xP3@I;E16^>b~FJ7Dd{@d~eM# zKM>H3%HpSc?M;CG^_7PJzl-~}SO)G0;itqsIm4TpL-$YLI8UrxXICj^BSHT4 zkUq!lM|XvrC=otCn1{|vx1DjCjP7oOd~XZj($aXyAoX`mH;pHc`)&($9W{9qHF^Bo zft)va4f6i6r5;Nu)Lpmvbr+Pk%$&Eq-y}eur*D3+tE4|_KmR(KUK%|6-teHCX_CG%Ne^anD9cseS4f?`PDgC6OUI z*l_Q6l?6=Fq}~5Wu2-&Uh8w7aXZ^NKzh4dn1^VA8e3yKiFMaD&5^vdJV+z!pfzS-c z_LJ~nzfx4HT)Y}eZ+oO;Ts}G58Y1|;o>^x%)4g~3GT&&)nRB6YZfya&^T+Qx>>TEi z{2S#5t)E&zKzD$Wj3@@A)wkxAj+X5^8xpW_{4&)>e_$R#=)Va== zP(fxn#~Ur)EJwty7dWd(6+Gz;FpL_REKDPCq9jc(5Q-E(E)-H{{k29;2` zpi;LMuRATo5HG|e!S2Q3_&EDVNe%rpsg3&mSbExqPjDiC4ls^c`711mhq8?U7x~u2 zb$F?tIlLW+jouT&wj|Ec8S*tG)n$bmEv_q&t1qg3j|pc0Gq_oobKG5>C_|h7<5Bu* zWbfIP2Qz>j!f>QYV4B&kf=E{g2MIevg0hwp$l>Nb2}}IPk4W9 zh5}+!sAJd|+Ge)Y@gL2B6!{NkL?^`^ehz$(ZDu$Ts5Or|>-jVmAbwgLneZ`>$DGcw zzLS+|fM;|XhCh_YxpuC7@>PmFo30|hl1@mYSL=Qah`vNf)3@%|wd2lw+1FRmYu6Zu z+5?%gC5sI_u5zLNn3$I&fap|u$M>;s+ZI^iG~=a};O=h=%M*UB0=Fae(I))m@`GU#bg~XFi&W z*j5D@AhJn01ptYm2Z)wB``ua3|$K9mm=V%`s1bVqyGLPcU%6L+COBMk8jSAGg4um ziWvn)%L22Ik)&Ds9f?bi4eq~2 z!$uTGT`NMmY!wXFDt(_?q?(+w9nNTwY^whL;yyW^b@{WVg?S&(zi9(Z=h}sK+f{hO z)q>ShM3+k+Alq88s#eSX{#+c?2$62FMZb$doUF=Ob24!TvlG@xP>`75!-IIf_$H}6 zcvATz*c93vypb*z4}GNNG|u$5))Yk!-1T%m%biEMObOS$@9&7Z9SNK zKKma!r6PGKS*)OG3Zd1r9i{jG-j z$IYs^;U~z=fd25#kxw6!X=YXwa#J8$V!QZaq{qCqU;f5mI4tP$>5lnAT<_#gN)Tmm zzL7Nmvkf~Qc_lyajE`l*+M6S+vLlda$j-rs z-2of#xa8)ioVf zE(o;dF>)2$y014^mJ0~&`b;u8EB9^ELS@J;R<5;JtGToK8d>~^c_ag9C!B@7lpOgY zU*gcBU2~$TljpgU)ok$Z)2@mcg5YAgwY%~5c}6VHQA>!*R+9`Wf$1Ee7DbL{C7 za${D)DZU_01=7{NHk<336ZFz=1&FW`>>B!Y##POd_^Lzgi+q#zjhJD7M@&O&3I`@9awy6vY)$pQYM-s(9M4 zjm#OmL#Kt-8p&S6iMU5eRrf_ry^j6S$z{*(dnL01M|h)`oX>f~=So&P4e zD)9hHxRkWQSR#Vi%@=cEF3xk2;WzEakM{^7xuA~idtfduY)^r8G*@x^k!NrdPMsUs zld1aU+F(hHXyo2Zu9l#A&uSdUZ@TB=&Wq7z-Toy+pP!xVx?eY2(EZZBCj^R=!t3!r zNc$B`xK&s$urA)QvKmj+RW0nyOwr*8zLG`I;JfvdtIVf5_YRia9N?&I!&_6-q^<57lItDy-Xr6S8)56x1MA8gX&gRJk82j8kP8?a)MFI&Zs-HehfwE# zBGzih6xikhu%BbOjx|DEXE4?I_2`l=jsBZ92pdH4P!Tg99p3KYrw;Zv{nWr{Yb3>V zb+!k>%nwctsPyZF@q`5EkDg!kg>$d5b!u}4+&*dj4U@+l2)FF9G9p&X?XrGwP0qCm zmV(!Sr3(>8nvmwUw;Wtx$OE)7)?Y(t9iGm!c7QEKlBYq;)rQ2yiCIK#lcAb;hAk|W#$>F*!|_9%=U zw%~gt-p(z}Y)J%=etkr?Jm?>_xrnxP^EnrA*qY#r6VOhSVrARI`aaVKi8uBDWm*2j@&7FBVC%3__1$En=fL84gw1_ zb_TIVtw*cn3>)x~eaegoFyWKV2UIEV3a#}w#RByj+&w=ZyWKdNvpI->0l}b3J2}~w zXM0S)Ca)wt4ym9DW;elT8b9xV%J9c=T$E(pxO!67aK{c~>R76HA06|I;Ef^dUhX3u z+5r2nb^#|y5LYKNVe>m0>OisHnmkbPLE~`Z@Sn=CqrquTePjGd)h_Ip@FaQ!^$CAj zuqy1H?F8pBg=_3oHZB28(~7vw+38dpzm_F^j+GsOgmpy3;9J^2O-M5XB>l|qH}xAA`ksfGIc_4#Tb@-NFrg=97P&! zEyc5g?B9kY$>?pKbY{=_uT_N z*IS>l**jO-?2A8UW4XXCVV~`BvhOoB^+@ZDt?sh_o8`l@U7V0h=tUc#KF`4pxxYv{ zu1YJQN>{WhR*M!%sC3A_pq0CSj#VF2Wtb#%4L3S}z>q--XW_HqMut&7Yj6&i86{?{ zeo<#HuGuq0w#ay)`Ka#h5_6dFs^6I=>)^UMW&scI88Y~WHH&sr;&JNRWH*^dge9S6 zV(i5)z1zckIk;~V)PRI|BBa5KT)jeaqV#e*B$dz5jbaq$cy@SUbdKa|oTPh|tcLgQ zjZ)5Y6lKO6e%)6UHw!yk`~e&7x4GqcI`k)Vl3r08RxnYv0z&DiXMavb2NCHAU03sU zSQ*gm$|OgcR`C`2vFUs(Uu!k3{lWLUl9z14N?X0XiTr?%TkYDdVp{DQ^;-hdZWyfd z?S%#1=Cqpha6pby{Lo$G=zQYd;OP8SpqN*l>MQdzZz1c8tQ5ImUhE%UPNPXxbJrQ)Cm~ z;FkX|<3UpjViowr$kd&`Y_B2nYGO&d9evRRZ+_4FBv&?V+aOnaXwt@E+-z+${2<10 zOD9D*=Gm1y?fa(}$3gztlSgSOy# z8%xNHu`LJY$7T&w<%NSfPzRU`16tn{OFsH=l8fBS>Kh+C^_`#i-pzdXa6 zJ(iA1e~vD6$VVF$Uf7w`ykAJy4HY&ucTxdnlrbi*7sNf2_D(^YsbCJ7!S>(crl!NpYgf4Ql>&BYsj8+ zSTs@DuW4B6pRB6VrI>?K6N)mEt+VVHTz$2OKrlJRqbx+EH06 zaz|64D}>#>l-k2)A3$QF)yBSQES=(2MQp2G$8XDZRX|UPp!IFsG>vV#%-{D0P3+!N zHZbJqa>Wx^Qc&B6LYBVtx3=u%vB8I?Ke)))U+lE(#kFeij&)RZn1L;Sepvcr zVU;h2kep=AlfAi={CL~+G!75`lnV8|v1D&hxs2;P?#QcZDj12$I;K_eI_tlNWRaBn!b#qe%PvyFBI+m(~5wE(T0blfMSnoq;BOUxDV zbhaFzrnVLHn^W?Qlk@jeHL6RS3Kfy6R)K&-dD*@CgcBj(NGaKdo+Ar@JV^GgvQ^%H zftBNO{g3KusAyXLViuP;Wy;fhw>1HR$YWwZuhd_7M1nMC$#}^W$uv9%F_>wuO#(Y7 z)m7EQo{^YR83CzC>Ys84;vVrIW{3hQgU0gU^utCCMs;j-tiMu+3TxqMHow>VWhJaN zH%>0%OIbBl=HMcCs?{aR-JwbB!&f}a3Sf*0E`cri{(lqLA^%5*_XIJO)#kT1ioIA9 zyF5W;y&L#Ef)k%`;A{y~{Wkt9!p)3NN?(|Bg7<}mSBh(-FF&^X+Oj#wp;|0UZ<$@U z{%U^P~{uq57 zR!z2|k9_DHvVo3?k5M{PC-%vC7OnBImyA;ovPrd?tm;`R|GF6TT&%Q*P1SRo&q4@~ zcUV}?^V%$WdH3JXg-bOUImpocTi*x5eD&pWn=XqJ_#1g&{OT;CCDGhhlA9?; zJQdV%kGR6csrBx=t*<`nL&3w0lo#(!+i{!l=yCf?3Z~Y~h;2OViF(MCy1&lS7KHea zs{)i#i+QgTWlJ+p0%DeQXs=ny-jA_OBm4p8Q7i^(m|X-Qh75o)Qexd4057@HJZExA zi6FT=yP1*oXQR0sWZ!xq18wvSpTNUU*+1EMbGyY3cOY+)ooDdq_CNWEsD;f$Z94x( zKnhE?0F3VDzc+!a!)n1k0A=sN%k*cem8`Lg)7f-A_IUAaOxr9=C`P@G7$q9fjkjXH z`OAOK?`=q63;)^2RLFG{kIWD{B>y4>)75dqj?bxlhzD7l9MI9!;Xwh4q_GTS`vZ84 z2orV-cQGCqy%MX!#iIX;(Ou2NUA@H5JI}BDUx>=B+@iJ@^i?_n37j1Vv})j|Bz$ul zw>f~c^O+F1XI#W?8S7?hoM;O8VmnRc^rv z&-&$hpgm>0*>!8>@m6YymuPEM6`=i$EBU&hTjQ{`EO|L!uL%Cc`yyZ0?6BSJ5CVrp zk!&7<&aT~?$5x`^c2T9KVYeW%DVgbFDS*?W5BKzSdGReZ2UvWq_+8~7sY_IQ&9K;d#X z;E~aenhm@@fv25+1JyuC1iR14Zl5T8^gQe^ITS5+zCUQJy43mHtHsdn)|`dyU`EA< z80z(tIJ&0$+I|~YebSyQa^_a;KX%Z}m}W)6oO}(r00kC#YkYsIxwGz_;*t9t*GYOW z;o6;!Qn0+ensxOavS}WxYG#lC^alW^av>M9itma+}~NWOC$AL^ffyTXE+mtg$eeS%zEF;P7XX-!@} zu~id(wdd5@HTtxdE%*kJhf-RaresGJF<-f*jX&t*Zy-^j$wStj6f!@xB}Vz--Mh+v z*zXlLm@P)q!JI>Z>TB8vczn~hT3yx5A6P~Xljixz+^eMn|Yo6mV zsPztDEY;})04L#%6yV-!j~x6vns3lGjA|V9hmr}2XA_O0^-Y|h>J)E!nCgpL!ixf5 zgsb#vt(T)eED}#48><<0HeH~yFO1BUdhw;mwj)(=Cdg+BMu%=-vYZJ^+I>~4=@avh z8sV}!wqq>3YGR`xw}i6}^?O7kDi&5(#|IE}4N)$Wxg^2DNa3G=67aI~tXTPa^NzYxRf*aQ1GzWa|y@ZHzw z^nVpN=>LW_ir@?`2lDgLAnkW%dO`P4?`+oX7x?;rRfo8o#Av^GOeXUHvM4Txn33_r zY#P9`8DIHMrYgoQn_U3{OZ$0`RfkCUKpZPWN+!5KIoP?fVTc9uyRo&UZ@^n)CAU;N z*J^8(iDnoJ6w2?ggQAvo1&?>7Lac^!3-6=vBMFrV7T=z#?vP63wc$~n1SZc~l-#fF zS)_c=-9XW$%9cp1-tEE$AnKILVf!aV9U$D1f6IQSo1}UD#*Yy*sRZv%%)-ZQvHT-X zh?fJ3{&-Nqk4{M-F9%{0!%3ce^v(W=?04~z`xuI{_UT}@cJY?5G1=a5X-zV^i;q2; zEgz~tb!c4Lr{H@d4w^^S>?$6jsP1g(mZ;oNn}Tj|L+W z)uT4&_y2Bk9^AFUDs=K^;vSQpU|-g6j!0%~iY}T043=b6XxPO%^}3l`H#kT9##X$2 zQrb&HkHeQvm@`4?7t0l{UP(vyiRPnfrOY;zCFY~Aw`=6#^CN$h*A!~A;AZeSu|21N zAXH^=jeF1JtIs5WJ-xMtA;F8xy~D}@{|Iz@e)*|wix2xjdmCCCq}g{l^snsjZ`g$- z!XjSJ)9nUqB*SM+&CDq=AHve^QEF`^?WD$_4j{HTsmuxT;aij-D> z#Y?5-ZoeXPwqBpe89pZj<%|z?zEw_+m0Lr0uCuQ%9uX)9%9DWO{k~G6ztGFPTSNV3 zq#N!-=|K8}|1C$}#JG(r^d!9>6T_UeG;0F>9DIlC|5}~#dYM$u1dNMJngx*2by*%| z9BEB5IB7XeA+KsJ6&O;R>c-tTC)f>y*h4J^b=aX(swsXCWoviy+F3_5vqBFzqgZ%gt&g z{}C)|Cm5_yDvQjSmRDo`FH=qHA!hn3;0kqn>MU$q-}pPX#ZsY?+_y15?OBeYUZ(64 zCAH%Y&)1qx3QqQtsylum%Z6`%Z6SBpYkC`l366j3q>cw`YQNMzvAtE)4KVu0|NrZI ztD?rGg@i|b#?ectbA&&btY;x8p@@DdxtUT+JM@mQ<6S~rwwJU*E(cC`u93bvS^MRG z2)&I$5-(l825#UGy+Q=Ed?jlb^>Z5rYL;w+5!8B#b_F5U8cZ zN0PoH4SMv&@kh?cs%8XlV@-oo-fzb)fzr7wa-a~)3mG^|g7_i%-<%iAb6LXNNR24t zaDc>@l4Jqiho5OFk4al}+Ed*oo=nsCW=ecljV(Ug-GvPs_|i*>)vbW#|9q#d8 zXJiw!91@Q}HVjgg<7}rg4eFeyIKhZTDp}q0+`7Eh!~O|by>n}Mevl!S@J_+~M8O>; zQ04EF2$y{r11Sd_IGX0^!*tGF86|~9d;CMMPPcZqPj{VW_TmS)jHo#Xg4-n={z#Z# zFK(ZG9$Jg1R|;9aIT-8@a34Rdz{QHXZE9Tq4LaJJuwQ*O*lllZ@50JU(y&D|9o3_! zB%Elxg&a&;BwRm^_?pPHo)5aE%Ikd`Nj(@xft}{gYo>e?to{EmrZ&HM8`z}o{{Hf> zF>9NS1BNqYHTQYW#Ihj26-|mF7g*n;?N(s~Jm8qR)ut~4V{a3XZ=l)}(UTeR)nICU zbYkFb@s*8#qfvI0Kiea}(^LCTl3x5K)|2`J-XOZYVj{n+=QvMECy6j~%osP9wdkh&p%4!fgVyExN64m!qHzHtl{pmEog-AN4yOnyv^K^~GCATEzhDubL@Jdbb zP}YUDTca7y5ASJ*H-nSVHbh9a;P$p@>)lzEo23Ln^)%(H(E!ZEV4=^uo9b#dwgy)A ztHNp{XB)eQ12hNpY)Q$^Mymqb#acRKZUK@QRnr>EIa=+YkzywtsOk**>z%Qx6!h)H-b%eO&UXfO3_8* zv4Y75?an7$_pPOz`N=I-cgM(AUr5EKOI>b#ZXgNtf zY*ky={I4`Ng}&_*J=HPUL3vEl4Ao^MpA=jQGD!%(#!;V#Lx#hKBU)WaFvGFv#nZZx zLB0QP;J!)amu|)i=*n%az53e;YIaoRRQy;O&n|BaxI*%>N;&D{co-s~Gsh!CB@BS{ zmmA&5T_$GQF@Ypye~eR@#-3S!H{EK8Tdvzj)!J{?3qwcD zD7sQpe6)1SXhd|1qN8{WBeq|}K!{0H929-EhqdLlcl`E+k-xMhqbEo%36+I|OzJ7t z^*@zB|Izcs*mJeLVBhwd=fflylKO@ay1@6}8^&!BkZAcDE);k^c&^C{$7`itVLC3J zvyx=mtSFR_6$g2y{7f&< zJx8pd>RUfUl*`hlMwju=;WIb}l4Z1tj0JqDot3$=bMVL#XJln^ewU|hVSlAL6{EzC zm7yb7&`VvKp$<-F|0F^8=Ce{_^do|GKqA7z|A6=#r}&*WoP!tI}Cq?B(H zhr2s67!XFjNWwRCFFt^(Ulp!Bo~em!9JW{K(H*pkLye8(PX+9bKk|U z{XJnhO|`B}#xG1mUPo7KZXs+li=dPlQ>GAsv#xJ~QEbfAgGP6??52TpOg$$TpYYYP zn}yK=qQU2N^CJTMTIrb(ACj>wAHh*(F6xZhs>P8nPUI`sqhDw;niJUs?F9^zB{t4{ z(r0rxgE}2StaB}m@$Y}@4oVv&OB}S1e!+{#V2aO_fI=SG17@%sai(%`|3w1-1ro`x zJ~XUz9aZt~7mNp`UAXOY8d4p_&^I;uG9ccn^0B ze`&XLxtTU|yn}ox8MI$6iP@8%bu_JOumD?HKI#qyHduMS3NkWEy$>}a^HjMsADlgp z;TjcG4jL7lupH5P(yqO>Zc>IF@5EJ^ymplB^W$^S8AnV3DWGz3)Av}6(crLL zlv>H4cEqiHnLc91xM{-k{hyBVc(+AU0qOVr8!ckXX7iDqeFbl+v3{b<1i5x{W`}Hh z>Re#&ZVY?ri5rani~rK3q!V0zKK6RrXvw1lgnyH`;xO*HLVq*a)*d_}co0&0QSN^S z@A6S;zo4$3LG^GiCMITh)yuLd;z*R${K%G;klztpb%G07)Jad#VO=egZNAeIbyKW! zLcyzT=e2tqR{X=TPKB`+?t{|6q~Aenpfe>h0yMu~rF6XjftR37g6F*=V}^Ro zehT)o;UQZ(cUU{nPlg&uR{8)WPe`DJx^7-*LFCh$#5d1z9FJRiE4Gh(rzO+k`xbm$ zp}=<+5lzL4n-75ek=@}Gz(K=kNc!6J#<8p~6GUSgUqeB` zS_};@C%jd=aE-6#E+fvY^C6JVtIh3bc&zP}ef3&;x_p zpmBs~pN9+LZM4%#25E()bhJMW2YKPb>zC0_2b(B>C+?2%`DbCkX%#R#NT8_`ss2V~ zj~dkv7{&erPO6}_CuJd$1UUAs*`U!9;ftS!c{InrC+zon&2_5Dp?!m&4zr)Ca!p*- zk;svFW`+r_qwvLI1pC-p{VO?~`9K>?9dUs%=YT^AP4g`D{}kowi~h=Bf3^r z$iGofU)(Z{KmCPJ#KdPwcy)!U;6!@+g7#Z;5?rGTGhAaor^Rywfy>>`rN!^X5*X0!~FqkkWe>#KgG%Y zw0&}5(HXU{M$Uy)@X{>e*sYt|k7stxZ@8Ua$D?UfF1k*YBE3ikkyJOLR;-|A^<41I zoAcgJZ#w_3QKe_ekY9eK87G4mEMe!oB%A_xuT!yjoc2lE<<(RL9Ov>=O!j*f8}5JA zrsS%&#zZ-`;(GH-&AKg*jpMpUsWSQ=YKJ1&3dr4$0>2j=$TzPo9ougBOh4O{K~i=Q zw~p^V%<^tBTYUbIPYpY4+!6KVp|pdz!y~#|RcA5}K2};R-92ith>(Y_eH)b_2-l(w z0YRMej`O;1RBu zq86<3!b}b*u7YM|zKHP)ojMs&J|cLU%@y0RUQP(>(>pqAh}2aO4Shz67IDzW{jT!3 z&5h3S!NPRu-#~}|Y3Oc7vxyb} z2~EOP-Z7@v3ypcfpS$pb_Gole%+Xqv;k69hsiCBlIi%hlFGrbQd5LS*#@+v$J}PAP z+FYvKk@3NZIKr8?kBoJlF)A!6X_oW(BUa)$IFu6o(xI^B9_``CXP5BYd07%C#>A2N zJ;gi8Np7i_FJ5z$^|&%Li@5&AivvaG6Aq%M(I+BnoszWFFVA^MdCj{R9hlS$S6iG* z94YxqiX2Uz2~!mOvwpSC)7r7PK5Il7xxfB?kHj+$i^b0*{GkH4abdv^2K$+ zqO7L|X-fB*?3JHi4fWov81yz^S+3OyLk<%sJ{W3z4y6`j66cKBOUjn{?z+(?@$2P1 z=pI~>IwUbZ*RNJS8skA(xe^6$Ketc#6EVJyc)EC)0kak~6SDR@@bh*LNLoh4pN#5B zjczeJCs)cMQdP2k2xMqZWXU)rtbG0Xvh;Gi^Y}wtnDJ>?%;v6$Xxi9WOTffdme#zy zPs{D;bCr8oTJhAg=)K37ZV&jg!+d=E&1M(& z>Nyghb&x|-h05pRsw%fEUqm(t5yl61JXhVul53Cz2hnQx zj`E9!tJmHm?OBB6g)e4yU5K*PUN#g3L^2h@H7tlaysV*At?#-*~AbI zXX?7_IqI-D!cVww`T8G)PFrrlQ-~*@BFXML%T(#n0J@HMY1}$jN^BCdyVn{#*AeKAo`R8QL$2ZaL{# zsVx{6M%#>9)7r3iN%)T~eLz3_36Gr!IB6+Q5T&GRUKN*`@i?APTQ;Vr$ z&kO*{EPATFgecLpXR5eHLyQBv?hozqqI%GW`n|3o9gi|Kt5H<2SJLmjqYl-8rnD`R z_}PTWaAJKo!b~siLt!jWUVHj3pS3KT1N$A(H`LYVr!uaxgA1aBxWbSg^whm0^Y+YA?yy42 zMvPU`T98%BS|p?e_2IJc;3TY$DXt zWR6Mdh+>bDU}%e{7wi2pGq9dk#-t&H!%{O|KFORDA!?<$q>-l$q+K*P|KoCV8u0Fa zkJw@_)qR$L#gQhr6O5A_`c#g`lI{t9r|u&Rbp@CNlQ>DNs!|$y6QRKi z^ih?KP5Zv{WBMsxHFaBstkgoT0x}MuLmngbV`p-jgF8l;GmL@odALTM9zOcZT+-Ep1XsA@WdnS;`*sM-r+9ECR1UXpuby1O+|V{iYgexfO|nojF^E>|K{Vf#Pu z^G`hQT)X7&JR^fHMhK(iZ-0A!a=f>}Cx4LW$`W|ZqoC>Y%fZG={kMYL+P?)o5E4bo z&|AxNZ5LEmBr;rp4Bts>j)n((uA)-rZgU&dc*Ct=N^baFZq7TO(1WmZFxB0rw7wm9wF%?M=7mJAdHRk+ltqZt|plCHEsA253kh;hJN`~E& zRpna!PC#x*p1uV5@C$5lYjutpDwky&sf>K^{bg5lrCqJ9AyS3i6b1ANL9=6~vIz2C zi$wLH8hkSF!}tiD0p(Mcb2qcQON4)eJW237k=rv=wc3VLuNHp3Hsn&dZ~TRpnlp1Q zmTl|wf@14bR%Ljn#%XxxyU*rnc!qb-kcWKm?r+v*7HJ-Qz+^$NchJrQdF+9;Joaq- zURSPQd6wYFPUrVFvvk-s=1?)%{jYGjvslL5ochi02xkb4tZZivh*;eTBD zhBNKdXPz$qLPkFLRfvDf?~}bc;)?mPot=}$u75zi`Pv(yavH9Txr(zZ>1x;Z_ydRE zK`p3;X6Npkkn>4`t{;yAZ;)3euS#2(epel~?JhEwT{xkL+Cx7}?~vIfXHK^>+SB`;Y*~f92qq1#Pm!@A)SB8?^g{3B# zyV=$Z8_=`N%2a!wOq~y-A9orzzxdkt8>e1;W;edwfO&vN7U2;?EE5FZ-+>j+nrc_;N2Z_)O|T`MKZ2<()t{BjtgF&j0D;K*c!Lo zZ_Gc_$)?8SHuj_Re7pSj6y9_NIs^VlGFK~{f#gu^*rkLaa$Ml_AZ-#_#l4u^Wc>{B zY#Yd+VLpb7PtT6J4SKMzk7fpqo`|h7+`=t?>z_{ViG1)B&Uz z@UE1bB0FIy7eNQjqPrS?`oW20xO6La;fNRM_Y0;r7CLXC%HfBU@~uM)c+UJO20f#B z-;pQTScP`RET;5S{o>oNK)&H6nOmfU248wQ!eYeq1K4bCKJ|hc-V}eyN;&X5Q%|EAZk-BD-&H_k& z9H-wX+K8_i4sF0|GJB&BKR^fgb`x4h9sqlvtsK4~!*Il?69$4_2paI9qdQ4`j2lc| zZgj%o^bs8Mi`&gJgRviXTO?L1b2Fe-(spd&uhA2=fY6!%wN=uZ1_=kO#5}c-9fG2O zWw1FnzhwPHe+=P2q=z@3zEzX}57h&i(~p|`wQpgYVbR!6{J122GcP7(W)Y>w@XEKV z`!`n7d6vC|WQTRfP@l4UchPT;{C8fnDpD#Cu`Fs6PnkY2Z0QAN3xjONWV$H>YKGIR8B&aO{bF`D*zb% zdx3RzR0rncCBeHa#hYUs#KWOLGavj4@?NRFrbU7EFJ$zQ%O=>*fEJ8~oiCoZa{kCm ziaxv2Q2TXEo}L(R_ojCibFA>SM4rx91j!fN`xQscYSmv9*!8hQ{^1r1%voa*lG9bM zMj#f-S2AsLnp+cYb5P5EC<4fZmK8bD+CAZBYs_~>>Nbp35iieYz^xwWO(s_IyY1eb zTaMD&2|^?JO;U~pX?^q0Jc{SwZs4$ZKz%m)nW@lX$*Uw<`Lh0LAEB!iFMn_?n}!LF zcb~$#%T4qVx%qz~08dV3H*WRztR&_Rp2`gsujJG!lFq;;GrC)j^HF5_)%hahgv~NVCW4h4YWj5&9zH^rlKSV88jX_S;jyd4QGgEhD*lZI+{#dE zj1J4kX6VTav~#_&0;lQbS;L?dZP4v*k>OS5AouVz)|{BD*$8{X`3O zST-xTH<-6;Xh{gi)AxaXv(d|+kwqebcA zvhz`csKcnAr^b8xD5kH)A$v#*B({j1#j|_46h)pt)Y|`NJ$k&OqFU)=MNs|h?Dxx# zi+Gaoj=q?m7?JO;@xqoflq+sD`Z?hV@6U*6CL_%L#EKZ*^Q=?&^}b}vbzlx*m(%<< zX7%U|8=fo8#A{Kn??8+iwkpUFyns~(#;xk|ldR2`>djc-Lh5^NB4U-|u$kp#-_wS@ zKBIaS6FQ{X8klf=>J`94NV%(ddz5DO*}GfaDKtVfVOkj)ZbC2${-hN|VtP6V$P@j^ zWQPr0jT;PVJwDT=ej%m$?aeyXmiPMSPSgStV|$&OLCySi)2>M<=Y(q-Mpx>qZ`k~N zdOF(nebreDy4gbsrsFxSJHL*7IGbHbLVtcc`GeD8oDUgvttY3a zF@+RU-mdn?47n0*UU-X!$AC0=*mR@dHe9XYw8vl_UdQ-~Ol4%ZfsSmKZ8ffs{ zlhXg{{P)7@;HUmz8BD==T4Bb`{UbCy$hjiVAy#i*acp~AXR}zf#@}g*)7tts6;Jvv z$z)NZtiDaR=gA9Xn?)IqfreePr%rEdHW*tFh_6G2RQT6C(GG zwag8snSRF{9%M`!OJ&nOaXujLXPF27^^S9|8G9wuVf*6gT9pAl@C4G0BV!32hEai4m+d)?FdIC?8E}qC@V?+^pLxOE!Dxo0{GZ z?K?i2(z$*zbDOd-$!q(4U!X9f#*t%KrhV4j9Dc+mbg)zoUH~0y>ndfnJCsl4npn$= zuZFhTG-F)e&81O31S6XZqw2MZM%GDRPwR??{|*I*$mx?AOGtYkW1Y zZNF=%7W6oI(9`Mv^dM&BNu@-LyK2c6mK{TGi!R6W^yDuA}(YBS{o1mVuOQR~w$9$(C%{{l7tfJW-F{-=y-7!^x}1qjK4@ z`hN7|@Zwh2R)FWSBhMpOY)j;hhO5eu@T_6uL34FBY6a4_vMRx`pf$MSl4iWu3^822 z`lS_-FFsb(d?DA2T^>H#w1bp(#Dn6>U|T^9J+6=TcH~)1E8$m6a>SX0L2Qb0&%Vtn z9r)gLkDDo!(go}|Qe8~2>{8Z>E{)t^-_cQn839L;z_UF^)>V#+{gOJHMG|@MqtDwf z0=tfitdiSk`m}Alh7ORpJ~>}1pEpFKRzIPMk(>+Jxc^JPGDPp&4cH8}O#DM1#rkX( zd1PPx-3&U_VGM-Ud#E@qXkNW5q}4c3?L{2wlVQXLj?EyDhz8sbp}2)>qFM9Suu475KE2L+gFKDy`eUG-$w-#yBx@bXN|lcFF&Ke{sMBai*~6RH#V^I7g015)L#Tj0-DKMBuOEM z56jewT+QOx=~x}&TP?N|)epyS&WAcHI@9D1JfzF>o&bX0E>~~YKJ<9#6uVA08$9m) znkai9sdhcAX)X=cMQ9kA{V6T*&RMa{1skZiI$69F?7YUdBfI?&l;^Ru&S|tOFG?WE zFTpfB6dI&hlKp~8_Y2|BCjb^ENwv_J|?WZw*@Y*O(&m_h5fnz?`vO%$ik#v`%h4APnl(X zU)8&<3J!i1NL7ucoj*#;jsZo`-%Y&D==^owT`d|ET_j*3&;>jCIx?uIaX|B!V$83) zP%g*UY661&8T1?Ie9zC(h=?^_(ZkUWGW{xeAXyF*_}kFe;&N6rZU4B9pM3+}@jh3T z@}wkGu3~S?vf~2=>_S*ByvugGlcWi^yL`K_#X)a+1c-f|pH#ESRP=hy4+HR6pWWM+ z&bJai7nXn0@E&V4u$eoYSObKLj_VGyr0r8~g?jeUt=6?ly)}>|x&{X2?0p9%43>nP zWve(4QnGnGVeDX-;c;a_e8E3b1nf~{Q|O)u1+01*6v?^r|NDbvV2)zkF&o3TqnJvj zXnfb8;z4ET6bX>;RX^0zWl>>K1*_+CNQi)5HI;KLtV`pC#f?c%!ub@0jOwwX4VUM9sprNiwhtTyu34*>~Qrw9)A}g-* zoqVu0gY$hsQv$%7^N_m_x@Ef?X1H+?3E04jz6w`TcirtLW+2E>Juo|XS&n1UWcYm) z-XB_`r|a#?+wrI-)!}VS00dffOo&9ZD}aj3IF>dD6sqCnnwc<8 z{;S_4Y(%oOdIiZLge2_lrl~i=a_-yZ_MQE?E zNBd^!jiyaOW11!lDkZV4U)JSIQJbQ{1OS+No=Bs}b@b-C!WwX=V|G{Xo?N6i^FTHp zJVCyHR2Z~=qN$kzw=IZjm^kSrZnIXH+}6JN7M-G?aR8F(bncocPEoMw{cy-xDWFoP zW0=}{S_ndo6Wp9ENMLwAi}XhgmlqNGDYFSyI+*zQe&1(}V5-R^MU<4)Hms0Y-mj2dXfZ_|o9RS#IDrQo3VNv-FG zy_q;V$V}M%a~!DQO|yX9)0|l-Ke%E=sC00K(ao$>j(f=FD}T97>x;n&)CWNgSnlN4 zy}-XO8Oe3hJ0iFT?)<*=X9la-8$GgB$6a0qjxyOO>~)=I$VkY1=ztT<0?z*VpMCmK zkx1?nq(4%Xs8?QNYmLGAK=MEB)1$+R66s4ei=26-js=CbB1Yv}OV0YB33=>$v9y6w zLjdQp$_jGlzcZuFcm$5~xaT#Mmr<*$lRlf2LIddWkfiE&Zut79`H8k0>etjDcPI z4HSq@BEarHxz6bsiSO6jakBTz<#wsj1Gl;UJ46}vzM1&J?Q}zl9m{tm{&*Da&XyZU z6UVGm|6P&y*ie&Z+(e$FPA;IDQi*e$S5`Vo)?cKB)5q&$eLmD*sDlI#%iYkR!jpJG zH=IlAr9^iAHK_07E$#xzqrf`a64Y)WORLk?3(-@o*M&wHXz-z}vj7Cdrke6AI8 z&f|QgEzFdQ-|@~XVzgtkuLQmH6<_Fc)c-V=&LIz<7$L`FWueS=P-vCggxgIg&z8;X zos6u~@(kuMgQCR`vtkB0B%p9XfkzCIe#n@{=PD^XHPw)CTT@POfnHGWhp_D1%U?0U2WC{5xX0|LICK z8VfQ#mTB7RU?U$K+tRxAtw<5jrtAMjp;Zzu$%nB{D0!_FBi}fxQr>Ah(RgE76U&Ev zZ4X@6U#m2*L}NW485e5b<*GGc>&xnS8uI0n%yqVGX-Bx4@#H_9U$T*ZbJ_-J)Ae72vc|hzaLtni1I1Y+#fpFXizt;SuT7EA&yBJV}~YInEiQ0E2s%8sQIdSH|Je?+kvwuA*GoDh)YVpUlj{( z2C)!UIbahUSjWRhW<|X0)@S;h4kCgNZs^OMlbhIt0-4c9?%934tB9PkTfUxXaZ(}g zK;|o1G}Qe1M%v=9iZNFI!U?v+K=iYACb}b2dxi9|rYwuqPbl@zBVm}*5N(25ueqW zP_%)7SghkLQ1vAIWTIrU^{~E}alsqgSICopmH5GLAGi;L=vDmdFKMph zl@#sm54+Bp;o&+`yIp&fqQcd}q9WBIqM}iFRYL2pFWonc9WH6+qEF|;Dml8E^7Sbt zgFA(qA{XxrzL6vRw_ie7;O{yXWu!I03L}=LW1P1%+jtK@56Ix%@Mw7GmFZ*ep)7Ri z^3!ik_x?givRi3{Z`f$2z4a&Z&fz5Fv;ELSQQum<-sAwO|6r%#HXC-hqaVosTc!^p z4kW$&&~tSW#7l4UI>v{OTh)Rlo&UJ?h3CBp>~4KTQRD3McD4N`rRIDxd!1s%(UEF$ z6r{~RF}x*BNy{ID;;!?l!)ZB(;sfu->zBu`fqTMb5v>lpX)`XCJL9+|ZX1E5?~sZZ z<=Ow{`#Fth@HJ1fo)q^HPPN|#N@%O=ec+p~)>Iw$dHWw9wL^#T7Y9AJj`E7!4CDNnEXhb)U_A7M_ozR-I6wF(_Cem2q|C_Hx~O{>656nsA^Vd4GEgQ zMgO_Y>&f1b1(FvpuM@+c`=4>~5P0J9@a(X%7QQT&=LLjAC~hc@BL;9t_X$_uLUlb} zO6}lTx?66@V)JLSz7KypZ8;;9_EgZ3I&4a}!z-Kv=UI#yzAosu{P%kN8qb44*eaAC zkjRoeH0rCpJzCUvQJ)3EfTg+n1;J}XXe3eYUdY^ZBfV|`K^zH@Dr#IRBa9QAqKD*QyG%MNv zE|3Raa_~`gcEej*s;Mw#8rt+{j+*LDX-fAPTTR?=mVx$lnbjzZI*gcEDQQ|~VinyqSItrq62$hoaN&G4cNkm8_rDB5t8 zO%$-;u>nhQ{!M^#-_wz%u@kAvbMf_n9PG#aK*bDNsyO6?FIa^^_}sO`-C$k$F|k}b z&WP>EyuvXRis+5nf&8axLIukmJK3bba{N5QrPnF?x=85(>D-9KLXuHF9(1;aU{iPU z4!x2{HRgXHfmWKY+|Ic%X1L$6!@KbM8wz@e`tt)*W2xRBTSGXaM?diTTc;iSC{5lD zFFmuuKnv0fq|?})?D?AVdu2 z_*6iZvEZ(}#a`;hwZEw(5z$mQM8wrp05d-%k5!=5caQIIL*2i%n`=O4+?aJ%1p==b z9_Z6=!YSX;Jz-D7A5)?dacKrNZ9CD#RbW;j0vs{Y{QQeEPYoQcS$At34Gx|Y08+%* zcCDL8@Y`C+d89mvmfcMp8@8&P98;HsmMy>b;GZiBJK>Onz!;CaoHr-FW0h_9#?`vn zNXM=pE-SadoUnSAxx;ZXv|8qba;{35aV2bsw3uy-$N%U7s~pQgEfa=G<|T%&oPrqZ zwa#9IJ8G0=3C4DyD9gJk0ZtVxgC`pg!eQIQN5)W| zh?x+s(!UA)6+r+*U&4{6@93H z>-h$5|L2vDGQT_>Gub6PkD653?Cs7HAvP=S_({+c5kVl0z{LTJ#ASLBsEzRG<}{KG zlbxJO3rF57dWLqXD`0^asQ#(vDpiC&&cVUZVi$UF8Cm%U?9*|C0A($8;j;ve<0^X4 z)8w-72JgmyWa;z(>HB5oC%B2&io7aKpI`k`#*HIG*XFgV>Y~Sd5c0_6$0XcjK)L*C z-b$`%O!5C&d4{NAm$qnx-?e1=Ot}S*RkL?;FF3?CtW45 z3&V~G;wyf+%m3u`Z;2pJ*ZCk-ClQ|NC9*|IL95ZDu$`*g{990l!o<11TLN^F#Jr1b z%uhjeM&$-X_pHCnuI%g-xR_l^jpAhOKAL7Mu=bPCw5Y~uv18c9A?#xIB2Qre49 zR+Eop(8lfTtAZo#xRF|dlZwsxxZX*42vy((PVf4`s@#sBcM50r^YO*ZN%jtg(0VFn z{s#UpQ~_^6+GKf32?N9nAMCAw+m`nkbYpvzBY&mt8CfKsImwRUZ=!d@fjKK_DpB-q z*&KgLMYh*Jlg0{Cw2F5?=SaqF*dO&DKO0dE>C;?O%ZuJCxvJV|S2@j?lnge=`2jod zG~7)=^$Otz-{+~uAOFxM6*2_k_|wyc3+4#YE%N~=3+NnjM}w?(aCu(g7=bT=mhVWz z0T1qF#K5ZaUPMT?1`+Xu&zC};pqG{Lu4&h5AxPZ;*&jO+t+;1HH~uq|E|i2a?}w?G zgt7~B&Rnl6w&bHsXP6=32Yafc1(_<_No{6e!CksQZW+?^ZM-N9_)DkY4a@*zv8H4A zWB+*yY+&I@#2bfdwDWJhCDZ{OOne?Kt(sv>wIfDo zjKI;YN+y)TMD@s*e!I-C=NtSg7kVEIsf98?icHNQMV&!6x{BP1a`$O+sJKo;y?~Rz z?g5mBW;dVtM8rlhBDs2ND;dC&q@L@))CIWe=Rr_xjVH?8{DdW98nL9Y1V>M%1GJoi zB4Y3fqespL1h}5=0lk@%J6FoHMVCNF@2{nAt8|rH^b7?36Ic%!$&OLx5-WCte z(BixQb}<*sJJ0ecszhL~){U<#Ry0mCW>DZM-`%q)mLLqr326=aJy3)CxM)4` zZh%13lz#$}r2*iQ6ri=&JW`%4(mGN@jd73VoW{d1$Lq5a zY_g&|&vOK0f!#!;A~HUT$2Z9Rlp}!KADyo2NA~(a!G?_|1?oi_Tk2asJQMrMxlUjy z-2;OWsu*_g6?JMa_3zdzUST+NVpdB!j?4}Oa?2Hi>-YA9)nTw|^hjf~xW4h?NaN|> zE_~CdIR3n=<&$`xGc(QE`r*Hi*`khD%gqXof$NkHnX@}e6!%#>znNyJf^c@h&Y?S4 zjcHq<4z8By#fv>`=A8+1-BVH2+Fh;~swUs~#)qaKEAKr8qX=7mp9tQ)S@rAX zXf&gMW99tuV`c=n1@@oGD&-N~AItx3m_Mr;?~acbYb`uwMqa-$4}T!PWrLG_U<$8S z!Y!!N5+iKslGvoYR3yPFu|}>XV?V^5DN1O-^2dKza5<6(t1oMDIFZ!mVYR8)fJ7}_ z<+0K-yxfxnFBx$mgFN#(~^QHdJUd~m+v)l2f+2?^_%#3;*M`2IY+v@qIvuB2|+`Q0eK5`?!bc5$)~WI!y|eJE0x{J z^>q+?M=ll==(dJs4g}i|s~vs@nvOQ>R_V3;)D_8qJUr(T@=qExeu1-nZuuu7CozI zKQhA$u`GSf2fM4-K0)ZYJ(_7<@ChVdVYckY^CY>;BvjQqEffC|@XQrcCazFqp7LV+ zC2x5+i;_tUpHwc6yjP20XUOx!LO%rggcfqWV@z%!`~%I?@6Xlt=+4iq%Si(05XE`~ z10foF#?pBq0|yNkdW10rXx` zqdc33xrRb6lGeXmc4S>_?>cs5?~>~0gAEq7u7873;dJpZHFT9Ci|a9Db-+0=7M7|$ zn4ZF8!^@#3!6!gtvCQ@8cn;`~f5ZxO@Gm{NYG=bm=dhBjr@lRDUhL(UfAOUq91$vW zdDf?dq`rH;)=ew5?VleUm9Wl)8y}{y5GFV#>YhZCR$-f^a?FO#wN(I$gsM-{l6YQ0 z2mrI~BoV>vcug6HyN;4_Mth%Dnj!H!UUSdn#<}d-{z~CA(Odt5B;^qmkuHLgxsto$ z5?(YU*E|P{61RlGRRWVE9jQ>v!Q5!-^%9jNP6f5T$`J=D>*jYm#9gY~pG(*{*!i0y zV#A{)kRW*I?=RWTn?2}1Hu?(WZf_6QnWmy`h;OsXZ|l|Mcd;1g&FC}e=jk5?t#Qmm zpr+5F71kWOK^+!SuO0UnO6UEBFf{x<(X2Lh=2-t0itB9Y@#}Uyf~IjUS!%yU=%&fG z3ht;!Ah(rdU-&sb%P95>td`8{DiwZH2Un|o`qvKcJinhRBMi1bONjO)cl}NZinx0- zO9LNU+nv_#HeLqHaWD@Dt~+dB%BfNGyf^t7(;3%^>RfAVv?&1HAg8Vn~Vga z<(dk|nNgkXbclJsymZ;c)xG}3sX^Io|DU*i9K|F>cM+HY0DEL0_4Cz}tb1!>AAU~q zt02?deRP`K!e$ASXwGmPS1IAA-W&E{ngER++hDMo^F1kbHX?> z&e9@KYA1hC-qV?=q>apHWNtAAGeSg9O)5Us!%A`kv;r>nxh(3lgI}X7`7-_pd<|yl z&rALPFLRF{tC?QBkaVT6|4-=)>&sB$7z98-UzC?F}L||1> zHK({)UNZM%RaO$2{SE&(cDlE-aq)!Sdj8n4yyWfV#8qn?NSFri+Y(e}`)@R_4PV}6 zXhc%nr1w}q9hWpjBs&XdaWvLWjNl#hhVpu*FVISA3gc{PCk2DIa5^Q3!}G(jBe94* zUH@%tYxnrxh8@v{ex_vTipcp`(pkpx+^6|CwM1nTB47ppYR+Wp zq=jDi{+?#yI>=X$4R}*6;hOq;o}_h$e@4@IJGfuwG}1okEZsf`(%EIf)c5ye zgvkoU@L#?pzoxt^lHtG?XUwwPW@cvd9l?fD-lo+vE?<9}1zYo<<A}sXO34|UTgdW_2ZXNjO}0EsLKe*3<~z36(ar9DPTQ;MA3q##9dbWD4qT+v>8;|U z4i^N>NKCRjv8i`%eV!6I1n~jjQk*A(5Sti}NiZ=RwSCJXZv+%TKn)S}C*`341?O93 zzm8I-EMy!NIah*pj;afRUCyI+=wbQ#a=jg0^1b6Y=Ks9n4Dr5v&MTaI35lVkiQv={ zCv`ZMM)M965&*y|)C2$e&U9A1aHxs!ia}}pyfK)$z!E+&Dm&Fk|BFFFpssYbV}c3= zP@A{wHC{LvQn8h<(OvL)hZo~JCcq30wB*w;Wprzt1FG46!u#VaX_I)i2EkJIkIf?d z=1o(2vX0~f`sj{hj=y?;`G&Z3Wyz&|*At&02d{BzYFI8s5mugna7?a**h7=^rrhQ1Bt&3_lsC$*0DruOf zV$l(0{X()J&lolV&)(mlY9_gtUu@}-?Jo(7z~iVUnv2mbWIAQzr)!&;_vtdMS91;#!i$2JQ| zq`~FyLuH<)dZAIxmo-tg@lMRW5}%UoWLVUDN59^8IrQZwZudPDy+<9@m?f)k@QKDrDmW(%`X>z0bdEAS0RLxz@fI{erS#Up z#N_-f1+Ud_4OJGSTnVhEYMKteaO3n!tr1(0iPGP&r(U_!tCqyHL= z3uPjf#_W&ri=WW{EH#h}p0Ih?Px2-Tc>#ZO;EmMz56*J~Jo0ab{B0Z#20{UxZDe># ztg0^M`7XAC_AgV12p%}U?Im55 zZU%g#G4>f~SaX`odv+|`wD6W0gJtV5nh3M7F?Y|q& zDo?&(Aqx*ni<=Z6rsH)#=p82howsoF@O;d#uD)}UxB){swmms-x(VjbW(s$FjzzdB zEk%_OcajC+t0KxKB@;XZ z6J&aMrdYjh z?>w8)UU&NHi2(S?Vda6Cy96YJ6!At6DG3K0#4BM*&Y4^tequBIfH1=%ewsGEng-6< z%pQSM7T~swY5}SmC_(`)sv%L#qy7vh^)<1fmFGYfC1Eb?@Gk&-}Y{20)uf-}A;@{(k2$pDCgsZ**E^ z9y;1Ki}Nkj#+Qvg<=NmdF2H-rL(2N{w~PO{KejMJ9VPEtW`pK&7%V;O-yF!c%wExi ztwy<-bLS^pFs#>&IlAT(gFTl$8ee@nXVo2+K(>yqx66{sthF7k zYdCa4E!%d%e`pH-Iy@_bn@n#`2hT%G+Uh@mPT~k!LRzAR-fBkT5Z@eoa=`F~|IC(G zp6qActiZp&HdyzJ?2~2AmsBR4zym0_Bob3(gK{mb6s)3^fRN6$0kq#*tqkr3&f{?N zcjcp3;ENRusq&ED`0gN-p7|L;>V9gfE0x=8rvsT)9@^nyM(c}@n33u>$ zgujs~zf-ej7FMYc@^C}=4IV6bNnkx>u$r^5&MCCT6F7+JD$;(RraFRDEsrlefw1`d zN<2AC9dsUr_7%F9gil0zeSBKQ^C4vDFKLc`Kpbda&_XHUqXazTx|TjK+*i3_Jpwul z#hd9cTvG<2!(D(YPUtbeVumqld&UNv2GO6Br`Ws1wK{{fT_&rQAD+i_*%1=_YwJc! zc^ke@zVkU-Tz>(26)yn1?!Y?g_C|lI|yvE_MXUbNhTG)1|m_)J1F9&|4Myu8$(7~-kf+vw@x1$9Qe zY6z7BC{ACBsPD>zU)oW63U*{~4{qQv`?$Q>weHxKXl%nYVAQb-&&~iF6)LxpgqI2( z|KS8%-XIpfhpB!Xf84WM;t^}$*d=LrJ8`CejrNBlga&T!EC=u^cJ-qo~u%9!v-m!csiR1`wj zwjxztMi3NjpzF|b89mLM74#^+3qNFOa*uisJ z6;b}!aLAb`vCDhaIE`hoz9}ttuH0uzK~6ZQAW;VojFS+j5@&_D)!N90 z8OscpI`GsYNWD>5jNht5IcX&O`gXsCYR02c7RR z+qgA4p^L{I92|}#{?lDmS?lrWpUMoY*2R7fs zN!r4Xd?RSuBE6kiY_r_x;)q zMEc3>h{GDY)8Ouz!a)1%+QHy3B|XEL@AO}K4QK~U9%kUyiyLmJ!`o5qC)9UjSVUXNr1IA)*NjWO0w%wWBiWK&a-@9D31OT z6xh@m;H<2FRNtERZEhKReF;eTLIB}eWpF^2-#fII==M%}g|fOu%(ni#qges`59BK3 zua9P$pjBN?T4^H@bM0}0mh4R{PY$<$;Yz^L<{zZFzw3gvCcU)E?XqF7(xMR{*T|5v zE@wGY9443}qZJFx*(&}(UfClZFCz{YO=qX@^WNuj zxR9(1kET%^n>=v@NToTn*bElF+MYh5%Q*SC;5*=VkRd1YAzHv(>)lbn#QIj|#7#8g z#Cfu0k?QnK%3OJlch;2ARku!&_o(Q0+S?Nmj8VBk#8%lRNo7HIyvb;RTx_*!_kjBAYs6e-OTZ*wc z{N0M22`YM3d|Nc;B00G1xAassmO9!T^R&3)r8eNzf1@R5_(DrUfG?7YcyM*)CJRxT zwUf5;W=q;$7KfN zDE~E8|MIILyy0>eEDOmfA^+%G-fy{d9=6n@?2;Bg3GN^uKM!sP0fj1j{`BW!$kI0K zxE`#GM=CsDYWUH3Ebd}>A!ndvkc_Ck=zw}jR4@>rHNaIxDV@GL=COW0>cRqg3aFU> z2UUN0K7jYnJ9DQ0lZVvaI}HDkJ6mr&qQH+EJpm+~@F>pPP4EaMf$xC&u$`zmj2{E%&sAPGnKJAE3CRba#w0Z>+HF)s`x9VRY z#)#ZL(Use9(pZ?B2bUFv;tDiV*vx7^`GGH2fJ^oQt)>;uGitbIJitWl{Y>y@-lFSx zGyk8Yv`=Q1TE=|Ox`~&$RUdxiZyLt|_ra~5@i&V)4I1NF>Bm@-e0PSA zaOKl$=A{Eyq{4OU*fL8_<1NdH+W_|C$cq)PtxZ+!uW8?lskLA!-`{HmuAcDyMlXD| zh0I_sXZzoE7gU=3mbg^YgJ}hfhX4Yy8YSWFC*84mzZ%kJob!me>g4a#?i*Huf91*^ zpYJ|XvYLX#)}IKrfg>RmQgQ0YCTG2>;QU5~!V24*x6lFG-{kOvW2@d|f!Q zYGk4ropq8_;`|(j_HZuVkw{)25aI??F|=MdLojuA7>O-WyP(aI-|z0C(g3aNQj##b z9C@7dBGMIBO|HN`O&O(?1pyQ-Z#*jx};U$gx{reo@ALkc&G9`Zd^k=dM93?I5 zqG(;|IGQu}tYfm1ai3l{1a1s3@V!`tEAwfN_^{mB(6HpSY3h3)9hBLNGYeUt!{vo- zhPH8q?KE-60~HV6*>tiop$E9mV(-m&MZ{KW^YKLe%1;;gsWG4Rr7oY@-$v>KOD$Ke z79GLZzny`j9-nv!e>XcguxU_O6IX`%b`H8a`b1b-E^fZ3VEh54ffJI413Mzd^E@@U zf@vT`pePd+i!lfI+9?g1?wpK;xIAoSqZeB*pA_A2D&#^gmx00}VV!aSA-?3Mu4#m; zjEPeg0f5ltb}S%)_)4XBMn3+)XICmLfX!y8!2)(`3Hk1;@$1e}qU?Odxz;K&PrGmP zpQ*=sU&Kmdu-xGdd|s*XbWpr3T1h#BA4fEV8sidPV=m}1T*@Q1*Qu8%O{TRSWTGR0 z1j!^va5E}JZ}kC6RP{P@G&ln8PGUax82LFN<8BIjG&1jraF`BeXBw#X%GuqME=mep z*HXag>gSW!rjf$_49)I9oA(Jv1kXbbrKq#3tJXXGh*;Sg)5LoM{sb`|XwA}jslOI# z(CAW`k2;j89R%)hJ(2LNVMGu3nX2rrGw6l$b?l_J;x-5ro;k3Lb=T1GeSJMd0-wI< zXY9KAf=gOeR-IU&dw%u7#2(rdpT^X2F3&exp3TgnC*zGsnqqpRHxgoSrL$DkQ@&Lj z3+WC1dDbQS0lTkG8T>SSr&&w+K;|mmYb(asq)&k8=D6O(`X+Ot*WgCb zrt(C#s%wdeiQL%+!fdE*$?|VNYw#!tD zXO6%qXJe}F?U678>m(RzZ{U0Iz!#e+CuiL!i1%-;iG!Z!mYz>tkgVX}a|k_8Yhxw{ zz;=-EKCfXW#8F2xcCLQnbZNU^)DCJ4JWv?ilik))e3TY)uVa7~3K6q5M=!Jua_AYu zdccBdf(NSR!#8h!{qsrL;L;kflDxw}>W^jIhIo5-&aS8hIsKM>vZ(p3%yU1^8E5LQ z*h(5mF#a)RDYdqVS@LS2ZZ#y8%TKFZ#9~*c4;y^%{sToJeh_UM}R$8QGzawEGR_u0&uvIC{JJ)t%jA|sckQ=f$^CxPd1NrkEv7#w5 zfgwFSY0Z0z?>TrlCWRG1_6ej!dT&Ru1?2!MQE^(VoKP0HvIRX;FH<2t>Tg6{GR3+) z60)lZkY_!Jq^btp{TJatADDVSPttTBf9#Y0IQKDGG9n7W4&ZR(xMjzk^n*Spx+G=s z)$VMI$~U;_wX_{Ajz2V-lU1#WrZm-f!X;_Je(On3A%kauyP$>!2Qpr2pJ$1zr=pIY z;Ld!lJj2S9CzY^VI7FykK3o3aT~ogZA6Zoq?mcIcP7_VmUOyUQgG zC78L5TgUoi9K-);_bY_*vH1R!yZ?Rq<@5G{<#|Zbs#h{wzY1buw(c3iz_t2Ad5x~w z>_8hv-c8l%3QGg2VF?WvzBW}}9c=M{Y=M~{*it9eVNCm{tDfzDS{NbfNLO;EgM$J`#$PWjDCM#qjyg0&Dp0Zq8mUBA3UrNM?e8WNN*>I#;WKHy1~lz=C~9;ZRHlT(toiWI?yQNeQ*4O0 z4I2+V`TQ@Y4Un16MYbK`2FNQIU&2FhH_ghK;;vWlU#&CiQpj~Y6hcF)mzR9v*}Zcz ziQ3;Wx{;L{4;2-fm1t~%?l34>{N!KK9T>G~cgB^f6)=DWCmQR~cWyntmZpHVt>C}{ zj@Be+D{NP4x_53fdU~VEs4H5k^Y0#F!c(rQ`L|M5ZTPN*+6)9|9gh7JDyIU3hO^XB zHrW;r-bX$Le?D?J2?s>xFfO_!YEDp;O%SyXI-)EygYD8Y!K0d1-gN*-Ra5(6aGlJ~BqtgLe4M zoS&dG|IUWot2lvCHcU<_I}^2m=9Y7U5)Lm(=csr7EtZ_jGC$+VSbJA8tC=H@v|tfB zXbT5+y;}-Jzl1}+AK!PFKcJusyG?#htBiZEP=PXIp6~!D^b!Di!S!WSX(;Ag83mCg ziIG#m3vJ#-HlOBa3=}!6!zKR6)98omlf=Iw%0OYat$I3ggIaprnNiL@@5O+p2t^+Crc@;^)OW=5#XqUh;qT zV0*3Z!o4DW50T*(p=#xEjKT+Hp2sXcJ44A2dc>dR4&AeHm4Wv}oIc3kau}~A^_kcs zPNlj8Ggqb%`fMeiR4W;Nwzlj&bp2e!VtP8xpaV(DkOq zC8){V;%%Vo%aHk6qo7pdwM!XvDa;jN`tl33R+!_P)JJ)C-w*ftS#S( zL~8vSs5p1{@vnA;#led2{Qq4-V~srP()dYNW0tAB}L@a(w5c`o#MC0xOee~^E7E%l zX{TfJ2UQ3~V!qiB*rA)p{veRf9nPGiI)^3^8dxRQ682?Ot>d=MnIXhSqQ-UVmWudm zUlulWJHw%hbNGt|$ycxpY{qY2KcD~HlFv9FY9(m+^L`)0%kE}m`5A7wz80 zG6&@FFyHX<-2L3r@lfsW{`98@9g&`oSc&^S=sc-$JHmuRoA zUYNW$O&>LRp}JF@=b)2wsX7k{YrG%eb@&TuUkaNvSqGS&m*jF)kfTLJu|@<@k46CT z2GsJ6tj6r>nZ}DGCN>8@Vaf)n0Hnrx{_drI+Y*Mq@lo4N;9*eJvlZCij#c)F+qD>b z*%Tz0!76D^ltT<^>xejO9D|i)D7lFYym2MG6(>3Gc~7CLKQplBy(JHDoT_Alep&O1 zVBZy;8t@8mN_zk5uwNPA=IK}#vO6@oZe(ZS6AO49Ur1R%uRsfvU53BMjMV$FG!?d( zK#~dCEvXcDf%ffXbdwMFg@TViD~_Nl2-Z?}DRfE)KkvRs6UQIzu?JHM27boeiIi7~ z#9Yzl+C?|hy&zFn^dBkq0Ho&5Br!`cd++k~$S2~Dx9=ABkS^|+zcwDDFFsT$VHiS` z^^MsNem0vdsd>5O+>f;)(;~(8L}4BmGCs$u(;`tsp;vi6ab&dHltXjz<)6@$;nI7J zQTzh}P}Zr5q6B`)fNJf~?H@7q?yuE~0;D-iVCy+tuO`Wk{oC%iXAgp#YQWng427`; zYVbP@IkXVnRoc^7!2NB}W$;itr@;>m<&(MOFg{p-hE=0Eu0E!mISVJrcdUfvui*`R z2xIJ{`K&R*Va*cDwD%*x^x3Qi4!h}hIdctAj-~@Hb$9=)Qj(Qt7i|%tCtoKk!5o|y zqa-q$;vS36oh@y<6+3$(dg&@jSGzO2KUUXZ7rR30%t;r!1Btfk8gtjStr%+#W3wkS z98OyU>9fJ%#>rXmMNH(;x_FVdyE?t-oAmx#qIC4I}4@kt42bl?3tad zZbEj5YM<|wG87TBX*00<9IHlA$di7c5x4qvS?aH(7P$f79%Q%luv ziSie2QHUR7`}c{qE6FAu-aIK}-s5EueD=1&JX|bvR9g7oBG4OVodXemYwz-z7vH;0 zz4F*@+ka9LNjbi+;a=ky5JPqJW)_J7#6{3w#O)G2E!;wxNIBK|t_xVYF>gr=q6#-( zq;!(D5(^|^`SFDOMrSfjNFtVI7abufn+f{ySDt%aeV3~zM)kEjesUC4G3Z;Qw+(jvhsVnlxL2It`V%uj(l>e71L z>lkgWIRnUvQ?JE-G2fs&|E1>CQ78ZN#cY$~D$}i2GyvSh4!PLDi2r?RM;78s*;luKqBfGq3mPsds<5Hh0eIw}NC{2Re~}b|RgTRLdphRecpHuRWujZ^#)r0M|^AV`%^Lx|E!3 z8STJ5+njH%NFLv;3oSX9h|Cb`lMMX^h@*6c+F2&Pu(lk#>p415O7mt6#<>w>qD z?&gF!*86()J1?Y&sQ&fCAo*bUq^vE!Ez_a@a`N7mQUD(4_{JY9ZpPdxJQ;}blvaix zUaE}+e7%%fxn4cU2N+Mj#|evtQ2dl|nR*fEJZ(n(0`DP4D4luL9z5a5aN4y+hoY(w z&H<5|ZHSmw_Wi}9K9~>m@5;W-GHeEM;}My%&Vb>r`zvUz z`bk&6oMTQ{-%I#V%RoNUERL+=cB0pAk(D^&=Gz#@z$b-V1_xb6vF}LlZF}QFt=D4a zi-n3@cB1=2wV-HW`tm0|q4*07oqv#Z-1Xt6b1Vl0lczgmL>Ts{$vg?|TygCX#xj@G zH{Xts-ANGciMbZ$_HS;Rxe~3SL$V9Y1~cvqlb{)Oj!Fn$A@ur_+AsQS?H9-2VMv`6W`at9IQSChL3~p`Fj^kOL`zHuic}bz@kc-faFY}L}%a4*l z7hhE=L(9~i44*%k|9|{iYw0Th3J*ap&qX5cZ*21K)l@3S)Lj)S)~q)&8Fd;JSc!rC zDmr^Z_)n8GU@aEap<^A^EuV@aOd*mX9%XVzZMp=s`{;^H59D(1M!L6`3b}PpCZBcA z8Kwe7SrtJ#UICAdJ{OiQHb)&r8HR5T#!@X|4}~&zukWPEXTL9^3IWX-0U*%6GEzB? z(Z{mf6>o%=;suqp1lK2uKH*653HEsUXM*}#R(c0ALyD;b2{am==`@&ofZk<01g*D<$w8|YVBPks~KBNc(?&V?9TZ(-6CXFFN#ffv^a z=g7cUx)MSXH&jTXsFC0w8#_}I))o+)MfE^5i5kYVD?>==qn?EV9)!6%-vznIHSd2m z*{^vac~ov8DD;4z@;3(&RyTHg#~H|xa&^bXSV8EcHPOYBVjghHnGQaq;)eG9t@ncZ z*W`!k2>!bNCa0D|vzB?wT$}2ar?fgmwbx--Iu_Y?rnS^_mhzf&`wQVX=)5A*5-DxQAoB{$tFR16D9#vuLU zf|MkXa3ueKAy%HVWp99k$L_jhM8bFxH7NOvkW--Nl;=!O+jg)?_e!ivvuPs`uyg_16j1?yJ+g|IH=Y`QqJfH z1TZ|b=rov!u8w~zjcHnJ5%U*G;*)NR1!D)RzF^`3CMzR)UjWhdiB(=9bOij6!!s{a zzeEqb0BAKPJ;X~se}CwotVHV=MPSN2{@t_2{2ly>!#!y^{bVYEV@=@p7!H3h%Jq!X zEh%Ddzg8gxnc{SW7bZ*YpQEG`Su(iLpdv7+!a`-H zQ7aTKzCWR&7*-_6Z@6?c>=Pol)UI$l?q4`#xwz%XYbOQTC)nr%>-70w^8IY>Ewb^6 zOP=~e@GAru9MSvd5wJZo0YbP4oNt_uXPkTQg`V9%iCEq?p3OEBrf4u*gg%J0qw@Uj zdo-(=BejoFrwhw)D2Lhpej5l_r8?2v348{5ob`KP)lFRNfVvX(jG?#75}?W(ct(}(4Z zuW-_AWyrL`$IiK36$2TsjW17dq-uR9u662&unfFfrF7(Ir~X+{f(Fs~Xv=>}re$bY ze9$GCh!$UBVrueF%_O54Ej`=dW!Zo`;60YBhLuWFt%;#gadyMsCI_C zv%6!F`Y13(z-@jf045`szlah^5fyNM2AXb2-r;SrZSgvCj*CqJ{M2CVa;${w*4RKC z%dL7xd`Xf(k`ksf#zqE|pGxSnR{DKh;K%==M^m)_pT+M0N=h(YE5WFr#u+%#Rl2m8 zG41ehEi89#<+YKSv*iFu?Msb0tb2a(YJ#t*LBVSCAS=}g4<=u;Y0YpbgC&+bjt{bx zN8Fg5!>m(|@gG=(iO2KD_tt#O;=%0Cg&8hOyg!Q7rcxcc14P=sz zQc0b`^H#Yc5%B4pU&@?B)aHJ2EIl=0>fuXR2^6btD>F3#cZ|WdMO?UlRxw1fti+0` zDNh)GPCmJxJk#^_4kzAk7uPDv{x2mA)=spZ*edL(-<++jYgc77Nv&P@-r%H#fZKf$HWWeMMx)q9=A6OzFKwzK<0Oal z0R6s#2deXun~n$SsA8Aiw`@yU*@c6lW@%#&$t$ZTggzGG=YM%0ia6)cB@%qbu-4ek z2JWkUr{(6xu33X8;Zj`(&4JF2oaaZ?&{rDDCZPEe@8e|qiUbU#?pU{pRa zF~z(=>bdose3Sp5=W}G#l|lK2r!@n3BgS2EM~vIWY?clmI3-5uINpi2Wc8va3ZLU; z0iWxs)Avit)#``xCk4wS*}sbixbeN;e?5>%)A>naD7iV8JQxCyiUGsyZk4P=5u!SZqyHBZ+*~z@k!dF_mc|G8PDY<<|=qylGRxqylgPbj69e5)( z2BB@%6WswRBD#&Ypk|cVjFzQkvX@EnoYmcYTMOMV5sOV`#r&6MmG0w7iUZ!5FJs1dv`tj0&7%B#lPeA(GSp_S^<;%!+SFFhps$too zH$P=rd#IayoStpCn*RN?Bs^Q*9T2kNUPd=4%`EUZco;QE_Yc61?n1&$kKcJlzr}<> znU;cx>0Whcr?qPB;IhKQg12^jA!pC#M9ti9|&-kv4)mn0$D>K2evXkV$@z;{52a=g@yu zJ#ZjEC@^*Cbnl1Q$0b_Q0Cp*y0ru(@w+D34yaPf6e1K>;{Ye)3)1`YZV_X(kkG!&$ za|r8+c<@8~>RRPt&lhLQs|VYXRv;>na_ZNO$LW_L*`Ur7@4dO^y!*Xxa)BU35cch! ztesc;Kc)sK+K!@UY$bEDW{_H*HQ_9} zbyyYX@6G*(R;-nCXlJz3dU(b1)4idQk7LB2x3+ystSzyeq8%5L&zrszmdSB*dC_M` z>qDZ+WdF0SKI;h^mTtmjm+r^X;q<{*#ClNtxAR-EKi2wf=2Th{DHUby*B5sxZoGi7 zsP@HEbf<-1xs7hd#<^n79G=>_8s;<96!WiHfjS!>=dypID)D}D7iRtBhGzWa6AD7i zp074ITm)avbJm1nj?oBtj^){b%S|my`Yk1ej12E-+CkaIU2W~BxirfX)s%H8#i?0I z_U{fv{Cx8ME1kc84VLi2?_xLJ1gdWo&IwxuE8a~hZR9!yHtnk|H{9l5b)J3u{r7uV zki~n)jj-uQwVTDquiHF7K_GYPUFpl2$9d;MdX#t%O!MpsyjT@>u64Ii`aZX#CpGp1kRwmW*-k3yV7LNA<|M zi+iQgJHfPZGbJfOSuR%Pr;6#Kd||{|r9yjE*3&w(VPwnHetzca_4iDt^NAUGBO*miYHJ1k0U|`~z`!Q+7?sQ(`p4$C&$``-KFng4ic$nG6 z?{EyM`mFRX(${!HF3(fkEm=vM1o@JrjML`u-PIE2G$uAPjehUteys5!qQ~s0yvn_P zlx{`u2&evAc`!x2OV-#w1#mP??N=ySe}+&iu>%rE*2rfRX&WW)BxIIYhaJH4a$CMN zqqkxuRqbsWiiyrQWr$7b?D|-g&7(k~Y-hQf^?}JHYm4xb;)_31#=}+n5OvFdzMM0a zqc&i$q0`gIutKvlXuZlHF*H`cCT5ep%sME)7kgi=*0vyBw%z@b zV(m-Q*+Q!+_}JA)X0s`2tZ4-?V>)ciZ1`xplci#ye{)3Gl&7Y+-Gx}NAI)>Fa29v& z9wUE!;YOTa zx%z^{cRD2>`5bmT$du|k{&?;gVvIH*w_f=kH4!1KSMg&4qSo44*gF68*28yZ92fGd+&vxo+YDs;<`JdIqbZ=yy@B5 z)F|GAFWi^7w5)uvePKx0VUdIGm3@BuE62w6<&eg9)E#_5`^v(8R6UK85I(Yj9nV~r z4<#~qW@VSlJUsk38)g{k!L#NP^bhTwBCPFl>p<{V2O->nq7~DJusJyriFyP`wn7;c z$~?fmJ8#C&<94yXe!py-6L#y9$f*a#i-vvwwR{23lTn=k5j>-s^riT>F8y7l<1%Wk z8@)~;+X&f)p^$mavn%cScINx`->Fx&%ZqXKA2vUjq{(RSbfJX2trw8SniDnCS_P&H z0FRR_&ThqPp@-0NxXeLK;0-A3XQ{SkcH_-Cr$AtADe>Q~9%f``sdoOxR7wnC``XPo zci4GI`s3p<*wSRBQPv3e^5ZX11)$LXh})^-@|i+z~FPO=SZ&y{*#ViI=*D4M^cgpqdo6c54pC3(G?Of6FocJ*Ep(4zwG{K2k_uIKIVOMC?Fhh+pD)+0qtK8qRKPnbPt7QA`WS|h<)U<~3^ zgdAtnokNB(9$eRDwiOe%F{VN{arr6P}pp%KLP)Y;aon_H& zO{d$ovj&eRTK?e@=0p+iKMj>L9Il_n#l1&vCz}~UJwmpyGW#S9lNm&Il3sqO;$i>K zsBLn%ZYU<<_E6VPyIJ$N7J{R>IQTMM%(;2Kvn)5o_YWXt5`M39)91?=u6TnAk0XO; z-?ni#aP27mHyksnVaG_HNfF|5WHw#Q1PcPcI2BfZ@tF>#-3~~H1ot{>M&Vl zEdcr>1oo4}YDU+sa-#^{QMBbH`A^kuX$7)!Lth_~#jk7YbO`fEq4fyBJDTDG4e?*w zcoyFY!(l31j&Gy675jKdCmpapdKkWxiO&0hry>ve=o&pQyDNFn#}32n!CaC6kc3(v z{r*vyhjEz!!}V!g$4V*#%uuc!U>@n4POL_R_7N5wB`$IPFr(9g; z`BW`u6R$W3$wS2*+>+@t2U!~?KSs)~_h2bZ`=c~F9I+=v5h4hoVFfu&1h);|nkcDL0g5BEwgll%zh1qKEFEsG;6 zZ2M4pgwPSkxofyus(y=Lm8Qz-V6edvigDlj{6i2HbHTVe_^yZlwC4w~N0G61#<#z< zdv(wff0dBb{wU{!^hzqAaWih~y^N3fKbtyTkGLkr(;?684DiV<5m0lI#1%|RsYW>a z@Uw=<;^mukaZXKWaY*UwAp$Q;!H^VKg+Cv{vYY)u5&sKHuUnLoOMtMvvPEI#%Iiik zcO4A8Ck)7NqIWXyvRy1VzEhVDej&{~_M5q83MH_@JFguS!R~}!nadS3$PiBd{>68m z{DvNrc>jC5)2xJgUI_?a3M&Z**L2sB8?>odb_Y}3EYj==;)Oa`WM1j7k!`;+OmA;j znGX$r+`-x^A?B?dmg5c(O}m96dFEM@=^ zkaq9yQ}Uc@$GuL-q%-3Hwgwd9cfw8RBctLIY@F-M#3kg%C~&!2z!#Na!0~p(Bsc## zA$TA8!X7~u#w=pBU8j8Rcqc>G<`{Kh={JkPdnY8#lR;gh5B&VG-LLaZ$(hs!bN5>L z_LtK6gpuUO?%z~Sh#vFG%KOHd0bb$v9^7mZIrYC4IX!_*vF1ia z$G^0JPVe6SBKk!J91djyZd_ez82^Kd16C$&*=<=}68;Spt#IkSZYfekXsoDO&2LC; z76S@}KkVVPRWEoH=}(HI24nLFgWClKQ3NgsAdwF+nb~@Wy2$K4nx*Q-3NYE*z0OFw zew|YM2T=Y+lp^qxVr!am6nI!kc>YJYgQ5wdtQ1+-6J^!yMG zc&GdU+S|T(i#zal{cO*4tJ|-i#!@2$@fWfhtR6Iaz&g{cl|e3|I>;~7#Rh@TU87qP z2$d2cc~IsZv*dOv6fF#`(syg%kn8z8SSc0O;@hshntPRf6f(&xVDamXQ_3{=f3BP_ zQ#B}piW_5VQF*vws%JbukFP^cCtLhhCl%6~lx>8mI`V01(^hAiBS&2GhN;zjJFk46 zIO|+9`jT?s5VnvEjJA5;<=MP%{=eAESuotOT#QOSUQ}N%!D_)&C zMf`5{uJvd(dQfJs`9likOM>fsod#^?sNGRw5p7yuWF~WGAU1k-H+iIp7FzHkC6X-s zr%;QYid&3+1Z6Rm-Jeu! z#X~G>$j?e_cO2{@hQcJfYu$nZ5U=tw$4-tR+L!9WD%w-+BAhm9 zBi{qNIj!ttjk8DcIyHpLN3#?0ML2984Tk(|`*&X0&oH}c$yc7l z_BgBWt}!{pvH*PLY(jt%_6{~S1#_@oia5PI5TUELZK+!BG$!F$O?#j-HMV}v_%C0$ zFqp$8rUqQ;-QM)Oy$+|_q)lNyu136Y!h(k`uO%>qH04>?$tfIiN!PPRkNRY<9Xnu# zoq4Kr)0SPUd#%8zOB^`QGKA%y6k@4CWh5tui_XTUprQZ9=VUYo4X{eQ$~z}%Q~$?| z+k{((hxleOUqLVQ%rfu8g~^G99|3Eo4ehbs{(DjOfXJEYB1PJeLWYZc-nqk@>2*IZ z3#4Scu_F@>cVZL|N%1d~FV}@w8=HBPTSZ|QAIO{q9L`tnRxJkCXM06X=pz;G0+T;b zMj4^Dhl!SArpHHtwX&*lv1}CgFz-Z1iQfo-4GqCC!kZ|Phb=I;hh<4IKPiP z@J<9TZ_tJ&_oOfJy#xx^@Fa`dxe51KOMHHUr#sRdl@!pT;oB;qr}T<03glwdN`TyW zH~kC|`i&*>BwSRBnNAgd8<^G|TDc@`!&%q>36V}HD!2U8z$DY}0kyGKVyNR!lslJU zvzIZXL~_^{DS&fIBNN4`qNgL;@5rph0~tzqy?kZ>Ig>+pMn}SNDbXt=>=(wc(Lp*_ zHtu9%cs5G*vL&-kl*~hzVcQ`bb(tr(CeI)3_tv`74s(UoJ5B3Nfom9J`lje7QdKfU zxAn?<#20w2qF(g_?8W#EJN&MTyX%TJMX!>N<(I0F>vXjxKX~$)HC+Uz3Ez<@~zvH*7KW)H(+^%=RS^g(^Qf4I8?|AS0!?+l( z?1nN|*dCe_e3m)R=IGP>_`4tx&bn&DSAE$uK-7Ms;V#L+fnLs{!=_e}vgr+QiFaEh zr`|pV)Vj3U0HzXN37AyvPk6xa?vbfav6fTliaZ~D3{ z{ESC=4_bg`o|((ZM0Gr^=-2`VQ+0hr+aA-Vna9Mq|9>O>SRii6*W+`~IbV|Mp1kWc z(p1V+8WiOFJ(W9^KUD}-$iqy#1vBO%wT2mh5-lVudY!$c-lHO9iB%Hb^(`?(e`TaNmg$Rq2^FJXW558@hGWVEdk#f9-(K$*=b&78frg2{Mjmht-LMNLX*|C zVq$*$+(>0OyOt%3|E=h!>?iK1dV;cNe8!o<-&gssTYgy$`wDT3H+89>&5xYy*?Ig6 zHbQ5ls3%*yl#HMrv|g@y8SrS`7Qrmb3ZD499Bsp_1I|rhA#zK6E&l1eh%q}VAD+(* z9pOY_?DZ%-aTn$>C>ls1O)jwuK_1VCIdy1ir>Nve&~G5s^z4+Za0GGViZQQaECzDzVck|84$_Y5srI3pO=mC!JgYsGLRz8lmuqmcE>UuZIrRPQvWF(>F5N=^Ih zi>`!MYjlYK_>l!n0SljDZ{4hO%M7QDe7(y)4qeuZu%s>!bmiKGby{BMBql7!m)Q4S zVDS^0WGg0kEGJ3?c5aQ~w2fkQKT=590;%Ml%|@c-9QmgG#Io{TXZxGy=Cgh9mh>{2Tm8yu zUQ-N#P4~0R*=9W^WH>*x-u?QZW1EB&nb*p*`FPiUYc3hi|Kt)5l3(zWqW+_ES)JLe zdJVW@@YUyb<+bRq)jg*cI9{KT1M3DKSEH`i=A&W~ARYVgm)b-|n*eCGDqW*O*!R+- zuT@5~Aue%hL0)AINOrxQrh3c=P8>)BQ^ z#j`DD<>3)x<&lwKfSB2^0trz8PwjPH0UQAt+l0=^A7tw6?RJik!%9x z3+V|*_O5di9r)Mioid>+hW`9|^cH(Z<|ChjD8HE$1G}aZ)187CUr@rx!zI63Sxr`{ z9=vV)8}fTcA9kIm^>sM-RHsk(f=)_rmp|g;q#5CsVJJb8GQ?3zb2TLYChMxmEPB=E zuFuluiprx%SA+SdXFM9#fOFk}9bu`D&h^&R?fN`I%aPCLbF(cW8EeW;nfYN6U~4b( zXsW;~xbc#-bFc?pqwj}4xe~L^q#XuTA~ofkUIpkP(-kFvtXsiHVTHSSVcJ3?*fkbn z0G?Jt1|nhwU7Y`kFr>J-xZ1k+B9)~-heshN`AKu+ev=eOL0=Xk3=gvqO2aT1Mn4wg z(SJ(n7HsKCMl6kBG)9`+@%-+vsdZuSKj7YX%~9+tt4NylxI>G7a&^oblO~ZmlD}DV znP^s3Cpntc4m$zi;R3{KSw&AH5jMD^J8CRd*dSr|L>gz+fhFv()^}0j)4)0Ud*(1U zvlIkffw$X3=?PYe9%(zoua2e{0xr@rlY@znB;TP6{h$+eKa~5I z=Q{k)R-xC%C@HPQzMWH-X!h03qJ`JCRc)rX_nwrr@%c(kxAbqRvp}wP(T`|&pjpyp z(IqV3%_t@53-_J#{hj$iS&Pe+BLns0S_>V%EMt0)hUv__iI}r22;8+WT#?wE(RvmpWmH6W>!12_+&2)3_s=Un{qd6kG{g%sc z)y!nzmqBKT*01`qGA+*n_v(|FpCr?uVHLvCM1}&vw;#o`&bEi?YXz(#JTC*|*Pt51 zr2Nj(!_HyH`j7a`9XdCAN5jUNykRjZ_8I4qAr5Y+AKWeFLfh`YmtBeCprQWPJz11k z{DyKj1zwH}6A1_Z8jN;DP^t&g)ss^Lk9YpFe*%FJ_HUsm=3=z$t z1mah38150a?DabIM9{#D`y$HYhT^Cl9ei=Gb_Q9IN8zN*y+ZxAP6H)PE{pgR+j_-~ z)fFV)cKQ`EQg~CkMMPWbNpekE{vHcIg~>*FO}B4eRbh>(@Q~ z5hZe6#lEOpBp9kZBd*oLz-vYK7@z54Y4C`OL8nVYI#mTzDMCM0?U5iO{>!8?3TvvWn-nQemjuVPLXKC?iS045k61r~bv^ow^cft`RvoMs5VL6IN71rXyE^4=jjZ@p= zA!%J}CcQq5;wx|8#8dOzpS_YY_xUw-_C!$}dA3Etsqy(}I|8M0A1{#3W4@@B{QrAt zp&ZI>#f0mrhOr){0{`lY@RNB6BFDRXqCGyb^z-`C`oHvHkKTz+$#~Jr82=ueGR^WS zh2>NCr*PMvOuTNs`}O-oZy^;le=jn8JE02vV!UxP@mhQ^-V<$~wn=TI=yp+&a@w(u z0f*#(y^gAI6#DCyxft?iF*3co;%lhB2X+_A)HqnO@W1tQ#;8}@Z8ITiw2?2RO8$xU zkYf`Mn}j#>nw!84Dxt{6D%yw6eDgX3+XFXAPeh$<0rGWy=c7h_rwpqJE~)xlY<2o8 z{~xy6h3qC7_L_6ER6`mDFn8-Yj3Ltw{ramTNR?1>@J$M1gP}rw=j6$Kh}y`)E<{BA zJTZ^egwjEMZuBOi9e9jdPir5D1z&3EW>jrEbMi;QgddI=x(eRsXB}`JbSn%={b#&& zh5TQ}+mZYBOzqCiPzy3QgVbBolM z)R85y3h~tHl=Rdh0X&);G#_R#o%^Ym>`9kBRy|CErttTgcg%9yH=RSclbu(ekKO%( z7#}?B2V{fJm9qg7>73pz%vnsDq?$`N;H{D-Ra?V;c=DbJh( z(Xzl?tf+E@Y8b^ofrj{oQ101zI836=Yx&+lCw)T*NO(VnGJ3KZ&SOd}`D+;MQ*`BL znT|6Fh1KQfcUM=Ggxhi8x@GeO$vlj@T2P7xID6LV-ayjwPBh@GW;o zeWYZpc%qq%Y9*n?hGfONHaHgB7qV!v5ACldX+R`E9Wksp1b~x6r42PFLnWs5NFqQy zD|jeH$AAYoog9?-ftCmre+5l{Q!jsm!whDRRNz6SVeNQhg$hfemE+VUkAGz~1Q~F! z#K@00MtqWkFf@E=iVB@^TTEf2awu6*^@IAILo^e`2|!4Ocnhl5p1diwW!qTcJi|@4 zieXuJ0l_~9H|Pve7mp%-y{IC$js)zkUxO$U$~a^RL@tw{b%B{}?)yJEwUgP^?Qn+U zV|yZFweutN+?L{+XJaCbPG3^PM5$yd3!~pRp^8YFjX%Mh8F^xZ$A>L}@lJrscWdzZ z$z}ww*J|Z%@cQGgQl%L)yTNdKFOOR-&PlWFwu#Ig`Gd~e>CL7|nqBG>nUhB>i@Z%= z#$IQd=hu#u$Vpm9lh2P>dyJy&2FckkEdvEa@p2n2oD6^Fvm`B*iMppv10Bglz0OHe z>|6Z|NPWm}@klPFn}|CJYU8)wN@-etPdHO_^}969S+sX-86nh8*-DyTV|lmsEJgR0 zWFp*u)TDIV#n96G_xFJ&*2voJ*i-Ssx=jf8nyjRTWmhm32rlG&28t9tjXm87-d zFS1s{5hYB}2ZM;v9{2SU?#wl}p?h-`p0JSM9@f3)t-8@wzc*LRH_M?<8tZSmXmyWh z?g(3OP-i++qUyoxeDJeWz7vonnCgme?i#j;fQR2$>_CQqgX{TLBFt6+T!dXr16wk==K_ z{sHXVAq=v8u+smWv&MbkpDZ-p8EKSkIuIEDLxdc=F&3$tr?>_i_h<9XO?Ln8C(m|# zsTvP@=~#^bMup@-b=sZ((rVa6lyC5L8h^9CBw>~$q>o?DGEC({(h8>ybc0n|a%Q>m zPE#^xv`7A@$yRRue>d5BVs48}$gsl;;A?yoQK$#rFj9DBqTh<7*LWX1pyhhj2qv;C zPlL53q|RBJbp zCQA*ozGAgiMA>{Gq}@7w?xr|W>XGo&;JHIfo3p|dwut*!9gXuZ{$9yN|GJP%!(0A; z`f`{U1<&kWucvY z@4oS5+4d76`7^>xuJ-A1(v9`~p}BQoMv1R^Y5~56vTj^53EU_nEJ{lJws-BeKwh2Z z_dbP9T;YNnrVR<*2)Aq-Lj?EWkR+#1FP0A53|k(h3)~;Gb8T?kj4r5v5= zhsbft$M^Sm+#qCab`m2+FnlOuft0+v883|B>|=m*#L0WhBrDtts64$#T}1DJw+2?W zbw#~A&i^9ot=po0!*^Y}85EF^R9d>byHgR6P(VPsL1yTX?rtfO#-U>fX^@hxL58lO z2N+<_ckOlj);jin1@q55&*!+G`?}6^aPZjp2jO}R8p)Q3J)jG-^?2sU8XAhp+PPxk_`N{?}5&2z|=52_*Ss7XX>`Iv+Knkvq#d*ry~#+ z3Ugi43kg;9fMYjZht%1kLX}e%a6MYmM#k<}tdbHIHCy4%6i?%Pb%Re4{n(3{Jr@m` zI3ySZtb>@;Gk(ILQfUeLxR4R;J5ebHlQbX3+)!8GXgn`6rt@)e>W@VKVU`wy7NW;P z6TFm2&30FOi*Gd`nxWY3sR0*U4(9r%l`tV}+Eb>9h*Y*w1*)UsWYN-Dn4r*W2hwg` zgw0Yf>F{{)b#$-+0F1{vRF%BIgiLC1LwP}V;Fds-DH^_OsF_uTYZnBS&7l(-btb58v~^di=(NYuo7fE@5ARBl z|4$hiM#yXA54BClr6>%;25@Mdx@tzN#4N=6*rWYa{O{Z$G@52FIul6$sEP!C?g~ZJVM#s56Y$U+QWmLRM5k}l8@c_kI+!6 zXYaJAr}DflwcAjc>CiRXpJCD`?eI3| z^qo6T=2hLygQA(guj!Z@G$|)4rrLgmW^6x}=aqAlw-hkH3s5Ar3^XUTtlUf|tMHfH zKa~crpR5Tb?r0NjV-Fqty?Z%y_$z@vP}kW`bh$Dp%3WgbS2$MPgKOu$v@1;3*S|6m z`Byo&QWUROWJ=XoCyX@(;Ujr^;}S@X1N03#te{cMym>3@Y9?DZce>Tl%ts-Qywis_ ztpey)H1AthG;RvIY*yopOxkV;mwt@NT+t-o+{AjVu%{$-%9G*}&5G=;dij}+siplf zRc$#jFH>#dnd!C;?VN8dORJk#i)5(o##4s3C+{f0=#yU*g zs!z=xm!dLZAoTYY$eq#8()xCXA{LOJ3x9=c9lLS@4OkC%yr0(m`=7iv);7S=H<+t; z%grLkO-C*>TP1-rZ2rMsdD-lf-A|p!rrV~aI4LoCxjM09B5c-*AMTB`F{*hYMFDxw zmOT_SC8sYlFKIC|1J*wFF9E?ZmwoZQsMig8nX71?A@ptI$EcN&ykjr~eS^LrPZ zZaFa|cXpazuYaXaK7zR*B(axVm6o<$FL*17oCw}4_s7qjpsPkZ?bn>Ai5r*oC`V^+ zco@l+`Rn5F3L$LEi}YiI`9YbZzu_U&-Gd*%s4~J1dRQl&5_DHNWJ2Qg6nhpT7l`!| z`|zJD(f3U=kzQ4QX9D-MAJ9WK0>9>Rklt=IJ2uH_O67XPJj2AgXWh+@bLU4Z z+hx{uFJ~%TJ@=8E!=CG^mVn?nMzkoY4Ly7)PStE{g(tpS%?o z64_&oB8tDZCq=ECl*Rutj2zBt*h6zQ?td_tE*dxpLF8A4x7>;nr{3)GT&8&}bJT-|l#-Y0M&Tyz9`$Sw~pB_zW$g&@C zg^4)QS3u;0Pp*%bHB`5Y!`7elcB)rj(?Fh?l$p(_4=LXraL$TLYmyF@e+dZSc=}R) z&*BQ`u+Nq@1*g@vlQ`V@;QBYZ7;?O8icX6?!W~X31C{;x z;m5IB83(Hbjc`PfgIe6fBV7L8{m3}3D^`bN=W(IK&|t~K4s&mC>nl`Gte)t-ieQp} znqeZUaU#o`%cE2Ae5trZ6kaA6Xcak#CgXwbB|)`EEaQQD9wk1C5WpD}S;nIy{I`Xs znLj1thjE!V`_6&k7==$&jo9Xd@uLdLa$Q4dcHlTj?JKv!NJx-$;%c5si;PlxS6z7 zDT#9!UjIEsz0BC?nHHBS40srvZO0h2dE0W#*2H~S*pV19muwNo{KuE*$sA%W_8~{H z*wf;-`^DQ+5m^^VPcQkd0tRMVL};)^|B(1p%URK`{L>wZ7|QK8Vbhi=W%68_W}C>I z?{6rh+vDyOO&(I*50F1&ne#X>`{LE)ZHw3RV6)+J&IjRuS*O&R*0osRJ4kc#>0Y1P zx>CY#@#H)APfCe{=x&RVNf)gqsf|e;cqej!!qjhV%`k*q{0}J(1@E{+Y2BKc5r8!+ zQT|t39_;Lmyy*Csi9jrtPlA}DS#Hos^aaGX9|gU&Y3dXu>99GJ0IEO9tP47F08C15*pfL8=@ak*PEAdon!LB zVm;q2%{%OB*1#j^5y@7xcls=ubRw!muW6}YlZXgW5ad8Rwv;9KjH%CWqds$GFy z+soW)60h{tmxE$$ikD)Hr+<8WmvdlUa=x0+0RK7SM1f1rR$DJeUbq|k$*20VBUv($ z8{SWBqEa4VQd1}B{-{!;L8<0*4n0V4#l13a%wwuJKE|JFG)Fo%=R3UmlPger{dI)L zvDtz~bnQTyLDZ;09Pw>b8S}>gM(JFO*8y00_Dd!Cz0N@7RB- z@t^vRwmw;K_!eTcD5SCP&joOL-#YWuJrp?uBgdXzF_M7F>ANX8e%fyAUq!e7_=Pdq zBrR2Ol2XjueSP6YBPn^Zi2O@5^42w$r6~v0i&& z+V;aJ&~T_eHo>)G!FfBd zpXG$iN_xpT$ly}Dt?(d|t#C_N2;dr*sVIAI#F+_5$4vr^BE8rb(0#$z4VG23W2bqn z^}-Ltn5+mqQuQ&*eEjpubIKM$0j6nJf4IcY(OrY{du1RC+QsKwKK-l5JThCAarV-6 zplN?Ms^S}Cj*=n+*q~&laS(h_-3HtSxq!R6%fw*iBU3~(mg_+%Wl28^G>MVYWv7(Z z#S)ej=@z=NB40H14#Cl><4*#zDO8XRMbAysH4}GRZ~@tL(t+kX;bccatEM=U{{deB z?aDV36DE;k>*^Q$mjC7FyaN_)%L33zus+nELZPPDWbn@jl1{ouieo*DP%EfETm~W7 znM>2-w7wLy|2|WL%T_)WHq@+Mk1b(?5vQeka#wtqjQMQwqMrK_HY%AovfR9-3OWg z*jw$o#`ZTp(;&Ch`_eu^4b9QR081r@b#o2EBK^)o71c{|FnUg4<_wlSrcj@Bln<7F z<(Bglf45=6775JVi~Xfu;WXF4wrklMJt#El{u004_X$L=leEy7feD$f$yDwz zdK{s)mrN^*d@kcHB;Yfxt>3OLF3!ge;%P&zJyolBg5BG7^2>jG5!C)a*k1B(OG17P z_EvE1WVdITEvR(QvMtarSj^LV!%uor*G$V#FEf4GMEC*lbpc!Npc4P3{&k*E(@^!$sw3j^XquhS7M%$EjC)oT%9o+lJRE;%Kcn z)@Kpm@yw%gCv3+vmk~+*^|Js2n2Z|pYq8fi32}DZN22&B5k9 z%Wa!8THpzY`jj8D^ip2M))j{jXSg0*D9%Us?cc8;rJ&wGLh6Dd^J}MZ-T*;>%Ft)x z4VAic7?e#~W>~xQ$q3=eGvaF|)7EjYcJ7xrw7uy|pOL%XJ#xt8!S)PR5{%kG&Y(Ez z#f8-Ybh$|4i!p6Fmz2h}QH|}4^s;}18Vp52dpT3$*!#x+4aR7G9?ICTHH9}fub=u_ zTn)S9|6B`oKX8Vi;y5E~z8l;(YrciIL<26Rab<;Ex1OZ`gR7U-#`}&}%wGPy{rPMC zyYJP+g@YQezhMH?{@^XKYrCRI)YQCf>MVIZyE{}^?mB_WQ~iwb(0FV9zAjHL6?ttm zVz%9^Pv;Jkh+&T2RU+!nvu(t}&~X~G&h-{KBZiXRXbx1x;ziF$>=b=BH;yv@aWRBS z(A@m5CfaBc_L6HXIs-PrgYy2T(PuA^dI=vEkP?QCZW4?kbXbp0ql?UDH?B?mO6-sd!>qe4_a+7Th_TvEjdOF0DV-#4lstp`>F+5(ltyXC zBm}Z==l1~5_a;3Fct(Y547kuk1Dfl~5?GEUR^x(n924Yj%LC0vt<+dP;Cl0e*`j5b8=ZT;@^xqv+xp{m-zS%V_QCFZmI)+bHKCT>r9o zsx%Mk87g5{UHB2f-lO;30L4vZOa4f;B_1PSAB2i&7Evj+ja^KSspN(d{}<9UW8d*h z5G43t3#UkSZ~p`g0Mlm_eMXHuP)e15+&N5Y$Svkm?(UR3Q864(herCg?nwSo_C_hd zLG)M^Uzd?-(8gEOPOLZ>n<0WX#)*6ixqlV0idF%vX;3s|e&;HAu)qTOJq$D=XyxJ8)0n?>@tyqh`Vp)HO@`n@+;8@{ zFnlIXoMpA!(ag<#xPBb&-~PMbCJDPf8ce6m&kX(+JPrD%Ku(km>fZ&n?PQN_AH2S^ zcrtOo23~8Fy_zE1o7t9;4%eaD^WA#|QM5y|w@(6yyLl0`D_hvBB`us2En~$udLX}s z$*nUIcM1fP)Ckf#Cvq};-CkC`KNk1D5)VpUm{u{y@e+Jrk)Ex%ZYAl^vGrVjnXsTA z|C;VAA&70#WRp{=7xEqYBIPtC7ACMA=9^y2EZhM|eXL3tQN34=q$l5RP%iCVoHIC^ z6T7|Gs7=M7G-rfB;20eC_cUw9`*{{MwiZ9{Q#ZEfrB?=SVY&k+sc+VX)bB5O%aqS6 z&h^%s&od8eMP=^qfthOZkivw-KI6?gxC!F;^eR9eghP_J%sV)F_Ui)bSl zW`T_H+Qxo(Qxa*xu@MN0r%jjDC}auBkd$~(_ji&9`SK=zs6%nqyAgwmak*GppM?5; zkjaXy+hgExLj?D=w9BCAw{?}!2s6|9v81D-`G0bCoPBp9-8 zUcWyY*owHh&!}efwxt%~+mK_3)jD`gaO`>ys4_%qqdv;{uO#$6zZqpGdrU>=q=FPD zM+*9R@&EQzKF?>>$=nIncu1@CU~OEGHG7)9^bivz6$?oqpm`y{)bfe3qsQds3_r zmyBRC&-b>~7FVHccvQ+NUigxf&TD`cWP?+5YSqCsVA$w?=-8gkR-F zN>6)>lrQ6k>qQ2~X&&SjB0+??Su)m6Q=FtkiUHYVUcEc@(Oh+Yi&Tg4)ppxtX#1o( zIbydnzIQm!Y(nz^QPzX_s)jBR_-tN(_FAVaN@y7OqO4wCj?WL;bzSv+aEqmWumbk9 zU>fXWg8I%Vvdu57aBbK3aR2n~jZXKQK1->68)z5Lx3OnG{d_9O280p&o?%Z~o1|!4 z9QXa@`tT-}p#fkkepXn*>i~V~q7k57r=FXYOV9@USHY99+ab+MHp4a?ief81px!6} zo=UbdSu}TtXl!p?mM{=q;687HAVcl`3wxc5~rm-Dl*%yDuEz|EVesb>bGubH^}@OX4^iP;bc@07f08X|3YBOOc$i| zk`@Z`IpO43yYz(PrUlOTUs{rW#6q5W@#?sxDx5uc{G7|RH`_fhL~&r*rPiW;S)v*EUEUw=7p2CZ z+)BB$bTXD4E^MHew=?#BKRactB0JYS!Eq-N?{}_%suTbk9*5b~uDi9YFSi#ve==@8 z?MBA`MhG~avCVi7;O}>yJNmmW(Pwuvn(s_x@m#3HrTvu&>%5b=YMeAWp6#2qw(UJK z5peg(VUANz7G2Nhc75Y|An2079OX}T#gBUJ6K7F3hy?D{#1AiyUoGzp;&w9R2*P^` ztNcx(!n{EIBIaIQZC68`e7Gm#AgH+K{-AYnt*>LQ>^ySfLp*KOp~?N4;#syPJey=5 zs}PF2vRA+|2_I#1l?C|@-Z|PfXNYTz9aSAhHS~w}$lS_@Si>h0f`LZ~SgmBM z&ev3zRZ~)O`$R&x5*kyoUW=i#X$x|bc;r!;y^8s%(vK8yX#@#U+;y#!p252lef{9j zbu$3r^9&FFfeEb_+p_2;yw-r;In1YjcC%tn@a!R2_xYZ@9s!W1gAv(l{~`TDvnceM z*`B{Mzh9xa;s|}JMj;meoGCcG3*(*y1ql9VxgzI=^PuWW^LO{VBWo6eP`}RBF&K9?nYhMztKgZ9Sa<>M;GedAcisJMLN-_ZD zhjMzPuko?MpCR{1n-#BFp#6y)xR01T=uP8-=q%GfVExl`x6yU{bBfb|U|n-E z+Sfff0bfzXZF_X7l&;vb*E?AB1RI~Y_YjQ?oEuG@XRDb}ole(h!`*UcCYW%WYw^Vz zj#?cw?q zQ1;aMh$;r1*Hqa&p0m(9-@kXD1*3J}CZCU(bdM7_2jeJks>Ge`B}f~~U<5_DpieQ{ zQ+r`DP7ZBJ0qrTg#;1$z(WJfoX47~9bSKlj=%!IyCa7Va!^`R1DA7_;wKwF+AYpo! zp=eATT_{5YzIOKI4y(rIsmBxX2AST>ucOmkQ5Tn7vNrX88Nc;B2yd^126L}^Yd3n7 zj5M8svrsMI2Gc~$zp>PTLG@1oZ_Utn>H^MW1}lhlG^tk6w)W4!_g+QYN2P617p(`8 z(uudGHJxdv))dv5>thM;8Ev1oqip*Ad8dq?S`TR~%hBoX1%{p*9L$NJ&UH9fo{ahD z@=}ebkxGN72XO;yIM+U(M?#!t0p~?}VxR7;(O_fu`;8`sIGU~B?caHil%1R|Tk;S_ zLQvl!%q7v@Q})EBdF_=^-+9K)G-3Js%`zm#(t-muT<4&ScF64Zk6-WZ`?0X~8+hgH z^4@}G;DYSqj`(42Qy+br%#7@vmc=OT4^+E*OD*6%6VsLSCrca%-AFq%m&0i%=U7Ur zS5SwZjH!$QJsqNTLO#13YO#>4d=YZuqhjw0(b;}T;xqWJ4HHl<>8u~0nvUMp zwoj8mU+*i>H>zV|XXF$`SiD`dc+HsFpO~dN%Uw_MnqljQ$8+oO&Y{Ium;xtd9ckAt zJ~+lhnIim;X$(^DDK5ATnP+7|o8fU2EHuN9alcZZ;1Kygw$+;# zN48=~eIq$w;>Rzz=;91?au6onzOnpHHTro*fn982-g;?t+b!RIWCLX*DEgcWVBL4~ z&3~7=bx&%QKD3K6$~arA8U?}yPK#;9h}*3u71z0VG_}xA)AVR^?xsLG?!;> z{X=u}E2_H~VluC)oyK5;aJNu-J+Sp&{cO!M=3c`*PYYRNlvT{^Txf*t_6vCu(Dqzo zos&1E6Vjn~Uf|mRoA8W=O;knUg=-n4_Nd1eQjLuz7ICbs9HlboY zaMZ)^awC18(JZ?dReY=xgT4#|fTAPoykYa)tG5K1mJg~Nx1T_j!X6P`A9!a1{$D}J zxGa}$3pMi-yO9A;JM@W2bkcv<*41oX6ZUUoJ0-ecb#%_lagx`%>x zJH`%2J+@ojwDjBE0`(Zp?`-7^0n>97>YZ$hBeR!#@Z8hU#qlltGE>rnHL?C+0nM-3$qfK*U-_ZlN??SDAEAZpXL~;=|ZSL~u zC3(2vDR8KYqvRyU`hU)8OI{5c8Uv2yv(<`NwxebzA5g&5W5p%SAiZzjsdoMv>(mf# z;O&rZO|@(yB2GjdbH@JrZR;+85K;52Eqqn>)T*V$DF)y6GP11SPSqT%KO5KB#e zg*03f0XwT}ytowZSjcKz&g;YQ*XO&*TN7Myc4Us57R!q`6UT48uRf_*8y=}_U)6CQ zM5hgd{_4>zNMT@-v9<%!Z*z&sv(!92beistM8sb{xcmTKXCqTiKR*DZrHwZ+p1MSH z{>?@)%Fz^YPHF8Cdkxtq&!jRIdi8j!ZWPH6I)d&L{pBV0;9>se-Ffi%#QSaB{hIAL z2$TPdjb*x`#La8FDp8GfH%W7PKkQDXmpY}+1PMWWwF!To)PR1hon$h8`R6l3E1jGZ zvjEK&U8Otry5mOq()Ay<26qwd9{#<=ke2r znuTsgS8aB`P;KBPH!Q~S(FQ1TzlcN$g{-RI+~{s>hS-UD)4AXOTz$JXH4Rq!C8o!GW|r$9(A2MbVZ5Rtq4GF@QmiG zdTfuf?LJzfa~KFgfMR>3w|DRek3Bz^eTfH!w(gM#jSe0?G2M+#&(511(61Sy>v^&K zPt|?d0xnvpw-~WuEVg24)tg06S{t!sa!eL40l#2^dw)O1K{m+-^04xg`&+=1O%TKC z#RVOGtdy0GEWmOb8zBgg=?zxiW9fY9-*1D(z#xHL$Yv$5NDmiRC{NSIcJy-rUo z>KE(pLoH)q3~@iiXt&_uhR<+D6)p2Tw1`>Z$%RR?Zd{Sg&Hv>hOSndu`xm*>{&zC+ zlJNfvP<_0!6nOY`T6TEgT6Tk?Sq2WOi=X8`ZB6ohOqGv(wfBq~uMN*G2u2SGQp|jT z-^};}vy1p^fnC?iy?9!RoMb3Q(st4zVj>Tvs!pg8BYeHWI0UtFNVrZ@6(3F%>rYiZ z>{TbtKlI%QvO_1@E9DxF-(A*7d6`Zq{K`$pRb zkdTdmULJhEa`zI;O_<~`SRg4iEV>=tW>85W2g_=W4^CT?=mt^^3o{8g~fu~3VdTxXBC>!) zY>)p*RTdOvU~?l=R|9t50wixOEx?1)bbw_K^JiIg4`!VzHj{yLBsCXRu9p$kQkS;| zbctHdY{%F^vuqXt)NC}6Cpg-0m}(cl4VN7kd3M|I;UPuMZtNC@kTEF)Er1W0WzLnMMmah{;AH#)N1ZL($J0R-hAWwz#=flFxIwQ zo}SxzEr`W-*|oA)mz&=H=U1n&p!FDS<>H}5iLThvR+8?&cb`I!;zZ99`W^jlK+FA} zUImLlwZ(<{7HaOW9nO5^r}w@#=8``Ef#JtfE;D6Zh3nKc=lkpussNV7!ln(N65jGJ zD9P?28V~g1lbPQwrECam<~O3O!#9 z!C35r&Z&s*7fx0+bhU>`HuQe_1@=Ig`%8dnI-G^p!%wmwaB&r^0$Ai&vlc6$r@hAp z@{d{!Z4ohwcpe(a!T7AbU);RV*&xhr+}^Vyw7Q)hh}CzJ%K=34O5Gli?{v|#|528w z!FO|q>vH#ReEvLL^=L@PqHFw6tMGG|+#T`w%r{EMQDzJTSVjVYi(zLkUL$@VMLeEH z9v-~4P`$@H@;*WzcktrIF=M45kN|F}ve&$s0xXpLWUycH_A* z$~$8(8yXY`hsHqLc#PR=PW9JfVd>B7hEwvF7NT4vlw}M}@wtO_o!-jaHk}jOV}L_; zr%LHMq+g=*u2dnh0|ivaLf6apq|i_vHHbbdLRLgRXaRfARfYU6Ky9OcE?2s}?LVgQ ztZTx3i=l|c@$7t^*He$*lq(GhsQclU;g-B*JjJ0{!z3Dt5qv}pT_FTZp>QGOrkw<) zN5>8_a~yZ?E12bPmy;r*Y>rp8JyOozgLclWm=_qtITS^M>_XC*WN$N%>Wz(p#H(-T z_gec3X-O*TR>0hr1<$@VDe2$2A}u89?%uJUA}y{#)DNJh%cca9L!1Hy%jW&82hqVn zL}mu%>KOK`);hBq;7t$7(IFM@d~$r@b>Wq_$Vg3k*<*KtPs4&uVw2_Ahwk101}KV0 zJ3q{`R@Vc8EZiiV#!V=g8wPYqZ#DN9svRe8{C&7&**VS1%Cq-i4snGG17F6Uh?$ZW zNyFDsRk)WmzjxGC22w~6ZbUr&>qv^P64 zQ|6KY7?gPGC+yu{h5tjyV&W7|m%c5ggw8-5dJ~2pn9s-En_9Lhn5Mfy%RT@2U*#^f zYIAOQ4*rFP#73#mSTP769RBz(wr^7y!A}ivp6c$+P1^7CV3v<=ewvce2OwGZ?)$Qy zPia8Q;jtftf%YCYRzX%D`fI*M=K1#B|#B>4IxUn*Dq?gIP3$o99n&;ZMmUdoy?9f(j z8O=Aw{!YB2E-jq< zKh)|^qo(}8(}&Ta9W^)ek1FAkIcWO7xMy;>F*SFTR=i7D7&Ed>v)+J){^M zS{(67w{dJ>j~H$O=eQCqCo3SJfJjXahXAzv3CEk9=FMVBl5%}15@qIQ2%Et%xz|=x z!7uX1V+A=cIq#k}u{Nz}D)=_@7ylQ6QsVBsD#C$oCaYB|b6xiG>M z(Ls@Fth$hvVQs2W6^=6lJ{Tjt`AtZAbACv=P?Y>z*x|K%)1lg`<{a}0H3(qjufJJl z7$o}?^SjH!@Qg;|1F-YA@Y`>(7r{uh4gdA(?BBx1_Dg-31q(m6({KM?kQ!gTjjlpj zraV5-7eI;;lV2X7knf1AgMe13nEmplk;Q?RzoUbt{VCa`#%jr=+UnNZP8p+xN0kF& zm@|fwgY1;n*-PQ;(kOjkP1d3LkDkioJjj#wIl2qQzWh3aNH;DW5j0JlpDR6ZoC&|3 z=@te(ymdIcoSnHFIGEva|KXL>WhPOJR9V(B;hvDVNoS3JskZgiyHwrUoJ0aJ@o%fN zP2qoWSMyo_hr4=tHE8fFB%?wMNq;&x74Kl}{6y2F8!x-11flLQZep0e(}DO?@I>+Z zItB|XTAB*o^wi|$!K=D<;#oXRZnW#9U63W|TRXDvStq|7n0_t(`CIxnPxLgALrVL$ zQ!-Gz#!US~RfdJ^diCyHFH-s#q6&^~>f4CJywF?%*CZqidETw>e`xt}777kLeBto7 zEkf zu;2|!BJ$P0I3x+H*Kzy>x@o^IF$1ewgPb1i{P)&|N~eGOIXqZ+I!r6=%Ygq;gLXH5 z9Clqy5$zR~;LG2^OJ_9qh%RyHab&!Prw1AHJ%kAE@QFho;rKxTW$n72O&<{UTYBPC zQmRk(B&e%$8J!qgb{x~(LdJYOGKaE2gP}NfO4a_6P{Yyj4GE(7Yw`ZlL4?IU=rsxW z(iXxSS>h%5jV%1>8AHKR>Cf{&I9JRv4J}}*E;UnL2_DxvLwdqG^AaiFKROP=ZgIaw zU0LD}7v5S_S|2AGlF5z5)tMRR$UfzPzaBU^80tFwsTbN$dn^N6`l*?A$-ez()_i^b zMS7eCNhY&wRGe`>H}r+Q5LA|IWE6O>u;%Bm$ZU}_SI0XMYCVwG2zbd+SDu*AB3)}e ziRibc(%lLQ>7IQzbh--U1HfU>m%%|7tH&EX_bqN^ zos5cZF7rDG*JM+mnoDI+$p<5*7CU%vR!N~)*lSU6!QwJ4Pm%7FRxp|ZfNzsp3Tr7u zTCAn70Pc^LBng@U2}cbNvWQ_!c_0jX&zCQYt_|ZBqpg=# z4!DH2O9gw5Fy`x_-PNU)hoV#P0UlEe(9??i@A}Y*r(r^SVr;pezLhvFW76$fh1RbQ zYz&(Z+YZ|S7-XIk%jP&y{ZEcVQ~iJ2C!We@Hv6M&uMXw}tOtp*1#DxOSyox3;a3}~_XB((Bu?!|DSlodafA;XI( zIZ9Ob%ZJ?kEwJS{S#Jq+#_}>OO&yMJ9ar#&)`*(TC8@}j_iLlLe8ir>EjSqG$Y<{; z*v>P%pIx+!(UENYrIg+`MkSal2I0ig;$(k_tQfhcmHr}iX1LS@)xXOz=g;FzhtW`l zOuPifqzL|RSf+fC)lzu|@aZD8xL%SK9n2k|3Tu8&=p_GyMh{(iJ>gQhHSp2TWgNfs z72kZQRyO5)-u*DW~9)q{3=JEN#1zDN?|+?%i1y|3;ah8%z+2VH!Y^ z21^`LJ0GV`k@Eh@O!6?*fBLsSEW99PRbO_+cNTIL0O?JU`kMD|G7Z*dI&`#@BBcqD zgo@j8Sc_R!*b7szQlaoE9)hlA+VxvZq(9gH?Jp~PEsk1 zdd9bp%inX4sB+#e27GXy4E)4x5=(Yyrn}rR=LmzU=8=LCs(7uJ?E=`&HGJFPW)jLFHal$xfY> z8Rz9LOeJvEo%FB!lUU9}!8e@^(;g|ZGi~|h);l}wViX_i8}zN5R##K(;%YhPu`d)Q zIXYqkPro0MyG>FHb_-^T(5IDMwR_9c?AT|6?H1kg9rKN&Ccl1PXACSgCqSy0v2ql2 zF+Fx{?Gy;#J*uvE^h=`G7i1eQyZU=e6Hj@UOzskWHKr)n$y^$(lNdkY^7Z1fIlYP> z&CSb?uyR3=w_v-!N0U$@oC!{lJ50;yiLHMne?LvPem>7#;3+Aj;qdY@JC|%a`8zLj z5^4VH5HBB3rt25>)S^-xbnc>fq8Hx>?GCRaHbl1_u(}feZhp7%+txmXBwuY^s^}4@0^h>CMHuR zgX&`?iC97&ZdjdomM<}A#F#U3o0p<`?%R^%kZ|k46~IYY`F$^7US=m%cbf%^zHbFZ z)6SUS(O`V$Bk$F<_tTk3hyfT4!fZb;vRGwtx%i%l^>r!;!O$#qIdy`DA7OE6uA?pJ@c=eQQ2k6drEprb^D=P~kP$>$}plx$YBk z4MG-ALjLR3q?^SL0!vZV9X#DzxqV_O?5`LM`!&elXL>Sp4;aH+e$Rxa>?Ob)Xj5dW z6$qi6x1D;-AF&&TX_@8rCcr1ZYUUd{*yd%(#1UKLatG-SB|b!+kwq|k!8`_w$gMmy_lNzUq~Ek} zXtw?Q)GOe7X`fx`d}}qzbCU1Cxljti8iyl{R+&C_ji#Km7@clW<<7k)+?We?qnI(I58 zwe5qTxFf;BLob7IB`gT00_R^re(5ac*8f?1S>kli@{kbN82Dbb+8VBUxbauJYkFsL zg7Pre_ji}1$c?%M{ejKooc_vmZPiV|rJfYrL=(J=X>MnJcMw=sI9@1Vu~Vm+e3L<( z8igbC(`!>9l@Q9ix*o+4deJ18!Eg5JR051;@e>?jH=K-+W3)DzViF(+<1Ci|f9iE8 zsHC!!ScEPEh-p&CI46rj#=3kH+s23e;nLGhL{ZbNgHX6T&T!~e+j*Sa-c%L z?Y9bYy2-+AT^0_4f$E$6o@&D}I}Fi8SUiQxIDQfWJd`$K^u5K3v^&;dl5EN)BU=*y zbkKN~#VPs-7q1W8{H8`_-dohn10Ji1wbW}2EKFIE{eFd)Ymzu0e`7>ZV4NPnD}P>zC$MY0;7(8^sI+;QQ?v%K@W$onshJHt9iD04KZHFQ2WFFLw)D#fFF>96ppf5XrmNzkpQcR*arCOH2m zN!^iE{fgx06Ffc*Zs7MuBE9Mbv}~7iwYKQEi)E9-L8K{rpMk>pJ#%I3B`8#+$noDA zU^ebQL@UlvCfi}oQL%@sb9#{F+(X|=fBDFdkqX2$k)KZ*5@OV|6M7tB#<5Uq&Z(OC z^>Sw+Crt!3fwTPI6ZkLn)t=BW-cZ>{^|Vo{RCj{}A3*{PCIox9zEW^va5P3QzI$)D z)o4vB`=h>!s?M0`bI zwfg$+ii0d(obGO;hhE&`K4_yrqAPLMQ_t^{9?3tZ-xcwXM{7&MF^P-+g&AKOI~iU+pS=C!B+TsK%H1#iYy^M`DDQ24at^V8DX#?!K^^V71G16Lbl zGp`M3@S}LWT??&6gT}S?)nQKER`o@Cn{OShX@^b%^JK=_meDg!Qx*^Vp~1OG6Xzt63CHquLoh>osvSmL0%iJ%KZl^&fy0%^d<*T}uI(`UKc zoZNmZV>$xslINi!y7@x>V8r?O#+fSHrE>WDy;)}g( zGp&K;=j0ql5Xnzhm=>Q+_#+O8>*!X@mw$xS_HPMxH8crdQ)FDTon3K_W%TgsGf|l| zUVV*Q#YA|TUc}dF%PzkySdBTgnrIf}@Va@lST8p1yCb&~npq%x<+94DxXYg=Py1t} znbcnRze|9!@nt${4Us!~yZ!BWr3DUenSW@Gq?J`MEb}=|FHrSSRo$u0)%F=li_b)s z{sk@mmIsWPmEYhp+hPcVt(~fUK7Ds^Oqp`_hP|v|&G~1MHY1@z?fus8bjx>I_y%xZo zRDwdD6+LK+qF*8nLR^mhub)`{!B31$Y`elq{blg9T0$6jj{$4$hpI*ymplj1RMF(l_b^!#GBYW$$U$GCOv3LA8Fafp(=7(LEB{J2{3 ztE~Cg4Jf%=09!bf!lAm|Lj_KIfSxI>wfYsCcZF#eDJ4Jr2VDr?$398_{7l;5&)4my zj;|}8W)@9`&rb2*7bX4uv)-j%8iys3F3LaQF>3uuC#Y}C;*6}OJ2X&ozDL+7>+|Ox zxa>>r+iUs+EIIeF;@}f|1Id2dW-=4+wbyjnxne=Qj0^PPBeKXIDfcjc8b_1D#;P!lL-(PipXe1QfMVgex6 zAlKq4c~EKqKVzb{>{UY3_6-ufg7_A$+`2%&_AG9?e?*+XH3>4-R?xeh1=Trnmx_|AWMSiL77uQh^n1|h}T)wcc`;MKU%Z5o<{nxV3 zd+CtFttiOkB$vA2_M6hOq*&ION+|I0O%{3nMC-!SCa1XUo(TuGMly zo}lJWFV>4FD(c7IW3u*)A*?v!yMx(&Kb7M~9HqvC5A`?yUvv!fB1euq>7QO%r-v*B zi5^F_?jFshI-cTW!Ef6XpRs?hZ8{POyqhHkAl*D^*!o}sN(m+|4CWM%CsPqc>?@W| z2o;a|`w%xY%x2SA8l|=CzwLge2Ycu`A{Vw=q&BR!5A6+n%;f|2ZY*k+O}7eol`Xw; zY~i;#O>pngWwdqXgT&Py)S`s#wUg<5_w7JE!eV=fp8lA|J zL30Xz@g5druzv7adwY$zNNFvgcu?j_Ir-*7>eRoWTbsRO_*33`HW-cFq|q+=_BY%k zQ5K%7AG6}sNY|vZnINsbeYt`$0iWATP(3C?fCAseNPoXTtg_yke)rXS;?*_1aus%^ znk;=qV680(AEct2mmUUHm{k6|AazkFuu(B<9hUhRHP)WIQ4#e!v*5q^KpK$-Wcrx8U zC-_l`jGpJk)sq*TjZgSqi0$(7`Rwv=U#(~A$Jl4b+JB0fiu`O;#rp{ig5-xt8*G^R z9cR*SuU@Vzl`-BFU$ho#H_dDL9j4bH&F=$tx}+t8W{&o&EREDUz}~@fe&2m9cs{g# z+YYGyIsD@}&mdF;~fA>up{ z@6V{ZFK05*JFB|r9G5R<9jY0?sN-rPb?4o58-h(hd*ne->D~y_g_Q~9`H`{py%G3T zFiXXeH*+n`)o_n)dgO59x#uVsbhbtMGcku!NSiPoXkjlLyJVVxlnO8Ue_$O@lFE(nh%39BApxESS0y{1G(CXvNn#gAx{bBdXuQde3 zn+j{_n=SVgL@`}?3;qEb2ln?|3c;AF6V@bxme&E5$5+K^`Q!nv<)lg1gTZ0iB4go0*N%F_yb%Ex&xtX=~HTd-#{}>^? z{wT)OPkXsmC>Ae_48vj$19Hv$#$+(2J+w*82wrq#Y9>w%etURMh;63_5F4uB*^*T3 z!`&%1NG>alnAB=#M3{692@F7iu<+9lFv0A>Ju7zcv@w^B37df)h#^^35d=nsG56}O zdOW{W{3f8#y1s&~4uX7x@5|}+{JbD_>tq9eBGKKR*jh)^a}|J&mo&MX+f5?U$m@x{ zp1FV0CfGq2jF3w;BqXO#mHMY|=#!#~XVWYJ5H{@DVNN$J&um z;KZv_R{V;kehH7~h%yEW1^?uV08RPa|7$6lSY0BvW12Jh2nJ31!??ATSa?5t{HM?8 z`YH6ek&8uBnfW8Xe62LTV$DYq#hxJ=lXb5oiV?Q<@`lcie*bo@g$>E}MPwho*sLk0Z(O5;T89s zCR~pPc{yH4vvU}M`3Re(xh1l8QfAV%M~YwQZVwDB2Qa%@ji^xKJRA+TIdX~g|WpC;SX`LrLqrIP* ziH{O5hKyQtJeHxApgcK#iR&u?0jG`aBPOU;Dg0t`q5$Rce==dh;&x1iQIPzTWAbci zbH|CZWU0+1uE2Cua{kN-F&yNn`j$%I&d>f6m4T^M;#4|j;x(ft_6;jkd^AL;NIhbS zzD6QqonCm7Ltua7a}r8-8a7Y6%9Vfe?um!ai*p>vt*OD5oTW2bH0z6`Z&C0P*M1~K zK`)g7x=yIAO~39nZXRH9w+WanULQRj%!#zYx!^Yba6?vlZK*ubQ+ zS#ch(u_)z}%KCG-Cqy9+v(3|VUMR)k^q5(G?%kU@J})ut=Q;-iUSxw)YGhO|xGQR3 z4L|p(Ymq1O;p3wc^EZfr@~|v4ee8IdoeCHEzvkhW=*z`!3|2-`(B;D&eN(Pf=E^;| z0e+9gAta-#Zi6a<0zWR**|U}DRS4go`KUK6K2Z&hr%O=&AI=HZzJ^KZyEE#uPExj+ zYinEc_^gdxUW=b|Oi6dj!hY|!L?Ju~#R0=+;GPw@AjeW`BaKA_$6QUT4`7K`!ulCf zOv#}0p<8{?v)_vDNQ<%8K9kA(Ws!$YtSWaS!=(`SvVss15&ycx+uEjMDIS=l$8a1T z!Ow5M*LnsXWsMoGe;XxwUGm(b(>xp`PfGM(PU?qhb<+1A-nn@(<#0EVEgtP8Ey*7Z zrF_|O|2JcwToJI-JL9W-YoEa`V8$E$K!W`%*rD{dzam9Eu$?_2^F?%Fa7OP#E#42} z_0S;?cDIPXr&6rZ1Bt4CNh6BbmDSYL7}1o#5J|mCs^M?qIyCfEX}vNx-R>F$f0VNZ z(gT#v^!?DW!293i^Grhl1~l`|WSF-tQJN{e$vW(AQ;y2U4GJ_@|ENYtkKzRei09jT z6xrSGcF$1-qaQ3XRrQITq@K)*&d>EM<;^m&yA2yF=e(4sq3474erX#zyK4{cuqkA} z2X4TO>x?x{7ukqu5{Fh~N_NU#m+Wl4w=FsdGb`@vHY*;f5fQdowqJy77v+e%8)#Im zvkv@75i1hex)}K&(PST+lP9DJNXUIA5^Tf)8<*f?hQiXHeF{paBu*HKE-G}#EPRkq z_*8dSlE5*XxfSicG58^~;hO$H6k83EzDYuvxxJe^k@$t~It75I?%7nzC?8BoyJ6>b zPbIEz|8N~owmZNAjjlKLz2EI^OEM3Xp0m5PPVonovj1DTyJ_8k%?N!4h$u{ZI)`_- zMkLfHkc($G5?eycb6$dAL3fpEhxw!G%j|BK&y|5?r#&Q9f@vM9BL&PQn#zAmQx*1l z$DYZaQk^G4wk~ACo)n^j`>lt5(@C)A7wTh0Mv5$0x_?k&()EH zry>d*qDHPp8#@i5eR&Ss9hS5bbTGQ z0qvOAu`>{~pX7zZi8j*eb;^Mn27PYa4~7;v4T*{bL*AlYF%T<(>z1ld4CjfuG%xR0 z%9@IH!B&Xb6wf&0*&lG##Hl+1Q~pr$V}87Z<`egO*p3cx|64CoFlx3eB~*9+FMmvz zqVh$+!XT%s$kX7(acl3eirn_E2CYS@hY82E9Ut}u$o&&hnglKJ=kE`@mCDdL zzM~bBlW1P=ikJAp4ul}kmJ}BUrm-RUC6Lzmi%|A;xAbn{reB3zJs-(*PHv9dlgts$ zh|5AdW48lZY#UHINa#`!iaZgI0(R%sM~EleRHtBRf*>5TH{;!0Xi&S5ty|}V zFAu?u{_rXh*=4274fkeO`YXM|g#h|)`tS51gm@MGvNn6<10g%nk03h*k8bG>ITE)z zTGF6w*$3p1N2XxC8xhr;5&!3X{ufd(gt@N}Ds-Uds4FV*5HpA&2+r*|mIk;pU%zFn zbgGm|yN*InIw6MjB?8pWG+h?Bxq>7<*LcV`?%Q0!S zqnw^RY0aW@?d?A)EFt6;=?J731Z%9?gr>pDWq3urb5rn>TO}K^=&z%JG6mL73jrUA zDy2WWH;ja_30r?kIR^WyjUO-bmOLqI4IM|3Uz1e;fHSWbB~7CCjS^l1P`0vTT851p z1IhJYGZRVd6w}TMPF{8v_?_T+^fsulYV;FmB`baXm5cVbtHFEun&3$y zWdpt!O!VHqq@#4rb;K5+aifK@cAr4jpP?&b z-x^_zstX?gL^8-VPmB%y4^tNF#IxdjqgYfug8li*Y3Y^UN&Wox-&ozNo@-8`hdvgp z40XO&HXh(Soz69_#{#fS;a%(=n#u!6ScsfxBeAO6ph8Ii7-MzyhxUpz(>6N8N zF9~`|hnyyrG!r>9=v%rsQeBiu4b+V z6HmFYyXu2YtN+GRH;hAwCP-U^BwzY%i4A0%Ebl9V=@=R+IZjjI z!UIJMI^ErM&2>jt!MUv!ss;{y^tgWqS8c|2Kf|1kW z*cy>CQp5#b%AS5us-nJg#XVf^4QShovS2Muc6 zOgs}YOP9|pzx@3wJB78v29yEKLZy^d>a)A2Qk16I?5UMtJJDitFo>Aam)>fx-M;AA`(=iUX-o8gF?~lo!ea z-7IP$n1J81Xm&x{uo+{fPjNhvppgY_pH%EvzrTOJP8w8)|DnF3{F8CgXBAQ`y|X}H z@W9gJVW-O=os?x%bel|z#i-d9E=1oPR5em0U^Ald#6$kcz2ard)Z$#gL@3tFR7ju1 zGs0%@GE$x?54``J!*EsC`U3MCnSEc8)uFN$cw*ATUO!H?%__%)!oMEKN^hmdTLZ7j zFO930KzR|BGz4$o&|Ew4&U`dCrS|nK?mk^R!Q!GJgK{dq!B3v=6d|(1vGM-*B=>4e zz+0DLX5wTF)+#fY2K#-cbZ5QF~w|nm>`UY338{wDiehpk~scuqivQ@R(h;H z)HdW^vrSLzR`(iouY@+-aM|PvI}Mxl zPGFE=KPo|URe^qq3dRNAD!o%2P!LO)V?LAH`JpKV423+)ooGr{-`^1UkO)KIZsils zQEODbKRu`+9u?0n{{e9V-Ng?2ozOP2u{9P_C2>tWf;}sIj{;7$0#mwJguLdU}ExMt8qc?;TmE@rhZdn@|CBJaI)+1p;XW57+gCDke{tV7%)7_`u^8eol81xo{ zI@lQ#TTk;lPg#DAbymK4sv4aB|J?w({~R(ID2v~Gj&l|+kLC%$VH)H9;vqJ6m-|^! z>v`kPSB!Z;P>Btl0(fweLu0RNW8BGUWy(&D=@&swX53piX?B7&&oXn|8?_~kGi z7n@9Bz6x_Bm8kS$+?A%;+eiHiqhnATg3jvl7)hp5$7b#J#6+5Cq3i~ak?cgx>IZK5 zGvn^g5I2TmW;W-RvlF#0n{Xz=97&Qmp&f?46y!&tF>SV3>8U#*d+}etQNVe1+3WHO z&r*F&N!?2R+^6+P8o83nB?7s{IwN{hrFww}C^@eKlxh7oqFuJkR8jJ^?rj2dvCftA zROu)EgBb~d!BbWZ>}k2R*U9(%j_{tV%ai)bA4az7{2h^=|4oSdDoDsQ!vI$?r$SR3@)ni2Dk2XY1Nd_0lz5bW=23^Z~=))$|k01pRo}n$<8k0_&gZ zDD2*3y6BVcYg0b`FVks>$jN;N=Q4O9k8`Y8C*25_`RG-e2B?uVs3gcC{3foyiqq}2 zgX&5YCip6E$_-(4@KQu>Ns!*YL%|}wJIr#*;+W=OrlqR4-htPR^1(O!dQ9~`QoTq= zDwj*r#x0&nb%j_Z>V;Ra<(1sjy)D1(kp00+`-4Q#}3?-*`txo2zCy(Webyv4hwkt=k$!;o9 zp`x-C-$yL+gc5Yq`zI>A@egKxU7VhWjWB#5vh4l#kaZsw3c~JhnIFg98v(Z7%Z%b8 z!?xhKVi|RtJ&9q>y!JN-a4E^l(V=F^gi0A_*~v;hBYH~;S>JTeHKD7Y*|*Y#PIaNp zM*lIs_8R>)lg1MN-$+UBq4G^$dNE{~YbQgp2``+cpCc~lvCg6mmBw?1@8S`B&fn*% zAo&*ybNy68Z)t!DXDNG5{SDVueruk-(}NzOSNB-RKQtHoC7ye;w^im_=bt%2}!VqjoWJ zH@ThHQ9|a^vziQ;0vWDjMGe~BB0~*6h>-ZlkQxTDM*CBmuI9J`Mh8D|cQeUH6;fCy zCjey5wnFiXMfQ|ZLqR}JOw=p0kU--vMW3|X<>$eY#8K#AXlFSWG7nzVQcNLsgVkBc~D<5|Kv$%hZJO+8#y>RID7g~ z#@lLgw)JZ!>5oD@du3_@Tnyhc2daD%F@VPyTW%7hA*r^`!Az_+;ohuj9AIV5ZPqHh zCHo(T+pL4iN{dQj|4DIANYjf#O{E(&+mze>tG*+~!NtoZv0__WC$ReyzoqX^Tz+NB z#C{q($0L-IDi?DmMGvR2Pf?dsy7P=~qnS@%=qG!`y_c%!D5wN~6Op}Rys}Z@-OVc0 zwK_%xe9V@rpKBt`WmMedJx}$bLAh^5@mVty0g!wgz@;r$JKnALxwil5(K6no}$&D&{HE^+yNxY54=O1KASZgtKfxUqF`TdB6SIIKS+S&rI<#`KjBnRhx?jaL|_!g^R*NSHe@f z6a_l0olP{}C0wVF%MGipT@&q@V(rcCC?Qh)&VM~WXk z8ydry$cCcEV&8-fwv!PDwxy^D>%y(PS#yXaDE^gYnk{acuQ*#YuSi)KZt}D48?V^B zcAI@SU9e#LR9oAO~5Sfb$hGbNYo`TRgxs&f@}>?;+XgOF{OlS0snbH{P>U$8- zbq{Q2?0N25ThOA_Ml z`t+5%|BIV=0@k-&m)At&AiL(*H$lKP#T&-cqEzL`Q!Zy@$oT%jL>_*k__qmQ;9_D~ zULU})d-DmGIm#tWZt}v-ya5M*=`!v)n^~k^2LNK_iAyTnzX%L%uJ15TV$*-_2!@LxIjxK2vq;$Ow(>!3b)uS~(EBAXJFaWz5qj~eO*<9W z$9{D1V|JW%9?hc~ZxNzNG40(CtP2wL-OOZ0`jL{1+X(=lp{=&OBA~4-IE61uXrGDYvIG^iN9L;jn#sA`QYnjN_m1meR0-7FJ8c* zzVGsaF^qoq#q>MZ+EoMyr0Osi%J4x%Z@o1N3VpaH5u$?I>G@e^2+jO9BhICF`%>f> z(}qqX>hi*jG#>Q@BTI3h>t=ouov(pTY&&aLZ?x9&mrUnH5qHQ{Y~Alaf2H%Sr673+ zMm>k#i0^o4O>l*HB9Yf*#z2}V{6(&D0IuCG<}PW979*!xCQ`m$9||9Uk?fPpa6_?v z8f~bDz8fv$k&lwCT7NBgN>6mlals z<9}s-%mA_@3G6Hgo*>u=cqP7+L?tQDlr6v6YEf>-W-Tvr^KpaGgTeo;&v3qm-TrV< zAjGGxfUh6s7T=H6cPe_Y)A@YyMkXxW+VIuOOoRrBz*wkm-Ue2JBEHE>mZ-FIbMUsa z3eH2cP3?>f$i$jd-Dg`>{Nmb|#p`=Mr3-H2cgd31q_xpNs5c4-elA8FcYX)rrUfj>Zn2HAFGrdJb-Oa9_iyC3NoIVHP zkaT4euB|xm!xt?w&?_>35waY7&u+@A( z6hvnQaB_1e&N^D49+hj!f7GbEY1xAb@gfPdIwoPU;P0eNE(hKA=$x5s_6zir8pg!u zob80@0fwv-DRcIFL#YVRtVf2H=CPbqca{L^Tg?gTn$+-l39Ix#CFdopz`m^gA!p5} zCypAdSNnyR@#Y+W%zS>J^I+>61vbs54-|}4PGioM?v$7}X1in#xO0}?)Cvs87RV%I zL4DBLB2vR%rm0@d5d6NK8CB8l10U%t6##?@BY7qOyYxr0`|6!E4hm)&c=XN5DIt4Y zI?7ou?6;Tn=9QCK`iiW?0(~67Y=Y7ir{{qf(>e5lJs)sQ$^GY8_O({wz^JZ(3I0 z){`j-u5pKzjZ$Sn(*xWYmFV(LtLY?fHI8|aN;2j(Z|SCZC&0v2o9_2<|RX)rY9+8ukkj{ zk8vFE7E`YIp}!25@cjztmQR-2=Weq_iOS%w6Qfs((?4Ez#meX6Q638+4n*M8+nafW&no7bb6u z#;2P|PA&%Gg*bOx(-meU2s6{0q{?UpHOd>;n;!1{_zyGMH4mf+mo9JyFmJFLSmEEK z4l4S-6y6z=bx|p_h(G>I0tmdiqAN`#=RW3C!u&WQo1>_s%-p&`v9cXs+F_92@Pu`( z?dP5vj)4gz3kKMvCd3Nj38`!Jo}m*oiy61x1n#HIoYLIn{z#kx^iwRIF&q9`KLBH- zPyU9md4l`DdJ*0XqcYFl!+J781YgvQafIDJ3`o`{A?tvfsW|$R0nGuhI4NU{^gB>v zwv<=p1n3yQ{IPiNMvRgELKfv+${W+&u^Q%)VmTZ`-&#&TPgX1-qoq1xk& zL{t>u4E(yhy6F!}KCwH(pKwmR6@O3*iDX`UIz07N+AB0|aAxm;S&$zWM@GeMch8$; z{Onq44{94A`@lXAGBkyNx0^Iyn0v(RGCu6a;zr<+dkdes7@DjNpBEKW_bwA!29vh zwv{|Z|77Ec>r<+j7hQalXh-n{W!*I=v7C0LB$BQ))MI=xg{WE5vay0}N*k%Mn4n2h zUGC|RDr}iYRvC`*l^Hp+mB~4pJ4p`C%_@~mYMbtNc_L6_kCS@$vDfp1uNP~EUh5n4 z%v-e?2NTy2tqacE>L0jB(1D?U%}UVohqfAHsrVCnIM&<4jA`^;li2GMl|zb=V!k{x zpIl>)wy@0?T9=@|0TzEwXW;F6wY*;f|?^zI_;%FFUzk{T(---Nmn#8s4!0iK0;acbpq(~?_s36hE=&qG4t@NXnJZ@+tS6DRCOi_SE!ysF z{t??he{30u6c$xLhOX6zCgcdVc!*TO*j*_sXk*n`C^8W&Vf-(vyI{Tvq4DwHJj*&H ztcRQ@YA>y)KL-oh2n+3}_e|5voDjQ&v!zYuw)%Jt_5X60wtp zd>y&Z3q)k%nL=+3H0*7xbXsHXl-S<+yiaL_u!$UN=?Zzhv>YZ>`?H`2BjW@NZw- z{aT2uf;F%#{1^GjgA<96=t`uVBK#DTnAqxl9^)5t{#3(^z#ZAX_%tl&b8Dbry0OuT z_Rtb**|uGhyt}T}y@A#>&h4w%Ak&J|<}H!d8$<+&CW#-}E#VptHiTXx4-Xch-#LKO z&ICuH0Xs-wp4HBOnSyS-tF@IBRs2zASxk&21m8czBbksNFxn}WGFg=~nHyV?0 ze9+XG8jXs^llqXoQWras*)FLgBDP7qRe#jnwJP;@XZ4MrLCsPWYKm34u4K?ZTc`cL z)|t2(XWiH7=9NvVIJcevQfu{1GZwdn{gP@k^sV`kk0r7>mS4LUSB|;cWqW8hWQ*XO za8kYUMx9ynpL25<36*g3a!9flW@j)KWd99?`wSBh=dXdg3n=5Z3RY4Vp; zK9@T*XX9LXxjo|nFxb3I(j_f(WQ!Sl26Vhmpe+JX3>xLBYl$*A6-KMGnnay5N9p=T zo&tNC^DbnV408sZ(Zi_=uJ1r0jhK>{?_$+MUro)qilfl`l>1DBK`1I{ry^MHw0ca- z_t)QA+^_hg4q<7i7c?d9e|?8e7`>}Uu1>QZ->k>T#^-)9jVKhBB=u*wv#!9A^*8w+ zuf_W7h-D}2S32)&Q2PHv*%fns0GaNM952>d|66II0f9i_47U~L?cFJaXEg?!C7I_% z%Rig6&!ZN#tFVsOpI3}CWfgh_O=woW;0Mf`LkiwaW5H;uG+^1>* z;*!)wvyvaXp~!EZMjih7A`qt$%|GgCZC3yznA3`?Jxz{k$i`?Jna^0{EGZ#}5;qqXD7sIynyDy$Ps5E|b{TT& z+wZp#IWD;H;u9EC6m3M3vi$y}^^JB(^tc4b8s)*`?c!J(vGllRPXXVNT6~j+;!6e1 z&G+46n0RLjv^~=l>rCyaGQoWw-t*bSoTmXd$2QY!V_}W;hBQsFDNR#mb8YwWMO9Mw zD%nV_NnZNR$eN(OO^2}StFh8I2*3#!*wfovGcYoDE+?K5pI#}t=f%#RUOvT!0wME! z&X~K(&gQJuXx3Sc{}zqm#W}oY;|Rpw{>df!6jR(AJf--zgNgfWD|qS(lGh8*;W+D2 zP=%bf)2tsI;dJ8q2XUOig4oW$6dCB~)Ob*@pvm*IkqnjFZbBNc^{-wkhNl~-3FOd- zkL)$<^e#9Jt*jH)8vEpM?>1zBZ{{?Xo^X9YBMmKkhkqLsquE4W2RSsV5x3i0Af>^M zh2*Q7bR?nw8QnwIRc|Lg(0~JNCRm1xAcudY;5Pd%;Dj_ZydxDY_!fdu`-F3d>yq3M zajH|IdYiu)^B}!oly85!(SQ}_+3M0SllJ!PL$|_VNdL&(6CclF2YyD zFgPg&3_oD9p0de1Ti$!9hs6aCPnCj4a?sbv)$QvkUxab#oKJ%%gOI)g{F@+oeVZy& zAcZit{&vxWJ*aoV{8o0l6+bxbeU{H|cd@X!eVC`A`&&tV364PMae8U2-r)DlY3s>} zP2rBKD`9L|dyVIefuXQVyD|=OtzT=dc;o8Q$klg^kJqC{3AO}3% zEL#A_D|YH27R246aF)i1McN;y|?Z95@eT@nYVpID2TxPKMxx_RRG6-Wqb zFN8#HsBHt?@$-(h+2kU2RYS`a^8UNt9#H%u>dK1a4t=9^$t#hTCG$3nexqz<*b0{z zAv_k#{0&FtQaCx0;O@764z;^-Qg ze_F$6^b)iPx4wJ7IA|JXT&7s4yXjNoIr7<1BshVOCBK!7C4_HB zW-oBB4fgue{OAk({!-&Rz3Z?c&A!+{?K=SYjcMG+OuUW|tf0OjnV`EndL${h)6CfP z#_YV?Wuw;PBg^}drvpC8xd6Zi@&*^8fxEHA6TB8%hR+@o7Dc`}X_I5(SJ)S2shHNm>!3o&fbb*Ub{p znAzE`zI5sGxwAg|LMp7egZRmjy^~rT{Y2@yZ;DOW`OH7F%H88^;djjjQ*qQ>y)WXW zp{Ql#=#+3r=1bMXAdwHl-b~gtb#cQpDzNzE@o=sYw0*7hS@(4)MM>KzGgCk@?h&0L zKITg~M#P=0S^g99t!gkpNN2uF$&h#7woeLsu4AB_4C;sO(k5a)NRf%l(6|p zfo*7OUEMVt(&KkPj7J^$mnhcQD2j!`*i=bhup3PIQb8nUq$YhxAc|{Uu!$WtQ8uPc z7bDSTs#eOy@YbwWqn+BrBs7@LQRn5x>3-&*`HsZCb=nB!Fpw@@_DfFh)fAe~mmse+ z?t5EWtn=6VIN8W5!uHqO{O8t;)6BW;gf6=XhBBRN#@0;P=e(pv+n>p|Nt>TQ9yh&V z-+R~_6@^zNp<2)zrpvM!b&;%~Xccevm zkl;v)IN(F1FPT?uU$L^zhTZ0aYv?48Fhsdz!Qb|o#3jr_Y8=%V5+CFh2d5JWCRSOat0Pvw#};4j0e8H6g@4tmvC zqzRQ3Pur-ZCN_+|McIvsb4;s~7QM@8exrgivf^oc=kX`BUFyoaS>H(WKmJ;=v5flV z`QaMf5xljK3es$ySMaZL6!$$LCte5LzL`Q?rtMF``iE5C_-BK^@tY`&r<028E}kyf z?XeYV4`SEJJa$Kaw?PSCl!!Sku`4TgfCrCH)6&HHrp!1ZEdRF}2deyl!jI^_*&L*D zqD{|c6ME(GTvH`dkA}!T?wUKwk*=B6FCkC-zmRA1ROLm$G{rO%DMPVWXvSEmCx{j+4gA%AO3ojIUA2eu=E@8smu)H?rLKiZlzq(i!TT6-gVGco2@+?uQ7s5jj3F|{!&c4EO)#*G8b>nS2o7#SU#T*X_BYo7R6 zhmJ`w!Tny-k<`ZYGwp<+`vgEIY;eQ}8nw>Ni;=jtb3g%IPkgLfkbVk5A*X4y2by2E zrn}O2kS`n21aSD#ux6R{k4>LI@ac!FjM?==tMocAk-_)6+Qg@bmM%&|@xL?7+VgR- z1aj5CzZ6ij^bxVQlH@6}KEM64$FRB~vC*=Jkfg}UIrc_ve-IhpMB~C@rRUvW=5=Xu z6K`=Os7%7$h`NL#-4}{_UMfi3djQQ|R-XZ*Xha9Oxsb*qn-7^-FM^YJ1L5wt0EEz0 zj{8e(_vhj}8`Q6@Ptw+92J8lHSavpMz6d3``zCBA?QQ4?W;-xY@PH-nR-H#xO2H4= zCE9P{p}Aw`qLzg&)E?1pJ!taP&Vi(3m~^ZMU7Zgx)3@^8Z^p9ePMoxV4z^NBlxn6? zk3pF+q3L64599v zK2oXe%cbeWMQ3RI*6yJklEi6EG;VA68GqD~mD(#acVE4{0ZVQwS$qL_*O_0g*=_{l zPWaA0a3d48Zgm2y(04PIZ9iWbhywR0cExW*ylSRKpWvd$MGDh19r#pHHlmTK3JlO~itC!=AOjk}6P4B8LBI2yuoTYK|N{)Qz&Igr6Yj1Jh&7GGY zb=g{u4cOufV>%xrc);#&RKF$$g-Sm_k|_lW604ttP$?pG;TDu#{?9NWPf%-d)+c8)2?D|rQ+dz`J?BA1!E>GbgFfeixZ?jeTH2vc*0_BCi02py z7S0@#d=RO#@p!$UfIMlgtw!vNTxf1`9`8ru#X!A;+_QNE5A+O104|6%=jy(uH6aTm zgfKt^I>g*c{$HnfT^W&b{j; zFNlDq<|+)(AOA(XYKcE}BZat)W3;h40r=z7dfBIGU(XkL;k<;%x^5PB$C zlHVv?#&nw#*UH&{;wBErn$~o4eXm&8upxb;QtcBF6gB-5CQcoN@991Zx4zMJ3B1XW z*uX>wasnOWSy;v(GJ-0elu0$mHK5EdN(lUY2Ke4ab)r10x4Dp`*mJ!*+<2RyVdU&-La#e1O(3Uz8BVqm6j6JqPBxl5oYmO8`%sD< zk@tv8m-r!>xuD>trCt?Md&p0w0?#&D<8%7qon)dMP(D^8^?|F)T|&&hGACR7)3|yx z)FW1JsHu&3XT_yss42B%d*uhSyYn24gqWWx;--$!-TCl#BUlz%E|XaGUSR|AL89@$ z!kp~(mz{TpZr9^n*z>^R`=EX3_VtF~zZ*k-5eryOb_Y`WUNCy-Eci}${6sq^V##?) z5xfu2DVAGKgefJQ_C%+;JNI~>tbdje`*iciuOvsxOVZky_3T^Dr&`1DF$4C!{N)50 zxjWhMM^5W8lyU*|b}h7*TqW`AU~{ZR@#fP${buA4_Wrbk=ROaA4m>Grz2mO|rS9$e z-t*k7Qihi~BPYWmJ2*X8c?drao;%s#w9LP^`$90YNy#jY|2bCzxt+*mG|J$*%+v6` zOl^hV{c8nEq~u-0Kk5%g3d+uheN9j^gB>5Vw~-u@F)9%ZkmJQ~kHQ&;OWtqTo53qq zY3h0e;lzdNVUwI;!N9Tm?3Q~pl5C6AA|E>R3SEhOe7RSRP2P|@9$~C{_EPIw7J|1nzDACV zOF%_qZqYh;CU<3W{Ww4sVd$J3cIrVLMi{Im)*4rX>l-^v=2$~8cBi4I!{RidiMN?6 zeMQ^&&iy9sT6F?sMRmbiws~hnbrUtnL=zSLdGA%nqiq)H4{nQPR&Zg-M>s?L<^+Ae zgM06Pg6MGDx-3qo?A`?E-uEOL(o)lf=4RlKl8?Izn=SWa!sMyuj_06Vstaxfird}- z!yk<@nSIpC)=xUzNW>aV4XMF_bJ8d(iU01bS_^jobyL4tJCnno3e=e?nUN}4B~Bvc zMV1P!gMCflbe?Z80F|3sq~0yrUm@6thMbS&b4%q*rSn?(aQfUn-bDA zWo2J_)gYp2T}mfJj39v>g^S{bvQm9G7Bet>l~Ota=>lQ}xpJc4Q0TYmhOOi^@<`{Z z3H=2#x)Ay+Z~H_6Cg}gwe=I|-tsqv!Le^t7a%GHT-aHJ-?0pO<^CYkNmta5&mk|X+ za1rXC(>81grc52cAEHzUj;Kn=R0c7oWz_;xgN8#(G3rzen+dVZ1>cM-C>cz`I!2TF@4HWS4>AVGlLY2AQ3`4d>$0`#+uN`r zuOWcka+~zBvya*jn$^I9#NwJvo`YvIx%C37KpbgglOw_Z9v`ecVkG`7 zPW|;7ML6{bZi)f4_!T3PF`dMY3;~h|4^B1%e3*kYhA@Iwf1 zUmP+$5v)ez)(QstQp2Ec29>qkUZVRmbEmqz2PL zaBrk~G)%a~aElc{11n)QX&r{d6(b_f6z||69y>XF;QKFBRz;!l~ z&{27`RCR@vZbPyD)X9B3cyivK!1viPeK__9+P>>5F+?x`o7`w ztFN!VTvb|BTgH?%Mi=tiL98nFY@gbjV@C8m^_)7J2@SsRqTWZ^ro|~*=#RSlD~kU_ zT)Z*{G6d?oDkP|~z2TeAKdOH81@4#^h~Q^aoas$_Q=ID<&Kcww zi^3y&qxX@4KaL76QN%JlWwF{FhHE#C_E+Z7o+Kn!D_NCMbnWR{id#r z3%skV`ZN!pux~qG?b&nG=wqh&&3P(qtI($g?U_bpc zRph6s-ixjxSSbZEqX9j=f)NE1n7#P>i*ECkJ?-Xv1rOnRB`cxn+y>WiwNI)1gdrkl zTg2f78Z4tyE#lGz%ewoc(u=N#@0k_5okD3_VktNozf>X`C`$V)O|$1gQ8365|cOnXSEaF>N#L74RxST%G~s;ps-*(IP*g-qU3&>vikTT9n619YcjUk&mPD z@atpEQ~ks`GbYoZ!Akws%)gZenlD5hnD~0B+4A=2($9?E_@9v+*6aNk<4zSVp;VvK z>i!X2{X+M=TC6l!f^W{j=n?0p)O+HWZug~>Zlg1u{llQSy^LjRlUbmeVWJ_orsQop z!t1k<%*~C@@~X=H+{YrVZiH^pXBofkQ-9ruiH=L%QiN{1vx5D{-h7c7W5pMl&xWHU zbQSj1Jk98!1Rauy&|%oWN)AF0bSTUHn+H7|0r*)WlJk7!oXg~f+DlL=p?QM(T*9Ng zI4Lg&Q{a%+)g$9}4z%#$v~%JgK0-^}e_jV6Voy5GwX8_f=Vx;4eoo?nADAPBKvDBv zd!*+8KW4w?2cV}`=D*7^{q(ZLO0{^G?<#pDgpjzYf()J`6KfnEt*n8?!I96o--o1< z>Qm?X+vwuS2EHfTIR}2(hw}O|Ol?IV`KGok&m`nekpfdY>^NO3A(JDOn^y!9^3yVb zS(+;cRt+d^^Me@2u`2!$0HKLYRb739m~1`&wrYJP$@X15@E}?zCk;U@doa-j5reQ7 zh?9_rID|=4HEpO`_p5HYen9$Ay zLf7EhA|n{@eBGxj0@AX2I3pF=u~J!%kpJcvpSufD(b8^7v>}+HN@}h;eqkms6{0ii ztY~-P#S&29S4-1X^{{Kb`>ZzlAxzsB^Wj&^C=rY>5X%p(77eiUr#x*KiVwkQL*)`_ z0tud!=utiZlBeSH_fEU-U3jL#d%J&h5e3^?bJvF3>XZ+-yx3-m;Us&qw&o`4dY*sm z+bXcKnbs8dFfri1nF>|&HTz+@+e-P1vWF@W7!rN{p_2YDI{jm^a|93-NIVVo9#}}_ zsWF?D_(gc*FrV(fJF5DarLI1Aq*Px zTTuT)1Co+y&}vFoVQ?B$VY(YsvGOaTiRy*G>GQnwmPR0_3j+&b&Sz7IqmxOeeGbj% zoYcm|>qfOaoJ-wZ9Nq0||4Z2ME69z|xmupSS=NwRr_waA{r5Nhd3dO_N7LQ?GdT`1 zQ>8T5hm;`a!0Zq(>pat@au!MA`5xv}5p@bFkw=EN&N*NU5#4?=l-`bC{d1wY!2P3w zAb@kgETTi=x6eAoa)NQp^PXHUq(Z?jN!#CDggN%6ry*-c&83FfKuAP6k6Ok8dAXN~ zy--_rmoO}7WCc`UYz+UZg2r|(C6sw1axT>sr&$v%d(>fTr{FOw_q-8DIXU#M{&_2^ zy|!6u@+VY<$m&9D_~US6zO9K%|DNLqF3@rCJaYB(A;2>txd$z|1r18f(2Wu3Tb-Ot z9}WsP{gjl`qOYgE0-St+B z#j@_j@s|%uYAC|l|9=VR)Bh!$JvaN%I}_di#F7ZPZpu*$S;Z32i1MOFN2C7OtpV$C z@RpGOA6Izr^h^7v-Q-J~1*S{qR;JmqskhY7ojUOO0EJko&=bqcck(Mj#w&usN50uj zR$mE>U%p*`+%g%_k&RihX{$gVRtmpxB_8Z2vX#i+sr#Y;3Q+gnp&&{We~}4vuG>zb zagN!vi9O#BcJXJJL}FQg)1&vNkoW!$u+^s($4fUq$5(zZjQE^AP<-ep{;aq)sx_3@ z98CBgOVOQ$Ow5%;+(MpGob!WHRFut<1r7`fr*F-V`B8SY)K7K$Bv@u8k0l z@MSbn-@)We*h_wrDs@Iebl!u9aRE@!u(7k#RN75VP@%L8TP5j^fHDK7n5#I~vpP*!8 zFZAuI!q*mW-#R^d>~IFWCva(@XHOHN^lPmGi0jVFoM(tVz`B|T({KiKQqe^t-i0AP z4k~kP#SqgILSA>bcUX9HAr-LU{_A2wZckL%;!V8?2Y)rAo9zS!zPHRwc?(sQJRwi- zgfwzHIm>!$q2>gMyVTU&&xO1FcmHe}B@2S7)R1BQpTS@}XIG=nh$3G{3OLkXD{ z(DL(;6pJ+w9`w7zI59=ipX=f8xY$Vsnc4ckkyL5 zfoVh&Qc?XCcGiHxOIqsh#DuC877CO0mVu)#3v71xee&{to4SC_o-3nTfpCz{Q@Pn_ zU4H~-U}#HQOXA;_YX;(`?BLc@-hm#NnvpKrsQ?XW{J2-V~8QlYGY7R+09od{glNt3%z34 ztwbNN`Z4P|{!K^dljFB6M26_V%AXN1eYcfWZ;z1GxbLBiWwocFr-mdyh^t^~i8+G( ziI)WcOiwKc|ny!?FvT{ z-uH14#s!u2_+~qv5xh*)D@~(by)kp6?nJqB0L?aP8r+h~rX+kAq7pjmVj0IoAyqoE zr{=tC0sXm%hsr|!7|U}l0qWbl$q=WV10C*x=-UjPASw0Zvvbw@p}W{MmAE;nb78de z@K(+Z4m&hiJm=U?E{Q=TD`a8&2c1M_EL5oqBB`a~bBzKKPN`_BX$)AVeN&t7N0o8c z`)Wqy3&5nXM+dVW8~1T5aV^;9XPT5N@N-n{A;SuHLnIpMPNSh{i#9~ZbNeltZ}o*5 z1hD z@nCH$3N<1F_t=Go{$V`NR%ja8wO&QJ!b)E%n|yL~G*0Q>$`yu#X{Xyqx5B2AFU)~` zBd50hGAv6uHo#@D=GQD9Ms(OCNEp)JsZ`O`A_@yu20|q@-LAWe)d5Y%ffF{v^EUm!9TiLBfC=CSbGFBSZ zX-i&^j=AA+`OFlrC+!N%jP`JzzW+Omlg27iJlix(q*>qMuB?U?}>i#J{|E;&-65ooRYYiSVLNatPXw( z&ic%Mh6nzmKI}nlAxz$v-7YiE+RBHIZXI%~$Nb`b)QMK8>{q~e@$z<@0`nPZxe_L}z^^zdqNLAX2X_H)2A zP$HI7vVOr{I-wz@bQMt^csshR|6)qnw1}tukAMGzLK`(HeT6%=L0GM=r=;&m;RqIl zRFWJa+QBL`b^5BIH};_<1kArvWY}v`7gkVKLxMBTU@in)0|c#v6L|5aSi_y544gKQB||mh#xdaIcZQZVj27Uv{x#@_)F&2kVA2&hQwZ zuI9#He0ZE4SUm&hi$q%s#Vm&c{xHdcbuqY$WIWEaq5{aL^(e%Aj#qV2q@$Eb(CoIV zkn?C;-(=}|<3(P&uQIAWf&pWXxkcZxF2Yl*1!?oMYa=MOtEj_gP9P-R1wfRhvvt1c zj+*a#DHc7bMeI@%{x<(9R*Z*C7o`L5o;q1?mp>f}eElsL_diF3kBBi2a)E>@P9*24 zqmt$3V37nu#E6uGXh$vqJ(FhTZL!Yi%Lb2G`e@X#=`qczJqWv$nk9@Yl{5U;YVoyY zx}54BIcvfJ=TYvvu{{BrSQ}#{^gurGq7kQYsi@S*Vzq#`;+jRzE_9@qAc;L*(TT>R zwhC!;p@e1`GEl)6B|oGhKyjjO7 z8XMAjNl)%7NluI0_rc$p@FIrQeisUUh3>SW;T-#zUt6huYE0!YQMuC<=f*kRe!e?L?G^?tdky8N%!8CZR?dK%Z7}=g>1*uA_;TIZMEN?ovnRM zNlBsg#W~R_H0dMav%PRX9s65YFmhx<+%$I{UrFgtFz0VOl@-ailFn}UG@J4D<%bp7 z!0p}zdbHdi3;472$(n~l4>pU(p+efDjYef}o~Ng^PDtNbUIU*+^7v}7M$ZcGtY3-B z3L!HcIcSMh$Y$83;q#P0gU_(HM4esz3ANOqU2_0JdP#F7ov4$nWvqtp*y^;yOUT(e z{Q9Fi>Qh681#^KuZFl8%3{<_pnQziy>l41s4i1ySbGJKpUg{8*AF5vDqAPRCvPo+h zTX;rlbur)F;Ig14(d5~FLRaoZNF#u!x3BWMgK*w?;mPXJd(#f1x@aINPm;mYxNv{E zF1OiKVtU8N?}pmX=d)-XN_w^cr;;ZHdZKgO^S{JF$*WR|0Y4*<)qz2jt1yJ$z@?7^ zym#D)nd9~>t2(Aty}Y1v^ps)UJ_j^)<2#xAc@bv0gRj6mDeidGZ}~FXf;AFI(ig^r zH$Xpy_ay?UC_7xJBH>7C@eo1z+v9OxeDNt8)U`gdM zwFHX~){+qaODsL?A*v+!Dg0b^mj6-qjk$j*jFD1s}x%p1GQ)Yo>n_lStFYb&tV=Ahze>Y2nOz|LfK(> zf!6Jpl)l)~nCp?oEDUfiG6Q@DH*qK>jNk#MCwet<${^cN*7|p2@x_*tEKhQYhA(#) z1*({6*Jn5+$h9kbFq|=i_W9(+S>z$*_T=@635LN4T3*gOzOa0mF%!a3xnqEENRkr)bkamg9Rz4wFiWr|rFAfKJqgrm6Z(z!QB3+aTdT8qG-NyQ@n z9pb9N%1J!@ESG07a3>Qen(oTKYk*1>@c|uNAjKEq`VoXoqE}@#dEZac-*%!~doy_a zZ6f3p^+>;4bh`PaU-zU8bRAjyklbSq6L;YRA+Y?h8#acOk$ryHaGUa~7#P&nm$G5Q zsW7$AXSd|8P+-QJHq-TbcgXgd+f3UH4>Zw_bzps9D;}|_fz?wB7}WhAzWXsxuWju% zS;Zm?sS}rYKa@x_h6$8rY+d)SgiPic;gfR`qn6aCh32^MvoV3zy$OMZ^$fF`u{^W0 zHjduaEZGpqUHawIFk``M)2RDr;75TC0pQ;Nxibfk0^@}fNe}+vk(6$R+i=%k1826A z2j@S8ObCrA{i(#vzm?E+kP?0Qg*w%RCCkJ;GTnN&m0|`Fbx+>L=VFFLF@D1gq!q4b zu*i9%&HDB#W6BgS7w$@Hm0a;@^B|cnZlH6z5!d_8#-B2qR?E*)c0Ouiy6(8tVc2_r zUr1UME6*wuUB~qZrf$l5a1YjJZYC>JhzLBY9M*|A!#6(+^gqMNY2->u6kpp8JX}$& zh$$pM#7wI67Si|&-ddfwt%im>5gGSbjtz2U6n>3ama%e*w!y0M%v=3;y~MVX^-Yg6 z=GuIY9Spn|X!`A(pujKEpfK;+_h*YNX3f3diEpv9NCuGog0KFR1Xd)z>f8Thi1H<4 z*=|PKht-Pv3!xr^ybu4DojLG z@>)=AhUDwXhUUH7z+Rt10odsjv|N7+SKt^tNdKL z*f?{9V95Ep+*LzSFU6~G_vgSPz*)DzPt1qTE1X{0>%ylpwERmohn?!>7!^1oucMzM zM1JBbRKKi-tt#*C^O}!6azuflV!wx&!S&QFsJPlEIw<^kQvt#r;Dk{)kY|y7Eu7pE zX1n=T;3h)w5!;IUBK(57GNEIk~4sT%AwWpejC<@gGcWz5at z+#}Ts>DG6<@sqza2p%n;XlFw@`G94_Hdy^!df$JMM*QfSDQgWBu`FoH_j$K|3@=Id zy?Ecgf7Z!*J|U(S>@*f6Y2)Y8rgheS<;`_*qJHT;jOs?n9hmj#1l!WOwi^6^|8UZ+ z@e|{^UL^Z^cP6!)-({FQGeQ$k5;i+xSLk_dkGAM*7eJR76uLhBt-hRgG(wALRlKeJ zUcL=4Ty$=Ms0=q zf|c{R8LW|C(MWs+?_Cyk@U|6(Twj)AoA%M9Bt!J_<@?aP(jTQDxLxTo)EGFtROmf8 zAvWhqaKeBO{J3i)pKpcnv?9fr3UIe$*Y{cMk`;EwTky>kwd|x0$h01ypOA9m5S)PA z`8#Ful=4xtRO=Hh5)h^JU)*9GmshgVjp;L@h8R7qyjeEI6Vk z!B|5)C?o7V&e5keG?|H{@irE+Y|I3b%MXWKd|V&bBqf`5^CR&ZBH%t&C=f7r%=d2k4g z%O3Dj#4#tMWam4|KHH*vO4={k;{E5t`Is)q%UQ6t{V?r@(4D4J{2nW4|1P7v*H;pE znbAA~O$`Na3RAv)+x~dKkvw|$tf%!x4GDk5lmK>rTbN$XDh({}ZHhqn^kjmA? zp%vpU%2pP;7XlU>b_ontq=tTkuuW|a{@u6A6L5gh<%7@DHR;l)kUdqmyTX0Qzfn~l z59FqlTfI6D>Bx#4A+C)Jvr(T@uPzFIaax4krLmh`?SMk&rRq|9v)LxIz(M@Z+$M@o zHYs-EQ-LqvcAoPvid7IOX08`X>YoL|*+POdN+rFqO=J%(Ig>Bf+~Ze+#uDc7{GFs=!UtF#RG(&pA4fm?WM!Ln;951i)X`Ej^0Pra@X1!Ka(gYn!D}NCb93C$^ z#Hg%b4?}`729h(m1>k2BJbqWJ6_N$F6q4=rKAx|&;3DRZ)yWpJ*)G$+3!e25d`kFu z{+8YnRZ{1yb$J+U8yw}S#;#s5JQID%wE}%5&;*F_mJDRz9BZE1(_8e;pB})}vs*YnDEhoPw_up^ebqqz^y89x$wz(82y#)AoI~(B zCTe5T%p!)qPr0w0Xjft~856!~b@P=@{lwJ(1!-$t6Y_h+Y8{Y!r^)(LH;}I>jj{SB z7iu7JR09Q#lI@*Ng;zh}|C(y}pu&~%b%hBpcApq+9t-UKKyScOS9?f$`CY*wCDHqy zNiz1*ex7ieygf;J57ka6{b|koTA%jTf2;pMS!)BLiyuxk11u__3w}1S{@$Rdffk+s zddA;TRjtjbZ9nB7Gw?0N9?O1NzEey8HPVtuE})d`nBBG5OT(u`$Y0=cX-L(YQix`a zCWLPui$4z~&?O2k)=bSwe?OE;8I<3{Qeyl`lce6BQ9oDu4TxF0IS(sU>3+2?*izFP zy`>3tWR`{yj+yt!HCmQO$hZzw*bNu==X$%-1cI9L#(kE<1t|S_C13Vfq%CM=szvaO zP!OiKEK1kBmqWdUIPHR3TRPr@o(?Synu1cX9ZAI<(5t6kNLt=s>{b|!y%oEnkRBX) z$CI}D_mX{NgS}V!?LB&X?~h9?U&0o4N3EF$7SyQ)7}40fUVe<>*sy40Ah7!DI6C0$ zK7P>_nZ?vQy3%>pF?MT;Psz{AXVj8T!Gn>ru90hJcdO|@0|Ej-$7)Pjs0dnI9{ zNEZM?r=hBP!~%_q;T(gNYPNT96EVacc6jw*CflA&S|Yy>9QrAlKsaOs9l4?L#V21q za)(|ze{qUU{+d|wD(9d_Pgu!c)J&rOxuw7mk*JvtNXNa%VCXaTZgtQ3Xn0=y?rOyx zv()X_1@$vFx9h2|;%XMF*Jv)7`YtJd6k^yevdmcBc0Q=)g3Lm4xZ?&m?DM(M zCoh}7wz>4O=8N;k{p#Y#muC8}(|Giz|D4QLZM!(*YnyEWE4?gxT)9H7!k0$x5>~Bv z;8A?Kgb`_0b?TpPLmQz$r{Q09<9IsOx<0yJS=rf5sc7kUt5$vlT^!L>+-Tt+Hw$(5 zgaYZx#t9J(R?Vzuvc%I?k2b0g1_j#RnBt!4t8AZjBO7nu_VC#DyQ^v}vcwI4&GI<% z{hBM0%6gwnn_*8wq|$28`C}bct?Tvod4+^ce4vPRCxJn`nJBGFI+=1vsxXb{NPWds z)p*H;`tHFbmg;P9yIA~E%K>Go!2B0%UjkDfW6=}!uRE&ByVvz4?z|U2{{>urEY6k4 z=L96Njy6s7s(dV5Xg&KG!3RMip4$IC4=q{7SO6 z1Bq!BTz~<39OeH^7 zn#s~;B~#6`3HemTT!4;?+|5)Hb>%nChl72p@R`E`cgqiIkCF7#*oaNJ+mXN8az7C33Dmb3#ockCS!m}4V3QTE6O$0f<8G^MO~0OOvOhLL-@fNf6>b~Yt1qGLTouS z;biyS+VKlJQ-UHY+c9j8p@#PM9cU75MW`i4TK(I|!d4nu=8!Xj+xO0cXn6z|L8hJ8m z`$JM(pcuXwT_~a?xAP-u>p4D*)ZJy|SEzo%yL^$?eski3VYQAQo-X4rt$lI2FzGQE z!WnefA231KvZy(o6GqqtY(E_RVTuB<;IQGmy+D5~maM?K=2IGJON>4cu6HD+1gMP$L-6$O-a537l z^A4On$OHMKEo}98U?Ajs;%+bD7XSBKox-oA75*Cz$258mGOM9^6kYIh6cQA$o4%cs z`|s87nSaN-KS!_Adh^YYr*KWHYyMQNvEU5TG40W7r(unJW?SuFU8Q-$Uoj*CUhkep zKgJ2UniL00pT~N_{jxOR_E9Gcvg%sN+05liQ6d(6Lv6J4H<*w2ji|}opnNg#tz=z| z+p%-CQM=oaV6wr0j-o_T`=u7;Ew%FCzMyD#+(j#IOQn8lar z*Hd>pK3G<(7@Xy~AL~Eau1D`O{Ojt?m1OI+Gt;77Trv9Hc;*bq; z=hjCS-NoIIci%xl9qD{VANaekXJg5wPSc|3%EN(`K@z7&W>) zc|?gVM%hKVx)}QSHw-(=qOreSsA-Fs^|d*R#6n4})nQ|>G-TFGbl;8@gB3>M8&d9${9 z{`JuDAO>qYdqC!v$jakI7shLJ2_2ZFe%#W6b;WhtT4`#%Iu!FZo+-_e|c^P)*E9R z&setpIE3*u<@FRhC^(!--Mf@gUggYYo}&P5GCp+S#j$$jl^&X=59YaCK%;UOOhuQ$ z`o-MO)HFx4-ZMTJPIcIAZ$M_X`(n8scs>1bE$b=%ElBU8H_%T5MTEP{I14zUnAg`| z!X_ssewR{vKQ<_mWLa7nHBYU+Ix8Lc8|1g>K!(YpX7&_I*!Zu$svv`wPM* z3Rh%E;kn7HAhF8B0QmRc-T2fLCwW>d6?2j1=k41jKl<+COM*L9`Y$4$g$;0=4^qZ# zW?U%C!T+8vZytF}J+z>w`acyuq+gbyKfN(!;Ey~X^|3~h5IImq5N~9Rl$#|Ti%kX5 zGV;!i#9FyC>n8K;Gq)cw(;;0SJ(vDT>{c9Am%^v;Wfv5Mznz(mm(qLmlXjQ6(oPASyyMF{HMZF@e50c41NeW}|)D;c`SL$zYjqI01nEwE+~*H*TB7N1XF}H`T3qN+sNA zA9#exV0`(5vGNX;*XvatVjP0>yaF+1z`ZD#VvAs3aD)kCMFv@trTB)takEnu4Q__J6uWnP#L!1E8E?}-t8dDa68l8 z{Pz7@nLnlD>B|S0ZNa8@(vmJ}4-0@8n#A)_oIq)i$vSS*_Gu*FPqS zwV4!Ac=9RUnA;_PVv^$1zUhKruiSra%RwmHGVjAXh)-IaVa$C#zom`e=C02V|6adY zKTSsU04GYg>rk*?y^ha_-|^bWm2R)YIrbrBrtkciLH@_MERT9gu*c?d-+n>AHeT`m zT!DRv$lK_d*Gy@zC z;=;fNc7D9Zu8sWFaA=ke4lEF0h8)(8mU#^$>`8$Eby_ckj<6X((%c?TG~e&b&$7(!RFZb4f!Y!xc_79W339NfBcjR^jlu6Tl1Ij%(#_$nqkAO6 zvz2a{osXj_{W!;rfi_qUYGoMZiN88owUbk>Ne`ENa(K4<$0e>g9-Da%V0Sh$h6^2+ zhn*Cw{VI94aM$v+r*(>FwHU3yLlWy6^E?ptS1StHjB~nl-(>z7=IDiP zo@X}I)?e$ZZhianf49(VWcc~Dkkq%=FT32o@a6nK!_gIOEQw%NR0mR zrI(#n{`=Z8@m;kwzuO9yZqQ&g5Lgn;Sik*An3!obA_`cA* zF(EVbYf!mA&^GC7ZN}`+#uld8V5hm5FAAN0S)7%+iRPvkBS`0`m#rhpOMzcoxlU`# zA8XlUmYY+wV>l-`TC#M5MpbTcBpe5hCii>{8N~yEmeyCP6BBLGVPAdn8G+6t+c_FUBS?HVbHWJ1e<{Rf$kZsVn2~6g8#+#f&~?RNZXlr^v2S*4YN(H| zM~UhL${RE>6B-i;(K8%zJ;J3MRlDVj>&O*DZEHZ7i z$-C~ZP1$JoJmdN?MBQ&90D-3slk>yq0{9sj!SSRSK;gWKPyIYF!q9@hI_+pvVU)Kg zMVG4R>obNMKwthq;QG?ZKxl#ZI(~9^0h9iwJlNnUile`B(*SB(z`#^lkt~#&1_k*t zc4dkxU0E>vOcpilUgq!>42tUgZClY3ZXJboIz`)R~;^Ex81;yR1nydlbXHZHtJ<31xWe0k&p%?1*_ z^A4vmxMETskQn$@=lr@wUY|yQ&TO=VZaQ1yd;t`>DR*)JF%g8zLGop5GuXw3u{`8PQ08Nt16 z#41GV!d9;?6x>xy)t$(`dI{4QR+ac|tS!GN*Y!o~Li58Q3Y-*edrgNKqbpi3o*Okm zo8?*s$;8jqnK%^rOAQ{Y*r>XD>~r$v@kd95Vf#naqO4Kqfy*0qZ#K{v9tWTbbeKUA zWFuSEARwS1QO37550^obWb5slmoi-xrnGz<#!xl~;_y}bXGw}+4=tL+`C;AJ!~a>E zab-Sf{VxpNT$2zcebOMG7#Nf03KXkt@|$@8US1eV}8ONQPZU${FlRd_exG12^0xWppm@58ll zsyZ5-=kk%I7#zXZ(zyg~x^F01r;}(v8e#(@#6f~x*pV{yo?PLaxEcys#WJXJ##cdU z{u1d)D}48p#|~4~xnJa0#IF+u{Myzq=~7ns>^T7Q5-T*jC6(J~>WoY}6rL7CIp#`3i5(@F zuV=#CXz5!&Pknl=qw9>5mGkTBsJgLZMv5sw(1Tvw?R`DP1&nWvkhm7~1rEAz)CaY#|Bkl(f_i0mD;!qq{@RSeey-k*1cUO7^v?IOZ zBNOaNjf?B*Z=>NYnf!WIlc}#^djopP9d^dWU%l&8w4Z>$BVL5-wCH8egWB8j$_Rwr z*v@i+G6iyJzjVB^v{b_$yQkAzPxh3v@+M=U3j+AL(Jadby~h&wp8*{4mN}K?CE3(W zZFc<>*OsQ+Bw?F48)fl-c8{O<=!ZsEL>*)?u6FoM zXPrh(KeXPGNSb>4r3rJkM8K7#e#W~O3Rt&G zjB?0zt_7fL<_9oQ>D@`S4ZcD~EF*sd)!X$8Qok@9!Gaz;$dM4i_Kws`j-Yanzhf2w zqEG`!#RzEKi_){O0E`~~sxJ(gV{Gmc8AJgwiF(H#vosue=PJ%~AF_(2ky3=cs7D`& z@%BkRh51%J{3<0CY9IJ&(W3!PoTJhVFZ@^{GNYRV_VS4YD*_Gc{qNeXTJS7WeDpWz zMx)A2J?^Yjg=@k9frmUsivNrm;~v+XT8U z8igxCwU&1_PNE#^<hFz$t;|IpidnFXjPk`B+_PsMnqPXbU&v;4+?uJ9!?{kSjO!DRhFlhbD5V0yE&)6 zIa{vI;tomin2u{s2~iggbiMz%|$Wt!kCoYCu0Y9?6{Azo`))C4C;kbFp@$p${ z_1F1ZYKbb!@Aal(HLFS^-(zn{tHNlpQ!4&Og%CIG$YD9|HBlIk+L*oug!@(i0w013 z=>V-lPuF?oD)YL37cR<^bUAC@GLlgb-;#WHkmyzlBRaNio9)6_UyMDO(9j4?YZ7|0dto9+4(d%*zS~`P zoV;(!#S)c|GCe;|rKgsg7M<3rO7PWIrL7Dd-{>7)99br-SCr>ZcUhOZ=a|lJmut=j zU)b9(yX1G>Im>XFw6&#ke|V?X`@{2}zbT)dnp!ukD?O;Y_?`V#b`w>rmSoL4gAPSj z=Mt5irI=Dw?B{Z?(xrlypSSc2W!CJXWbp!LXbIU>To1*CU7vBISMt-@6z06tbV9vV zV*j@b8jr)oFX&E1KoQIsknsBS>_JU|^D1YSb`D@mGSLqWbn3A~F0%(}b5B7*d_zfa zRfFp|QE>xRx^WN08`GoGpfjHsBb6%p(I(2!E;`O|e|6*nx{aAnqU&%;kI-6;i^{=c379XiM@2 zw`Y_joP0)RQ>EiI2V*!c6}t8e5|6!d4%rTF6RM$k|^;YpLPO zm$7CVEi@X5j13?h4O4( zYgZ3ajdQ7RZa&u_-6lH#m+{fG&v0D1edh1y_3k84Th9q22_+;T*ha;~o5_+EdH4RX zIv4*TPGojevLUF&rwnpUwfdQ-)9|Xp(Ea@wS9|_AzeH`XuDeZ_@fQAwAN>8-E48Sx zn|o_S!c-;2{;~txzSn~4WUd68ZA&F)E{_O9fxdNGu7o?5_=1^$gbQvMveChG6#UCN z?Ei4})^AM)Zu>ta9V((AE!`yz1EfSsK|xv?q`Sr_1*99K1|lGm(j8J`#0aHfLl_+c zMr_n)@8>y=@B4VZ`(gjVec#u0o#**FDOhlj=h7nZoH=dA*6!#}aEA8RKY6NQBzJPq zSu7?Yqe9sd>fQ5(`!Zv6>oXgUk*nTiQjg188F%2+(AUkQKKAocw*fFnwoM4Sqf(@u z3ZS;AD$8D7AJgdFI4ADoY!=Rh4V&GUa)!>jreWeLk#YNTFV98w zg}1BGotyPl-u75u@1SU3?-`uENjsBq$J~S+?m>%LD}p#KsRMFD-$Pq&^iJqP3~IVh z$lIRz5RVkv#wj|KTjjhrd_w>8`7wUzZrdYjx-d3(_zE$GA1iVo>1>D^)( zHs=4Nl%%Q=(x`iZ%nH#(R8lvjq-(Mo?*reVeJJ0f6cSwM4-xT1A1`5I8(#nDVPZPJ z7}Wm*c1Gk7dJwbrGcke@SFi;;oS(Q(dq;LiEx}h|c#<5Rh{HHP=;wnipqP4Wt}$DR zky|n{)>TN^JSU=PR6fWSh*a~u>{@b4C}mTj*;rg$aT$M^|;O zy*(l{>A%Rr7z*Jps3m&(FZPpHUZOqap{x5-y|0MJj#8d&2Z_gCm-PBKjX`$~5(Ghwqs3XY)TmET_2@YL zIIx6fOkkB~mBh^KHHn5vsJ=*bmXWYWU|UzuoKdTWH{Ij~mK@2rvnC0ZN8CecZ4h67 zx?96X5na-D5?kU^cSgfg<%iNA4UcdS!+Spm^vSO&W!$s!>Le>vWk9@U4ZNli?vVvT zPe*+_lg?k)y0G@7$H0^#Uv`;-*MHUYKrXhsd!Jaq3xLg1ftPpgj6l>5Vg`C_tGtsE zzqPpOY9gGiBWIb-Yt?;O@9JIgVraBs#D1Z^QgDoGju#}n{rI0F40ksw>v~(i^Zp6& zlCNUAtrpn){0;Vi4&wlRnJxLu4`j}--?^mh{?;TRzl-1Haof;#txNsuRlA;Zxwe0~ zE?n`@#nHFI=A|wTE{)gb{G%MtKLKiPrfz&;?`bjO(^Cg8d{Lt){KW<-8*{uC;%`TK z8U|9x6M1AROpyc}e2w$|!oeXdid4KX&qf7MiTQ204yc3`H;I}p1^7+uajH6$ZrRHs zrykBhiactvBsA+sQqvc{&!Js7!}jvqS9?qiy3$qPaFp8nd$X`*XhLWBoglnzSqhGL zhmw`T1Wr_x%f!w{=WYt%%73btZVX?@mgbL(1+p@#Z$A2|K*rLKE&SjGH~EyuK1ni3 zF-bF4p>aIL*jkWw5(-2Uqp4=`n-6J@r?e;a-_u_5RF(`Q6yg=o$5SEm%Br*8xgm`b zygR7l{>}W~o2*HkuK8;tQFaG-cz0+mHI=tcpFo>?^8Za8XZ%-xeD&&8+QCxG=m~Pv z9@nc>@4I7g#e(zy7JT^pdogp=KJjD|j7`k=Wk*S7^Bu_a`BzI`;hj6bkGRm{ zuOB&gko=v;qp+L0G5yK&2GWWAfbAReCIW3=#aD{+U`HLq8V<=7~(huMGTCm)-6 zMlQ{3UYTO0GCKAbZCWArElX!r^j)*7nLwW7SQ=d@$>>S967*zbCh{U=>X`{3_?zI7 zgmTth5iG0_bc<=#s=w|~slSfzuD?D#vd7Hb*kcf>^}t;nB-yAPX7^n^5D7i)v>A-U zGuk@jt}@FyJMBPaTF-P1??&slHq9Gm|s z(|Qu`Y@toC=A*@h?@Yn7O~HT3Ofy?{oM=vwg$X$WTh2bnkbWz=r^cV_*oInBK? zz4T1N=#dkVFw)&f2RKdEUt1nXF6Pv(588CHo*Ol7Kgh822+Vn-DU#g=r_TNhKhOSa zJ2XmOx)3K>X?OOq+GEfFM+r=%G-)?$7sh?#vkKE`vf~>q{q=&!W!XxiVdB*z^dgrF&^FXnOtQa4Csl1U53?Q{48skW%ZhOT5I&)bAVwtuUK~9 z4%o4s(2xY!kzRcy%2^OHg^1a~%~U2iyeXIn)*-f?L_x#lC!}`5*DQto#l8@({mOZ| zgK#{kYT@tGOfXxr?0&d1!Tv9VO@Mk*PF30AYon;-#LQ5G#mF&myZg@rE3ZjBSjtx- z{ojtd+`SFZ@8S&lUl9#=UvzvgQ6U?$Arl^H7HV5N+EJOwCW9 z%)foBczgFdFb{*}O`rkp#+q3tN-C@^0vm7HcyT$h_K!OogSA#!AZ-$8yM>G0QrciW zF^he@7Lw;kLBTc-`tFhnHvB`uv{44(qa@%FuGvqMQi{62G5cvm#qyF85lGWX7M|U= zguC|Fr1F#W{H-5}-;WxWWg)*i4HE7oXV?v-xzjwgI_WbT*&E$u)g-Z>dR*8KPcc;0 z;Ik^EZ5$urn=_g_r@*ft$1R_Ml?0)h?i@Xd4(viZvfer z?H->wf31v8%#xIFgo+O`^x$5d?YrOa8Jrh3s+Xbjcm=u-d0aqD>;jGgZW-K_vsG_b z+$sc3x?c`@>(d$&d_8AF^qleL;_aTEgRKC2^9M#|6AKvaYC*z?_f#*k%;i}qyhm@cI%3WhG=6Ar&$- z%^mr5MC&oV2{|lEzr9Egt$>yeROqDd%0(z%@VTnlnTYLG-ql-|u-IJ3??GMdk^(GdHT3)4S zZgWH@7ZDFjIt{T_AhjIuh*#Fmo_sU)Wh&%A${ppmjJt=(Zg8OMWWS4(GSjvlx!xZm z8H!frs&ny-=LU&YdCbFxl70t@(!5V*r%3G^d*=j|m^I+YN)W|Hd63ZZ0-En{KDu=p zdqC}FvubXke>HgRD2#jVo`%cT*v3_LH4GM%4Nq%8B^2pR;y`hNIO>5(oR0lUU@bvC zn)~xNa$K=s(!KBN8A(#fb$r$EMgW3#KDSO*{WKY;w<}T3TTXR75i}7FE$0_`+WZ~| z6Bg{^7EX0O&HfM2`4_S*tBS!izSvhk3DOhHe6V^H-LC4H08y66CeX$*E6cK1yjGa1P#QC2^M07JKcv9@U0Kl1Ery+IbpVOEZJ3IFc2qcZ+Z!ifdSS=+xJ1|_^KsPEm9HWqPXqp??; zqoo3MH43@R;_+tR8f5KJfI;w`2A4d6VQe{KhQD3$Yk#|8H-11Gi zgNzgWx)C>uBTWl_;<#sZgt+jrR-kfZiZzrUgw*3 zbkOVU=7p)>O>h!TitekM6K6kmx$Cdqmxm{(9gLcwfYVt;!b{dp2vgBSoh9}K#{E!z zUGrip5tn{qMrcHZ9JchG6?8h&O>ZB4&BzLH%owZvh>;Z6T3p=W^s`5*c8+3eY&ZJm z;~FTF9xbPh5lB#8(YJtY+E{5<~rB0t=lpkEXck$n6RK!~eIqB5j3 zRB)uFiLyfbNXaai|C43Zp@)A1uj_o8O4_1-FII@l_=Y>FaPg$?f09q=y7fVcZT!3L z^T|&m<^KXodXq_|5UCrehe3HJBp@`)!?1}ad}pk3Dk7AH^pavm>jOT;2t%f%oNU)< z4L!-XvV-|HlquEp+zXg z=MhK~{6h-WA#i7f%iVf1Gn>N$v#O3v0j=1O$AcXTxUJU!>1veO!XRNUwGcz8Q(J%k zdMpksI{-@&i!ukMPS9o+w=5g0S-KyWJ}&@G>IEHSvP}mi=A^&DVVyUAGPUVUSmtuS zdkt=8Z)nEHHO1-Hp8VZ4SSV!oQSKjGWI+J047Zi)Ayb1##?My_zC~Fj_50M1SC6|+ zYzGvI1>|Heu7>+#nVG_BPRP3dKB;N?wwLJcNOVWDNKEba3#$;TAPP$)`fV$ z2a*~Y;NK@HOEPg-WQmpM4+1PPE~=u*YHbk?`X@gep3I_(_gyw3@N!!bJx4Q*ro|?W z#?L&^(|1LAjmClvrdR$eK-c_Nfc{i?y@W&iE;Km)$B!$N-=G+ecg}kEZpckhs30pp z<8V}O1a0BSdHe?h57TD9ySvx=$&L7m8cVO|yKN!E^i5*T{9_0Qt^myxA(||~)6V3t zdG089*}oGpUr~o^KKdCxp^6~_c>2&v3%y5~IN|y+gupVFncDXFp+sA6lt}!&=#ynf z4V#mbskHW<$=ov&BFlR;5pARt{FM49!p3D9VE40d@6 zy_WktzIsf2#k9c{4a`=&zb-UV2++^w@rE^BoruglE6MOVH6-q#UoX*6TvW&iQfZn- zGJ7?;N_Coi;Q7vqmA^97GcIMxp8WhvbsFSzPI=(f_(aayc%XQe%Qc-S@ZScG*sPZ` z`LH0{yN)BzmJ98Lb~MjyR?v(YD!zE}1y3W=Ym8ymN5(;~@#zYbNlPRn%hk$8IuM6t z?xPK!T#Xv0Uc7F|Q=+%p#6R%%e^Xja@xjLZij0x7@fTom}YeM{5NwsmMzE{K_xrQk!sx3pv8_QyYf$APY@q8~Zq1v;U#E zY==v2{>_o~e_EP>q52=exy`Y78G5*A*f&d+nDSo@m6z2`U- zhA-RUnVDZ8XEh-_+j&L3YyJk~9z@3*b8?w@5|&E~)Jx26NZ%s9n~849L+*=wQ3(}3 z8Zq?tKb9a| zhBRcQ>?2-wPFx&xY_u4b!!GgwJ6Df!{ulG6H^UlRk8fC6r$3B5#bx`q25aMvwvY?o zJZnT@=VhYCIp9-cA{p&DV&9}c72u3@lr!V$hl%MApqa;E)HhQKs6C{`d?QDWW4bRu z&p>X5Cj72g-pz&uJSD|47w~c2Usm4djc6FA0JA^tVbc9(gSRwZ=e@KR%h7Gdu@lpp zS8fdWw>CoPyqhEMQ7?N+L_g(wo`#EI-IvXp1hoO31b}yPb+X=*cqj3y)hm_-nPebd z{REz$3hKlkTXy zFtv3&?uKK%8Wprr@lS=2V6%iaf}BZ56HLmxR@6>`DNRW+M6~s@)K%7N5>utDaVur^ z81yVeIG3NecFzbq`ELw7s2rxoD}}FN!YyccK5sI~0}2=wL8Hw~0xTwSE8+-&%sxKZWqNVW zHk0B8`aLw(&9=wK2=`PdMPO{Orhwz$) z`Z^n+tQ07!AZ=}R;zxNCEw%K}mpR2;s^S^lbvZaM>6)K#4xHuBuiaznqmjh|C_l;- zPD^5XU_uA==V>|XsCv)ijgvn=ho#eLJ%hVGp!m)t>XYo6UvEB9k)NIUlY@zT<4wv$ zg`T%j*5Tc|Zi|abVCQzia}!^qToH_JyAXg+X?&GEr2Gd{L50u96>iz=9N+G)gbtCY z9F8i#ZL?3r*pXbE7|YBo?D=-o`}9N7v`}!3C^)U!*4LfeZ1~eU^zuZ>&H7+-R$z=| zlS1bDwstcjy)6Tlld4pD#u92H>^gm1rVlYhF}PfGh=kCoEeVJCuGGZm_>oAx`l}-O z)??JQW!u|K+?%W`BJ}wT#hN5a3MmgEaR>V1h*M8U`g1*-g<~ z8kGIDH6@Sv5~CFn&Q`573}nj$?Z5fPc&%r2YJm|#dP2fa^LjmaykAS6z;_;I)Sj_N zkwR1*Rc^oa+7;TF z0DS&4W#>;A>_ZAsZ?>xrHc*6U2Vb#Sq3xySWatsU1Y+S*z>72@tWFU3tnQmg!_vwx;J@{+Rr9|jQ3A4eF!y4Kr=z4x(RHdGlIoE!C4;ZqQn~&#eV&% z;L*YasD3RyJE-sCb+e_(-m6pO3iuJkZL^JefSToUOdj>M1Go$PG?74M8|YlBO~{~i zrTWzwtd~VFX#(a+FC{WCGGt3hYl8ZbL#EN>7rahggTar|@#9H2m$LO!JqFl_?=*`f zrV;Zy4dU`^321ZBE4iP`EM3UPeJz7hxj*Cov&$XfL8^N?j>_ErLx<-0zuTK&%C{$4 zyy5weVpZ^M1;XEaO*E&R0^R?nO`k3+bYFc*Z&zjQ-8}|%R(s~pFyoCDTuEqNK5vTa zQ+c2sp&Fl~1WQS!wi%6k6GHuwr-V$*rE8YaZE-!{((Q;EJ&rb=MSHdXyyQN3eEyZv z+ceN=+ImA!{Da8uMXiB2Z5F9-73qeh^JAL$B%-k;MfH725e2kAL^oGwuq;89M`qJ@ zo`%ln$e3xwdYM73duYXlJ6(nGAytKO^S3`&MfHCwYhh2NQi3xpcYQ+7Ph1R+GV2X$ z$My`4n#gh7X6@|k;8N(lo}Rbdc!fO|5m^?-yOPd=XHTWmf~gwEg3l%h{hoiMzn<{; z^X_)Mi0bI$>Y`ud^^q|-H00ghnrsl`M*zyXztZ#~@91UMQ^_VKH;IEX*B)WkODcuk zG7H^2qF#x;+UbbfX&UTX@=3WLV7Qa&xG6rsEg(qg_0wqAc{V zCtAeBbQg!6-WBM|>%J-kzAw*uK3fm}`S*2UU~TuKu&%7m_t?-1G<0uT*?D^VAfOcg z`S(t&-*@-wj|M$n63*8PADnMzj4)1LUgkADJD7`Y%mZ_>QhgOlT=aj}J}15$eAWai z4eO`j*DCR*`+hEf+&G)|7+tTOOZi8)2Z^^AaJHc9Z2uTzJ0AEk?Az6dgp;-H&l~T? zpO?*lDvdab-j&qd0bfLAZ2K2`uLesOG5A*1Am0TF&?|+@?rxGdZqQzvTIVsS0xIT| zM`MM-V)o0<;PHjJWcTad+HbxkN{3ysAggansIunb=qxSS%*wsxIjQVwHr=O2S({yq zFAv!LHooW=rd94*+DEfpl11w?dC9b88cGJi7nnY=+H7n*GN5$7TY@cQ_`sGDJuZ|& z023hq1>_dJ&WaBL{-YcwFcrxrAHFsyx7)paoLMTs&6fVU z#b9A)FaKwSR775Uzo zAouh9kjM3ZzXK~;bhxDqFw1q`bQSvYPbE{@{Tc-`i>VfUQ_Bb)M`Ce-b*-1SM8;CnM|xdazZ``{j-7O4(R;afJ( z;NAxf2JlzSZ6or@OkR5*^F^QD&QF4DSEx|TJcjxHz}1%sS-nQoYrQIem(bb6s;#vz zRYw*!s+ng>m;T}*t+Sf?%2vuAsN*TxNs&p${pb2LtcCHGk)VGMgDI{V6^HUwRDtOH zlde%lkM;AU?N1H-dd=G=L=EyQ6gYxHwB=@bhHuON_5$JldtCm%Y9RiDl@4Qv@m#K( ztBY(vC>9a`59PVRp2g$Z+KeWxtWn`0@t14y9$_iOir7~efA}clK~MH$Fgg3@ zPFly)jpUsy_dMKqOrC$vAKbuesO=q#*?b(tx`P>?z*mm~%{4bE;x+z)t7trFuO^(caH9ul5SxTQxR_mSqO z8_7`Hz`-;BJUTxg3O4Dt&Q_y9lOgxzSiSif8HI#!)kvGVOt058Ng21+ioy}e7V%Qn zj`m>6f+x&5051U!f3fo}Qs2fKDL458q^G?5Nu>KSraGa&8b>jqSW_aXtdI{Gkk8<%X{qqOTs=hBohQ78Ob#^!FYc7Fz zjuWPU3lnS~Xqj9oQoFPQ8u+ABy{-KD~j|myDdva{0&m}G0Wda3R7=1+7vWql^sCZ|>xGGp?;GVmK6J#rO2R26od{X&6 z^V;JM&?6#)e^unDnw8@427b@3$l1IuXa5S(ZC#9i--WpI?Ry4!gggVvOCD3KZiXqo zD#yCtvE6iIjIe&Y+&8(6X&|8`tsecJ6c8ctw)|ZedT&}4D|z)EE9vw=*>KSL3C~UU zcD2k+DLkcb+5^S5BmMfAgql*Nhh|zI?^Y$KdQrP)h*hgcin$b?ZFR5bMurn|71g{p z11q(1xv73LTEqhA^SHD|DY?bYNKG4^q-2Xb*zM-$TuFz`jL*hk|H-8 zwM~iV(FfmY0JT>flV`EWwT6p1eCAvyMy2U?rK$7Ne18?%?itv50U;As*=k#JSa|wK zU>v9EHU9O^ZKC$%%LYwp4k>#P^TV0Hi6gF9v08bsu#^Bfkcj;fNTewkon8p1N{LWH zXZC?X+=NA9et%rJZ+2Zm$Ca}1y$bA=!L=J#)+HM=3@)YXX|&VUmfz63O4{8ne|3qU zJF^qYIp0+MBBsf}C&WU-yWt}(sv577wFFAXf7?!bmqIjM)D~;*?e>$IQwr1UkUv4ve`KpVWni5dV^(aDmd&)=&1JA_y zn%w~^#>UisVl{vEc3Uu0(mAwJG>ue^$UT6Hth*rJ-3vSxRP}U>H@(X)(!yF0|F+z9 zQ^d{oHUi#Mj=rjM6HR99z*K?HawvrCUuO%8d!uQ@c|k?EII`N|txRp#+;Yk%sz(3{ zSRCp_U@|Vb#77qEC=$JtF5^m<$9|ovm|Z1VPh3ygO$eLnMA%xXpL;_DuKf4stfQL3 ziSy6}t3sr!5;Z1p>)jtZq@R>=w_lS2~#x+s|s63c_%rI6QUPV?!c<#6Xh>3ZYoI0NIWvuxIu!-LS zQv6o5M{_=8{`w~vHN{Svt;%G%efEN_BSrC*VN$Mh=CzR)%O;nui_FU zeZEf=$vvjrc<5&1W_L1@?a@dz>0jS7+#cRlof*>^2$jZf;&I|QTL_dDk=YLrU4dOgAY%jE9jxkp=suv+UNGWanig!QM z{p8QRaL=eLTzlh7_G*IqjPO?ldCrxknZwq8e)e@mxy zh7UaH6L2diRsaWMlFS1Xz_+Sqh0@0P#A~_X&1$F16a2}&5j9=tuJ4M?fGP3D34DI- zsfx?}^D`QQE^VtIUHhFYuh7yP;~ON&Aj5P=3+4WOsM`iz5qb~a97TC)zEgd3py=G~ zYZ5q5z}JyzDP$EedoFqVnz+$j;6_qOhLHH9q7qObl!P)3HZ+k*?Q=1zxvWu9-+1;h zHR<@v$w=?Qi5pH0>G=g+J73K9$XIR6?q;;0V+!RESI1qNG$&3M47TuHn4J(##BM#a z_3tklUk9_b^s2CkJ0M=u$TVwAwY`uXua4#uo=Q zN%z@~g?M2^t#d*&RP0Z>x>x%p>%<5oJkg&_s4ZDkxl|-xT*qO6e<5fd3 z#k5%dlLwd=ues1KvNeqw0zOKc#i#%wtiCqa)*#a}Tsga~rd8@p;{%*vS!w|>8Hzt6 z@h75)Rx4EaSyWGOLxagqOMbXVik$#8VPeF?e3@`of7`EwxA;m3pAQdQ;vjCT{7Wez z^9nMEzJsmEt4(PSV)0ln|8U`0_n$t=i1(YEp=UG*B;wiZhsUH^RzQ;~H%=#dR;ldw zKJyXxt6F;T=SEgVdC|B27o%57og$gt6`X@l>C(qU9H=*0S|e)_j`a&eoqrnXVPjJrYaCk)VH#x}iBCRN&1G`_D>l z-FprVUR&zE`Hn0PMUGR$wPh_t{_L$91FkguT09jagrsp(Saok9MTiLDyBb)5yfHPC zOc-hrF2?79oAv$=7ph0f>lG#S0R8hfac@4ou_2p~0bYB?W-1&reSSQ+tVgdF1jMtn_2%@d1pByai=MA(y=AypY?W=j1$b z+{6SD?>}AUI}A97(HmWx+4YtKr3S`xenRD-GCaifvT@TBWlD?$M6}hog3<(fUJU){ zg2D&FOxkB{gNO4KhV@62?ElML&VKp($4Hv!KOU`|7T&;SmuyZ!3qG>-vRA(mVril; zt+xZU=%xAyZ@`Yd$?F76AO3J^HY2oDL%qO`G=y8LylTkEM zl{ojSY2`G$e%S1u{;5Eo%X;brfkC0#T$XG?0S3GPrViwO>V!Rn zA&-8r&KLW=*$l+W8(oQ+K8$t(gZ^|MD?Kl=@_FoJk=G>bc2yje=J^3P3G_`D6-?S= z&9&xHO0G1|*afrplAK`HqrV7S6&mMu zP4$QtO5?)yZ+AbS-I%NjsyBA7Hyd3F`%ufYoX580e~?wdNHh9GK<{Oxde5tw&yL7` zb;r`v=^1fXw{KO;-TLPTdMQy?0i%UCA@(ijd%bD)-cHw#7Ub+A6Bq%;atUy@anK@n zsD?=Tppsr{=&L(bi7n_V6(eD;@~GnC(Kg_O@uptPf}70NZ$C%+e>u)7EGI7{G?O#7 zH?#W#6K`a5VrKlPT^Ap2m^2wZ7jAz}gQmDHs%%Veqc1D9uBBxG{aK{-& zcpDMvT>n33`$JyaCP z-Jcw+cVG58xtCfBjR?wER~mMXoiPdmc=jm=)$gSnC57n8(no>!&%dv&r{FBt;4ONu zprnXN#o@Y~X&)&AvTy6Mj3M+}IE@%h#2lDpotgM|d&?E^?}wT)*^R?`Caw9}%?@(f6WGn`w3GB1@m%E5`qzJ!rOoQJhuD^Vp^)XQ(`BI}z(vDQ&s_NUE(? zJtN?kLl>vc8^G@lC9mM#a)c~OC=ef}d&rv{ZcGm&?He%(hm%B~I8Rd|9T6mq}Ffz?JWgs8S9Y1PWJim@!kkjGZA1)j8++%ao8X}S%)?E#cZF;01jscpfuRl zi(Y^%(6g3lzQZibT`$GsaYcSXz2RcArGRZ%eyKQ4me#P_a36%1T#{TIi7~Xlu-}<% z(&W;!ccI8^7=L6ca{I2^Jm^K4n_N|WVDgBaorDh|<_ptGZGFfNLW_GeFB$&qz<~>r z^RB~wbYjKZ3C<#0=h3q7P=LT5cK~)JO5)GsB}r{Hd*0{OX;@be9zoi|%9%E}*6)R8 zZMWmBfKP=$zc>@#e(h`hrDt6znspd9n~c*AB_@}gYV0*Pzc)vnJz5_%P4b_ZYhpXt z2w2=%g#0HrT_s|YEEZtig`UB`Gh=+zNG1QII-_7I09w4Vq}D9_(4_L(W#(A8?4X`*@H9y=YypS@ynh3(z{xJ4$0} zS>}>nEi^m)<9PT#OlIY!v@4^}oo&cN$D^#yzo*awp`(ob#D-C>cfw-w52ciu&~>9Z zr+imDM2>hK#L~oT*CPk-L_)D?vI^dp-2R;TJi5l-)Su`G$`#xVAxq-53zok!-RC>{ zs1Jf0^tXLu3EBK><5cdmvWpI9u06>stM2g#g$D_JK^ z(9>a2D$>Wh~@*JmM;3MlOOpQ;=*qpuHKkS!!fOQuTbCd0TXL~^+ZS{astH(=i zkW6wprcpmqC12=~r?kPtmtz;gAjxwk+c@u=lyxKVI zaL?@UUf~Q_3C!CtVoAT6kmtJ4mDWBqpVL0v^T=2Z*uJ~i$XpKCN?mSQ=5MS0yCqvo z0q}Tj0;Iqkl6#&Y4O=yP1W-HOX15)Lw_cV1426;KA7?3ZYn$(w7V)UQxmB>6_2YR1+B|B=uYDiuqtrqT$^W6JHiK^X+_mCK;>1iwsRa?Dn zO;&hYm$U4T%=C7dU@|?o@Xk&ebZc2=YgqwCE#Ki1;AMZar#%+|&OKV+_k>eh{hlRi z)?^uEnn6MXHZsj>TVJ?$2pcQ+hxoDJ)f^fy=bLb?w7xjoY1~Z`WZ6X7~UE4(s#?oiI z^kaRT;I#&mFa?Z9RwteBel9X~&up2E;iE(2MJ^+IBFta#Cw z?rLi12nw6dtph|Br~BrM=GIR_8Op>Iz|%`W9}xr)d3B95+Y-)O2;C1?_TH#yj_M6M ze}a>%!`zce<;7IOTAp_e0$*G!D=AHJ6C9*!GCdF9=bi+!(VZu^R)5iV?Wt1V7X?IG zHzrSR;t;lG;CVw^c>3)#Fxh?1@XUTnQUaC2hw~6@beHU6l#c4-_jQFy_twMn`B#6n zjxd}b_43T)_dGbqPvuxP_)OU^oOG?4wD^=vHe8ThMg>V`UpMjq2ylOZH?cDy1k+YHIc&@)qSa}zT4et7kpm;$pdQQ_U0uURQ%FE@#&w}d0N~y05jJ1Ro%r;X()(_Kd z+~T#0TaL1U7w}@Bk^PPz)b?;PVD*?0msDl}G60B_BBf~p zy#syDk0@`w&iK;l6^KBM9h>tg^(8Xqs(5QAqN>{L~V0bZS1QF)V*a z7fvxry{#NloLBM{&J)4B_W23& zIW16GI^|6~m3gXZH+$wCQe*Bt>L<&U3~k%`DV0TBVr0T*KMpWN9&cG?%lm9(nAKbG z1uU1X*P>f*&doMv8EZ2;FX&PnE2Xk``;8#OKUsViDo);J_f$Kuq_miZa($*y(8bT!WTOcvHcWF%#B8R+3)LPPWA|G>ne?R7sl;(wH z4qSykXD9%T2ec;L;3#?+ip#8r<<~f0LOvj+Ghm>?^uG|{b49Ww>4nNmNXTVXZ9|Go z4uk-nvd*MN2%nQ;^GTtJN#?p3RAVt=C2QRXXC03kQvp37#kC;6oTQoxBeNVRk2OnQ zU{pWS=JT2t=w`os{NVK$AVSKO`sIrw6%lnMi%z#+%+0pJ;@3t?W4U-M4+c1_4H;@%}y%qWyG|g4{Da{5&F^X_4LD2~qol zN9n(|p_94I?FWX z{pwWIaUSMyeRE?zYf~ojEQ*)Wvp%VFjH8rYC2wDK=>RTA&AAQ1;@&0ilaa^{(6s*E z%tDbqj<{MMrpNJ3eVW z@fy6)gsjr*LlT3{*UDLzKb2*%mgcjU;%$}v76#vKAMlyh{@4f;?l~d(>+`m!ZSNe_ zm5kFmXxP7nz%$L<+Z9_D9DYsNRCZa+(frRqBi)}Uz3LPqalpR+u2)6*Pi9pmOCc1ozDFUQZ&u=+AUhKXmenvZTT5Kl-egEH$) zxYpa4pGUcRdTWpkPp*Lh+Q0cP)$(PKjuMm@KA&Yp?b z?5K4I2>Q!t=~aT_$??9b_y?$iiIRI^eo@WfXj6@%(cHbOJ?!9owV|ATJk2KT+CHsO z`!sC}HVK8k$?cFuKuubo(Ps~+X)QKur)>&T55b1s>{%S9AAY%^9 zYtaG7VUf)51s!2WNLP&>AHG% zvJtWL6n#ARQ&0GY!zL;mT>l*BQ>i6H-QtkTe5AI5FfWS3|Sy<0?UjSq(2>>hY@auNuE{gC4Gi$alr5z zGY$tkA3KCkMko={pZ^x3;MmXN$h-J4kKPqzlaG|AzUM&_y1_=Y{lZ4w`r2MIl5^Y; zK){;Q^cs#S=45LDEG}9$w*6VXNlE;EHSV%4b20bdmPpA*A<(Jq0sbk)wq(xk%otC_H^K1pQ z$~QA4lo@5~_`@}yr+n5!+~mucntx=Ey8RA)W(CB^PO$!bUENXV{8?1$prao5SJyJC z;fsCAuc6-4ItxF-OE8}( zNvO3&5WNzHThgpLUCeAQURmpt>c6;>}3}@2m^t2nF3C7PRgC+ zO(^QQ(Mv%(eQO*NCRQ4Ad#f}d9_X;bQ=CCe+M^-pYV=ms`@^m2f}(F06TO7hg!?>C zPk|fd~T zY5qEC@{r95?}jAA8vAo6D)edofhY018_EvqkXPG*GJN$`YKTv=Er!J4d7vj7uKLc7 zLQ}(YBr5$GNw7&lw;L(RZ|^=K-Q`Q=$$R+swnJpJ{wcpyF073z?pZUBwf!k;WKf=^ zvb3`1b9+lG$4K2k^gW0A%gRAw@BahyKn%ZOky{C|rA9kqx`b}ob#hm0JM_yi0Jc6~|0NL|$pJ%=n-;nKOKFBfEKarT-}#CCH0rgzIc`iLaI}1I zy9ajd=!8v@L*0zdp~ETt#g2{rk%V0thgWQh*pugOo@+FKc9Gk#7<+9O{l?~pP1~m{ zwnW-XK#GkezwtqMhc1rYS#u!^7_CfFClU=D&sD2E^u+H5vc^6GqJei#k0bLxEc?cy9J%MC9!kM(!vKel%JU-L7T z?+X0pNFVBV~u>^FR4h!f|a#5yJY(Lte^oc>!yeg8QAe@yzd61W$3Se<_sn?|@%+ zgl1uxk>69O-+?Q~{qNcpO&br83n|KpOBGyQAs|!S`swm)*T~b=K9=TFc1f!_N95%e zpGl6}@>L>HkkCyr<(><6=o&HI${u%21Z_9ex47fnOO93i*inGN=qhPQjzFZ^DD% z!6uu+{Du#IP<-+uM+oFV9-l6d_@WD+%R>Oa>MH0M-Jmzp&g8ZTb;t2|156A*3 zsS`e~eL}u)a}~D^y9EEozDh8H-Q-0ufc6V{!G0q_7SZy{PaJ3f9`@Tp?F!iew@xk) zB$#ya{DF8pCs4)K4cbov5~2?(g?``8{x%3SfUhGTm1ko6#qWh+Oh2)og^d=OV`Bl| zE|tpm+cPxgfP&mDatAV$a>IUFpoGdq4n6=U`mj9eBS6%~23s=pbmOCapj{kr z%UZ|x!J)703A2}IJ}>O^sf6#F~S7K)Wp{ zNr5qA-?=UKl+_7s&StO;zsLKg+r0Ng^xTv@YwjRk~8)F}E8i;{;CrNP`a3v$PsVcHhcIZsmA5A^f4v6{+hyIC*!emQ~={@=~+^d}+VrjJ)4_lH`8) zc}bl{-&6hlA_eMo_!%=_CWTAAD|P$Lm0oK^zE)ZK>2{HpGY`m1O+S%qbLWrXrVjH) zAVnHPwJjx@xqdi$7m~;fL@KSj>#s< z0N@kwHTb>qALI{u4)O+H3xW%?s4n5^v-+cCHU16A8iM@@sE4=V&%?nvenQv9&jNo7 zJc<2A{5t)> zdk%!P1G<$a?9I|n{{UJNvK>Dt8wYd`Xbyr;%@Fx!EVO@xfM6cvsxDcSXf``mx+;3JumR_Z_ z`~TQ`4>+req;VSqamX-aaM!T9hSfFUy6U@baM!TrK#-g>Gvu7Z05c>Nb&UuT1SF&6 zoO2#B%)ks8W=I3N_0?17^ySQD*wy#{ey$6*`4xBWxz*j()qSeEs=Kfpe{Zn~&tnmrrWetSQTvXSNxxwWMv0q@PRj z`y`SgDUu>7k|OE9DzTs&9^iqI$ca0gBE;>CY!YVEGq=gGfQ!M+hULA-E}x{t3BKGG zg~4Y|2#j1cTsdtBCqAbOvw_$pPGQ24jPV)7$6?QDxEQO!lY6NPgOCMbJDteK=OpBM zU(_>)I!*|e_8;GGPJC{Q4C3REU~!exmk3yKiSpSbO%NsRV`F#RH*xkiZO4g$GZ^Oq z&o~hX;}vJ}V|~j@s|l@S=**$=?)dj5;e#PEAZ~yRXxBvsG>(-4jhgG<9c4h@I2q8d zzYOTyO$Ib;DFf;?RcImu8Z^5S>g#WH^|v~WWk9Wl9`L-amJ_Gt3?3u{h76Vgg9gfg zfeL&E{~nm&)dxQLsH<%U8PH$rPl%TRow|B-QGcs;GN4aik2an+Y2p0_zN>4HLcHc1 zpzZ3fz-LzAeuD<+i`Tz9Q>OBvb|V>3Q`^C3U2PX_qm7MYyt;bz_GlxY<@M=os`NBe zdfK%2+VsDn2fny6;XiFOdK!3iC=CNUbdo{+;-t^OLDHo6AbGWIygc8mk5sSUK|0lL zE(yx3K`q-ze9vB9Ir06p{65lu=)2Ny%uMOK@SybB@K5QzRiw{mg;i%HZrVEO|IrA= zRo?bj9w#U*{rbtkj-6$Y*4ejC6KPt%jl9;hr#v6iSE@DYES>7aTv=Cw_9wjjm+ews z!Ap1=(^>}f=o!eXpW%6V#yD|(1;BqRNBfI%TD0-{g>lophYX0-?~F}&=D>|l#!}0+ zm+QS^Oe!$OwCw{LL8s=0&hA;z>Aq8M7wxZD#S7L;Uh3nSdtdm@IN@E3)4ri?U6kgA z+SmHqklr2Tz43#j@9Y8c`ezlTMC+2~RK@9t<1S&Q;(t59)c1BW0J7D73-mQ!8Blj)R5 z;76up9LXY(68^zQbP~E2=PmsP&w;)(`kF2d9z?)ti1e8)g5sR?7k<&Dl!b2NW=Z;x zfPcmc3*g*rYR+gJ+%>%g0dR1B2NTYH`k4S>bM*T71kf7(j?DNt#-Y`nGU)JGtf%e; zI~MquUIL%-#Cyi?j5qWxe{*`@8^hj^J3HLzZN|fKj-y%2yRe&{y5`yXjz+`N%}mb1GBOJ;*d@L+?Txa{*VE)uW$5 zIh_@_-;mc%ANJ8QTWguvZ4Vw>&p8vG@zHDJ)9@#0qUde$ooz@ zAJXUXLkG*CcLqy>_Fbob2~xeY>e&|kZf^S6G!YErhn5u#sfIPdR^U&Dax?9 zr2fWt9q;iv?&G!oxIX=*TO*ZOZ#0!IH8uaBJ~DX55NS2Fos^G%TyiwXVT(g7#4&$n z9*r!qxwXFYoS1EM?iq2miHGL^xIE*a6XySHuH}?-PT97FF$FfYa3emlk9ogKYy?6U zB3qgFJACW`>;mkAAU^g3b_Di>-LL|_+6{)Ub_ewb^&R#Jb_#O`>=uVl5Gb-3`zCnW zEDM7KZqg>?EAo#vVqYQqk?AbR1!X-cD;q76A}Nw0DUu@TdPx3q+jWurCSO-+`}XZJ zY}hcVRjZb~@x~icxpHNxQKLo#B5+MXO@-PuYe+4HN>yvfGjCRxM_#HXkG)(?o~uw@ zs#NoS2eQillY!D!ql!YU8dA4?U8(4eTm!qt$ykTU-J=Eh@FQ$Mlc*@W5;oO2(~7h4=IO(=2++9H?s-f&Srt1_`>jY z;sfTU4mLUh*pJwW_^V>a#@b$f*PqObQ?*Mj(v^g!tow4a)Q*{R58~3-}Ew1KzONmQBa_$D!RNHiOb{^dtSlttSLd(hmeP z;twEL)Ftob5**obY)f0`!zapZCAL{sdCdk?0%NiB`5oRfF1am} zL*M8-f^V_!8PC=xEsHkOzpNwh9Df8GXu&6VhjQ^{J~i+uiC-8mW0sAPHeK4tuYdV# zZ}S>Af4D$Oz~A6%pS7~t8ilbNkzGJ-Fc+#jC1#Y-q&~OBved_q=$E zy!q^(q`?bsO8qygNX=^1rAF=AQlnm752{s@8ZW;lHU9FP)OhYisZp_#)TpDs*Q_Zu z-mKs~d**rXci_*@df@*4)8D+$7haYc6*MpMqprRu_3LRl`dR61{p}?W|9Slchq9@I z-@y$6G?BML7rE% zeYD}P3a`Gd@=e>UbB!9clny8J{vCkpXsoJbLJxF7-y3g9jq1u*+C;tJP%nJtcW^5! zA1LSTDoT&i;MxW4eA1puI`2V0DBZQSzG~HL$cq)L%j2(9lZRDL{PFeb^5)ysO@Gu@ zoSM`}UG;sQDPOC-^paG2`j1lQ<%-g(?{Mik|FHC0bynJpnlJU*bdqXsSCndhS6)5y zoK*kIvr_rF=jH9U-jrsst>uGH#><>#NwR2{$k)jt@9q)lvFwDzemFsDH;a|372c3) zFOXOH_{YCWji(jhKVyWk0uLBlu5VpmLL=?|ySCAd1Nsntz?YYNKEW^Wc+NO^Udwg7 zsiMzaTPdHq;Jf2vh=2ar0v8$>13Yv6`0s#z2A@8re8w(g3!pB5amRP+gih@z!+-S+ z{atCMowN^L)Kz+GDb%hbwOiJc`U4wCtxs#obMHPYw{^VDlaT~KpwCq9qsur<6@A1l z!%k0`9z~xjE`b*4L*yKK3Ymy5BDmcG&?t`^1_6~LY`jPBaBwQ}m%s5_BBL#!ElgJv zxPW}7eB>_joWnU;A4Df|04BNve`)85oh=C6bg}|+7TE_bx(&U?L9ysK^d7`i_#67*3Az>eZZh*#&o7IdCa~d5 z#^s=VbPK^W#{WMn{8d5u2XEK|GJVr@(?|GbnG2u;&?k0V7`jyRFkhivF5xFeR}m~@ z`%mC6H-=HZ_6h!LbYXZRprg`z5ZsDRZZ^7^@s;@ohf&aO%AwtE&I51I zrRY?6On*0FJNqgc=QalbL0LZWJW~o;S$#c&=CUu^BRT{kdw$yqXJ>u&HWF0yMnSpFTM!u%{ z2w8$0KsF&4&_T#60NDw+ztIH%G6r3SZoZnXcKXirxz_7sF0?q=hwS-x^7m@`$mv`1 zUQOmw9{Qa&()J%JXThU9+Qi?q1DOiXpoMyAlWRA5s24p0?Qi+I27d6IXXJ(UFnv@L zU0S=Q)Ks1M#@jXI52`~SezBT7@oF`Bxl(nhu4VIkEyq9V^XD$r-+WW5{`t?^*5_3} z_L8m>w^$%$r|Dazai1YlUG1Z)ue>DH|Ej!DUHbN4pOs3lz9Mz%)t7z)Ka?r6Hp!B0 z7i30~$j2(q;x>FQZN@H<`W<^nwW^h+>Ps(5^}qRaKmCmARQ-ex=n;4TPlNpmU5+2% z*qp{62aX?%IofaYF`YkwOCP)W3cvB3aYesUE`7jwAdka=f8?cpH=g+W$IO{*Tqr;r zdh$i3;cveFbh?yr%y;^bzN8J{Q$Nq3h3AyVc&@EbvyRkkQb+3bZXoqOswZ#!<8^tU z*8`s2$-9azsenzyJ_O`cz2Wuj#zT_{0oyUUd9=wFbQwC0!%x}!g6y_+K*}S)4}6v5 z>}kZdK(C=Y*!PGXfX=kNjA6PO+so-{-FlSv)7e5*w9uPGqIE!^ZFj5LROn^oJG#~S0h^Ta;1@O!`cCI7 z=rOndlbaRMVazG)&>ieK4iED6BY}j>m5!acd|-o1=sDvhv|uY~zhjGWZ~}aSKjQQ^Z1)*t9c)@ae`!8KhD5{GBZThM9P-{7Mg39w}>1rLTGu$4YX*SWER z|A7Ea+RWI1Pt?tR8`}e;V+fxIzcK#+&&H+p0eq!S#_tn-pRl%~H}Pk%#|zq6i{_9E z^ei?jYv0vBsc!EAyertOpj7<4qI|mQQ~7NAXHvCVRVf-()U!>0rGPJjAWHNg!I*#_ zkcuv~z+`kOzoSdhsmyn{9rZT~k1F1aibH>cgI%lhn>>~B$m3NXSNU6A8rEzmb!+%? zEdmkv4}#g&3RTsnd%i+-^UdO;{qq~urDD~~zvIizD*t~gaFFGjI^U_QI`*TFK9XI# zG6$DmYsnVdBI)Om{62}KNQ$ILilj)oCK4y*u@QOJmRV-hVZdUf-CXZxTX-apki}4p zT$ea4hm%<`67BRj3}iK^aO@MrF?{LpOegoAK6l!jx)`YDp!M-tAjWA-`N0$WxVZ{v zHikbZOF4WtXydfS2oByZgQI|;6O2Y%EC}G^6lRg06M=(?69I9eU~uB>#(2e8=Au`b7gQ)v$b&*fw4CBnx=a}}|I3<+^>Y#k;<9lgnQ##25@CgC|)VWi!M`63YI7%`J+oo z;ljnFL_wuham&CfrK42oQW9OXkQ6DLUw--Pd*qqAZ6xNawbFl!$U8|QgZGGZTXI6) z?mJu__~Ua@yhJf6QYgQaD4~4-O~r~!nZiY+l$IG?I9duum-Ol?Qd~;Fmq1-tvC`9-kham-|)!4$=&5l;`+P-}!AXt!=+tzV^MN zEi1U9Ud=~dH-2~yP!{6^d{=idtuL?Q6j6RYQe4Vb*Zyr^RtmN)BH0_M%<JAJf|Hv9XT}=`5hkg5lKHT!9Vy2KXI_)gk`~( z@knqar_2*vxn}$Ial$^>k6iRaV_J&raf z)A;0>laY=Q7LjCj$dO-PE z#-F>CP~5_$RL>SGEd{j?qYD)^orrEUnzRmdCb|wvO~;~Z2dK`C z9lu`wTCc7A^6q<0C!?Fu(X_RUKL$#uZYZpEpl1sfFD=m}OG_!8uapV4DI7BK*>7Wz z&+wN1&5BRKXHFl5=4}e$<@oJ2aPu(u$8YcuaD5u!PbS)|@APl_gnp)P!T(ouI^Z|v zVOiDd#!nEapL|+psnTVoME>GZJXc{UUPk-yucf3+vvN|bV=0M>%_lc-^8=d|6qrA= zaD*N~&M@aDnDUMeci5&{Hf^wBhE1Dn80A@^riE+~j|C;zCgVJdz?Qk|HURBI$Zg{&L%Ok^ClKSLxij zb8_g=A=$BGhiu!n%>pYE6B8p4folqO?nsnn-{|C7S@M0+2T4kpRr6Nhabq|b~#-fbCdhQr76hG$c;$#LnfrFN4M8~yFZ zCIa!;AnD(@fSrUt3m+Go6}ce`KN6ewZL=e|lv%}FSHb4vCIx(~_$)cBbAIA{sq#@3 z&z2-eggUBv8)n&zk3WnJjco9wY- zO_NWam2F+t3#`GOWCNqN6B@8VU9g}9QcmgN-2g=(rGG0He1HeEkIlnR4|rN0@B6sf z%(h-ed2B#sL#gtbI@!bKYH?x`VnLZ9C{FZF~#crk^#sPhVzmezg z&^F8Jcp)I@;T{j$#$s-^qEA>`ga&Rk!w;}>?@B8(=vQX5GMjes&l8mB+DJdK0Xevl z7k?*qIrJB4Uc~c7>KG*urSZtd7VL^&6kh?Kl>Op6FM7chN+aWf{-UT=#81aa;%8$earn5*z_U+A>)&5V;^c27arr7wcI?~h0p%=PDTx!NdH54& zNaBjs-g9W!wNnyz>fbv9pU|{-kIEmN11wk~iDM>9;s~Yfo4Jy>ag+BP8pmsypKJXe zjq+$1Jy8m+f?Oy!xj z37#!l=FtV+`?cNRu3RIDlfLn2=X2FsuN?ADo8|HQW2GIS9G-!r^z7NYTlVkSDO*(r zPguT9x=-IKEhlc#@w8PIuHP=ZcdDM)y+d|7o>WKpHheKjzMi~J z5|*Bl{u?jJm>ub|W_Ob8*|JIY%$_GZ;Dy4r+4E)lrcJVU=WaQ@ZI67nVV@k?a75;8 z{a)VN=>=0x-FH?t?N+(Bex2-|IY;vJzc-+IDj9kwattj_{8UGATR@&T*LEA;s<5( zJi!3SO=K?e2EAhCp&!YoG6em~EmExC5e%>~d85f$^p^!kgz0MbY_R`^$w za`P@S9Q}c8Lsq(=2xKk?#Bp;Lbr490yd=n+`q@W9fFEmD=n~eAILr=Q{I%3aupH|` z;kQSU+`bfaFaBfJWs%qD9_A&;V(7ZB>wQL--PA;z&_Svn@x4M1I>IG_HZ4E{{u9XI z^DU}jl+8cjF}f6=HD#kqLcuZwXsG^WZbN`b(0|L#Q>?|ZmTLUrCTM~$wGZJR`&Ggd zfhg>sFAG9x-yAVVHznBMbus6JiR{%UWljTc zEx1?5E%O_9Py+KI4&-&e(Kq<|87nro(PzvPSPx))v!)5{HkVO7S*~+ADc`re{HFGA zq}ZLsAACeB?XiKA2(AqUVkTl5)nV6p0maZ^3n z@u>nj)9FzFd4ithH{=JpkGw%$hOVCO>uTf@IBG9vlDWt~1N}Q({!VoASM@O8k$G#@ zU)KNO`Uu$^Y#%ZgT?c*OpaYTD)CYak87@<^0+X|f58a!Uhw#bQM>gjO>To_ywyF;2 zcjP^?6(U@%HnwaCfTNb9imODYAR(7TL3K zk?j0R+e5#jOWiyMe$ZxUF~0hJ3NM2EV2pw9#sPersr+*~2p%x@Hf)r{8FRcocKqYH zo2Sw4pnhhYF44U774^BX^<#7?z}O)#eYHgOB;$_q=_5X&hjGt$`Vf7~cyPL%F;1T| zf1}Mti^mW8HRVW(96E7G=4_uM4M#Tc>^k0eWV3#98!_?&xr)AFt5q$Ow+2PjIAJEmTQ6l%ScUb4d zCPn5BUpCy<3bAF-UD%m?+HHa4)w`OJguuZTLO&C1$3Z^m8EkJ3AHc3= zPY=PG;9$!Va2ebiNy5e=_>gs0<{Jc?LL>4Qxs5JGub``VW(Uq73(=)2Cz*rrJ3*rC z+aPUE-EK6S{6v>xpDI3f5jHXbl;|?}Z893UOj{|FK2xAS2o?;trO{33j$*N@U+6z{ zuYMM6Qc&8AZH?YH?B{hXs-4zJBRR^SH*TdX^< z&i2JPe?e$}4|++*EPep^ZSytd9sFni7B(>Y5qg;iG3FRU%mW$I#%q0sKLx(B_RZnx z%pus*g+4Fbs<1rR_d$8M)x%QmiE@%7r_#sm&i5&x6FHQC{^D-{n)G{?wke(rwat z>K17|X^VU?Z<{RJm?(R&z4do=e^zDuw*qS}ti3RINl8hO^XD_`i)$@;t8J0=vq*lQ zL{cP0QY1xEB>mSW?;f$tH=p1j<#e8VJKyV_GK2y9YBt-P%xQNxCxVNfIFE78{;tpO zyaizbJYMr0`7B1`1m?y|mvGwR{KWx*0qyWH1UY2~hied@z(*G0G5CY{I4f}YVjy1n z{*sUFZII^VU!37~8<)dx;BCOB{GjuD$Kf63n7}!W!OE$%7_}JbET-aIFoO_Yr~!%* znY~GN$rBSL`D*5qd{63UbS}wvLrw+#%$ZyA<;f%YqV##*ydFd;@GSRLfZxC?kYDl@ zEFk#`71U1!zLPhXmYGA#&kAyCosj{;?Y7b;Wh zbY=cKpd6P!ysl7N{5oA-LH>ZBsc!uaSiAi)|F7uble*pST4!FZBail5&fHOwGb*3t z&X-@Jpx5y#e?G~pd2;CV?`DOfg`?$v-fAe#My-AL8+yxIAEx#KtG zC6|wzCr1vCCcj_uYI(W!drsv;4yA$5Jo-H^bpVcj2Yh#siC1Cm3ijz$^J=?ud2P;@ z-G^}g`0X`(8yor?z;}m3f7&Pb`pn^nXw!1(JMvRE`9l2EzyBSb;q|&P9qhXxgx(LW zJG*|*sd$AI|9&m+l{}KSUQWr?=w`XGuI~WCIjF!QASb!uFe3xRAYo$)&)IOo z1{v^!&)E!uLzU+&QsUSRfBy12FU#C*bL}|`QY;LHKj$<)7IAsbW+xoDhQIb}s}~v0 z!Y|LmQ{=|1AD6P?*V5ff?N9;uZ%%vvL_;=zv1p9rHkj5PSZhIz<_yJYi6dI^aq6-t z&6o@(oWUV{w-BuOILdJ*6T}-#IFJb}w!kHaU(q`a87FTzK8vv|q?T<})?0iC;D?vb ziT0D%Pd0}&p=CL4SlCx@I2$^^bFL zk~42U$)kAaM9bsrhCDfQnC?V}-f>@fd9%~|(rxhx8G1nEJ=L`Xwuv+!y-J=^9bB|< zF~dbSqoaduj8eLCDdf=l(6zaF7NzL62I{SHrD+tIUD zPO!f&x7VJ*EAsHkGuNkXeDdAZ8=_6i5AxsgDNZ=PqtW3wxLUmce={cd&3@7k|HURuD9ebw_O*>Z}N4Ou!k>Qx+EDH84-xU zH4B$6W~f1M(I6!)L#FIHCvmIJ%D}Z}W$ym-Y6o2Y{i5P#RmM*V7cVL;e&V1^)@m#; zjP(!fm^Zzf{J3q9brJ&9I0z0q%K}8O+pzoCK*xqjwZquh$A&^|JT?w;02dqnLcUn= z`NRjNdDz51Y~C=dpN*mf5wbznf)gm0e9*(%j@pQHS!2Tv1|QqfHZ@Wn`Dg>5 z$|G>taEfn{Je1AhWdsHuNju_G=mmY^mt!+*p=O1=vXoEc<<=5>#6iCzb}TuUV3yQlxnj%R$jfJFQZ^pWxoUpPKV5&=T^XpPVQMp(n=Q@`T_ zU|o@o+a&xD_&9AmQzqk(&qoyUDcqp&3x&UDlN;*aAi0|7k^;>N*gHiakF9Y!-wB`L zz;nt391fqn588LPH!mZ-2iB7VlO~I-Qa&f0kV|LJONRVYf!kiblZ<00C1b;O$(XZD zGM22Bj01-xmE8e9wWz-(OTUq2*DR zwdH%cc;>7My?r8!SILQ)%VqA|oiccNnhe*yv4oUwtr)AEe3^{e-k_rfY_qXqoi{zcIJcsw+ z>KIs|{mZyHuYCrO=tJX~@`~@q5AAoykChuGBUQhH11}jD@RCoy^Bn$x=bnR49~h5y z{8HE6WN*yEKX?jnzw_$^mvVj#gm|gISWDci<7%~zGacgqeFpG1?*n6yu}I%D&KRSN z)x|ny_au2^IKYpfOOFlhtSef;HnI(QrSC4c39`mU!2I$(%Poi=`D-%7z30df@Kru> zI4ePO=r0ae<@O}@LxglGd5~*b2mVk3CJ45`M@ewTQ*lpSsjHD~ice4+`#+H9&@*WE zAa5f-^g8{8tWg<=tioT4oJ~KUZhI*Rb~hg^a+|;km9Ydla%*z)g&Pp5mo}h(nHN~U zkjLpQK9xt{P%dSHgWiVTZj-xNaB46S+(KZf>3sMLU$q>9HSlu>12gD9)`0O78;`+P zT=v4CgP5Dp2k2MEjr~plKJyf%k6;i2Xs(vvC4sikN?YMIJil7vfCADky~h|K$jO4A=sW6w$BZeTPprRB-7wY4XZ@4F zC7TyfKJ5p%nXH6@n$Fn@EBJww*_&mTe9gW7r@yQ}oqlxsGYIZo3D>98q3w>UpId76 zd_k6f`462qY~VCo`R6IsBfQ&6pUEVZzZpCBnOrq}gRbEjasXMiSY;JD%gGX_M}eSR zL8c);$a^(ieH9s#2{OrFr;jvmP#+yrnYlhpAA!R&aLDW0hwk0y%Up2QZB?18^4it& zLuGDI&ZeoH-L~7)%>aM%jPj@#oRgEb(cvn{G?=IR(jKQRvA z2YhpLHpYjWmw>-X`Df!s`);p~@5UBwKCJq6jjxB%Yv^T@?|?vA?>D5O}8tJw2d~RSK+JiL(5ZMe0S;cTpoSKEys3%53&S(pmKzO zK-L+t6OdQj+G|1KPS5dvqchNFI)`WP1P7C2*C4yOd6J+>!*%%1hVf-C0pU(Ab4Y7Q z*JPoqu}wH6mc#+<*vV!)1oam(P;uFVh&)BELO+4@*nDQg`T_swD)uOb%VPE`x}ZaB zCv-lx)YTF;7zfRm-GrQXb~3V0+f3jea+kItPi?*c-O6L^ZT3E~hKZeo{X_5~HWIoE zd@C1z&_2NDB|4h@ACzl0mGYEl*q_xKr;gxB@d=2d7q&##AfuI&_Ngu#h?OVnObDi*uHe5niqidagiw^)_z||6VHRFnX zSJr;NznRxn`}p!9NiH!rV;;ra%=*CBpVlX|TXiM&KI`W0=FUm$CV4k~f&)H!l)urd znum9z|2O?@k1qNbUSdaw@aP}tx>JE5%A5-KD`-F8)c7XJ(>#yynz<2eHl8xRot_K= z&zv50?*Y$g3*$!n{}28CAfuL#l5-a>^EgWx5`hT(L~v2T{I5D6IDIZd7ABpS!RyXS zpH*jN;?8rDd|LIr@1Mn&n^hUt0T_$z0jR7p+Vr26y{@Zwon-OQH4iON_W&##pv)9iq z_w~A8s*R{2ZAZ3}_Pv@&%j#7m_U~^>>4+9uUGUw->mHY4LE#o+qRL|4(%kiU0aE5(L!SD)|c3~-j>*xwd|MPkl0t=(mE9Q z4!o{qRj(znO`1w<%a&gLb_(RJtz}ZzYf2M1(Bpn@)yn%$`OpR(p)&RFP?=f|4 zcy#;_dTIsep)J(YTH8z+Rcct7tzLOkS}QbrwW3sfy@vd$LL+&yYK**8zopcTZ6z(+ zw3gOwTT2V_X_+xqt4i}1UzU~?YDnikpGd!Xhh)%Rk%0$A`tK6yz3jAf{&0r0uHRZ> zUV2Sp-c;J_Ynzpat+brh%J*h1Tgh8>o63`K>X>@DhWzENno^~99cj|IzQik2xaUi15!zMu^HiuO8u z`iuI&adm;yM*9}L*7}{XWVGpcqi>;&G1ah<*H7S)&*5g(cdlQ<>t&oY*JqT$c&w`9 zfPQ66gpU(Gt16w18GEgzO}7|n-?y$b>-)O=rTuTENPTbP$t~@EDX+ZusyU@tIN}sl z77uZ{;*7+Z+TrUCwvol2PYXPsko9wdvE6gZ{BL~DA{TOxHWH+c+{2k0JOSJ#(;fOR zlKy=%PG#YwKxd6uHo_KCS?n~2>&w~f^i&-7^hfx@CyVj)8P05TPQT(U^m3vveMN9% zFgbh{C_|3ZTHYzhy{GrSVzU?v`rOdW0=YRu!Pk5&JmaJ$04bOV;$`gEDat-R?-&ke z#ua9}Us}DVfo^y5aJF*SEQytp$ckn3R%2avm%0&JludgN> z`9!87TPcIGtS`Fx4jVLd2WBtA)`?`Dzi(-Nh9_hHhaLUoCG`BjOjuJkDV zEwt^eRiC!feyHDo+f^ydk# zjqr!xZ0uyA!(3i?XML^wM@KPs{;s+fUc+bl3q0mvl*Qi;pK*)cGaN0);lLNuwF(ZO zG0j+IeAB1!n=xm&K0dfX8iRE5$+)$8bv#fn(!-dY6|vD&Lq_GoR+2XMW9h z=G*9C77sc3-2K*ZVn?$LLK}E=?#?aCl|QO%^Y-9o6qBLLN7%`mc1r}dfdYA%|A*@@ z@Ua)L8#txgYy-u|uE4&)ZouAfiA5{y5$qD|6Niu80*%NvPLg*Cy9NA)BOBU@qPD1| zZNQG=R%qIq)dtMvaO<1g&)N`vQx1*8p2B{^=HWI!I}i&y ziC~IXydVc|wc>Cs4u|9RNPJKD7()S-*ljN=yyhL+$xR#_Py;?e7}!nR++;T}Kns2; zHbE*rn+aL7BAqyM!fZS9rE!A=H$1)UZPw&wDsGe@xPaS1@J$hP8M^U;n;8E`LG3mC zSKJs8p128uH6aejWAi8LNo>I6=0k!?!_$WgKJ)?&C?9&+w5s%TSP(&oH{oFH`U>HXG8KjRW))Q2B= z^5ZKlAE2D~{~@D#_xJGo#>=RGeC*+j9i#F^>krBu0Gjv>I^P*4qk6=7G$ai1Xd0-+*7AiVyo0W#Uq{Lv>e zs^7rNJbUj0udUW@trvWF(MQ`04(%on&p-au<2O8O*UbZPc;@4b{c4Pi8#`J?s2uM7 z!APmye}q)*^M%9=9wG03`lXCfTF?{d6Dt#*(H5OIjUF^u#&qc}BYGsrd&B2Qzs1L; z@45@}&Z1p1{Of5l`qNKjOuW+GRe1`};PJ?j^5y5BD-8o=c*{02uyIRi+_bB_(kekJ zbbDVqzBgPxQ(DFkA1+_PKlljG+bZphi{1mgKGAZFN6-UZ@QUyJO~1nj#sPeBFa`1rK@4cXX!hr(it<*@Vo|zrpV`v6JBO~0(^5TsybgG(Nj56Dl}k~p}M zI?&t5tW3I^8=O>D6HHJ2E+Nw`sGS1_{;WU{pXw*{Ha<~gKXRC0IrI|&_SP=+E&*;@ zF8U82ZFoXHv#$fa&cU+CS@azT!X7wrz{k3r@SED92b7O4MRr%pX7mwY2|dt&&cnCN zp?fB`m1pP_cw{?y*Yx`B+_6`vsz#e{q1HaHw^abm!jlXPcdYAbK?Lfc7SNazkZJxw9;D$@) zDcZNtJ9qnBpF5WmK{)6-*0Z6TLwMmaybce*A#u0`hbfqD!w+n(h+agOqEq>s8??9y8r_O-o;f17a5Jtc z+uc~HzvrrxL;l$KA?ek+zKriSKqe3RNXCAK9?^H(bdAcSFGk3yAu2PFtLUsjDl4&N zL$XTcLMB%nd?N7NU=woJIbA=6ksq)G6gs@{&Gx^BCF}oW}r}c&7A)^TUk; z_~GVkj1M<2p{)3TZgTviPvJ3bN57(f!9lONd7$-c7Gvv&0JM^yx+sr+Va~`ncjMpQ z2mNkyGi^6*03RA@o16R5W*g&_r+qVSv`m^iNhYqED1+w?mRE+o;vN2iT;N^Sxi*JJ zV;B8n(LYSz5$r|~cqZEsxsL2n8N@msG8dVQoWj;Z=D1sY%@)J90$*hi0e9#T^eDD3 zGSzgo+KVAwjm?8T)x4DL>>Yv*El`g7(WS_8r2%<}Er}jNmtY?!%uMiZ$3$jP7BY`H zzse=-G6MC2iQ5=C^afO&1y`G4x2AC|PN5WU(P9PK1PxG1DXxX$ZpEG8PK&z~_fp)8 z1}p9qmjrhbJow~0XXebzT3Pu6d7r$`-uv3u-4WX^`)DFaCKjPYo)cTb_+IbX@`^d5 z`<__f4H{6FoJn4MPtJ}0z9y5{-9gHj9zf;s?l!pvTOjSWYuC}?p&5+nO6C^guTFi7 z*2b7)!XDJ?N~~v%V(c3kvv=E=P^Ia1hyx6$1h&nT(An3(jxMmmDoIo7v!SXS~*2Z@}r zjwoAy46m2h4h92bV_=nnLc~J(B+Cub7wWlidf^#%*Tjkzi;Dl@YL`$0mx!oPFL_DIab(Al&{pRG8T5 z*IYE7&OTJgrf~MXx?^HUkQI#59j&#gB$Cj@Z#B}gf|vB=7zAY!Wx0Ick6~W>C2sH; zunx6deLh>)lmq!|CdSdFZu#A@f@ekKazvJU3y*3wp1*@zKV-rwASqj{T&&<-2RUV> zge|k6_K|rni@03oA;MJ7ao)dEXWjV>TmcUjOP>^zxA@rQ&gP+?&rF9k?~|nsb3$-g zg@tbf5aKe2h2x6uN@-g+y7R;b1e5hf&RTC&*d`LU@Z~28o+q~JEoUaP3>^aH&fIcw zmarWAr#?N2UIeOSJf$)`#I(XB9^NYN>-{kZBsApf@mHWrmIBD5Olm4B~Z0)tnxLErX&}sgRqZ75WI2MlQPSS!WR%rkg zMzs&k2Z4tc>px@a90#=I7MnGQ*^bjfmZ)$))!R~={doLYAXa4HO095qMZX5W*k#^U zif3O3(?mpnIkcrOf87XKUHH7Rzux+Y5zsjI-aS@(p03hXuVBNPKH}07lphBwIU((g z{c`JY^-6B7b+zyZl)f{BYwsU4Mwy<(7O9WeRdVcx}+(hnXg(?f1X~otmDJ4Jc;5r)mcYce;b_k3+kDK|&!H&F-F>y?f z-W85jKw-@hhEz8~s0hS|2MNJk7FxL{;14tf<*1Mep>g}#WNc}-%!4<|!4^S4Uuo)6 z{EY<}&y1Pp1HMY|e;!$b>&P}@xIk$$Phzmj&1}02I+fG>k=~}6_m51RuZXRNekM?m zl+YJ#xZbgux6O6J>dvp7Ibqky--edX&*|lJUX4XAaK>dhO}rA`%u4bSHV^70{lGrZ zDx-2xk)Y&HeeDDGoVu&K{?j>77O@@LIf4rwn9@LVK@$$qmkiIV_IgUN+2h}$6%wTW zd=@^&KwL+d5hfWR3^@p@j=OPS=o7T!3HTvO4-@Mu;$H=`;d}6eXPL=M_+Z+BSAdWLTbmvu}t7l_v-TYr2h`4T*f7L?wr5< z>szOsv&qJU7DD@vX)UJ|TPkn)ZX<8xzfb(OrBT^?cgvNf{qJwq4=|ZKzo!YYv_Z*y z<54`rB^b4Al_gB=%D40LABjCoT16;^S>*Y^u$qXy;_YQ?ZJ#gkOAgvrn%5$0#0N-_ zkY!yD<)Q>Q_ULQ7T9ci8V&B-1i`yl!aQ>#t+F-tV+4)RjsfP#WT5E$_`if3dDJq-0 z9k98)fL}f+3~;OqYV7Y~M_;L2WU7TpEZAEO+tdAS||H zGCi;4DfwMt+BqRtGx`)l7`s9joE_L?if>X8C&mtw7>xZ z$jTl^%3&_?YVkL5jlPNuN0)i`1v7jszvYsfIf?Zu!l_9kI{tpruzT&W0ClmBLeFG~ zs?Dd&CCj{BFxFnyEA58%DJd-2EqJZ`4tpA!v+DXA_vP=h(~uG#vc4v>zmmZ!VtrI4 z%Mql#oIX$@4hYb?BEIR#{n*o4pt#I26s`rh>^Q;BHNJDkBcUZdHIq`=L+}C~)z~ui zxGd}SI{$RP5py14d7MQp=r4Ryb|~pm85onJBYl~(U_ETFxicS<1FTzYrJxntb;z_u z_fPADnEPuvlreqpaTqa{u3G9qq|=Tdpw7K`S8PZu2^g(q$C!h*xnERF@)8w5!PLlA zp`cAC8Z#6@MDgppQJhjLGJ0_ZKW;HT5*kk{8FVRk$P<`yrbEItUy^lmHhlfYk=78u zz3UUoIfuZ!5^b2lxlNT$uzM}=AkWBQzc6X{ja7(WOjx3&Q3h;oKjqxZql+vF0oRPI z+up2gclcioyafIt73wi@l4{G!)L2lB%W9j<;EIc zaUF+m8Fp|DvHxff!<_Ihm1MG%3p*n~>e0S=r}isX$>qy9v&ADN&o$I1A{nv?RDaCD z-CmDiNJQ1@9~t~LgC?K{^*->V2za|5!{enm3PmCD5`anh`9mGI*4r*_s{Jb=M%XKk z*T2U7VabRM|F0}mG+sJDANY#h1*pTZ)#e#1j@An25L>foom3TYj=!@~9M zW`@`@LJdUeVmHxA4WA$w5l?#dz2Z8E(b*Ab!%SnQ%Gp=L?ecB+1};@%KiH;N!FC=l z!!!i$4>M`mUW+J-KKQYG;`7Dg2x>vCPkth@p~oU+Q8+>zIj;sAE5-S_JID-G22tT=)C&Qp+nlC`- z*)HRWbNEyX+iP~-jFX_XBACSZ1EC4Yzm_?rDRfX2>I4;8tg3*Qi~{^VGT0*0#ik#~ zTI%nz)rIETT87+S2z=Payp0Pa-V!)VkQBI}?EJV@xlg>soco5Niyax<%imH79(#P> zgcHL3i8x}5y-v!4-j<(Ez^c`|skB_jm0I&r%ZrZLS zKQ`&&ynBWh__oG$I6=xL4Ct$#o~_F}Ksxmrk0;%XR_EXJsm3n%Jk`*6_^1pF{~RM& z4i6@oCNN-P|15|6pEL}oyQ*U7r=j`iWHT0OF%jrq`$(6Q4kb+|P(rq@^N5Ad>)hl( zR?jN2BPO-Zb!I%asUNyuJY&%R+!Hq@_RMfm$z%_BmM@)8^6*_*3nT!6F}af;4(|P# zT;G8St&Tu-iG!Hyo5ujY$kCrtd!OWy-%r@^@aZFY$0`wd!Dl-eCKH2yE;kjaDJo2X z(uDy-BY(CWgE^+sl4WY(l?2J|aTv#JZ?O!13jyc;s1@Y$ad=7~3BecI*TZI>4_XXh zCdGN7?B`Xs@tDe5VIzr5e|DK~$h7?bRCx%5Lj#?3aQ^H{XfbApJp>5=zfO=~%L>{* zo1f6$b6PsyRK0#!au}{@2SMtmWrt~1(mTSR=rD;TOJrV-FF{;_kNKTrLTGiB7aFSS)IEshOoh(!VW!uU1W>V{thI( zdcoIEB!ioJ zakgWK>%>;s=B}g8#1^RynPm3p#%g%gHrWAtGYOhgXweZ)Ur-1h>s=#t!#zw|u*H%w zy$c=jv;LM3D6b58<%8d;(JC}pI`EI737*iwxhHCOP+LA=m|7Y+kfY?r)kFr7YFqpX zwMf7Jo71oi+@~Ja_V2a5VD`!GvWjEBjq&K=+Tqyybc?b2*`Ijj@7zv_g9B6J4S`?& zSx$&O{;!Ylx_PYCAO|Z0Do{=J50xW3;ts1^%GRYhmu$l>w)rN(TvG?ioELOWf_)+|S}FgG`COHsKoTEa?-VxH zKc0uwkZ^9LNkR)Frc(CQ-QKv?-l6(YSeE1$BfiB6B)Zz21UFkv5>Pf0@w0fbt1h#% zy^uJ=R9X}Z7l4Q85Mb=0j#8n5a-U=SHX`j-QPY73$8evMmVr4#|ED{s!hDs1#Y{;# z)YzAYCB-q}!d347frJexh8)JG1G+;uU%VD;Fy7|_m?GBQV4AJQ@kHT3!mO-MwuJvc zoqDjo1jOn!^k%`7*iJdH2bJo(yG5%^v4Pct8Z4Je=w2jb_gQskgFwUwKXd0Amh0Z$ z8}!t8;&fo!nxdKFnM#^f{GN)G&eZVaKhm+=abu0P&+2&bhg%iqX55Xji(_BL-=10_ zugjI_tj4ncD@*T>?sUm$N&|j9i~Tg6TxN&nbkj+4!zMe+@jn~6h)K|@-U}v?zbCAodZcv+>7fg9vOa$20rnY<;xj4<=9;J~} zP?>mk0vul4n757jBjq) zMTNF^Uamj@o;V`lM4-B=QFor~V7ham(49w@b$^MPnr$pGO2cYoiYChZq&bttM3tZRogOCdSkQf2o+%lh z>9nl)X4F>e{C0LkCBTA%D0;b1d~KnyYFk%(I-l#1AcZj&aw6}$l=Qra3u|OCfBP*P zr`7ByNA93z37zTGs@c>0i)EoHm9^JPz1NNnJkeZ!)YB7T>Z znaQcNU&h;!kL7ie7TCm`7=#JzSRhiT@1xA=!Mj3bPOapSRDr_VZgX0$=0)R zUb;f=b~R;$aFm{q8+hCXEcD1RAYMwBmB$?)G%@7DjSOP+9slq;%0i6&ZA=XJ`UJ%A zx=~CVX5%wH^h=1MH+Qqg^`Wqp&K3*F_^~9@cG5#` zqKcL;@4!S3>6ce$4?C-gv0}NuTnKN%a=wtBF5RXBLhM6`$HhPi#u z^s<463*fa~Xx4m47uHTQ32R2lsgfFhYd2KY|F+^)&SBmCV#w}a|DiLhQKOYl2o)S( zGPLrr?{buUuy}5@6@0MdHs%9BMXpq?vg}&%CemwA4W*YI7LPRhuv(sw+0@==xf%J$ zfegX&sbh<821@u6qkbpx9j$Tg5BJu~#>)5KD!H62WB-oO9-fcVFo#(t#9g|7bu}!t zd-QrJ9oL(%))NyiM8qMpow}nhkoD5Q*gJu zN`OY}W!hO}-K3+#5r2efPT&2pejcwj2&(ZsKnX1uY$((@Q@I{rMprxoFKiHAiUXu3 zQzu)T3l~cudG|z}yr=br#lbmQMs@0a4yNX6>`G6{WI9dCC|WK-bN_;xu!wuHB>LjM zByOx+XVNt22Sw|m*DxFPbynD$on4qx3UbyiIuE!J-rrx~`k2eD(I z-Y658c>he3gh4cbq1yE-4*(%rEfXnVYQ0eHE}ZCbWl{=3=l>CqY|6N}{&fpCZ7j$R zq2Uu16W>vK*)*1cB>+<}-7Ko)!}gQ3Bk&z*lZ#wtL(tTIl14;edj$6G`0>!*ecOsm zpyKGVC&hYNyd{NbUtvfrXh!ip&myz42l z(V&S*KzI+|aCPFCgT6Wa36_7oq-h8z$Otv&v=nq?g;Q}Xz05((l5v}*dm z1ELf0c8VbD=wh3yO@cI5sQ-_caw@c*uWDVp(c&=%^eYPrIu+Gn4$NELH<+u|fXcn>1z_XA5@2U;RGh|H9}_-ln{Gctffo zsjK{rvn>`3Ck7Gv(x=9~mj|eypVaC+H%%@Pp3Sk z43wN+`|v4V2N$|9WDFA-V#UM7Tj#d~XwPE&|2jV^60{t{aD~E(vXag7Y=%jD-T9{uz-UpR-hmOCB?++$1nEW*z3i$~} z$A5ZzPRm8{Q%AP2dcDyIbm+KpPoINFXF|W|bx_@*6L9MnNxb1Xmk{F3kkc*dg~zwf z+1{c13J>q)F{vi7sbO4idG%YfL)bNqFH<}_ zdafJeVyGQi3VP*V@@xH(T6QbKaHa02a+TfzR*)@uU7ad1l51WNV!LsQq+BZu+(9Mo z+eR1nJ47oWg1ko$1xm>i(97qVM!Es_hRhHQIH#-Oj?iuF8foFACRFLn$q60qg!G{g zvSm2AJ-3~9>J!+n2T1>h2r3PWBQ;aK$dLF!wCC~J?IU{{4=D4Fu^1{7l{KXjl{33q z!+6EG>^q_3%Esa?(wk2WD~sk71#ah+^mC&YT53Yk`g~Iq+TvVELR< z#T4>Ngiw^~c1lA^FUfbZk74AV4oLv}0p6R>e}>(X`BLkM;>JqTBXbn&-f4Z_hPX$xp$_+GH( zGI`X++fv!8hi3d$tAfxWK4tf3lJ#=u0UPNorx6VBa2X||-s$YGUSak9Uil`@<@NeM z;{^i1e&OEC(88yO8+azO?YRFl*|FY@cclj=#`R^iR(#FtFuQJi^oyT!BUz&c?4FHD zMNXvku-mUs1I3p`O=sg5-DhhO8|KE#0CJBSihbR{-M5k`j&gZ9@a-mswX-SJKwIMQ z{gg_W_d1y39XxLYEb4aU?@0Ih^jO4uBAhq@dA*i^^bGgDa6e%?RYJw`+P+i0rS)zI zVk|{UCsUnCQdmUvGGPyg;n*fk|EtUHyFS>Ql76gkTKabLaA&lmQlFZ!{P_+^Yn|td z@nke5O@Ae~+^CP7(;TrYvS)XM3jJVwE4k6;K{EUPmlh_CdTD;&tSy@oZ9~%h&#Po= zUE=tc1~~F*k0Iu;cqsw%J!82%{bF~gv?_sjB`FuP{^dp;Kg2W|Tg0Y*m9}4vKeG{k z`gNh_XNVJPkngK4(zt@$(e;F{F^u8MYh*o&BkA{n9#t25VBNJvb^%TU^M~tK%M+2@ z3pE-b8h-iPzklU&K^;W_?bEi$=U_a@idP=o%zfqZsMul#j_%*3k+X=LQSq8K8ma5N zx)~J;8;Fhp&^JJm0cTyyo|J?0GT^^RlXf+25(w|G>$WsC_?I9>XY6$aq8jI)EX@U( z8^Cru=DD@mk*bPHal-ZYQz_aPvaq)xSj6Rfc<`Crox`5bgT+G4I61H=sC{ailXEIB zP*^`;b(_KFZC!qLEX|XByRd-SK;IHOaQYSD0$Pa1c#aC5SZ?a2t9h7D?D+v?TR3R5 zAJd*NQy&j&{o^b`sLXHPbxz~>0vp4%TN*HEUt<5f9#2^vz8m%lT7v{h(h6QrGkS%}Wtb+LgU`Md;SWfb|jg4QKO;Q5TgcO>0( zeI*M5)4t9+=9Wh&ua8>LoypPc=}?6(+JzUcW;F<RG8ybsTk1T@$k4!zo%Bu)9^`^uFZqYhsl6UXCteTbvf@dLP#*j&vuMQ@c7S0_ByPurd6jPYTmwIj89`=930RO4Wc zB2qqc9{@RW%Hv%M;{LRjk2{Gw=;03(-<&ZMk_WbSw56(uPBHGeF9qjR@6QA(@2$+< ztu~JMg-e&5`Mr#v7c1>3A-r(Bz(1aCC2y!=Zv3+LEx@e``r$Y5fSd0foU6JqD$TM3 zM}9@};)sc+NFcKv)8{%N-(GZ(>G+ViF>_e&OXeWO`=!BLQD3IUeuwyza=y>^C_|^0 zWBURAw$E#OD_K;C!nA^oGP+D;ed*xfFJnAz!j<^IDcl{EZ4ZSX7c;$ z2Km)952CA>Z0nQF`tSIU@r%-Sr(9wNV>|z?Ekk{`8vfrQ(NBJ}@cYxafYF9@#vr_p zFe@~>P#^UmB2T-(@}x09S>4b?FfRhTHb0gq?tNVbBw{&Zfg>_ItfPM{0!#m^B2OwCp23n__*IZ@tR3}!J>a-hhBq_ zYJ|Y4tuAb1*${?z2tXF){cvZSE2$Aa&8+ngiy1&STgX6jJD1C20B|0g_F5r{m{^E0 zdp*KH_a!S^Qa4ymXbWu(vlxUhbYLcuR=!21{68BLy_~ckg$IctFPiy>lFWfnu`s%)kEA^D^|L0SN!zQ5?iz4M!hwtwZ&7R2xK)D?<_0YJ=rk#r!p&yVI3X8!ER{YP~U!PjF^P7SV@I25>$4&q7Us0U- zNPZnVVLRS^XqS@sV8EJ%M7%(eLPAvgA@c1P<(et_3~5R1h?ZCINh7{z;O-=oJp&B6 z_@STh?h_LEfgki^Pv4_=cTBg$&s$m3Jx@&XQGh)VcZH*0DZU|Qx$ekV-AfWd zFYtAnwfkT)n3xrfB3piyF4{KetwZO=;kO;qQJTqF7zKCG$qpJu5*!PUX(sp7q2pt7 zOQ;de66f+&xhlZTsk~tLoAvsqYD8am(MqKKCPoM0!_xI09dW;mXFhNWoD9Fk{O{|! zjkXqg#ojd8$fp;Kd%%7)Dc+-HscLml_jg`0%HeK;3S8Y9h{RKG#Q1&b7!m*=Ml1?m z=c%M0lHv8B|3*~bC7(3SeTETiMu&uEgs3q#N1lQvL3_rXIQG%=lgzk?pDO)=Qt^A! z1C%h7EjQv;jD?=fy@tO(h!*Qp*cxB32vSrmei~aYRW;A(V84x~+;w&5)^@CC=2to!@sX9e>ptA}g> z#bn$bHpWqYMAt_*3UBfj%b;srVsHGGABufd(jJ9vi$G(Bl^xqi(p~X}#`~rK8W|??&H8 zTVbgcoWCOpI$y9~;l<}gllVpPm|j(4XQWN>ZcvJd&MRchsx=fOJuyWMn}P(6i^BMD z0e?KE_$um6Wq#dvj%J#(W9k z?{ppb0k!+J$Lp_ei83X`$lM|w%*Q{rWq5W;pBd*)lN4%24}YY_B_{hbWFlRX=BD4$ z5}wJ$4>tpC6R+%gUQOp^PQ@jU{d{MZyDT+zjR*&jx&3$w>5y(fe;8>q#$^(^LwW2y zebM(?BA%z){&L`3ZEE<(ptcMTg>>Y6G zB{k=N=QYj84jfw&8F?lv`b8*k0t17XBHAi#dZJYC=0)O;(65v1t-+zDtnu#&L;KC# zU1lq;wA8VdR3ZHGV}X56n!OAmZ-0Z6DQ)%ihu`tOw#eaOEq3JMEhhY^u>b3ox=4Tt zr@?25sI>TLixK&Km+d0A{b}{qbku@R)+b zEmyqdK2zQt{Tdv6{R&b0g0rFA|1)Hj&J>Sx;*V zqh-8zCZ!_*q~14S-j5*z*{dLfhsYtp1H5W3JO{-G)%yV4NjcEGC&0n)Co<-GU`mDl zgud=NQY_L)|1_Y?@7l|TQ%~v!`YWE&E=#X&{*s#adAu2xIBd(b`#VLOQ}`>#1yw0Y z9D(p%JK~MxZ-66M`_IPh;a#Dzc>Wcv!C(fLSeFkIC^$@z2t4Ep2w-~6`JeVTAfcu< z++`uX58n%%7H9UeViv3nHwu>&wrAxl)lY?)AFv{hb>A zQ8);P?N=pS%&;i$n7)7BXd%?_U6&U#q&92?( z?|U^1+TCc+e*VqW>kw@HOg&ZHaa})ISHLi5m@ajydZmKg;_u>OMX+CU)V@!SHuSE& z^BHVamRG1HqWvv6Pe>WW1dZo`y~r7^aBA5+Q!AMfy^pDQFI)y;mgp|*G&bB~fCy)) z-#!EHU2wYxTis6ZF&fwkD4xed*9)O^*~G}0CYtOwwAs-XhQ^ni;w4rO9@x&vEl)1# z09@!4Z0Yk|@OGY~|68J4n~OUz@*Q1nuD`*a4nl!Lf{>b%_dgcZZgLBjRz;neIE%r< z;rX*+>)e#7dzp98F=3ZsA~4}FkBS)ka~$RP9Igqu2pXTQzc|_3b4Dvw-hk4N)PwBB z$&chyGR2?AkJPz69a^69*^AYiY)^R_2t3bJ{0e0-ot2efsSrXNh zJO!?3d*lB;<@zrTzQ}|3+-!Og_>*=Kj!Ud$#laE0nz1{jbdMen{xe!S@rIvhIcUkbJyUeL>Clf=3M~s}Q6=bymtAHImLJKk_$fd#flp#d9Yu zIbw-ACF{$%LQ}K*_=MQdm7_D`xV zf9JZR2)7m!R9thk^!X!*6gG?==mtK_S1_5v8`a4Nh0+CrN1vaacGzR-$fFkcKzbS?l- zovDk|=y|3sm@22{xo}Yg=E%Z#IW&Sy+0)|Msq=ASPj6bQb&5_g0FNo-x3-$+i=%f= zaS`^eEWi)Wt|{0qHQfn;gkF*i_9W=|ShO`a&wcNmk;o6t?}JEqFMl16p#nu{@Yv9D zi8>lq0ZGBVJ0=*o??}4Sk)gd5k2a!|F)lPDcDl2VW~(tEJT`~S4Kx-EzE$ROI}z6S z&|M3?v`g!X9qi5zv>qUk*BOkYD^5vxS)+NRzbGcd0XJy=VyGh<-sqtLY6AIvV!%*A zu(tN?=-tQCy|gk51+?dk1?wRAVa-MGma(Yizb{CTEHM(Grxz;Gw!j@4Xa(6@t(qJB zvl^z?4>5qCi9Y}c`oz90fP8btO%jdZq1Y_A70;VhHK}&k(!Q-bseL?P0~MEdMvSuRyf9#&gLgrDf-$M zj9&@ZCszzcIiVM25O#F&PY4>3q)SHgS3%@^d|NS<-H1*KyFl(qUnFb7mqD-KkMWs% zf*42fy;SewKr+N-`cg(ri7y1}Zkn!|!53vKpZJ)8&Gbub zdc+R3WA}Sp7X;nud$L=c0_pERUPv?tGUw`~v7oJvk^Pb37c;m$NyKK!(?&oxL}elh z81WQI_C5CUj|W{ZexSTL&-MV;>p1dm3}^o^s1u6!M3HFLhQ9R(9RD7m+nep>7=`s# zU3b1SF@5cGamUT}9BwOzUi=%u$#E|ChIu>bA1`=C5}PStBBh1c6*OEEXoke8F3Wtx&Embp6eW1aW9*JNi3hr6Qp2e?10moiFA{J+&lL7^ zFBJtY(snbT`Wh1uFyooznF=F!v;Xg|wu9dWcG=Snd0Rr=!DN~GJuuGdzwXEZR) zl0m}!=VpG@(yhtYbUNsJp5_DsL4HD5J+FZ+;yG~3-U5Y$%g71el_T!#IM-kJwtfqq zSWB)5C5}MGjy!M+4cSd-J9AgUgYcj-@q=@O-s`sEMoz1<(IX7Es9`R1(JR6;lqvpF z&5c~jtAfTwh%1op6V#N@q`Hpbn^fc*XkHR#P0RbY>679WHZEn@-lf|Msg`E>3R8y* zhWGY$LWIj?nSG0~3tf9(5cYiRVrXd~rreFfG@SvNG;~CWaQM*iTZ1uQ?7Ic?h6A*E z&wW9tC2*}krO|ii4P6hZ|1VKjq9t>nB6-md`f~7O5SESU3^s)~z7f{>%cN5*!*3G7 zDok8gjOQBo;0ft`?Bd*hiBHEO8{BwV;@pIBfuq?W!KJJ-^Qsc1R*} zJiprmMr;;;^(Hr6+X?UOMc_o`XtFdDiwJ}MPK3U|2SbPA9h%KZ=a9G%FeWbd;#iVM z$-Y^?1QH9r^r(9f3LOW8!F|uNcb7_AUhW8FW`mP6jZ~ZWl>$A9Af&CynLMXN$r>>F z?bO|Y=M6cunsI9{3OCX8F}@OVg+gZjb3J}6L=1CP4;IL1Ls}knKQE1czHRyc7dEz5 zMN@A|D5KSsm3k6v*(KJKwalnDlV?Jmk+|6dR=imWB-FmvIPEn5K%jnp}7J zZfPv;M_F6k-ryy3kn;poPN^>`uQGRNWhea(=^x3Cdy;e68RZ4;!&@9%)e4e3k8Xib zLTWBf6|Jp*<0=1fyHAF^I`#P|!Y#&J?f&r9Apj{il=8sF2af@IzngSeB2|{i^=)qY zCQ3ghb|H96I6B{pp1ZP<@`(K5yC6IK!bbkiTmD{($ zUXd&5mrstvkq|88=3sM}Sym>uuGX++D<1u5j9qubNteiSsAVY}2jQ$$pTR+wE6GM> zY@b9L>xSU+rkz;88!(lAyUxMJAxQVsS#_;5$sUE?jrD-_YSY)EHr095Yg63Ie!&(n z4wmp@eDO5m$=CeX$o1dmHjjL@|LMNA_Swaz5hz@(IJUn#JRf$&3XmIFo>gA{LpmD0R==MK9O zDfH0;dMWr>@Ir{jK|l*4`tq6YPdepziR4Xdr`GrFbW7{V?LBllqh1@lRFY7qJgERo zb~NxiqpJ2B7TFw>$WEJKC|mk&-uiX*d_RXhChqf(HacL3PC1v~yrZ7dfR^ig_9E}6 zscGA79UD=<=KB^cJSNg!+RJ%nnuZ!lE?elAw;jm5N?Y%|)X-8$l zmJSX7Y_8oDyV*-|OOqsQ>YXu>0LvKy!f0;d+OEE`eLE48?g%1r&QcBYc@sZSQ!&rh zX;xSzj5Fsyu)08~5_J>auXDeh2h?tEgoNR}b4eKQ&ePtvBlP9Gy-hQ|yj@}Y^Oe7@ zpr(kpaVxcFZN2MeamH!Jxuk>pPE6fOTEDf!uFaHO;P-g)T89B7^ zCPKFk-|*|2Bss-?~Ufi|9JPm_aKuk#379n2R&dWU2( zhu||ZG_hn_#83g2dnk%m?Z++b@t^4I*;M;czV`h5j6gKdrKl7$vbY;F*SF!X7X}|4 z==cICUzahzzUgY_`nz%}Y?Di+R%4Mxo_>qf3yV>pId+l+)w=6FW<-|R_x^@VJzu_p zOFub)I$-Fsc7}iF2X9~cTL$x-Dr`UtCxl4jPfhGgxUGBQeg#UzJ5!u^y8V#W)iE-! z=V%~(CryfDVU;4}mNGWz;q=BVHs<#d-}pp~gqRrF*CDCeeye_RTJLq5he1!CQdGhb zw{g-(G(6z!g3z`nqN~*2j_J#z2IKuURXj!v;peNRTV?*o#X$mtXvfEr+(BH&6`zf@ z>Iw`_R}RuV879`v80b&Vcax`Dr))!aUWS~yO}{AlH1#8?dHuKVs_K4yB`YphHR05% ziO0RC$GWEDsQ4rEUd2mTf=cu8IoDB|O2%aO`G&mNDOzkH`b&D{lHFU+3rP0_LB%TQ zG0q+czBxhsO56R^Heu#OuUzBT-QF#LN%{{x?Bd!ttdRA$vD%PPAm&%XH{t|{@s#~$ zQQchX(G+Qdt#M{Z`=KGY*uCBDXWFaPw5;Sv1Bql&xZhWTok3n~)G04Gr$$)gA*%nJ zWqU^*TnEcU%B}VMZE&#W@X!Iabhf-C@yEOWx@Nvn4z*ebSL48X%T*aMyWzLZr%bBU zxxPvknKd;Z@1pX^ygYZ5c z|0W&AmWtLzbD}dv3knf@gF$~n;xMxStY%B*Wju1`&)uu4Szk;aehtfhQ5>fj>>g8s z8I6%dPNcfaCq-e&GojL`mT>m^C8Db^<149{q-gW!_tpQh|6vPAx-X%~K9SM>34dXT zb=p`JfKtaTE2o{Iy48Cc=HhN*(}!e)?M#0p(kH`KFf7rD|Hb%EiDb+;A*|ni#p;k( zB^IH4sc+QlI5$gm{MFq55alFZCYtM~^^8iBaOfI()9vb|!Z=BNf$t~HXuv~eEJw=Y z+t6v;&MCg4I-C`QvM!F&<2^FfuoOwq!;yM7n-92s|Hp;iDSx;KreWFpW{o;c8XE9F?4${Dl>ZmOBA9bi1Z?#Ag&@$dtc znntDC5$Qixq!6SihBtW*hkXY_U-;gI0N>|lO z@&N&M@{OPOpYI%Iw0B)BX#RD4Z=SrOdBesRPmaLktVUb&F-Jf9w2dFMvd3r6bz6Sk zH{P^6al~Sdj@-j^t3CkP_2JvHrN?|%-iA>n{jIV?1BVk8o`w5?#sS7pAt;k}z+~}w zswA(C0bNs1Wj7rFO?)zJHI$pJi{invF?1~CID{y)-P}GYgfy611B=2(LO0IV-h#Gr z(82uhDdc$1jSs2C+n#3+!?>@UsGFWmHt}`7pM-=ok#A=bl|b@UYKQQwiK^N*xL~S= zb57DepOUrCTrdImp42{wA@q(5pjc;1PU>-U_ItB?vIe~8i4SRt!pNV|)Ml3!{1CW7}5|G4KZ9^T^)>|0PW zHYHvWiwXtyMOkO5u%VXdHhQC!CJ1Kxp)`E$8a2n1z$!_5uINZTbuG0^u&{>wcDm@@ zIyUb(Pg;|k(U|74JuH{RreuD3Fv})UHESM)@>QSzzrJb%SNuYLuHJ+h2fQp8;Qcf6 z-J(B@m7W;(NK@`o4l*RV{~&%j5=(#_**#{T)w)H_&S}cDsff3+>BR)IK|zIJ_gf26)VP8P>tHRxfclMq}}cI#;g?9H5U5mrkG(+4U0WH#`NCbUZWp&ZbFLK{`M!N#PN*#Mt7e<7`}F09-=_VKN;MTzGriAt<*DR) z)j@q)lU95X&f4nsVu*I)0+7ope4fi&H9xX%?)U3jrA&D{!ZD~iGhi+xRafg!i+mYH z!8F-#q6@hnD%i{VHE$y@7xmTv92mZCK%7{!!miV{67s_UhxLN#dZ);OIS@DId zY~fGwJ<1;su2a_l?_VydU#G}VtJ~Nbbd)p%HYYFUCG@o$tR8&3yiO}VCgl4Z(QNy< z**{kvz9={CV;}C8-K4hE2Vv*uA7d$LJ$oebdP?nU|DtC4%~r>LSs{X?Up{Wpr+5M< zVQpEa)sbqy{hh&5jLw_N+h^0AcHI158Y-)2tO))s);I_H=Sm>tY~t)0Ue}^zXZAz` z5uON!+wQQ^3zd-YwFRa)S_%G0>HkI5d$_atz-{}ss@0ZKHA1bbO^Fz>s#Z~>_AH9p zTkKV;s6B&FRMp;ls}Xzey<)E*V!io3zvF#=$NMkj$dNnO{kg95Y-`KEQw4qc>SZ49 zjRmi4MGi#g7`FlF!CRpl4^JK?>zUXT{jxXpZC$_O-M|y2DLM)CegRw^y*j${);l#e z_0^6QF4PIAb9c|IL`i3|w4*8HA zRi%f}%P8Rrtx_kkssQmh*H53R$`zP^bQx1cqJM&g!)>u((1mt(sn@BqreT7Tn%8I| zZ~dBjfMdn!d5$I*`ZYUe-ZF;-l!fDCiG z3(Pa6_+P_i+S)GN`bS9 zQSZp`u&-BeCe?uyAE} zKD+c4uRx0LVU3-eeYx*hkaAXb7Fj`>X#b9zy|zHIl`4TI*o7uNJH`c-d6`3O2*R?r z$@9+r3!j=vw3>Wa-)B%k7`q8K5-c(Xv={h#X(``4q9M)nZ{VgRmsrq{-0!K5r{1qg zWH0LJgJJONNya5?L&oY7204}0F7}fRQY2f5+uVPgjtcubCb1s8ZErH6?y*_S)QYgF zo&23<+3}nQ>hWJn_7RXn_o*cfHR)uNN92;+VwQ5WH6 z#6Ipk?Qe75A!0LDn%g~D$jbj*oIcYAoER|z=5q{^JW066fkB{aoNDysV=9SVw?yDh z=*)9!zeoq!kzYDvCJxP=250)PkE+?cOD|mSQdwK?>A_@lC$w8qlGUfJC#ti$=L^_; z)5T>;M`+Q2Un-ksJo)D3bDYof?_HnguSF)Yw(7CY@f~r;i! zO!9)L1S4=}fCut&yB6Y_x#n(XJmj11nRP9+qE|0N=m}jMa^v%kIL3pVXMTI1qWgrd z!Y-7)^D}!v)%U#iX4nvG#wN|A$>2@e14Zml2~*TpKwwNu;L?-D+YqB5zo4bSmbH^P ztu6wk|Da|mn$xZ$kn5YvgGXn?Du;6?Ljg{uizBisP9Aq@=*_=CU7kx{+@+ zk~LmxB(^(QnBaE2EP?a1UN~ExoV?LJ=_pfFVaKB+uVLP=Y{s7F0aJ;)ZFsL-xZK?0 zb}+8ueRW_KYYCEkiaZ?CL0=v4W;VGe&&)ToSz=zn-HHo6M-?C9v(2(8w>GJG*o~XJ z0%$*8fC$^x&i(KA`)MQH{k13Mu_ixA zkIKBI2^aMh){|Ke-aB}ZtTk`iKVz{bzj5iyV4_+KkBP{BI_>wUrz>oe5fF@Z67tlG zI;&m?hc?laU^=&)nZq0&#^Eub>D4)1P0Kd>2hR2(m?KHP8khDdlv@7}MH97T`YG=6 z^=)9=3DLs~aco#uXnFW)%p8Fh{3=9QY@ORr9Bqi ze9NQMbElOvTqFk@XN7V@Kup|To(pfJ}oqdO0n*kn+gg;eeI*hXUIm% zVn~~|ZW2>|n?sfA6qoWe9+X@LpmhqhEBnWbgB?CyY))qz=N7J9tHLd4Y?fw64-BPT zn-g*6gTy>|GD(XT#rtP-NQ7(mti}lBw$ijm6!v*a@=ZqkpUnuWdb`O}?fR59PFrZm zPt^>4&i6Sa7;o`5BLBLFB9kHg_SL<4BupjW2kP-=pwWnjnV2S?HBg!1toAGO(!S92 z*}n4C`=LCa8?xo&79Yhc;~x{Bob{`dkKAb$uk?T5MrVroKn%VvHT zHnp)j8SJpyPkcuZwU|V+YRQ{lrdGx#%=H1;3xt^^w>e zU}BusU^9mYd_8;)5C8|FRe0K{qe_1B|Ijq?p0a&&XW*G+yyUHPsOr9TzfIn!;(ptO z?Q8TE!xGwStIKJ}zy03lm4u7e$aGa-@pbZty2lgxN+>jgD3A{lv?zUV?eB)S&xue+ za`^D-Qpm_-s%nZSr_$c9H%K&|gBxcpiu}cVSp$k3=o}rcv13h{s#7R(OI&|xqe^Kf0lC{Qk2_`x;WFLcx7v#<^pmAF*>w0C#F-=i9TLdvxLE3tV8%D1BB!E zJnPY35FynIqP7>V4jE^KTTY{l9luznrD-Ck!+-W7nWO-_zCjBee|@$8d5xj~qJY0w z*^5ZYdG?3gwp`acPxvx30#o7V-z1Ek6`PS-Y3NzLqV+Fpd>lJc?{B$%j0LzSW$7ku=xdLRuqz$Q5$2D)q#rSHjAbw)v@vi~)mpRPz4suEA+P{@ ztDlY~AO?p20{3fo*?8X`y&3rfE}>lbr0==+#hc16a=GT-v$1EJXa0BbHjnfws7;XC zx!FvWay@#xEf^R@G(>2!MsA<)*ww2N7;w33ZCzmAd6EPa228fP-N8NKX2$Ot2Kht0 zp`gbb{m~BrLf{v~M=g&A_q`G4{``meCMnC~zic@njZ?5Zsk`9in}fEAOJhN`?GtSz zr>oWWC<50aWL~L{%Z<>5-slJ2yOrRSeiHwGJd)BbPDZ5Db=`iWXICfPS)hYlESRl zl168R*tKjc6-N7{WmZ7YI79Fu0OLU1<(%(I=eC!vlLFcf%q~}_K`2Gh8*%{XeJ&SS zQXRis+b`lo9ZQ2GAtTzX6YN!DzL%|ktiU*Ss%VN8X|G=Bzjvc=OKIKBKndQJMO^T-3HyWYn;vI*Xi|JtzEuu&nL*(|0r`O?=J6h z+`&!k+P0}pDaeZ03;0&>{mG#z^sHY*J_YCYF!)V{%Kom{jP63m8lI?0b52y5vMY!9 zA1S~&80}=Rv5+UT@OZq&M`t1*G5O)_e>}v2bIXr28{HDp`RO-#g$&@DpV9|x-P4sP zQgwG1wJ$W-r$SIJW6`Q=_bIZyKk4lc^D#|*0q6C1imJnC#wuIZifJlE$%M@-#sB+G zcicUJk02%wz#kGL_m0HW75t||t6Wi9@Cm=tl8qFLXCng&w72zWZDY25EmBj24mM#? zgy~R<*+23X+B^sL^9CrQBDv=YqK77S)D|M-UQ~FTRdhLKtkB_$d z?lo%<7E~ot#p%QjPQVRslz@$@3bWZ)V|}2T^Z2EaE3R=p@kYRN1_uOL|DI<*$Hbv& zr92)=6JPC>&vH1&sYpnsFrH2t-+iv0@SII?e6}ziIaNK#ryqdJ=Fh39{Py^#QM?-> zmL>l+B2SouqyGkvj3F^^l9K%LC?RjFh}9;3o3`v?*n~|nLae1(p3J02uR+x&HP`*a zsr8Fo*l5R!$s6Ch=<#Nmc#p3w9O*l=PZdQo-YDL@J$`eBMuyO4c?NGARWFv!6p+58 zZy9C4%NpZ3xjanCdu}U^?&Sb%bDzSU+cbxzPc9r=k9BUR_E~LS+7lJ@K9D`Et(8io zTuWLeCrcW|Z-2dB@UZwLs5c;)5zi&BNd_;)?H7P(RwDIdSq=*h<70YC;-_-kk`n)8 zgZ;8}u}(P)7XaEN=V6Z5di!8;mtZ=2A?eHLhxcFns-(ksKumr>Uv_3s%f7~tUe>L4 ze^8}&G+lY|fVmsbV_oBs3{~{A<0A(_#n6UI4 zR|i!Gz_ujvAodx9#w>~kbtVkB)yx?%rE%bS%RT*R4NG(eVyxj~FRgh} zt>ZGAq9C;~1Fo+O?uPj$i=y@|1?O~sT35K`)oIH!AiJ^u)HRQ*>7D)Pip5@7q04GF z_5xOjVFzeWRdORtV)MlruKdek8H;BqJ2HXW)$f}gU{wPIE?|d?HoTo2+$#<8OE{B-#TbM1v*?ir&qqK>Q4}_i;r>OD z8LwfEjS+JH9ij(S8#{_Xwx4b2rNIE$g;Ni#pT>1)b(FG9QP-Eq zPi%ShVybGwYGBQsmWAd%yK8JqR1$>TdIv&pd4a^g#M`A-D!k_wXLPm|u;}|SadsFL zU3pXFxY?KJ$m+iJQgIqG&@n0N655E7{Py@23ivPBM3|&7W$Fa5AAXwgFz{0hvlr*B zy2^-O>gRA_ac;^RHpoNE?85SpyfY9YS9UMlyA$?qwY$aA^GDkhtAdWMs9V*xPKs@T zNH^nuw?Dw|!pCAxMx%p_nk4+*w>7z>dg7zLw69D;|6KekkiFPzTHSL;t!=bR|DnTQ zrk2Niy-(k-q%mBy30fKMPuRGs1eE@6JER5aT~3%J{Dw>r)P02o>_mKj1-i& z{IZS%(Y&xe3WFT*QBvU(eYa4L{r5_kkZDABQKprtOBb~cVh;-rStOdr%Xl0~$Mk(s zRch*5qB49)$_`;+#_uJDUwJ<950-vUwr&nEWzXKIpf&8wYA=;4Gq)1)-Fybz`n3@P zRIXIVYr?<57h?-8yCn4>M%l?kASV8Irw2&+-$}K``}biPqV7s$05BJa=#1(o9~Mk1 zrV8Yz$Ej)h$*{=I;p5piuVS#Z0fFXUbgPU*=7nk6yvq*MX_1o^%q|+(x5ef+#bqBEnv;RLkjaD5KlyD^ zB2A-B*9s%NL?4gGiEp@*o_L6X84GtIjx^5Br8_*5H)*I6Ly{$!JPEz)|Dx#o#g5?L z7gkhI^lxZ9@LD&8;{Nk_6Q=y7L|h$2PJi@1T6x7J{yz-%@Hd_XyT14GHB20^Y@$84 zOWs;8TE02!f#?slY0rF12%%|##JB!OP7DY7zWu0R`#Tcjkg~h3FCWl&lI6;?g{aP) zgazQNML)M;allhA5%Y7BeMz!;bE84T)IGs*=}*rz-uRFo)?!et23BGNSm2<%kHpvT zXCM<+Lh7e(I4jX?!IEy`GcX<6#KWLU)$oUL!8&F5~Nbo z964IzWU#Q1cV0hSX*0vt;Dip&5OY`kNe6yaD6dsZC&pGNe{n2v!{WaCRWVcCK%*_4 zr*Pwo!rsivm$1_nuQrv@5s)&A`+$cchPmpz$jeL&`k#R8c8huLp$~D&Zm$Pe+;OpG8$@hDU%`LEbdEeikV!6os3tn6O2mj(5g~n_N#SN-uG}zx5{TG<2 z-DD*%>=xEef4HDNOkT#NjI6qzw1@M~-*<&;Zgr1!xu8)`6~T#b1cg}@%jTN${?(J7 z4WB?NkqM{q;%A&7(SRJf!q@OOK!g{!&X*lm zJ1eei=jcCP1Uv%saNnHmcM0|A=$fL93XuN^NYZ4F(OWXODuDM1h35@qSnNtj>ixD8 zWw{~y4d=+;4PB@E4e&gSoBReQ|1x-m-i$qK?+G0_HeH#hyQNx>KASiVY<UvtjYZPwc6V`pe8om~hPaF2@8V3JvbL+=?2$`8(LCoAg=J z&x6Vpy|PV42R8xij5Q(~^-VKne~E?6$MCb2|-)<|XbLw)IXGXG_Gx&Otnn-Kc31dbyD7sqNef6=!Gn*d4M zY!OX0U%XPo)k9$GfNWN8yCkJ4}KT^=B*w;W0g5-vF&J1KO#Rc@wtepR-K+ zb&>0{cG!A7I^M-akex2?1Vc7&1xs#uS$aew?Pi11R7MIvgw@sBnDAsXVTBpYCMwR+Y`c)7}&1m zv$GzzD0q}b5)e`BsKpRA0F52|=yZm6-SpmFTcgtCJ(bJ(*Z(hCGi@=`#>Gi${g|dWk%*UZdg$dYx7y{Z@12E_s<7wcwQWSgy=ZFftQA1>r;GsR~!!i$nFPCMC|h zn9_=d$mFg?e0rA}{ti!I%v=!$S511+6~gEkWd(M8k#f=#O@xjW7QFi97<~R>19q=! zR60`VdNVwLQawJc+jrf@8H`+Iy3SY*%TifzBg^055J_Qie6olNBp*~>B{q-rpYoR! zwJgmrsnZEq6mP5BH+~W@W=fne%zT^$k^i;(00NU1#Nnij3)QOAM03YdGVGz7Vr{u< zNn~zVm+J6c$|`D5XgcG&X_mR2km&AvSvN5>)(zF-N$7vaUG7ko&?7n6(?>Lh1uQv& z_57lmv5jZ=P0>;c)25k+eJ|gjzuhT(kqjbN(_*XK30Zx2=}_OT(tW_*nOy7NUMnwe z-Bj`LP*O@@vLz-Ri&xFFRHH?fDQ@A>c*vilR&977XQzUEZND`wkHkO6^NMb*xsFbb z0ON)G|A)9d-1mNUL_|Eg$>)rwGg30>9}tfSd8S)bD?CBgL+U$Tn;l8CNTP}9M+v19b&5r0!2WPo;J}-ynDEP^*xde`!Ib@L_XpS3`3Ggw zCH!pe0yS82Bz0M$!HmY|67G0^w*Lo^AUao~hvrRn`rtaxM8ID_kQVg2hyLf|j6JRo zgwZl|`6A!=14B?5@!j^;FGi((>BckqLe0T+fQ{!NBK3;bm>!qMXUaO;x%H-)k@vP4 z8<=8gcQ``^*^BKLqZwMEOp&gg61`H}9-@5U}aicUv&d*)-p@^n!eU97yo4? zMOcIGIM5*liNTW@vFGnLT`Hn+{J`#6d1`5#yA#w3Xc9u=7PT7{vr7%dl{`ocT>{b- z!}RKeC`$UgA0G+rfZQE6-+Qd*^G@vY>B zh+czzBIM8Gq?SpBe9jW{*pIGfAXYZZqzld7A#V!`tVpHN90B{Pim;{+<8A6_9mjZN zVwe7~UK`z=8_Jh3Abh;aDJf4)Q#2SUg3h*b{nh(W{Z?_M@D^5QIG|%(HvdBVo(AN~ zW+X728K=B6(pNFDE8zG*LsKto|1B+YbG(P!<>=2PvE`9UJo2CI zX4H5rKP1^OT~z*OK-D{{VU(v^N4!g~%eHIu}1n}V(J;UyP`dlyjdTZ`gl z#*|4fP@KPZGNAP}z_IDI#I#|e2Pdy$@23=tc66kG&5GQd-(GNrq~Dvw85HhaxQ5_4 zVU}nsZ2UC&kKf^ZlS@cmk-D{)-f6-qk>pO<$;r&?hh2I2qHFuUXI9y$OMDlcXxc{~ zQfXeMLuT&%4_$m3@Tgv3P4F$3-p0jg_gp;K<|lA?#_^)(4T_LV30>)apE`MOWmxa| z^~?~Ii+WhBly{RFIIUv0Ky+Sh&KMo>Lpmc$xl-3YFt0vo2%1RzCuX6HItPZemT(RWWd0hCz^#>A^imIKt)r7TieMqePtaPO4p|Rr$PQ^D_uW&i{u@ zCYeyVF2+7kSvV*RSc~meH|lq7{}s}uhSfteB4aB8hGsiqZqD4P(*RvZ>4XeM>tPK{ zX|>>?ii3j=reca`Ip67m1*5PfS|@QBf5pAhvbapx13ISo>Dj}s>g4cuBTv?KaNWsL z6FcB0C{gV)KnbkJZ>X9f)=$QNy@RG_Q=XtMNk;m;iHyVTD{=q(5KG>Nz5MXOTN@kn zSyUFrZM5G{Z9#oT(6E6l3dz1z5@p#P_*AM+=Hgrc7qgiBM>3W2c$H3TuK7!qdv@FuLd#0g%-P>kxh#N2OSSen2A?+$7;MsA*gq3Z52+NS3r6N*un8yjS#TSq zC^~p$jIiTUhTJ>;8V||n$v)ip0oV1VTd!Ou^NYK5Kuf59<=LkPz8#T#^!!-i7EIG9 zGE1V&+p{;$ji%-<3aRU_L@=b744If2INc@Q8ehkVTs>hKL3O=9ea@Mtc6WXc#wq`` z9Z`d^H+?Bfa#q%4aj*|-t`bn88l2fzr5%jQ;= zdq?N-*^M;`d$S&#JbH{TJ~1`(OLNQ zWAC(U;`0~V?1Ru%BFKw`!RXY4_O7y|aOD20%(jCue>eMgF!f`)Ho9%Q!I;kdEuX!( z0du>b!#A}SL9i)ZEEK6Y8uzY0Bc{RJsTm{z_uSSiEi1&GuO1de-_YFMMXkfK1WbPV z3F&COA4)J^DULh7qDu)duFpGP7t-+aQW0|s1-&H}x4Sp<9}kH!{V9|!{0wMeRjE9$#&-05>6WZx5cPlxxoB1uu2JCk`KJ#_{>C`7h3~BQc5e`Tx(;)?xeKRTpx} zbEO}Y8S{JBFjdYsu#Ndi(1S<$X|XVileWEW%IvV@PH5jpA;)E9$~362A{p#M3h{2i zX0wd`e83Ss>ZsEbt?`2nEZ9S)1YQas@A zx&n4?95X!%xu6hsZM>ktl)VS8y>*M!+aJ119^1KDRIzoC;d#t%>)uNL7>L$89tl|S z{ZPzrwlTLY@BbfNtkG1H3o1?Y+Ay}h-S^L^%qo@#L%(n%na|MtNz-zhAZ?6LR*}8M zX1VBe=}ErJ>la|1;7f(s7(4-)yK?meQzClmMTf210E|(+7X5;Db{bEovY*n} z#q_D)=L;J#YiejxMNwu6sSyg?kn_!%piDP}S%JAIbm;DI+pz_Uu7}F4C20s9#b_if zMMwetMP5K(>+37SOPjundy9qlW4(z#a|E~{NonmmCvj=8fazP$&wT?waCX)}ILlu`X{C_t=ig zqgP6*u7R>1F380T+x*N^N#nGc*f9ySd91kuX;YaPVYOwkzw%cU%RlQ+iu*>=|E!%g z2Hsgs@55q$?^5>Da-W5JH~Y$`2Vb$t$#`5fE3w+XiN$_cKoMFontR%(&U<{h6ff*k znCF)}GUJ$3ZQd(!TO!74KmECkd%xBTHAyJ)Qj4G)Oad152349BfYD?TJt|S+UoVkkyaL7a?6e?+<9=;dA}>XPT+aWPLJ{-_1%pj z+NNK`RY^5NHNi5xEg|Oe2gy8}fcqUtQO{k>7{MDen8K+ zDwq2Y=?5Se{T_P|heQfp%Kbphz+h&<=1IicevvXXhwP5c$+78=yb|5ALrsRkDX_-N zan(2E#q@enMDsm#F%|Mqdh*Kk=nWciyp?Dopu;x5L-q2Oe!yAIz{X=kco4XiGr+ER z&}1#z7M&`JJ+o7lqA=fcn9}>${S>m9nrA=0b9>~}pJEe*+6bwnE^WRw=Bw3qtUPr# zThmvUeoZ}`k&itkR`UIY{Ea9`}11b_Nyx&s0b{1f&%d#uRF2^b_G90 z8SRF$?Towwy5RD5JoJeKzch5h-lF&u6x9=;Jj&D&Kqs8?NhT!+dIs!wL}xON-1T0D zPq#j5E|)d^WMa^CQZ~-EMTkv{_?s=6j0R2qG$n!tWbbS3Fo}U!u#NGr{70!A+*zKC z&F>M8FglKztTnz|eiDk2)O{~Ct_p}g4C0rN;_ESap2!faHs;5I<}=d{rhj637tZsI zDwv=@cgs~)$19fO@XKA)g>hFwNfgHx#W_o`LUv>}1F;)2L^qY9-Q0_GWKF_P%ETh+ zQR$MKQvyB9Pac6r1mPhrj%aKVmO+?}WP{88TR*K-|o>F@r(az%OboKq}5!c#GreNoJtkEO(U zTK=my?7FF*KRgGPIeHQ%8k%|WRFk!q<(3%LuihzEDE-UR+SiD$O1sRQjyNhq$Na4{ z9l&XDGfpZb%RRU9(>vZAI;HqqrA5dDtBj{%^U?ZJzY+^I@c484>23=dpOErECL?Mn znV?0$qeHJmKWUFv*oxg{PO@5kr5snd%B4<2o7mtRUYl<#0fD=oB-MqDoa6SVS&E31 zE+LlRmAD4Mr7UuwoEBf}f^C5U&yD6v!i zc?IYwQ+3d#tj?41=f%-Kfq!lLdNW>k(@t4QFZ1#2vIE%=%IK^H>PAZX2G=rRTTV}bTgg`5z!eA1G_Pivo^Ju@IeFM7X5 z<3Jy`e5u0~l$5fvUKm}QUFc`$IX;#mta2o}>%f*@t7R7U{dlBF?m=;xbb)<)<}MWe z<>8}IbV+FRckrtxlXDBgI-Sek!Vw_2_8qZ3UGoO2lQb9ca$nHxdA+KRErXr*bWWjU zs`i1N*?Hb5K43E6?;AHkc3FAkoK=^2JHEnr049DDjyaC*x%p^Ew0`rX-Vqu=3dra^!OOpxP_v#AhWO_e4h-3m2V-T9OQp_9a6`fgh+YF08;M^Hh<^8D1 zOTHQAn2Wge6njl=?~x;J!V!i`o?Hovx0eR%GklAk&2{q20;n0WtrhkMHT&d5UgU`>Wy z1txzp{J;6z%+TujYS6jsXtA`1ZF;6|ICc@i3o_g&SrTQc*GBVxZgu!BFTjx%t3_+@ zPJpTw-6O7FO`+Hk*aN}XJVzBDM6Mv{lD&|s3C1un(H)2ya z866Vx&50@>c}Ih;Lj9M}3L9U%3b{od58Z{doArt1d8tGeo~GIrKd@ zOOCyjB4Wnm+4=@+jxD+3_pu$)bVZq#-wcyFt3F*X{^?kcF5WCx=d-wtHv4U`n2ju5=i!DMmXzy)D*4PCO#Im zk`#YZdzN08aDN<~(&|dC2y>@-26Qaw4&YZky%}gHJFJPu499JkWe7YbgvtGM2%<7s zor%enjxQb(84Tna9iHyiqMMQF6^iHp0VRUV$b_K7r0w5ufY-Z+9u86_uq|yA%-@Fq z;gwrv4Gd^=&dv$+VmDMVjX*!J~XJGSE<_oS;{ z3}I97W&jG)ihWk1ls=IlN0;%F>rX{}cfTXQ`R9WKsjpN&ysqXRApsCD_{10ea0Fs6 zsW{oqVqWnYUI$2Xi16sKY<16{SO`cyL!BnDZFo_6C#zVCoEl&T8$l8xEJN5vV$h}f zp87S$VAJ(5;htnrF1 zUxHd$!kRRTDqEB;SpU<<#@oVjA)O1%l37V#o;vRPY`F;rXA%cm5ZX-lLdp z)aEO1d>RbH+c;=K*0&a-|J{lVE)1arP9x5i?7PX@mYaDs5Vwy|pAt$#)HO$! z4k~AkQYU7vJxOdsV9%3Cj*=sYkcGC)uu*9rItlwvfb5Oz%*zv?Wj3wh0{z_ytX323 zw+b)tcS_2V#+8r|)0J%MW>ttD{%d7G8ao5Mh~Fk%P?kJ|DYG_${wfU4>H?A}jXL10@5lm9Qrw1R9; zLmx3jss$LAcPZ6W^&L#$2+@^JDgo92-zn%-CpZtJ<~YnNw_>dngS|+yVPg{yj~~8b z-K~CPxRb*a&n+9y7R6*tFwKFTQH2GBCY0iBLJ*n(>&{jvF3V9UdALj{&cWTFAEOx+ zaGQT(BiK+PKK=JVxG{6*OY@ zW)_P-*Sz@~6ICOnZuh3voYURE+bjc>)Z+!VPbX7k?F{Wa<+K`;s!l|{2RH{r3-2~J z%pC*OmKOhDNP+~B9)tAd7BGM&`-+3Tm5d7)M_|=E)W=n<3r8|lS*yjOv*V_OCPf8 zRag8wbP1?H81X8C7WuRL;(*P$rO`@nS`8ofhCDF`H%zIlwPmy9r(-{N^pt;RU5MoO zXs6p~M*?Vpx9|dGU!&p`$I>AdkT_}sC_GuA{_*@)?ct$f1>-vEu6$a1A99$o8~EYm zA|d+7uCM!YW1G!R4Clq{WW*sMn06i1OePjTRA5K&jV+jJwmw27V(Gg{N)7$l#zUT} z1D10TzOR2HN|V^D{bx7eM@%9XSzV4$YP|}MK@!GD$fST3@aB@CPVwW&c?hg75PKn1 z!IVk-eE5X@@CrLAQ z*_%(mw>HIfL26AW&*u+Q?ee;Tgc8rin`r#U$>|A0)vG@*P02};E9 z6iyo$w}E+*r^NcJTwB3vK6J5GFq{y)D2F5JW^TR$JoQff>=zK2+;p;a>E26#<3Cw03Y?e#zAlpT1S z0MNnTqs1U~(R(Y0Vv2@cUyS{R43rGXr>==kp8+jmyGa=kYaRz&&e7&9rT&@`wiCwZ z7Z+KcqdVe7xo_(nJR1%!(L6HD_BQz=H?Qy8@yZNs>tYCz2l*oQ627)|E3L)zDv;_X z=-C_N-Q%U+0b){>&k~|G6m9=*+}+hd%O4ixApGemm#1R44)dLyu;$Ffl+}b0tb61o zvON%QSIql&cZoQw?A7-V;*6CZGm@73jaq4fei6Q?HTLl)EArjB$|R(HcD0mRaq^PU zfbSLVu9*8bq+TY^e~s>yk!@>9&$#+GLae1OLJ>vA#{wok7s>wfDW|88=V6&X{}hKj z{5G$*{WkMw!Q1@W_o`(rOc$ zs_nw{!d8=MCK4x%X{F8E2vUO=9Akd-7G((AupPKGsN7Fm5^B>b5whs13;sK$wsWBQ zH<#?p#y(}EsIm7$ExsMi7k=4;`uYT0fjd3emNm#8dEW_bfoj`~Gmq@I2TNN13wve_ z4L<{183pM4;7u{5A3*~pT73!26FyL1GxBfvxKADhMwMg?xiNMi`)u8CVW>|;tL2PD z4zF=i#Pu(`Bp8I#u20uvxUKR0zH!N2J!1?DxGeNnODmRb`_HZsBaC_BGr$x%w}JuQ z*8XxGyBd-Q)(L5PatiKiuQ*&!yK!|u7O_alTD61Uc2P7^8x1l%Me=lH6!SA(jVU7z zG1$s03(j-WdED3JB7x+P;haUfkC9}#49JkBqYo!|;!-h%#ha`6zHkJS8@*_}cdD%J zM-!*kUzjv)QCLJM>2v)txCrqzn8Akn`cRk~9bx|C0%hd~zL5@!W zO!RBq)Z93yr$0LJ`irhMO$h!?yauTI+Sr{#y*lAgpsc6t)=25sgIf3O5y37lK6eg$ zV{b-UexXys?UX3RhW>{2yyqoKxOd_*$yP^o?`H{T7Zq6o&#_w^yZ=MzV&WlNzem-0 z?;6etwBgNh(zM0(+cK(}nYe*W-{AFixg$GSy1+Ll zTNYu+2GBb$y3Rj};TYKkqK508&4c+z<#tFBQvL-bd#}`j{p%`znd8pWWtPTVFKmBA z6CJ1CNHJs9m6oSbD}Ck=Apj(kXUnnY`$YfXz87x>!?g2~iJ8I;gFxO2qs>ZL)op&) zN}efh(o*yOu&_IJ@tju(BDB?P1fAl%IJ@!t4qT?d*lp9S0kZ)XQ z>2Okb&@4{P_CuYJ@VLYkCVUSAyC}%}7OV_5y3L^jN@PP4>jLDnbKBg+nl= zG8$5{haTM30H0zy`n>sF;N>A)S_qlkAs zJmy?^%Fj{I)6f2-HAO_z?w5juGC^HKsfLuRWb13&R!9j~0qa0d2y`=vTGChj**3dE zXFVPycbry(MeXT`@LfJm^G0Uy!{d{UA@b$w%A82tyTjPeZrmG>{osa|iug4uj(%I( zh0|r}$n<&TmofHSo>w22ibUwp=h`=Qd5(rA=R#|)aF&f%n2Q7+avY+nHWfl7n|C}5 z8F&2D*j(UoH7WRtwsofAiX+Z3#WD5@d^_vgi1N6({6wGeN$)|fJ)PK=_f&KzUD!UI zPCWHmBg~e2?y~{Qo%sJl4Ylk%>HWA#sY_xPB`oMQ_1cm@;hTNbRMasK>_cCK)ar&4 zhVze209Li3o9##-0cAd7$Bk?g!R!xJu~ZReL0J^OhM!M8rl<8hDvP-_Zcs0vc$upo z$(P@Ez2ahj@;Pw*dk;-;1)SR`L*Vvk(Q^njIHCvwFD|I7c7^I7A!lOl(vQd^4lYds}6<;0F$?gd2suH`%7?NF8VKf)hq7^{*OWFRq4 z0JHf{GjNC#XnTaU;yAWrIpFP;XurilInyleS$|7P`tNx-`Z3jI``BvhxxwpW-n>Cx zziftqvNrr(&+F2@eQV8mWn6!;Qi5BuyHZ0htTqg)F?{}@ZU$A@Ead;DbbxU5{*@AQ zTL<-7dy$4d!${Wvc}V5s{G{#A`EZ4fb;~>{3?r1KF)uM{`mEXnKF(Vz;SWpJ&Z3Nj zGt0Sd#Tqy^s2KlQQJ+^?V8sBFQs$3U;==DQeT&RLw@uE%sZycM@6}<-Z^du*acAulBgzqoU(Ygub%|VBeYCXIErzipXwPiY zoYu#unhPon{=`4?O&`XSUxC5Ru9%#%NzTjus5GW@_52ft#NScz>?;gsWv!_NpwG6t z{4`vxr8;e=I>Z@^6yk2Tt^Hc#9UQD-2>Z?CEUxaJMZ94(q%d)mkL|D~6(uMR`b`L@j01x?Nr6@I zg&S^yh^;d+RR1$YQ&^UjoRAtSI+ zuW$6~tyOM@M!mZk$5LZ;ORwcXksU|rv5$0;&OcwsPx03|BPwKnGMrqnR>ej_0*hte z$C0OnbGvs9vo_ti6!E4hxkO9eljpEL@XKYO>3lO8B$3k+!Sd*4t zv3?q%;^oG#A&->9;Bx0LE2nvNE^YdQzvWpE(`md407DS{ZHgs98~HPE*Kz+IhHIZL z9+w5)s^A~`C%@8oA6tm|CR*JQ@2P?DIct>x{GfQKe29y1QAy|FY?c+ljA|e$`OW-Eq^HO zS}E=M)<;n~>d5^1{Hq!I?iM6??n7mws~|hfSfpESUJ<1t#-&ja6cP>0D2EGDz0zwp zW5)L$pmI09QceHD{}aD^bttA!feL47`-8!(dRlkq(@U&Iaj!~F58TLKh?`LY-G99f z?aSo}rc?J$L``@%cz%wTfiWZEoonXga!8y1n{meXN_Qx}U0ITdkZ z-*WqbKV=qE5tPLE-cHq;L~Zf0+_hceW-Y0MUAxsw|JO7T?ynqjR<|GFC}Zx$AIUE{ zs-GauuzhF$pvoSN2I?pQ>~T^qSaHPapFVD@n)_q-4sT>_-_yZ|k&j&bq+i~@i6-t+ z)OT+TJ1L@*H7;}`#)s8cQjmo*!HXUk{?1uk4}(~V3ZjmqD7DBEyxhv z=w(I;lIXn~f{5OG86{D}2#H=}Fgjzj!FWCEob{e(t@GbrYw!Q|Uf;E^`@XKv)ysWB zzbCDCG5p?y!*FqWK;YeVqH<0yo5}1w&4sv9Ih{2gD8T@g9Z?79=0!UPUh^IU`H#6O z>E{Y~WnP)#qr6a!j$^MSzLU24fd_Iu)ymSsOLdKlb7wljCl;)qMILUrz7;D`QsN6s z!j_%U;_1Snvx%ercMT}cS4$w1@lgDq^Gb^buSv)|-MJ9|mOa^e6*=ugKK=z=$06%u}RkcfDZR1)` zXdA{H*KGmZz*NRg8aKz1%drTB(LDZodMxjgF213-<&F*$9}(UFB}{LnvIgs9p{OSb zn5OEbnikxxc}Ve_SwZ6sj$$?T8Z`q(xpDSzd_5(u!wk--?Vi`lOR|jli+95}1SJyUgc|8fIbTR^toPV{THfjYKpN zX>seB=~`z%apF#b`QcSn=Oi@II~y*t!~#$Kk_SrcIDqO=t$3#}wXcy0bEYBJ??3AU zmvj{C2G#xJFV^$+95ya)MM;>wpvn*u{+ZUCT(z8QJ2;_tX1ANpwNp@U z3H7|522gX@)!{_lrR4FjJ>&U(6r-}8zB>Zk7Kuc% zL-z9CblHtG_KyhthLq_V2DoBk1?YQS|FA(9_<|G?D@g3_5sdfFle}6@pw%UT6$B+! zRcbNJM(6VCMfC5PARi$v6P&0qbb8RE*q-~reL67ZoTcWE_;BzMVepvN)r4>=y|`R5 zM(ooh>56RdK7H`dTbB0uvvMW*6CMQpu~UOJf98?t)XFcv*DMN$5tDtm+2p+Cu+qi+ zSWcSDUMIn41u(*W`S5opy6;J*W`j=K_}LPgS(>P!3os zGVFUV+psKq;&YGb_O{b6_^@cVSjmhRAc!cdU0N6D;^247QNlvgsziuAxwGQ}ns?JA z78HC|*c@upfO!f6FuhHK_vkij z0p#U@y~rKMtOu3X%+F_db7tCcI<-A()A9R$DyeKYV4fXhaa9=%_dW6_6GOk=DGBZw zF|tTm$L0Kcd39#&oz#axH@K@uBy4Fe;tosxe$>X4YQ{Bk#c1`i%V;DKmD3BeEMGP< zjlp$KHVwLr-{SR3>VCwUgYP(0pj@$pn@c0%bU{t39d>a!f*S22{?SjeFA zaM1{y97O`jAh350xx<^1>aw98GG-a>bEX!R*@}q1QWts4zR@B;C75nEHhxq{~ zfznM^I5>JR^YN>LLY6nW1wp?FNz(0N!jW$_#<8SpT~0l@_=Sv&c2#eM^m^6jDQnJu^1;z z%4O7)^^oR9zmcn&J#504#Cl=NMQxL9)lU8$m<`tN(=@LIdS%>jFk(Rxd}hc>-y7k! z6YaaHH9v@cNcY4etb{%E<>gxeJc%0l1@3cvFKCrvxAj-d3kc0fG&44DTFrDX>2785 z_9(=GT5?V6wQn)3&~{;o(<3MRGEcWjH0!sB;dqS&$YtX< z3my${tY;}%xb^&ig)eXFac|mAI4D=n-$g7#27`a>nf;ACXf||P{-{Iu>}?qOU53cA*e-urL1RGEu)WZgM6KM;Q>^S?keur3L#>f>kfT;q`Po_ z<%YaCg1;-d)PFTuXAQ87$Oy`u^0ac+krT?q=+zP?t%_tMP20NC(|2bhgfu(Jf> z;-^Hh)6}>6K%Y%T=!_ZW?ZTsNaMCsKbMgNhFl%>9Udk@H4gajF7W z-rM}am0PA9y`L2;)%<<@ot?mSlzy`;vaCfpIJY)8_{Xq;uU%R~Lft3Bh=rPP>Jk!7 zXd{}}{3ZRrb=fs6ckWbgBmw&)S?(uRo>ceVd=86QXR(_n(t3jStp0<|ee(Y!;kM#K zAa0~Lzlv5)c1{#pT|TktD*k@e^!U1hHN@|oXfD%|R9@L)mEbwTIR z*}VIaHjgHvqZ3uswJK*uaC9-2#$^Q~_{!wvoCP>RrLnga@nvkr)wegk#)+s$KocgL z-_Mk-#!*Gayc~spXiSzi^fL=py91x2#>wG{xwr*wsy4`0s!$c^YD{MSyzi&x2;+UW zxff2?(n$$_^sej?>ev75463d`sOo5VYVMqSb3J6c^!Gg%mVNIVwvQDU!#i0Taii72 z4jVf3_%1Qj5^Q_g6ExzDwFs+$ksrf^NVZ8__9B)2ty_Wr+|^W4@|No$Efeh{1|wJh@;uPh{3G!I`-5^fcF09Zrxdkj+A91 zvl{6jtu>dPi*-%uyXQYOKYGt*7Ti>y`XbLK zSFZg|{Wz--=evpb2?48EC%tclHLqVe0_%Sar+Bcw0Ad6z&UwreeX12g4^!9d-{_sm z&RqfYOn;PiRX9Y=+%Kn}zph^lLeEWfteuLu>iFWNo-FN8shFYwd920Jmt=vtTdR`- z-6}GdYk@!3`iWpt{jdvt&HO zb-D5cOjMn9-cYE1PkLNV7Poek>o!Xet+`%3~3 zx2F)W4{}+IZLU*^W6$!=rEb+g4V)C51$}{pOLzQAooSlYqFYn<+Jn1|=QMw%u1m_u zAo!-QeQT}+$xJ!PcfaNB|7}Y9QY@i^_g$E|YbVB=mE0G7tKQ+eUmWOj$cL@JYo$pO zF}fSM)hN7~ZZeIWovVIDtl;TBw}3gSReE$c`{+=VZ73a8&!L{-AX^8;GYn3j3r2C zua1oZAWJt0V?T4%9h@`qwD{Y^pyRhVqGUsMB~nS;mP9C@2#^X-7$d*>ZMRGdTl}Hk z2OXxRmGz9u=fpc6xIUOH2+ z{)ivQu5bM)Rk=^890Tj8Y+S#f5R*A;f68R>nIkSlY@Kx1Bv-l6a^{C@d_A5Nxpso6 zvbIzrxCq|4s+6x=2L$i%WTu+u#`>jF1D!W~m)8n6P3E@V_k&^7gPQ9yoy0SKfsqMV z4!TNa6hYU#AiL(rDW{1r7D1i81?DFzPbzdp_tyqQTqa7Xb+2aMb=rsMa+8OA3OO!X zO!!52^eY*RI*VOU3=EDob0&|^rJDG7B6#yKf0p+nv&-C~0_pyHcfEO5_gPlTJxT#J z`DogQOR~*77e5ZrL=t_BzC`mMf)O5@#K$o5p^N@xF)8|R!f9#0%P_unMF zcthEC(7wyJx$x90WOug&3dc1|5Q@8Qyp0_BEn{YQg4u`2-A-n)(W^!ib=`l$nYhESgFPc6WsQS34eK0D~j0M)1 zXq3M(SS-gOHg+M)yj-7tgL@_MGOHui)ea{xZ`Cpbpk`q>`F(8Ao5l=l{jfs?K{zh% zJt=x)j%DKn>WO*w$L+>@zoNKDYNR&!MhEDvmrgwL&En#X#T@E5){lc@0xAC%=z1P7 ze*0&)<>^j)ax>VZ$d{w7!inWGETk!RSr~(Q`jsBl&Rk%6afsnJ0+DR}CH;ex+g#lx#CsBc`?uSMSIU1Li4qoAd6Sd~P# z$dUO?bf$=*JpDbsAEoV*=3BAw-XR~$rZc| zu@nDc9I|<_v+Q%z12Hxxy+LKgS>B*JcI{?vU?E6(WOQI z1@_kH>6fQZdX2o&_(`@=yw_FIxgs8xYRUAbIh!kt3$5nC>P2(e_-=)&L5hLEmR##A z+tMND&3CVuE)^`hw3723~pTdD%v8D>IdrF58y z%SGkH$tq>rxM82mNGMa&T?`4@pEof`-n)$Fe7RL{(x&m$F^Klt-2zdoVJjz~p21Rc zmALVHr7AtoR382-)V_Gwwp5VdF9(V1J&Uk-;taou5Z?=;P694`*sW@N_A0HTXZuXX z%D0LXgpzRtIaf%qH}Gc1O_VsAn_=@cyUp$<^F?9p`Oh_?_a|f-?P>3?EoEmjLb=a8 z0W|k+_T5~IgU=$h5$GVa)mikMF7J1ETWnL9xj0}?$;l@7BUix=L&(bsif9H8-mEcE zEC-O1VIvB?sz7z46-w4VbMboRlJLQvTK%osgxZs*2|Y8L5C{N`>NunImRF4BL^Y4t z*d&kLhnDIYDmz%c$rCEOv2k^pZ76(mU^>UfMYRWE)EjaC2*@X`z{KLvcK+I?<^7{R zLW29&E)r~Xu89p9<0Izg%jxoiOWScd@a>cN8I3eaeS@Z0e6#2!Mu1{SSw(S%_I#tq5^RHu=!#*=*#s~X7h#j zUT+EK;(rxa7VP;p9_x5sQ;bY;?{^ML&G-t!dQXo<(uD2W8x6cuuaA}y>RVfzrcdlA zgUnIY19>IcXVl1|(X=+RjGC4rElV)6yc~bE(eKK4Ja#G>d?Gk`TJjUKe#NF$BJ$Lr zde6roX_eX-fJX_*S$*(?4>-rBcJ${Mc01`k|D|7!W7C35s&cD zn+a(hm#3d$onD_}4Dj)iUQ3MaQMQ&lT$-Wc4oll~FL`Y8d<42fNEX);Lfsnr^%4oM z4{o^S^F0f8vihXHRZy7lwcg8emm~_dm#o(YnHsmMeEl6s^6nuWCgxPURiw0l+_HB~ zXvo5k`X5pX#@W8a0x(`&ds3_my81&`z8U)9I#t#`FZA^$h4QU>h6(R~CefUY0YuJh z_IV@g&Q%<)(6HA&k`DsTNuAZq7y3K6yPI!rUl<#L)s2ZYWv&Ie=6maa`XOo|7kNF# zIDgb)(lq#hV}4*w=~c}?DVQyX2CNVUFbNOU^JbGv#W=yo8X9Q^NXXwzfG>X3b~iM8 z4E2Uk&Cj4)WoEjzAqdA8h=zj@yCpVXd3$sszZh3n9KCKU=VsIgH(YZ+Bvb1`IioS+ zl8{_4QgEPZ?$Ig>1b`y}O3^jZY(87xOrW-W-*?zILJs$&LYPP_fAz4W6lOGfReoFF z{^xK!Y^bSgCG($$5{WMp_9;0#Usnohj`F(B4Z%3_qSSfL&taMWunL9@|2V^GDOzRa z%u z_#yPoBPZ(kVHKzKje!N&yVhA>yKo#>sItf$9 zT=D(iRw?HciUT3>o(R>okED!y)6e8X2CawccyzeQWlHMS4j2Ug+42#)I=c-4tXtuhV^?qo|W?(3orG#Hr^-{?ok@$u)&BYd-aSh5&yc z2(-GP>0T&{QRDT|NAhZ?igO9k&kKR{xBukUEgFTmczeGZ54cqzem=!u5_$e%W!TkepMZDgK9Hm-7Wj8X&K)f zh$rs9Qu*MOjD7P-_jU4n%M|bb()j$ICuBtDcqi*5w4|mAjFo^p=#tqFrzVW9bQZF{ zZw%fXL$`gAiVRokb{cHBTpFuP{(c#&^st($#Ku`*^2L!|@uEPUJj;=g~TP_n_oNhiB)o(-fO5a!5u(O6OTuNC@nE8aa->#`cSTzAZjKL2-w zpdlHxXMdPC|27m@OgE48!*OJn#rSo2pFq`C8>d%DLtfq}vd-Ym~S{a<~ z=ZP!2*IjRP%iW?BXhIScPCt=C?8iq^pPmfN9_AgA81VAW9@!dhuOx%y6K|o%moD+i z344KF$0_eG*1dhCfx}xb^^AdR*}2|P?ET!n&u+g<2-gUt>?idk+F`>YHi@%iMb+Le-yZ>n2RET`-c< zG+cHK|Ll4OvM2&48t%Yx+b1p`umK2NM+H0C_rJVUHWQ++XCWj#dI^Qbqvb0dVT-rF zh$q+cNbVl|Qe5(V(Ksvj&zp@9!J8Xi@(BQ%5=9UgdYj}llzLXKmB==vZGXR>Y+V641REsrRZ4lRU%$deoi4rN4cHRr2LKUj4Mixakt1!w556m*TTF;3RD?{_O_0ddlK6;-wiVGt82d>Pbx(drWfeO`u&8ngr z`(Ncap=WwlzhC{;pe$FjJG|-gzUdE1o)Ff@b=9j!xwi6UX~k=;{I1dP8l_7{Tt&b+Q)Lt@!*835BnV#FcR;*q78E?NYTQ=tWJ|x+uj;M6&a1t zlBPxAh3`VK9dSZ{RA6+#vlA!me<#kHbH7?V$8GJL_d4s&XiBxP_nnDzIvSL>-KpxF zSgR44=j%hGn@l;7=B%QV5IR*`e8Qfs;wr;MC5wqnZ6W%|)HoE`9(Ed~RjJYgw(P_G zSX<{K1`5XfyT_DY-SofJowFdUW8eACzY43GEL4d`?YFF?<8Q7Ot{Y3Mb8u(-Y+)3^ zr#xK=#ib<=uw(3EH`dldtfMCm6TV5mmLB!{eWEc>7mcvW622jG& zjy)mSUfVtP-$M}ZjZhhOMF0tTw86CL>=VlG&&ZA0jSh`2idvZMw6_?1A9V~9ZOwp$ z6;J?sywf%O@lbNTNwhNc?rS%U%?hP@p!_h=1BwFCgZG-@suX+nAv2ht>CAt^yJAC! z|G5$H=q0LzxmZ$($tvK+GiK{%4Zp@A#e=5jwGst-OO>HL#(SQP@GhYvCt_b2BFe|J z|0x_bar!5O(Btn!A6zN&V+#XHEdquDILU39T8gft)NQys*97RoRknHQq#fvgxwxe6 zPbCzIlV{bPMS*?oOa~b@*+(C;bWb8ChDsM?`lYq?zIMcYsR!hK@&WF@+ou)31~2w- zbsLVO+ls_i(|pN!(SozSrNWQJH1DF@6R|8Fxe@Xvomw>eX6! z`uQ&2e_YTf!}R8FhJ?Nq^!#c?19t}(c-Ko2a9uK3VrKBXA;ZJ*k?Yr*2Qj_`oExh) zzk1(XGjBIorzY;e%EjZzo+ba5dNum#k{6|m#G8I)5YdcGFWDFuXwgXc7H6+s;U?MI zF;cJ`QtW;Uoos;kG>P5B_XAJUyDdAkR^INHxL=7+%BXJWy^1=IA9bHG#R>2Q zV1!M^Gs3)kGMrg&y5ufj8?+0xIEijAw3eJ-zI5JR=&ZuJ&W%S1&ih}AVS~;&tytx( z(F?r#a8b+Efq|gQ38swIE;T4iiDH%U)BWjYWfd-0>lRs8Yx0t!l*yF6-=v%yjnlz9 z?%$q~5}L{RrgeY*qTAt54n5}V+-2WArdbr27YFw0{=KC2q31;ZMRpv|)9vWzVyE}{ zx;naZp6Zcx%c@GUa3-%U;CZrwUXoReKd4f<}et)|^Ea)%HznbK7 zezctc6u*)CFv=&)3q@Wvc0ejS#-1t+?KL|I%JunPA8ZC5*||wSQdyAV`pqWRpFiQ(3KiZ-AB4 z^o#iFE2T4h;_rml&>z$`N}JcF$`1E~M9?pZq|{G*$D|b9FwE>qh$2K{&L3LtJMaR- z5cB!_++o>pmf05NQkSvi4;!S6%E4$gx9Vco#bT1Y)MyJDU6Qz#z`8WS+mJw~iiV{= zV!B@9Rcgtk0*WHV9(p3l+NXA)S2LdXILR?QyT4rr=&h|-N-KWfS{GLUux!AOyC3LI z8*FI3KTpw$RNOu}p!ZD?3??U^)2Zc=W5?HRZFmcZfZTdzE8zHVV!vE7i3`>4ic0u5 zY+2N}Bz0!DN6%aSY2#o;ZEH6w`Lkt6y@!Ctu1{5}L4?17fsm*Fg8Tw@KPP^viNZvip#y?%l0 zSD~Y62KJumz^`8yhKKJ=9YS|ICabJvSQWvIKXg8)xzinOivO~8q~CvsNCLK8KDLYN zq3js*<`?vz$? zXIf1AO|%Tq|MbIA%C(EaS6TO8Y^s26&nMa)K^T_Rp!&&}alJ(>GgY3vR$$Gu;mqrL znmhzDoJR`Gl}M-pH+(3s87TUPKCMai zU3_27d_p*uc$4QSvEDIy+W?Ue7oAOBz`JdSW9s_h|JBXox3xGNT3{{2azk;5W<2Wk z!NmvAhpcW2RVQ$O9#EtDA-G}hvKsQT=(a*O6)Yho<09Yk2m`{LKWREz~ajGoqRCfE^8?_UB@o$s^w61wn@&iCDcT7j!0UK!ER zRJNlveOTFR8E!TIfU5Z=e7Q)^T-nEIU!7NBs~x6@=Uy4%7z@(;e>h3N$pghD2- zGOL`FKsRIZ0G2yNEU)Cl+-&Sp8$wQ(6ebZOl%)l+Z*{Nu`Ci^;!*u(0(_mXZc+tp$ zqyK06`F)}@i`gL7CpMw4vm{mP>+6Fxj#si~onwk`6aP2dcm`M}#5WX?vSJcCuc7w% z!25W(kDd5=&Q>q2zD@-pmtD|3kN|Li`NM>ouRvV8~S2|8M= z^2zCV{Nn>H%z_OKS;`(CxbOPquojqdzq9CdtmOqq=i&Mv<*;>_2r+W^Rhd7~c@pk1 zssH9&$m!Ti)HK*8D_0SsDZV!^cvk_Mx>p9_3)%=A26~&+Cvc|io#yZd%?C@s(%?0W zfA_`~_=Bb&4MT(4?GgAed&G0?<4ujTd2QpBrAF;~;S_LuPw}eJN{mZkXJouv z`XgGuK(SNB{Ds2@^Yp5uJz{dj?%otuYQC$GG3)NP_4J&Wk-28W`mM*u=qUIRRenT+ z?xoUPJbf4r;#I$4?-q{|W|z$tn`+ro->i4JOLHpWad@)1i}7Fp(5D$yWx?A9qy;Sa zYK$+IO#1;O5d5YRcx~S|KfJXkA^ggZ?-bhgM^ZU-QAyKu^$o0=2RFnVK2&E&mUs+NH{$&$HEps%u_XziFlFxJ*5?Zfv`q_1Av z(?=P`8b@*cLL%$EJRZGUZ@4@ebM2oTvG#k;6~X)JDTZ=fNu2CNn2VQvTo}dpS`r)` z@9>j;b3IhjxueO`6u`VfCuq1;AN`0^U9JMHR@UlZ4_kqvt z-GbjcxGP;IsKR{`wzGKfv?~0z?bu%12ZH7p?QXsS`+xTyo z7YJ6#X719Z0O`kLy)^x8I}S8eXg!)O7w>yakvzGE|5)L<`7!H^y&4ak$Fjy<Y2#!HG2|JnW0QAk!gz=pMOM$) z7HyS-cwO%ojOvPkDh(DmC)U*nbGs+1n7`pH^qcTOo7q!Kl9@(WyuiCI?hK2gI3;I> zZODgYmbVzQ;`Y9Yqu3;A17CM<-v+C-xaga{?kd&6-SK*dj8TtIb!GyS5JR^1g2+4t zF}g1k5y4o8#-hPv@}-_!`|4&6PK>x*dfVumG}DP8h?z}2xXx&Xns+v6EKw8epQ{967oF-k?h1k1hzT7XqPiO4&-#-%)qEDz8@6yxb22&&F zzj5Pez?8Ylv$Fq~wAkG}fW5t8al-6A9>r_Z7See`YQQ?m=xfs;$Et1P7So7$m6?B) z>kOrih|V2{4nTh{@7$h&>Ca%qzLu*t3)!(LSFMgx&4Tbe5V%V@eLt0}(=_>%oUH;K zG|f-P6|iw+dj9f%yKJ>FEeqYu!|s?Fkr2$Vpx0U->G0@iN{XQFNSR^jUJ%KnBctdk zs;v`UR(833i3WP6p^sHuS_4tK{2@1BNyqh4%&+(ahvP!(cA$b73)5k4^?xyI2tk!y>JB5Lu75#x`aQceC?W3$t@;DwRGv~Y*>^=LGN}2Ssn@E#13%w_ zE)!p~QB%nF52u7`=8Cn|g`INgB>Ofn=!C-bE@J!&1g=zzV~oYK4J()4y$m3+Knw1w z5^+=5^m9h;r<@ANd{`qGd6=v+*qZgpuP*PM7OigO(s$yVhwrLL!O9xh>7jo5Da!VZ(~pKNps?ZNhi~r`ejqRGr6Q*N&&%@mRLsuY9{M(}U~%8-hD` zKji|UFEHczNu5%c%ZE2ur<>c#^V`Cr#7C&d_JjpaW9$ubV{sE`6U*SPTn?mMGDtoqz#`rYtCqb0^G zK`Vd!KBhT@FW`dCN+~S#&0ef-MvWN8mf579ak~1K$cZ-*({SQETsRd0#n#a*731FZgC})uvD3QtJH4az zSEv9$(7#rT*~=u9#2>%X@ifTcSnA0kGP!6fVMDqP07_(M5ddKQ#1!UCV z(6KuuQ%9>GUCqB)&Fx`d1Q&d#Bm@u}vN3*YWDA?XdaImV{-+E!XifDJ&_Ta)3ZVzS1t` z`X-wm*cn}VICh4M1CEa$`wzE90@1~Ap&aPlshxOSuRo~Q98ZZBoq(>UJgs+%Mva;7 zthM2N?e49@Fsv2WnfN8SWvq-tNX^DkQ0R11j_Y0?yj>5NQ)f1=YyGIf>Y+p&`J$+U ze8w>pF+`i@Gt1NlxCc~4BSy_-j%n-bR<}IsCy|=_Yn6!izMv2N% zD~3t|dqekBNg2sQy{`Tv+@2V>Z9-4VOCCOf#UFj_?$>c{;hF?`Cy!`{)7N#7xl2cJ z?UgLcZ(8Zjgx1t&hVc56Nwjs%^E+}MB@xT1^m5(4G?qeA%>2bt_Dbv}h3FU~O53yh z@$86Gj!o_(`Xy@6?=9xV^1uR@jQVEeuP_+;)mb7Xlwat-n=nPzg|^`kqH~|z0{YCD z&W<%p`9JgzDavKNaGy?PPK2la`!d=Yej`o&^(Fi(EVF0=X$IQ(czBwGX2X5DWIZ7c zw=IO@WZyiIP2lcoz;i5gGzUaCe0E+q+Nd+nLzeSltlziMtZ=FNqeOgsKJ3qe)*sK8L91%$X8nsF zV!Mkp)G&%iWuN(gQqsi>orY-Yn ze7r6*F~i=AR=V%A+0RZ(Q%VWiS(Xd@gxLZ#+e}4fe)kW#qM>RVz>CzTt_PPfbjLpK z_-lu9EW!ud_{fnMd;}t@GhA+(gDP&`?wd67V(xk&?|35OUJZHcvqT!~ z#{?c*6vOn57@i%hoP|8fNyy6x{tLf@jU`K!OGUIZDIuA^0~LKGbvF;jQuLj5hC@l` zFt|#NdS{#$;JnvDEGQkNtAF$Jfh~Ih!sVLeVkZ={^x)1Sk-<7Y*pPPjbxiah1uQYw z97dcx7;%8AtRStcPwwxNV}!|rag>Tw)~syPgL2H@XO1JfqtDTbGEr!iZmFonObpO)pbMZ^Vt(b|CuNHYXwaJE{mdrrD*jH;aM4vIcWbMEe{852-%zr@7f3mHp8xOCNm2UZ(59P;Bw_V*D}G+^G2{{B zy533xF|_!=?7&Jd?y zRCJdykVFtZSRk>P*4MMd#kH>_9;*y!#gD`*g3r`zw!4a78PN9If#8?ic8Qm<9NfL` zpM8W|DvL%DCHIP2WsDNr6aJ)`Pr4yc)RA65`<4c4Fn-n^u$4&Li(aE2QXblx|p z4JeI#F~m!=ho+1_{o2&q;|XBSI7&XE{O+z7x-FLu?t-;E~x z@l==ISK@6<<-6Z4OuDV8($pkjO+)3bnBgemdRs`oLdS+3^^w0{K=U5F!%7@!E)I8F z7Rd0@RWF?M*qBP#Xb{anVK0eHz0sAiRuBW+nBrpz1VXa>xu_ca+ zRP!pf`TMP6Kf76Pq1w*rL|k~ti2XvVL!8+)BW#y=fXB{hg>sC_ed-E_pEI1}z6Cw#K1U(?l)rZd2SH305! z$^66Jq{gd^$mZ^!URnG!rKW9z7p-x@12L2;tYNkfk`kqIv)h7Kyr}E_!Ts_Ax)hZ? z1W`1Un~VivgsFy-!(bI^-i!?p;(9fB6rAhm5#O6R7$xEr7f3NwY$e?<#AW*B*lReSe;~%@%VOr~ zU-h4-Q&IhFdngl#8HCK_uQQ48e}9I)IXv-v@mO%()`Iq5!(30?x3!!S7v|L`MkLlg z0%Z2mgyB)X3>PGx*~%uT<*fOH*h=VE*xa*$tT@kj!YlwG8CWXzgf7ao`$R{c16c$U zt*L}*k6Qunbk-N?7pLr!VJkQP{m*VZzT)v>?NSP{=$rAjVC-M!w6vi(r*cN%o3>~d z(*{+l`uO`1MaZOvAr90%47zh9*n6+kSRpGsR(V-}MlubC=JQAd3`vgAywKz1kYC$Y z-+7VGGvi2odJKXOZNJ+!x@>pLF_!RRxs3T$?BZQNJXPBsL##$G_g{CR)`ai?TxlVp z{D6>asl)P=1d@4gOiqSk#1TgXEO4GsTMO2k7cYx{fwby2;l9$jKa>+h>zO*RKCd+_Ae@ADXs_hUBK&CK5S$<^c@v#KDeLooi3VVB5TewSpL znhx$m5}5C!S{r<13ah=;G!MV1G?ra@{=i#9#7&#&|3e{L11}d`tz%F!m(FPv#rDwb zLvn}OEad;Rtyj>2F}l0$`ZKj)ozb-vu>C>ri>%iDFEq0z&l0_c*N6bVnlr{gH&2I~ zidC;(hs(hY*iaqgAZnXbca%fr;K)U?GAKLsi9G)qFWrw`;l#TXs`f0rmeKas7EV&C zNf+Oc!|N@^T)%x0^jvn$GlpbW-bG#Z;XjX0#Jtjv*mVGCGC}3(s6AGnfU20S)lVFn zPVZg8z__~QM^}B?|=R-<*DowuYNwCe~n)Zc^nuB405$;7nlf%W{ZWQ z`;Tjj*8F$qp7h(!xP9-T{4dAE&K>dPxrFqhjS{CTpDeMO^#X(lVM=Mf%W%yCb!Hrg z7p}(EDrvfXdnEg(WHpdE$0JE;L^(fB+>yA`dn1|Dz^miye$%D2G&{s}{e?VXj4VM8 z@za|q6>;y-7)Igo?0L6&%~eXuWyS}rJ9g2!=3HmtP>OV)-bD5z@O6Pg==pbQuzjxE zY07iykO8?IHkDeRf4MQlA~$2U+E~%xcExpHHWlTqKQ`|w-!+t4yDAu#o{{Rb#AX#( zvgiNX8)+@(JR_le$kX~0koayY6g&Va$vf+nV2|q+BzSNYLHfa4oagUUxmE;<%wUcF z{$m2k_Vd$RtQ{I#3)bgSHGXtUsbhiG?bWcq%4_wZQAFf;Gh~09SjnEc(cbk zj3~H;%ZpyB)$fJ2U$e&W!PZ2ESAu#{C`|#$hq%8Wg0J`^@xkJ^KsA#73#Vy#o*$% z8=%9Z1ml=b3T6s^qE-(U&uEJ|8~)hD@;K3Jel1~Rq734-=F+{LDTNIw0F4VV<&S1i zQ(eVxjV^Rx941B-SfAd0`jy|L>h(<`sgHhBv-hf@3u@Lmzs}g{8%&c5?wNg8`TOmB z=j#B{Tl8)O4kR0$poz!$aJr}0oZ|(#u1mhiSYDDNig;0Elx>kf^54@)K{ zrFi_3-nN7P-bWS6*%;bOuM5|AT#Ti+Qjy^6Nre>#>hTA=J0<<#$ZepRRn_hE z5k;-K2U)xRF+$y#(S>XB@2V&~%dl02KKwIr^Y67^#;>iMInd;|`y8RmJQHLK(hweM z5^oHLW!&PlE}a{9YZS>CNuwDz|0!c*;o;Mjp5<5_Dgi9$gqw{K2#WRnTlgmca+q^B zPLrO<*lY0&eRA?_Q);h&U!i%*4RED%duU?qX+n1L?H|KknlQG9YcoPx=8v?JM94s{ z*aHi#poz~Uy+<7R{RxM(*ZE_0s~mQ0xJugjyAJb7_g@k{g6O|{SMyuHHGWUl`l*yn zH)f}{Y8)474RxI_iB17C-$%=e13F(mL`=+je>;Ade-&b9_iBrkzhk>W_9ou#CT(X@ zvs=8XtS8Q_dwJuWaj7Z1k<}mZ7`m;|_RO#8I%HS5EwM;$2%9Ofo~uJRp_^l*3*h)T z{z4}2O+cHG15F@}5;)9R@(qs=2=O>G_pe_W*Ja!Llv2OMkfAaU%P$Hj)=RVy7P!G} zo-~H4(K8Kxx$)rgiar37Dme@)ZXat(YyZu!)AES=ch`^}3KQj;Z=q@oE0%K$+YuIw zPAcIEDDRO!B7@4_iEqH50&q-Uk$&m0Y_Zhxm#QyLj$$e3zq?rUe8l)fbG~Kmi_>*Z zK#-hn^Oj}u3|$B_Rk4Mla|6oBoI0Z_{e-+JuJP|!*t6Tk)Hws$yK&ZAjz(Ynv>x|H z#T6*2s*MFz+1zoo=mcQ-rbUR|Q~g`g7H}yEgLTJR`I(W4D+sv%TITNnS0++VZJN)4 zOFslTW^D7imgcbZuGC73aVfc18Q&1Wvaa?f0QXMrUyvb|6v&`O^a;ZFb}_5lr@FK2 z$d&pg;0VvH;@={);Xu@`-OkI5r}+DL>NA9#=Ec*U^w}zMO->Dc~y2=o`j}8 z>Jh;>>2*rN!T;gvtlyfB-+xaDBBh|@=$L@iP?`ZEEnU(gEg{{FfXL`>5RmR}5UJ7K zY{X~;MvprCe6Q=A&pGEG*bm#T*ZY0H?&tII=m{se`LnV*Cs{6xmS(6VT>uEbAIe@i ze||0nJ5*Rg;fVEF+5c1>pS;W8PUsbx+Ki<8tB@ccbH@s_(hA&**H86+67Z}Ab z)5b*M{7t;V(ct%29IER*J9E)FhP4fR{>qKN<^DaA8I6kY6qNO7(=n$A7leDMHdp$8 zJ=N5mpM@#~Q%sWWvY}?4XrL4BfOH!mI;KzrOG}XyJz}mYvb`S=l1kwq+NE`!s5F!L zW+@AFb_%=6R}(M`MrxDr8c%4B_)X|RD)4~flp@s;jor+1(N&dRvrEdt<-&Rt82P&BKgg1yxD3*-2FB7MczTpz(UVUsN6Y+pbN;u zl>3iDM%43&~nIjEd#fJ}WpZiFi&+G+<7x>H&pZ@VJ23U5TXD!Y{1?XuS|?vD%krG)q=cCjAZwkApw`Yg*d2x~@;{ zAdJvU5guH-s?b*O*r+J;aExK>j=S%h5I=(>3?m=a1$P-s+SiHFz%lB|io!GP6mrcu zUHk!AX$A;U}sTKKXvu0%)_R-&{a0gho)MUpbXwGt3;?yk!ikGzf+q z0C+ZA_pM0!u&vH1k5@56I@(p7K$X(vkb~l!)dX`xdai)K@>GKA!(RIeRDyaz&PGN~ zLm=*sF5R(&1Tjj9wO)KIS2bMEnPq94@5$Wa=7!~sA+6Uc_r6V_2BVp)8FMN@n=f#q zt~KyaevUxtRk*sY(_0)yc~uyuvDZoXW%Q(2c2845y`<5S)yY@*^Qxl>lAK(cY-#@X z^jtSQo9fm??n3*i0(|7w-&4@n5g|0>S%vz73P{2lm3Uc01*&$b{isEqeYmR2207oS zUajha9FhYQHZ&~uuTv~~gK2B+t%62G8IU7%aU*U|;%`vT`ZU3=2aKBuNzoq*4Qx^i zeACMP1QZWq=-(>RDN?drx$kl*8@Q&R1!sdllI_jyf|33Uy!kHewme6*d=o~)=8y~R z%ynZQxKgpv-Eo8M3QNwFS)xQpq6B+Khkv2O`U^z2^!2Fd%o7r-NI0=J_3L@)>9F(t zUcZ2t`*j-FKQ`M|%E?v3GFAlz))}BRixJ7qXkQbdqYQ6PC=rvNguM{5*)ukg-uw_+ zGr^~l?d?`8CU~ny_3m5ZTd%x`8HEb7j5x~5ST0IEC&>DDL4oRPmRNMF^IOg|@8i); zUDftx?0YcGME@q93h9Vj!*D7mZUt0%BJ)to{sWt|UqfJ>_bv>Wu>PNr`L4OR79_hb z6*}Y(NirdREVLRK_`I6;oywU?m2x;FV)~1)sStqP>`gd+H6P7Kp*KOQx#~jTO-?{g zQ~+W3e=3mQ&M@y9S+{o5BvP)MHthLnX@@;6rE?x98|-lFCT(fXgStvzyNxA2(BAhv2yZ_EA{bTz0nBu;7YWzjeQ?8caZM{;qFFG7+=p6DW}#`a!U zHA!;@@t-GTir z(xt^OG&Di>e|ZZq%CHe3J;CnRj#O!h9A+XODP6y%#JG}7h|=dFBlq^yIa{F+GTc-w&aI+z4eatde`N(Ju`?U5bs#7>@T>8 zTs)T+z{o666!(Uc47VM3a$@5V zCuWQLDCU6uSeTia(ahw1>Booo$)XhVf~(q#f3Z#E!_~7lpf5#(Kg5}O-R?!~Zk(v@ z_U))*h2J|(zije2wYZP`yc?JY@`XDp9OrPyocn&D{wu(15F;$mh$Q~=$Kfw3N_r2& zq5E@3e?`#BLw7pyGV`VEoUah6z8Xe!G!F4wWboEMI=`m%x_?;ohJk*R4_1B%yVM09 z{J-6&Ns?s2Y(jS7@3IY;zX1D$<3TN}Lq`-X*ax|3@CY5A#@bv}z(dG^LW<*e^MIeA z={2Rg1|UqYNdtJ{xGbMUr``UU+`+WFf3}~%y*ntGM$Pd}Au)iW1%I0OpD9rU_E)82 zOG1F-qEE0cP7Z0Rq94HDDhLDqhaW*3gj1fs&V$eZw=>7~V#zq_d?(&jZ*?5=JKvKV zb%$i7BJ~1#l`b1}G zaCcrn7^YnU1REWQQ3443u*dYV*q!4qq_;9fQCMxmE6j1=AYyjWe^ElW-v`b`Hvu&4 zc#@~IMPEe!s_gZhzS^(d~-{{q$iA(m8MY`#rvvQVv-au+PcQ* zXMD_TMh=OatEx{9>!9}b`_aqMsOpJnvg9*1Fi%*}E)&ETY>x(ABqs0Ey4p3MA`0Gq zaYPS1)h*InmDC~9f@pw`*CfccJ!`%m z&2gQK=#X`xuif-yNb*s~-CR0F3Imm2lFpj4JTg0kCTDk2N_?m!ezG&ty#C-2F)d(S z_>UoQS@iyETV9AqUW$jcpoK)9tN8jfBDY~~`JKv50W!U@dw>y2hDKzrZir_~iC+80 z%%UG5U3vGtAaVF=Vm4g|+)b^0>jM?9T{Gds^4lRp-w$EmZo?r96(VLS`;dz#psn5u zkmH}aY=?-W-}Rha6W5;nL)J@%xPN~S##;R@aTrQir{NijwfQOGP}``qoS1h+dwBKa zXugqHEgjExjiHe9omuQ#Rod49yUC1KO~*J9nPus|+?v&!xAsSv z_hdzWht{UPQ!(c$QNXUQYkIoLZ>w&E7wX`JR4oxo8VGwo*|ElNngIA&76@<>04xlt zSst&NZ=ltu$``rBZ8&`0o8ghv!zH5Frb9A#wYk2_5C+H`s248K7 zf^fWsfT-s;VTP6_<6A@^Ea4c4wq8F`;vmNsOAz*^?Abobie!9bX{_57mZ|&GjBwZ38yv`~W%hrSr0S1w0NQrUibE{h-EiZ*GA?B?yo{@4<4Wj92 z@I9P?aP{M~=LS$wK=>|KC{51s#d5*hA4cMKDNt`b4N{c=Os4)K_lmZx{v9UJTdtg`sV}Ax&3s;td%OoHAR;4|@F!M??Pvuk{_Jyqq0l=t=d2w^ z6y*c!qm4PnMQ&>s(0n5)Ber9|T>o9u%2;?4jo;6IM`d1v+aH!H+8v!2hAa|_6iwtR zL>1hgS@e=%fEkL|!$Dcx?{3OaI34%_VK(%Hx<*h@xHPcY{w0r!4SlX{{0=O3LiYhQKNmZ~B#nI-A=1jBg3ecyV@5JX)Dwl8z`U2usP_UR&ol^=hl3d#17 zw$T*1<@|=b?{k8$fA3FS07I{FSp1TJwB97~5(7lBFprNZmiDBqg@wsQ-mZ>k0w4@( z8;T=>edZ8J81_l(WslN%${rBGw}~&ddz^Qq<{g!C4)ntUBc|zhRXlOIFZkqwaEp}o z$WYX@xJ0{Vj&M0ghkl^npvQIS7*Q1d{tOb`zRrAbbrJ4t^T)aVw{62ncYSAm$m>uq zj;-&OXv)<}$8K45bV z>o`7--hUcQEBukREdHpJ4hxP{mAl5QV3`vdf8*7EPF$Pe-trDV(6?{=5BjFweyJd* zd|A&nc=)cnf;R3-g6_@?9TABUn?L@~FdyXaN@Xj3{?wp9Iiy0B`l+^7Fm|IS? zKvEd4O*Zh@vTljDrq?R#maQ@JPGW7p3h#AEo0bH&zPyKUVfZ=VxlMnYP=cv*x9ZPF zA3g~=AcZOGo@QSB-ZyY1bSK;v2Xw+wA=ntZJZFXPuhz;=a`&IkvX-x}^NA4Cy%#-_ z@!;!ErQ!YkF{Ser5B@jcCvZf(&Y=VH*j59P~(fR@r7VHWH* z+=~-pRJvPromaE)tIgz^9zaZnYzu38?~h!fj!2W|cvg;WTgUwmD&Bq*N>ghe6JRV4 zve5g+%)fVFS-SnJSi+o2+t|er#JK9i%|511PXqhiJPfvj#eQ(pioO;A9dCH-_l~Z9 zOYXOcDh!YfpyG4pt%p52!%IF3>2;v3 zRRqX!=I`^$z4;9&>Y3E>T4{#&Wg??=*x*cwCaY-MF5>BlYKj*{$dB@XW zs4-QH9ca%w8&MnkGA3Z=rrw7O!u412dzOtm_y_cTydSOiWg=$AAovFl1BT(MjP+GJ z5SWD1Q z?jbE5O4DxDa3FtA{jTc0avx@n)juyct~$kcTy>>addkB^V$iQ@FwSFHu9)$I3)=m4 z;R``Rv9hY>O2baPp8ct-QX3=f5^0GjIN59`riJbHRwN) zrTxO$gi`S`#WV+tc32G*V~Z456r!uOs6XQ9x(H&^~$ z6Y|4UPz;Xehu29p*?Yn_<^23TFRhSQH{srPHR41&pcZqCO>zRRw2>$-@XqPwXR_3q z!7#Bd9xVRJwoJgFr#fdX4tfIdu0L=y;KiN|DkK0o@-vOG@o1mX87Cb`6x#u?db3P^`vd#bVEN3m&6INEnFM;SyAPsuG?%w zlI?r~$GZc?im&eqvy)c?or?{IrL1&#Omx1=$qcIoTpH}X-StYV-mh-96C>RO4Rc*XG#qf_IrSZEkRW#`_}if`*R73@Al;(`)B)n+J!2GlqI@_ zKWEAcj~soUA$vxfe%exqZSfaVL_I!}^8Z8Ac~-e;;dDp1@!j=T@PS8v6%GHcLHO}5 zJF(|KN31&@Q1VJUSFx2*PV1@a>I6*Sx-*FZA|NNxzv_kqjNxT!pq4% zLIrY}DRqzLCHp&QTAC5w^!{d`0S`XiUbS0t+JAjx=NK1o8@N0g6i1^L-1D$PJ%mf2 z@!mb1!&}vYG{ezOmh??Dc7@WQyuj7OK{*Kk?459dAp&l88X7t%7o0c{IKDd7OQ~MN z$hlDdkR$uQ&%Ars|J9_1CUF+}-Ck^-h<)vHcMy zHGew$rBvbK=WOBEs8Z7VUTgMyYa1S+vW)jdq>b6k)|hf)4j0`$uH&cT?!`nZsFmcP ztavwCTd_kCA^IiL6rAVqa)sv+m3U5;EDJFiBHcZ*h|H^&xR84a08Yt(-Fu;vhEpsC z$7u8WKK-~r;!G2K2=fWZGcrtR#s3LcIn}B$adg~*T2)fB^0R?Hxg77A;Ekv9J*J75 zi|J%VzSbLRDu>z?il1`n?E8L?pkDbA==JR2f{57~E_lXYr5H%U7EhvuZAdeNDq?$8 zN^%}*8rt$RJRA*Y{mltK`?%x1a!S>&5!679wVV*hSvn{)nx5r%Sjw3j!Uu=50rdxu z@H)H!$_OCa>w>`crTRo&ivE_1UNm=gw2 zw*}4#EKzn@_7(xKDgY-Eev)c(H;=$ytY=xblOAh>2Wt7lGOx-n2)f}L5rR94}l zHmSh7et(AgU4ec@I^E37aj8qk@w3@7r3MZT4~{L{3#l^ z@~fkapR=V(T8OWt+9f}=eqQc!Oabeo8YKlSLa)j=6U3IF9s^3A>)AmBB)wi#BSqZ% zPWOLr^J+G(6snK?jQt(N79V}DmL(rWL@+r`4$KKp#BlV)XkT@A}9 z6^0=S4?9YgZj{eNkACHL*=|t^$e6#CT3CS$D}P$yh!CqtSDQU2=~>6}1{>f8DWB|OI+yGHYkv$xf-?&udn zSST^F01lgfiHEs9El4g7Fb0N7s$daR`nu0bFsC+`MBHm%>ao)s@HPP^9fAR)DiGrj z57_=~sq_qGldpDpI(y0T=>aCuOl6ZqI3II2Cp<7Pd1fqpA4yx&-7}(tOr(5g`w*>3 z{A+pnJv-Ce?{>uytY~M@cjM1Fku6VAukM4m3uElYBt_Y2Xe0hjKMD(BX1rtH`4~-0 zY~76WBM|TYArt?egnlxLWm^*RV)IE*TA+WI&&Voy@r(A0NMW+uk^fY+^J&3f7e~IW zToYWSIYl@HDz6ZKMu_+4&|e1;WnpWD9FrTf*Ta<^L|Cn{v)@Z>#^sVY@PrFMEk^1{ z#yo3Vl8_m~Pwg8RJ}p(6KIt7+)-^)m;J6WHK*!=4V6U!lNs$h z80nZud=y*_3wf+jT$)>3_d)zgu>C}n_{$A9y9O?Hgukx-m;I!9n6pfYaW94B>8jK7pDs?&s?yTqu+GutFwuccds}Cm z$|2m?XM0f)fxG>h%lPX{T!Ml_)YO-op_~kP3!{AP*Hvckhq?8-pYhGw%4;Qk z)nNQxs#Q+OtPMDpKKjiC&hcz&?j%90($k`)|ia(7T;W}^pV3cW#-}u0G{H|=B!eVfwpd$(-Z8mcPKOp*OvfpcTRVU-^ujW= zsY1A=fG!gR5Bxaqn8qiE@-ptUlk;>_4>IheRf_>d#&e0g`!)u@7yX%~l5w8;S0h5c zgiN=rd&rFu8x-a0PB33<3Ej|T3l${!X<%){^(CriiTrAAFtaojQBZ*xw4P0uIC;dOKe*ea1 zJ5AE$YaI^c3y^|Q(%s{B)WaI=@VvP8Hi#3AUyzrc4}*13`ExU^0)qtPWT zuU_wXj}VIR*b_nKA1^x>S0tCmeQ|E_#+z?m8bQ~DZV~k%=(p)mJUC4jRIuNpi{y5( zB6+1e>%q8nCaTJ|{mpVs`DiG-Q1nOd^$|m{QVmI-Qrh&Ny0B-vQH=RZgw}CHI}PJrMRuDrlEz^>orUO0gxM;1%S34u=C(-Mmgod23lJvXeuyZ8%BOFRyFTjlqso6gmp%~Y-1iQ24`YFO`Z|4(8c8<4a@xcT?s5f`OSj>%=aJvq+l zBFpEV!6SXCUT~x=Av5JmyP)GuvlaMXLnsNZEh)T*UCK^qCEh>MZ{YQ?B^MB*rh1^c z+wyNudx*O&TmNT5-bP3B^|ALF6yFOs6_rB2KO}8R!*C-Eg(gNz;?SX`zy}^JZRq8tp)Q3w75PcYY;N2_5^l|=^U_=;HYhuW$xY8Nb z#d)SL>7^5c^++@N%r_i25M*f71G;gwFIcPf?;yU;v3i7rF-*wXmYG!9l3ZvuohqIg zr4iE?7e9)kLhf88yH4JebE%`s+rgX$Jt5AMuUMg{ImipI#EqoR%I}8ZaSaaQH}(f~ z@gR$Yk1IULJB7F*IT_r_!IXZg@gXjW>(E55z4c@sgZ?ra?>leKT`Rr9O?@{i-$_bG zA*t8DjgrTKX!D(i1#37o5pP2VjpN<4Z> zfdn9LA<#l|hH&1Fcy~e4!|A=*Nth)mO*Q4Ay_tC6V~CUl@1{$$GKJ0yFWg0viB0)vOH$Fmz$(DEvfXg6f+-+y z^XQ*c1mosX*XB?tbWSQ{LJ1f;FyLj{;X}h2a4!D5vnay4jZo+BfK(ES<%M~72($E!y$`8vY=HQ!X2iepSze8A(``7l2Z!(`%FNh5yo|9;ol|_!1g>Nq1|b>dlGojF%!#AfR|S7^#NRD z7eBsD-J}+~Lwa_jeXEP-uCU-^} zcTmijRfj1s0q;a_pqlO}u1)+;gWLb!^-Mi-|2Gw@Q=!kACE^y}>UN;GH&tkFtf*%3 zKgCt**)oNXp|zxB+Qts|YpPq5^)=)xAcgs=@yzE`0g?stkPfuQvK z1C9Ng0w3%e%MV`Dh*AiCfS-F;{B0#(<`OWOa|ZnDt4Qp@7BQ;r$YCA#Y?6VmDBPDn zG4Pg(U08IKNBsVHneblf=R%Sbv`?7Sn0>UId7Xebh0#j4iMyZu6%BWD=PH2#52kz5 zMcXKJhYa|sF3Qv=gbu?OR+56s!}i?`1}~H4+l7yN6q2CWB`Di_d;D++sm0HU&R<7O zYycdc7Wx`T^l50;4v*^W?Z={+PNo53ZjjGsy*G&-B{AcdRrP`y0>poCq}6l>`6!HE z!&ek@3Eds%7KJK7wY0rgspEbjG`z6c_+mfQe_3_rY5}&{ z-T0)9{CdIo&zgd0H6vw=lbv>U-`|0Spq~rR|Bzv-tOT2#*ImiyOamW00L}?(3bimi zo1scp=i?Kfj?*3@cH$gZ2+Uv3>P2_-dkk_6f8JPR+q1-Qe$Jr8)%t*JH6w3bh*nok z^26@~`3gl2O%Cnk^vNW#V#-Zy*ei~`E2wsXWm4%HuvzTUu=CLv;$LOFnn2@=M5BRy zX7BFw8^qu~4PV#l95a_13N5olH0rq3biW!`bw7%gl^(0{AVTm|Xz$Al$5-08$E|bk zBn?WDl{`vuC5ecozx+U}yih9)n5{LPtENLNcc&)MBKTlQI;%%Oo%^T(v~kf_DDo$Y9<%ReALS(`=-|SYOJkL@uN)1OKp|X z`SLtuYqFM(IGN_}#1+qJK}6hE+*E*5C84pKPP&B{5Y~PauAw&^HEClR^-Ntcd`hVU z+|iJ$YExEut`%LvF{%AkzHh&wK#^SJnjNvL0s9K*Y*H1pNq?ydR>6%pu3}(aKKPaatERs*?eZ~CfA}5ebr{DG ziQf+oGudMH3A$4SV=fNX(fGiu8&wltp}{^+Q3@7ZO|rsX($&m}uzgGt+FTKAqtKD< zZYA?K-p{>${_Bp&?x4F&{5c)PvJba>b57kFzFZ-sRtHD!gvbb`Mt^>SrCz*j%_{#~ zU+Z694F1A3I^3E1z|XPhzAk=poGI$igO5|3o-LQ6(b<@BL*j1ltJ?f(>4N@@ z?Q%uvdD6W;@vmG5>v{&BRmv&j}rkh=AW^fzih8pBVC`yj_8v{Hl9l zX4I>t5Pvb2J4Z@s^4A0blX)kp$Gg5qoSyAp9-sA&4~@q)>uwa-iDr>a55Escs{_>QNcqpr62=~pL~VBCwB!Q4^PprxclWB??-6s9Arr)gmdc^Km?v3ok? zXc8@I^wn7z@dAl0yl$Q_EC)<&KRfF+ujw$md8VSuXp#xRht9#<*ytlC_1bsLX^=Bp z`8)(@r8fyYfKy^3+0jc*INIMaD?3!c8kfEm;yx<3jcXxzF2#8qXmvMHTRgpV)O7$Y zXm>Q)zotIKLwd;_l;~9a)Z)04AU@RC3xArB%E?L18vdY zDszI{F|SqVE>0baEBYX>vV(?wd^J3(#|b4c64(3Hp4$PgkMaTC4Fj_T*}dp8##Re5 zHN3^COs%Z8QnhS8X%zMB;_R@J$PkVRJ$c@S&GF0Yk)rX-h}a zbs0N>j`uM;_YJWs&N$;dK48G)hvdh(+;!*p`hx{-12eLA%GRhC9a~kj_O~Nn8dhL`eI-8j=&21 z3{825|5)UR)mAly_1(O0KvVGF28hn+4wj4FERb&Aaa0f5JWuUk`5@FIJAo zH4r0TH@S%_K#u+;rIp8~?n=E6#`7(z%+|*fLIaAwOegC*xG31cCVf==vRXae)ZNP( z>9X2kXgILIx1(8m`vQkTglPqRV3^K)F5}bn^8$8>oHYlE+#FAw8s-(Xm z#LL$_^;|O!pn&i|%@18QMSAv1Eu`_xBK&c?ZHwNX(7CxqUhIB`U_y8fl`if3E^g4q! zhPUc!uE&w#qtus$$T-bB=e0BB%>xpe!gZZL8(Gob9V;h3KrWERTNx}TNv)z{=lE*p zlXGf&S$2ph2T|Jco=H}#@Kij|UNo!A+YQASd289SnN-gRVq_GbZ&B9@M-=Ba% z4+}4!{tj&uzhgoi?1-EFt1);IJSHn7C`A%xDnL0=1+ju!`0}gV(B>uZxrc=Kzmo=s zIS^J;e!V#-LM1Kz9TZ=EWH@C<(I_17h->=zIAf;;W=rdw+zN`9bzcqmW?eT|S;cX2 zX>Ggeh?_c34C!J}(Ztpb%5RkqvHFE0KlWdP(CGre*HV#Zs|smsIeuPGh0^5dkgtAd z-V==`nt{}oC9_UBTntM6Wdx?^blI*4|Eba3yT2coj`}$@kxxmTIhM;csJbS1nU8G^ z6dKXZ1Up*%Qu4wB?DA44T0$kO)VPm=V)9z+jraeR+W)fGH;!-QFO-&3P}yaq-Avf( zA;vGY+C*f};ZKzt#9x-|gJ%PV9`16(_p=w8KSij1j17{OgK~ZwiTC0p9?CqTK+K9W z<)eAFsVH9a^L;)6ieiU*%4xXbYtsZW5m>3 z0lkg*$T`Gh`8fp@9?IsP{_p8>sIi4Zk7uwd33z){IkkxQ5rc$wf1BmJf=1$8(D!%d z_%0GXN`ZBP7-s_P`sYlUpfrU}M zT+RI@Ahdzj{iB`Kg4d@e(-0O(A{tJ?cd2IL?)g5i{&AY(eXWt$B5ewVfB$hmHD@Pu zE}k3cVv<3+{c`C#t>68Y>_8sc=KxKQy=OU8M(+ze^6`3{R|avwDm8%IaH3~GU58GF z7ZVDOjEy>WXhFcwO(sF{_3EW1Q85T=L3aZ#4h4vRs}~;8|I9!f3YV@!65dj}e%bls zU>hE1y7@$`g`*N!xCgd~S;ZH?<{_ka#bweNV&B?awuP>z2KE6S5rk7yuIz{{O0bGsXo zl9!j(qJlp_YzrpL*0WEPG*p&TmX<_v{IOB(u$@va^&6?!H&O|Zl==B~2bJ;jSAsri zv}(8XJF6;H?jQp!ztL=3!Pw>ub|)s*W*pJi=WbpNk&6`9IUyOiHRC=XK3vc%d83+z@@+gSz6K zS`K!AT6ay7Q|?i(`YU5U?(5zs8XMI=`QJ3DC2Mt?UI;w#XXchv8Zfw6phgyTlE~-0 zpaMB8P*N>xA`}Pyfy&*0RCBUyG4Lq)6;126Nm5MxP~H}9 z8tDl>(CQv(^95@^V!C7X2l;yyI@mO7uzJkNc-flS^$dJLOuOHqDqJ6Qd2#6XPJ&e# zHtU_sqkI-_{V@2#*r<|tcf)1kGZ&t31p!!%B4>3yypdhghAP)3wLmMzeK!|8ATcm6 z0F_&_FM0%WaJyO?rTKX)S%ycWR5BreGeN4ht<;Y~<*1GC@1qB=SDDPV|0TXq?Rt|Y zjmu6LJEE@0DJDPbEb_JGw8MPCh2Q+T)R(HWQZnq`K5i<%{@pj`-t>k<(~5fEC4%up zyxwraIQ0@6P_3EZ-g09`{a!4`l?Tmh5>}D~Zfv=s+_-%6nF&S^Nakk1=OpPN&jgP! z(NsLX!`)T=q2-Pc{aqrLsLSrN__{Y)@08?QpxD_230VvhWtN};%35FNrJDxvkbI$8w|S8#pZsA3Lk zbzL|#bsyvpV!Re+pqXqqJYCF7Yabs~xO!b|Cs`$|*Y`jqNS2OHXb@)QUGMTZ-1TKE zrVW}u$Yo<4GBfoq=1Wznsa*Rr_Qc_QW!kB!VoHeX8|U_GlxM(@!q}3k+7my2;d+hw zA^C*(qa?j)86wR5Wb$ziyv2?p$SQ5c?;@U-QxtTj^)tKs5GL~3KiE*4N`)8m=vt#y z|2C|H*Ol7W-($1wH}m8w&g`cEDZy(FSc_AtFwyvAK77h!moWHCc~xF7NjlIv82q~e z$IdbKH6FYleX|0kx#Denz>m# zLS+WZpG?M_Cp>h1Od+SZ3(DR~esg`o8Tu2wkq~(XQ4W4TQS{TG(M9h@Z`?%w>f=2Z zWah>R_K|8*8PSoju3{W2i3pqK$AArO>hb10vSWKq4JY*V;+7r0_Yi7HEv+>~xV)eW z5rfDzau6S*oW)pnt_h(M=A@u>Wb9D;v18_&51pqH7rFD3R#Nw2D{`t#2Ff{Qna97} zq_L3>x%*fye|JzyD}-LxEukUnc+kKT@B8@^U+tyTgl3Pp z`4PE#+B9r!LHEd{5dm6@509rH_if{}++Np}xkwOl^~uuOWW2CY?|Jb6cHy*V?%PZ4 z)>gHYDbR)t75FN5RbJS4iP-+&Lxqx?^)xxCTt+m;{XB6zBn}#)zPe%VxLi3^Vf0IE zrLgO+MDBO}zNiL@ke-#fK3m;y%A)yH!7CLl5O|PEnSeQrbbnhS;oWhxw<_l)&ZVNo z0o#XDIM_)gM9I*5rU=vAo0;{|t2zK6Ze}tAxPIfJ6XE}`!yla6F~>WGo1M=1rVCLL zn}Na%W<>gjSL-p_y9nV6O@VvFxtLif=yirO9RF^@Nx*Q2d~*tS*U-JZfasX>>as<( z)U|B?-XGI{x}4+_O^N>|1{v`&qhxdg=7ExVfk6h-_@_E1t4V@E+qRapcj!IoO(iJu z0weq)HdeJf;0-1EHKm>LM|K8RRt_VQvIPQhMZHWLsz^Pw-5~49d%7M$<1M@pj^WE4 zl*_$~z;I6yY7fL-n)GpR!N&C6Wj0UVNB0TqX{b~_|27c4%vzeC)A>!)^F)iA?8ol; zi`Mnd(olTCnHV)Ia6_xVj5V`&Sqse4c$dA(7bIgK_!smMZJn_{ex@&3m8Ma$XqY zPybnUVv|gysf1%CN=Y;eQ(8>Y%~h3K9fipUlW6a(2p_8C=fGw64=((9Z21Lru zNBD`)^%FjnJ3ufX%EUCz_y#zetb0c+-+ZTdmfVR!k}=W-%`wqR|LIB|^3TPnq+t2Z zgIRemX5Fe#3rtn*S3g&fCtTUw9nwKgq5m#PA|+Fne24bb@NhJKDNUBNyL4c+zExbO zcy`CF*A!fYSOEmoy**ww472RG3~c}P{H zgg}(uVI5^(>@n%}na8N0Sw~iKIAfzdK0)%IlQDd4N^AU?&o!;09!+Tba5o{x8v!S% zC36s2gwPXw0CMrJuQ@US^NM8_J$`ceIfH4|gHfCKi!nlywJfh!o|nns+s~Ee6^1~)+e@W6KEKE2PYf6)l*Z@L#i-V+tB z`xTnDY#c8Zy}iD}FJgQuvn#wYE9#Or|9k$ox5ZQ?LNSB$YzBWwB=1h**Y$}x`ln9+ z<;rc$uGeAFdolDWUK>!=_!ho=)z?u=aCVaO-qpwu3H$U)U z5%De6Fow0m$>q%PZ|oqZsVq68onCF*i?Zs^B~l;zmjpk8oisR*m{hXDJ-%4r*wC%I zlJGl%ZB1fX#a-sEMVHaTt#2yQo8Q$l1B8giAfEGMON)OR`-HY{;=`Pd$0OI#g*#xBc!oo9K zeSHCCybo>Y9c=eN%|TEE$-+<*z}P)9vY0IgzL<g|?~>N>X&Bww6WjtIxeak~5o{LRT3(82yW}{fND2 z)l{`>gB(ASW>wOs*Nd`L>0;=8(y{)0&EDD8OuurxkJiP0j~VjM(wphJUM-jk%vp;V zaN!EWpAA}E)K5uvdkJRG6!QG^tnn~DlCu#Sgz!P!U)+Gc4g*0>qk3ul24`zo%s{KL zgw2e`)NJwJE(w~PI>V<|Rzv+w+tA44iiGpDTZ`SPH#d$xjfW&vk&RMq`CUTd!$qfB zHt$XH5>l6Q(JXJ+0g3CI)+jFm6q&dpJujZqW_ZghmvWvzrrgcmA%X+>Dw|f6=!NO? zEPXcDU&lrrb0+#cE+Wt(y8fyITQoQ;Rk)WifP2@`+T_IJ?;|9rK?F91bZ(&C;UQo0 zO%1da7+y#;JBt#2TNJ|NlWOI{B!CRN5hRYq0g~p9ie>r=)D6moufqooGZXZkep!HH zj6ZDWJ}$iN!+%+no$InSkmGBY@niSf@^>?7HH%lyVQyF=;9bCwH`ajmdHt&Y`exlj zE*da2@Ezv=;=iZxW781K;Z~oeYsjSRe6J`6+80TISZQ%_zC6^!?vz7M&u&6hj(c}{ zA%}NZdYNZwVr?eo*A8(#^bwE+X5@Sb6Q_$r9GMmO>ouyD;^w}ji>hE%9zPCb#Ng00 z5V0sT4yBboKAF=K+FXAgF5WL(g%Y3+?n4WC7M~lCgrk)pU#ddy$pDNs($2P6w!*;} zBQN6NFwK8XB+?Yj)C_tK-#9xg`=-TEeMWp*Dyn|QupiQTpc;&hY@+v z`}?gh*17hD#ZMBX)|e*m5gOqxSaXkho3+OMVKo1Xt-J7w>W|+=FF7;{A|Np!-2&1u zfG7w^2uhdI(k0yr(p?e*QX<_9F_d(7!_dtPLk=}(zGt1g&hM^!*V=!=>^+}&-mmBV z{ETyz2o#2UAXQ4C_ikJbp+hwLXPMtpJ|Own@!WoMrRFt^)6Q~sQyfK6^>ur){hY`B z^PKi`h)ZaLNt2(v6+GSHQtB{}FUmvY&YU!sg%?~_4(a&;>a3nW`aX}Sdmr+&+L&_q zT@XGN4I<3U;GodIjt(>-+fI8y7ZDqC*&Br2Nz*!HLSMJ(9__z=iPgB&#GDQB>J1Sj zJo;Dhu7mBmF0FgVb#&|9df$&Q)i1*vh{&l*39YFUD@Ie7U!;t~Pjg_z=Hu7$U~}Oh zPw~40yv`qXs7z6J>Wg>q9ZrNkS5%>XH^7%X$MyI<$^q|S z`y1npK_ExtIph=O;GX+7wlqlMs`}2{Gc^m`t~Ki4BsZ#N$hm99ucL?bPTy8=K?y(e zhL4SV>N+L5DY;2QI(G+SB8yQ&vqKOZrPjNlw5?^fnd7~4f57%~V!ere>C>xs4Ovow zCZoo@$!^sAUTefet?09{en{Nq0OUvM*78xItK*7}gy&JyRjVdAR2B8(0qu=hu8g)Z zg3jAy$8L@43`UPvE4R0O#SLW>-P}?KB(AGehl+EOyj>m7)CB^AceF=sdy4H3^7;4N z+^v8~ZgdUa*V?1Lk#&JEb+tnNO zd;kpjD9V5H&ScG1SrLwjFU6PKY$)GnWnYj>cr|MhebP08dYSMW@y4GOYYY_{w`?PS zQzc5dL559RHQ4PrlLa&Rht8?=UENQfLtgm@8$Fm+WEGouZJ+IgAa{_laTw6d($)FK z)!S)Sownw>DSFXaeTR|nqj!Kj2;^eK?=T&ZD+@a`Io7xlx%xgQTNnBuWvW`H6CO)V-9_Lsqf`X!{btfgR6yx`ijY}?m{-SDsgT&2t>o4s^=uS zEqPKiDBP((t2|v)ktudBvtRJ=C_9?Z$1MJ-E?&;ATxoBskiB{BsZyaDHRCzP&7 z74 z35B6ZbDB`b)#Gy~$)N$q#rLqV1Dwlgar&SRB6o^gyJwnR>6+4xBQ=>hpT;IZA*|xj z9p}ZBX=VNf{N_JEn;kCL2I8zCndup8a^$|Fdx7V|#~YNg7{BPc(y~kSXlJ>hSDtWq z6XC@C2Z!PPmw+Gl+1@0+i-<%yrkiX@S_OU=Rced_7HbBe=rF`P+IMmKhso&8HLoY* zOcTClrs>_+*d%r+qA$FHMqCX{cALao&sOk89MkYv@}#9uq@1J1p3>ml75S}(Us4*I ziW86*Z25=C9&k6wskm2M5_T3jm^cckUR5OXQ1dT2-E6p~TuprfG4aHs)xY5}8&%+) zO{DZwCkb+ET+v^*f?jJ-ahTV9>}4|K>f0CJqC`%(rw)n~*T$#xucpvR`&m>^jj;o% z;^1UpIN(C!x{g@lXHIC2n$*^^OUhOM{e8If!n=&U%1w?G{E=dYD8IHCvR7^8mMrFZ zJzGrzZVAP8V-^+2yq~L=sNhw6fQfj(53jw@%(Psw)Wf??*athM;DhNQI?*A#o_m`U zc5iD3XtXxNjY?{Nm^OGY!)^DIC|E?s;5%SY_8ig2GdG~m7uelej@ z)9U!up3o|vV_7cGir)H4VI*b(6GF#xo#%9-ZU;mcDe@F(yuau0_=!R@}` zw;ip*_-j*YS{@b3&8!>PfM|BD?JfVXAs70ZQ}rRwZNXT=x8Q0T`j>;w%azf&-8*0` z2rcUxcQ0+h>>4QokR*eQEr$5H^CB7Bfxc;S!hz-A9bJOIEvS zx6^NlfXm|IJ(oYi@1pdEa!V87)08LL@?ValRu!&X_g>Y|fQj5Imx{9>4n-)u1s}p} z*r7yH+|9sE|8YJ3R1r%iZ?2#_=i{4?w&>LkxOcPe#S?f3T}uhAgvtUU)aQ?atSt`m ze(2zhohQ?@NR8mQzuI{*a{jZBVJ8^FO@v1PXHeV)X>;dVn&o{TNU%AQ z>0?X%B-p%aEQ+78v7^N$6RK3Xuv$?WH`1_v_?^ah$kmMvaL`S>*mD&hld?i3Dmyv%&kp3u&R&fF@c|2ONt zy^-?Ul@+sB7JJk%H$*+!Un0C~sdmDFM9L>5NSb!&NZB{`3*WwdW`Q;iT*C{CYI{cK z8(a)CbF+|D$V1ixrPTF&IHfB8Xr0Id*;dnFa5jyL?n$ z;uML#Jw9~;b)`{VQiS;1{%4aQkbE@FtC!AI;~s=8{KVSPO<725j2)Elh`3*Ll}nli zD(L9kOo^35^Qp-NU&%2VvK8uv5zmg_$RHsX6aXysM_)Fd{et8Pc}b1*rOBptb-m_l z_0uPk)e-kqC%U3f?y@{Abl#yL-{DQ_f(*%|Z-)9d*u*?NS?2lSjS8SOSnY{2$sptB z)@{%fJZ%dO&A+GS673-?uxJ%XbFNKy5 zDqPDAhD2v$@78}{b1etz5v7&!{W8t{kIQxLQRK?HzMG^f3z9pO1p^dAB;0^ZIIKyR*29}5W&?tndzAe z8$zxo=DV7W`uuN(*B-<0`Wf7Q^u8EK;6>Tb;7bVm{@~4Q`^3%*Vpo{WNo%|`N%r@q zuGH6kLJ+vqJBT7)_0nrr?@Md&uWiT<0EB}KDmK6Sd2JxclPX);0`En+q`$qsRlMy# zJqSr9MAMo_FEGU(_A&A0fk*&7q3So&y^~Mc-<$6vKq(mEN| zS2B#i$}U_&Hb-J)5w`gn#j5squzy{I;C@O`j)gMb>!uFT-vJUEX;!c1{#Wm1GwCsIw-YxwG&9 zy{|iW$jeNGSF7`iYhp-+MA4%3tFXqp>;Iy+?ZQ-ZmC<}l2qI0yW@_y=t?ST&wS0Y&U`nnw4EUx zqA$nq8@wa)sPbhpnaEyFe>qYNY~*2XXMC{p_C^i4?%aLCsTp_{aCopTrx++o9`9o(&NOt^z&F4Rq&WvM)AmEwy$Hm+J*;sSEQG}~^J4QHLM29@v? zYI(pA>}?j$iTb*vZww=+&dB73krruCzV*1+9>bubbzntBl2%h^>0!+V`o4o1qLu4& zF>L8=rxARxfH88rCG>r+L$V;6HqG#)p}&SDQ8k>}LnuA(7cG|qvO4yO`Kq3S06X=; z{t;aZWb;{#-vr|TxK;~fSgj6{@O#7mH>WKNEC4>fepkV(#|5w|Pw?D1PRbk77`o=e zs}Vo^okcd|F;QA7p4%RIM=pc-xby@1Tj1G&v_&&=^)%9Z5HyoEELid9;qFMglDxxn zGYK-I1Zah%cIRcoa%*YL!}8BwVp?Ts-`b$C&Fmr2;$gl;({nDj7dGbhP2P-d>b>gm z0b&88St(sBDjao95`XEO`~cw7ZX=bU+v}-P{P`Fqu|nMHc*@=O$3&|DrxTl4wgx z^!4fHHwt96c^|8om>A~!JlGwv331(-b{Wu}_ZL@M)%TUVQCs6OUR~urUR!=q4R=h+ ztMwc>R|9OD%?kF&Frzr)hEBf>-spBhrgpcGkRey`!>aecga^nfgl>rs`F20<+6#}C zoPHQ90n;%#Ki&>f33+MHFa!OLr}tl5q{)}G4!#_-hI9!z?wq_Z-(lJ_{joD+WnEC15#huV5SwQB!QA#b#(H*A(St04rRzrUZf$@el#0!IcZqT;L1z7$Fe11u3RlWC zii9x7+^*n$JmO67)!7s{6pus@5<--bkVAq>RJho z#ZqUstKe1iQ~O08jv|5hWlyVjCoRHkSN9USpNU@Ha^r&Wg010uEieHSHi++~x2ySB zz<_a6moF$VW9wwuA$)KrM}iN6B7)g3u|eF@R8FQLi7hsTS0@9MiJ6`%t{QtB;&*{6 z=&{j+<(MT_&z;xgf&0$~RsyRy9|-x-)5_$rR>~(~<&o|Ec59ha(vsGEsmmi$th&2Y z5dDS37RTBx^-KYXaNV-n5?dMsA6~J@1OeK}Y-y8SXp`5ClO4ESoX9XihUR?S+eB7M z4`m$092Sqya10p8+*_p%&;0x~&Q^5{P*A_@EkO;_(kQs4dpr=K@iqkG$C3G+7zV&CT zVr?Uixf~quBX&WR)$?$))ZFqy(Wwk!hz)k}kksh&f$EZZ+#Z8uoC=LrllV{^NQ=cH z{mH%kUK1vqn)o)dtEF%4-pG4@YDa?&_80Vr63ZiwY}0=B30o|tgfCb*Lz%p=72{?4 zHQfOX8s{a%UtZn+t^rCrs=fFbzuPb5$3XC0DVE|540|Pu1%!puuZ?|DHGofE^^Fis zaMachbbl1QkIVmfSc55OfNh2kSB$CKVeMyw-GfH>!}Pf<)(QWUKT0pL-H6-^SFppN z)1Kp%xX*vb6***o6R85y?nxceRXk|;<34Zhcr!`8{}mf337wp{bjX&;$i)p28DF}J zh0JA!YibZX!Bga@uiqi?&d4*b#^d(hY)e4-=|!=C3pnNiG@zQRx3?s~w$NC+t(B$$ zX~ICt%Z2gKJ?ERzYq{HT2=1K?9pP4&L+BOcZqPXD+ zhehPw7E`&SumUcu2RmISn2I`EGtk$~a zNlAl4B2Hsz%1#xDTU?Sk)F&w@sn~a;)kYGTp0APPRG+2A>&?@)#!O%I)uDApmzl;Y z2W#a9b#=hWq;9iA5m(l#4@xBTJa(KwbXv#j?CV(9WF!|6cFM@jp1_k7@eUu zCc8Vd2&)`qZ;Ws+{&CS*$Qj*vUFOh&-swf_z6lU*0NZhje!FTu{9!axG9Y(dp%C0K z35O{V`;($m_w}kJaxSsX6nmwl^Hvt2l-Jn%^bLq>+J&aTsDjp{BG)`9$1c_tooDk? z)De80*;B#>pSGJ3*y%03D+hE3BBSeR8+Bh;t36aPPP*#J-g#`B9y@~gJ54^V@TXX-h(ZPa45`9&#SQNCpin@J#O1KSp4i6Vk=xN z?l~s!&RaD;Ys^iqzX>b0c~xqJnIZMNc6+R;@j9-W|Fwo-;LmkU{pWJvZ-nMav{0;^r5@GhyA$ zB*r#3#QsDwkwd?T7;~4>D<88CNX8l#9lxY^XKDVpEq?gYnLe)AA;T*84`O!DVpxu+ z`NIVjfTgO4wM+b4?KZCcO!y^Qwy!N;54=;KRenVS3z<> z-plq?;k9uCWh3Dh^I35Pw=GMr(h0(Uan~=1;AYK98TvTivPqa12C0 zrFj{GvJcwC9ko@Wc`^Tny@{~9XJzvwbr_O?>>U)_n%S=$+}dRyx~?`jX(AK~*my%L zYZV<0m(}dOdY5u)?9+c;K_~?EO^l9HO+Br?Sx`fBRF;AcQ#m&&eFv|j1p$oeJ3uzsY#zo%lY$_4oVp+b_fE6GA@2oZiTV-K{oAlw}W; zvgV|&KU({R2E*e4BSWTc6GMLUo0wF>6-tZOl_o-;>jJ>9lym4sjo6DXQw0|Vlvkz- zUAkU+b2?20Oz-_;;)YH@4yQ{$4J+NO^NhmjMe`R>WpIw|?BSd}|58c)`y3nvstr9nl$NZ1%NJ z6?pLv&ALh=tDnY>DTl($vq;&aZ?h)tg4@VUWjl9+utm>|UsUaf@&VJ;dtlMsPdBsH z7&bHgM7ivzlGR9}*J(@yr@yrJ(3FB3S(jgw<2NJ@A!T3{nd zWjZ-(@b1H*M1z1WMU52mex~B?csF@(VqDARswV~krj@+zzmn9{;mC{vBnbm1KYf-( zza@-V+4tB=Kfq2Z-4nAAyvVEcu{Ey4S~x;Z81~Db3;Cq_$SQ@V3RlrQ|1-5OyMrC8 z+wXA=8-$nMzeX{9>iccSMJYjA4tu#P7l`0@!#eoQmmK3qJ`2!Tr)$-@Qzqm>F2m%J z%l}-2?3dr{zjAKHK)t?kN&kikg{*!T-{wp|q~}UnE?>I{8Qgjw{X0c&L{;`@l@MFr zC$7}k`{%S)Z)(%F9Rr2jJ3r70?lWv_12Z0jIVth~n#^5se7)tcCW%-6OAP3_OeS-| z+0NUVKziNaD$|3M6_T5@*wSIgM@wBx+@rgz?KX1k&cE7ZTO;$mV>tF_{6J?nJ2yT%CBIxzdK`MjWKPo@+bCPE|H?K z@#1fqMIIdj90x4?J0v^VX33WyG2Hr;mGjVp!b^kVYLyWkPtz*udn5jzJ#%CMx^c^? z(Vs7IZL9K=q%$n2^>Wc}vesH-f3`gHd~ZTQOH1p;l`~B0|y_h=;`Fl5KY|8i# zQet1~Z@dRxPm(Tp8WkU>cjo1YS80hlY;{O44QZ{?kcW;h61VzI_LoDdBXpY~c=BkFUdBwqS?nhT?+?$x5+J9dr`r_68siRu!Hg!p+$>aNX zhj?guopWOSlO?9}$;O?!_i~YN8saq?G5#mxKa;pUHpbt#3*%R3DhPagl$F~}iS-wE zlD#$CELd8!!ta(%>|2*?h^-Meki+N(7ocySKK?VmUSf;ebX{PKW(}8$wjEPCITE~< zEb3I3FfFFN9js3NuKxKg;-Mz_0+gd8z`D=S46D*o2 zNI|w!cs+VF%;fBt!)~A4}P0vX_5uF?M^ThMZ6}Ea8VvJQ90Eh2?5E_lHvEiNWYo0`>P}a;h51die6A9c1NIXqb@Oz8 zZP=KUelwA9xLEoAh8&=|;`=a>s?s`(m*d+f|0^{+ot2A0 z()YShOf7D;&_yG41BvYfRLGC3dP=bz@Yu!G-}-DGkNPJ$I#}d>Fwwc z%80-W`Q%miHKtA%`sKDV5++Wn54oRrQ}^k5DLrfs_Vp#J=QN%!6~l{cQEeA!)*ic= zKPh())eXobC8>T-#;*d6GUMt2=kEZJb~VratUpcuKFaX()k(?+c6Jrl!`Z~Ue)GxN zPu2tJhzg4ealYYr^*jQ=81P5-HFJblO&`Fy$kiPT8ZZe%Wo%>#j4c| zhRoHZhMWvp`(=)`w<4Ny661gSDDPU@s_1f`i)u4=$sVZ9UP`V`wMP?r6phK z6d;{niPfvgaqQb0cB_U^ZWn^C=;f84Gn^x{zr7|l$Md-cpB6Z%*e%H4c<8QP9I$Ad z+q11jv>U5iCoSs9v1HuQ%lZyK#XQcLMkFw`q6Bbk%Ccgq^vSJaaQ$LmtYL(x8 z>=&NZs~hb9*1bY%It7Mv=}}evLSTaU`n6`jfo}=BTfzmdZ1Z;(Sr1LJ+t^3n^e!X1 zD1(!YO^!EK9*S#z1`)eI4S%8M9C|<ZSGH)N14mfT} zbjIG0y~-WKaHo_@uR5ibojAN5X1?h&0j?KyY{*aQA#cnICI}hYKQNhT?yu+KlL`3y zA0IyRTBm6IQmq6qoroF-1WuGjQym@A|Iu8INu2Lne^RH%M0V_?(?!;4c8760)hzfO zFsQ~&kOaOjVpxGO{W^%6wZNgPeJ7!qv0CA2hM3^o=d$T{CCub9==cIC`0H&@<8p<$ zYTBsSvU_M9e8og@gpp0A=rSHidYd5jY@+b4cNso{)tuNt-b;F>>*bb)eWub&?(>ws zhi|GR+E4ZbFey}6f3B{c1U07kr1LjRE`a4W`#t$wVUdQv#D+8dtJBkoT1;&(P0iD` zd7W*mCeT4C63;&}T3$zD;ozJMIk1%{P#l7!VAwl9qbzT0?vQtsxVHj7v`7PhHwoQ- zFH9$>Ph`%SFd1vtc)x=kV`MJ)8xwgRk5Srdy5^33vIF_F7q`YO8Gt_lHSm!X$E}K~ z!q`e!mtmh1;_mX|qsisTxU!F^9Tx+m9dqEFsvT+}|9IO|!#yF@*yml%#`$bR0aah9 zdNwO$VpB)Mr=3tTZP_k3IaGF@-Tx-K?lOblzbRo&XU29<++R!$wNm=YPipp_Vq<);uIY2~SMtZ&uvAIy~nB z->oLZU6UhPbh0B?Kg;y|3cARhQrdfieNUjHVD0Ms=muaMob@(#Gkzm6)&kiLaKJ_sEUS<(>cb=_%#H zbJzTYZHf;VW|R9ZXIuJ>chd;SGeb<2UVFS)D_J9JnUNHKLv1XV`Ad2Z<97#nKeNifXXDXnYpZdRUBSF>UnzN3eEvLKx>TP&(_Pgi+}PZ7fERkgSCO-ZP6G)nx^uYbn1Lk+%zg3C|JQx7 zf5g8cuHs#@t?hGW!|R%X1F%t_tqdk2UjMT?HOa2ink7>^m&BBMj+fVEeCJ;;j4J|G zD>$?tX!T~MM=WZxK74kuh?{PhW=KNq@7S%seRmM0v!G~`ANCvZ8A88jcQiEi!l6I% z`AH=5iWSG6Bg^I265&@5P`{Rm#_irEr{o)q9eck>bV2tn^yZ>a{#bG{*GJ7(v%dLC zzc%%?gRQ1QyKZS-F3N9q+|T)NCJA3`nTgb~`NQ+&KVZ^3*GEu5aI0i6G74v~qVVDa zKV0)N#z1si3Kn?qbzbw-?^lfI4@r+os9RgAym6ji4+ppg(}n%_`wXxk_^R@)g7~su z5@5W?HxF6r4YLWdLU6^w5d{@H+Ta=QHYIBsb$h`WoXehcGJ5(95V z0}^lj0QcQCtwt5g5?V^WxjAjgT=^lJKkjpLf|@N>3?&AKJ|$%rGgZy!kkV4-`@<{2 zM{Bt&r=-mt%xhR)qKvKI^kthpjevM(T;UJjTDNN}CYANaJI-J&u$KYrwpAt_2d8VO z7geAc4VxciH$NqffghgTe{FwK9(B{fOm5&!CWG0xDv6C=8*>HRKldmwAsuvJT5H;+ zL$=Ms2aZD>)9~+~zx&Zdl(!}r_A=V~)TwuY4@>uaQhS+y}vt*o}_v|7!-?ek>lF7o)wrdz594-vmEL z$0~{SmT*Y-u|=n49SlTlCp=Z|{Fg2lxL(k!S3QE~UVNaCmG`nW3_#$5WHcW{_~Y2b-B#eZ1|TG8K6fYlZY*eK;t=Ja+07#BUnh(XR4=j?o}nk^1Z>J| zu4FSVm3&Y3EzA1Yp5Hv}q-W~*$1U_#>uS;vdr~}R1rG;TdDe@%{T9Rz$t)iiiRqfs+-mN1qmijD)J4G`@?qQc z*oU+r@?e46gYI29zSui||H?eOVyLhGie!=Xp^>RlfX~?dq{|+$kmGd+)%H!d=I zfi3ZF^%=^1>FLZ$qsqu0kyeY(CqAXa*&Dh^euv$QMJJK3vm)6hBCY(3B(gSOoQJE6 zfS&?ec%1@E*N@_8%n^jE9Z8ST7yfhXePTgyvhZE9a2MKGN)xdXIOos`a5YJI&#Y+8nLp7f z9@O+tGHA+VK|E%C>(kmok{XNWU_%RGC@zT3bSd9HY9Re$Nhd^OvNe*exde2?Pf`p^)P z%&9G}a$vphN>yO=gR|r@72m{7Ytz-kwx&tmdyLc;k?Pu*mZTN8cSCxsdPSjCqpCc{ zxEUhr+(07!w>B+w>M!wfl?R5;7s(P{i3u%(hulid|8ah^E&7=Fb%%PP<>qi?C==QB zazoJlz+g{Fq8xTlr+j?(t5Cu_PtjI$^_uZe^ZjHs?Ym-KO00A%rw~Ox;^!_|md8rB zxj%vwLa`X$F+smt)-2gb ztu=xIaY`_pZ|_Kh1C}GfmLi-F0_t1W*pEe;0Ydn_)0l7&get-4tu@)xfELu|HEOfQ z9j;|4KzH2SiwDl7M~p;JF~V>oR@7wm$;~UFn9fs%rZ7sb)@m4T@1qXMEWr+6dQib5 zpfh`s`s8`}l*|&7`bgl%@qm1PTJ%lU-}ryF<+RSId0);QB(4a_?D7l<4W?8F`oJ$)g1- z|0DR4FFO0U;G0Iozke6}PSW@D+}33GPBAa|k}`NhxaS$(*V3w6l9&eElqJR<>&%x{ zB8DS*djrQ-XD}H?9|mYX%ei_kWB%G$G07e*aFwe#w`Sfs#u5DO0TAcON$G<@(V{O~ zZgTtTXV&reiN?qq#sb9*T(~(MC4LAI&7pkq-z;!)88aJjj8qanLum(yy3zP}AVGzc%YyaoR3|Y5tpYe<*rO0@}RWN=_&N*Ke#_? zw@&e&S0g-8y37P&&&?I~JBF-dqJniaB$}JwIPW;-mFj{-EzT%&Dsh8j=5--?v@74i zIKf2X*WvJ~rZ-Fk0hG8i%sh~4LhCzc)R2Q}zxI^UbjkVb`?CE7GuxzwCx-lugqzoK z>dd+SLPRkm+6opt9!$Cus<@=iQ&gS!bxEP3G31yCF5Bakp_m>WeAF}!`8u2QC)&ue zBI!lcnES=?@^Xaq+*Jn-uq_JomLo5-N3})W=dXVroU}x@z|28eK>wx{7qCVzH|L8t*$E$ z<2U@*2$4JXS801dcV6G`(R7y`-Q*ug*=@|p$InHNtpbY92v*ttut;~{qJdr!6>Q@v z)sD@{pzmO!`G@13+FFU4lW|F6)*77eDFT*0$q5D}^#vO&RBdz_pNdw_hI}ms4Yjxa zK`4db9n#)MsJ>PR>)m(LWPd)G=MSjm9by2z<#t>9prhKr$7OlK&qDS51>MJI$&+J3 zSl*%ZFKKsn^T{4 z^XXRXoGq`1uTC^$PG3zeeh;Xo!P7cDulUC}g^kjl6*n@p(~lWJ$i@aNi&;Y4@y?8I zy#NT?Xf66;)u977rx6cQMw{&I3LdVx{ieSKc6FxG(<&6JMoySbcaABOY}4)M5>3Uv za*#l!*~PCMZk5V?=X>7b=DIQv58S;(=4y#lE`O6}EDs24*|hV5PL#qC6+FcRvUeZ? zq)0#AptGE*BqKr=Zs<)^0Y!6NOA}1sAhNA1)L`P0r~V~(vn&bgto&QD#{`=_$ zNW~X6=*aV9##5zkh}(Q&(^yG!dsa%|DW&l@GG)OMRhZsP$v8;Rz5pLEAV`(2Q>^Vf zeL-23H=*CFl|OcU0)?gZBwM1*$u}Jwa!&)3>jtY7n#bph@aKD$X{k*kBf zJ_+85<$*v=quH9~RXi{R=d5Cb;*7(Q%hE`W#m?()h)9C>CjJraH$NuB^0WQJ;FrhwGEqml zdDNkJ9PF;xWqjxUe$R^SoxkjnZczIfnH4|yacoL8*lgjlMAKxEyzOB1ronlsSk?3| zs0%wOQ&9ikX8(voIt{*_-qLIE+ZRz9jqw(niSTh(B|(ZplJ!EqeW;*isQ1S%`C987Q+4c-kkwUo{YHEcD%n4P}->%1) zouOvNRQ2z5F@*}J^g)hFZk(oT#qS4qvj>BHq6+1Q1Y*PNf=k8pK#vPZTV1hCP6wpw zN{n)I9>rSAm9u^zvdrgJ8F2lO*Q3Q{ES>U!)3l-W4J|1VDDd~w`RITB2IXH#QO327 zi8rJ88Fd<4sH7K3agiiO2?8+yX^zGRggTe*WH(=BREnlArnVy}A%#?Rsc!n_ccdNh zagoL~H{@ED<{U>D5x!}j+&eJhnDlR)=z)?kzzc=TU)>q?A>HNS@JV~a z8hN{v=u>C1?rVlcnsv`X+i&7Pv+w>*$;r2|g9Oo4H@Cga0~Xlr{v3e#U?}}d$mg0U zKfE-!MR*bynj~Z!aAC0Ln{HoVvZd$ZO1`i?=x&K%_|>s4lXF(~4hkh6*`hABrpgVz zJOee!KUcX0cOpAIwiOJk{B)JXNjp7lqmouC{2l;nPAopp?vPYG%3L!ZqZvqy6Y?wLr?Xb5h`zWkK_53- zNJN{S7VUj3LA>@7(bH#`P;O96O> zoVTDC3p7d~FOzx)1qhjsSp(WJE+ zKOQFAnejieo$YG>H`_T=s;g>mU$*SE8Pia0+U9dH2BfFJv|79k#-R!hyScX_^Jtm4 zEi`1+uy%rck`)kZ#%_vlQm7pKmMW9?Rn6Tx85RLw_f^V4;z4hD$%Zz zSh+{DX7fLX1?$_WfA+5u#wOx^oClGPrivJ$j6Q$VqvfJaPlZ zp<3`|b>>Vb$ofogko$9uqYmi%7cZZEwoVfAcH6zv))(N@#MbK-CG#Cr?Br)Xla3Ue zZ1)Y62>eIIUd*e zEa(t(nKUsYD_~SshDqaWIoJ;I<0dZ-=>?z%adAikVa9{HCa;PU z_T8?JZwzG__|e@gy0KE{&!A0ybm76LL^*WSkNmLL7=9B~G6>{NtcOzj!J{4-dD>*f zJi(hQGLxb$okgpFH-Y!0{9dz;VBn115NXQ!{h&y}Y+pfWTJ3(B5NHmw*lZiE}J(T{0p`JuyKsLQlrMcODs#t{Nt!0mrOPxn=owk ztzKv0s6M55Y%9Jef#_t@ly9e`f7Hf0*hrTbADVoOm{67^Czw+jw{28mp-w1)1*7qr zpJ!I?8xMw$1p%wx$*zlqtg=0MITjN!>{&*(noqV>eM#vCSnbpqG2xd?&Xv0}5SLrV zCP`*=CzltQ?}4yI%Lp$Ohg$iMwmxbRfm0j}mZx3+hH;pS8&HE@opanI1(Dew4hNc; zpla}%Iw*T7f`#^8ULHL2tLs3FKFz5{&73T+B)YNpJ#g53&+H0#wWlN+D;^Yo83xGj zAilgzSDHv0SI^AA$vq_Ge?5lZ_f$Ul$jvSOZ;bVg;cZ+8a0h>UAiY4nv2jF-e$=cT zt2Z*Uak)fOUjAY~|4N!WliDY|i%~pKZn154bV=b&nh?N4@)dz&V2A|%y%Gd5jTiWG zcV_MDz&AFw8;uFA+m`w^)ak{|QhV@P`{47fu%wHA{H-Rbx~FoA&X2jpi=?o&A_WYu zw`gm^YvPUHZt=RRZ$APgws z0J&s#E9rq-8hkIrWVM*_oO>HNysx$R+BbXkTT=zp`FW?CWYU#Qrtis?<4f=r4qWt& z2A8_=OyP_~ozaCseKTnR*`ZL6?K^NrKnMVYe%0=nZJ<@_V`=MkHsb1($(VTephFAY zk8}@oNw_!VkViuuIj`ehUG zQFD@hRDp~kXv{g11lgB9u?e=2m!?LizxQ`v+z>qXGq53s(x^9R6|czsG$NTitYpxa za_QiWSh_fku{hSUIR05y0CE6Ie`$;0FEpAJZ^*GO$6D*S7ixa~AP?AfJ7YQj6K#3i zlZVT?!&?TJ(0(Q!(OSeXoqzE`;Oxcq5Qx7nKmSj7y_pSZng8JPSntRMf{zu#!1YVZ z5M%wJjlc~*wQ(1t_|DeOgXsq0wbygG#S2l2yLrG2ptI(`xbVCAJs88mm-3;vjKd}A zvY&b6$j`{mSbDJ}9|uz3+~x>grGs`~7UZ^buT8Yk3-uTzonjq3s*UQw9);x!kT#Hz zQTJN+F3QPrucg!Fs!m*;3!d@YI7fJ6iM=%hP70h$Jh*r~{g@VT)e9fY)Zm7ydw^!z zt5thdRz7AYHK9n`8HyDc#Em0Q-h_uJLH2Zz-Q)`Bl0X_C2Qmc#MRtq@h{o^0AM#1_ z5Rjz%lP`H&6m&f6?AN+6c+HLVE%fWm--Xqo^2SweWo6|WZ?}mds)r>CUx$QqVB#I< zf8=KDSVN>Di4m}GJnDhlmm-EFx5SPiw1iu*g3s8r#$PZ1jq?*fV>$D(Sd8PNPGWU> zI5}!Ay9-5YNPbs%#N)A}RmEfmcEB@+IXjS0}420|DN0|BpWyl<#JJHa6L+ zjg^$`%PzG0LgSP-6k;l7sY*RQXc%aabJ9ryo!BGdL=*mr9=G{$l_oW8pK`R`={U62 z*mA&6z15!k*koWm4{Cpv`cJ7V$WMvx0?D|2dBPUaHYvR*DVI5k_&GUV6NBU)&979| z-L|$?iSo#0ttlR?hrf#cb5&mxLfeWeOYX=k6U%$`fk+bXMdl? z=g4{U>5QY>iJFsu|CEV}##cNsIggp$Kp|13^ord=@`$gi^?DjSfM>x3##JId0gErPp|y$ z`#i)*+U<+$HiQ{;MpiLhvQOqOq&8hzvU2u3vRgm30|#NB1ShJ19ApzFym5`mG(nBy z%G-T!mb$)y8b3_9Xk$BBw^_pZUp&U;OyVp%jiYm|X8e}t?f7>`KgtirgCsqf%u288 z)Zbr`87bvJ*Yu}0k|6k%6^jr0s|JxlG!ybp^ma)Bftp8 zAphuK{;*b&q-Rj&?Taaezp@`$Yhai|C&v}+spXyl{L$DCaGjbP$r2YYHT2O^<4-8m zF3Ecc3x?tb8Rmmw+>Pn`@r)ocx{fUM-}qSnT2~tXqv+XotRE79LAn(EPcru-!BpcA z*pmKAFk=V7P*?eV`e%n@0ni8$2o*oeZyu7tr=7{~J=i}-q7;g)%<#?6L`m7I8p*Cq zac+oVb=1LAI47m=_H{kj8z3sn<_*kvLndqA1sqfIhW4@0b|ggG^hT7`{U+;&^_A~i z&|9meNbevLjync7&8aKYwsfL?Ein(Lo7&UI`6Wc94|0ynhU&J3sjG$dI+2cumOhc& zAGn8825aeE;;B24Y)_J~G2XS9WOCjcx#h_{hB7IvgNq)C{|L&*9ogjmD5z80(+&%I zAIuh|2qPp(XX?ELqEbrY8mHPcC%*V6t$3gNC}vTuZ^Rr4d8i6S5R(A{{@UN75v;J_ zKhAvOs@m5;#=4QYpMSeCT>pLuzwdkAUk03 zRYMG)5lziOf8_+_viOG*h0}1tc|3t@{0)Hr?NI*tir&X92=`eyfuXK?NJHr=P ztZZyXj+g4Kri3Fz7#~0i;A@oyyuK7 zib)#ui%Fsm8ywkpC5DyB5nI)XyY4)cZ)5=S5=a@le|?HgNJTS%Dv3D3Dfe7{YV@?e z1*p%POmO-eXNs(ky1O{63<$=&lEV29(s~y3Jwn9h&J}0it~-ER<|$3Pi{8=1 z$=)BhySOJ5WPZ7cDVcpy8(H^M2)+*fABm#-`|l-Q46y6ymEw_dqfxbF(^o|JNx%U& zf084q`CK3+(c+%d%-@WSveyPVC=7VIIm34u-;R>sVMNU zD5w2>3AHh=CVW!Eor5=w%7o23oKcesXd);VDivK&7@wRlmRVpe3 zH*Haf*GBgmLV1E76mlknwf*XFdiWBsb8_Xp5|n;H5FulGk)!H-6r9x%T->M7kn;AF+s9!|Q@W z5y=hN3`aNQ56*9`e5_nM2sOhL|I)W6+tpwE&T{RA`Zfu?Qg+F9sm96 zS`~SV|2>a+16?7PCj0wiqqT#Q@9%X99?Q`;zE5SOuvVLA7UH}hea2WS0P#J3e58oF zmAM;d1`w#h_~$g|_L!IF0`$E!FEMg|BM7YQkf?Z^%SODdVQHQn1P{&*QaNHJ=8YKX z!zS+V&Yi0RsH8^HN&8K-F+lsqFL-M0LvK3UpQ(3LrAf#3>UBiVsBC@U!{v29o&iVC z$#==9>-n9}pQ~}9N0n!>?@u~!qUQ-dPyEU^USV3Fqrt)Z>J?8wUp(BG-`jv&8RyN5 z>FeR8zAQ9w2h=CAils40rUsw*zxqYhg;2aXJS^@Ak-FyocE1{TY0x%dJdJ3QX=$c3x;sORQ=GR~zD*3DIvO~GF7!iIKZC!=*UG6yq8 zr(jQX(_2_O#uHNDSl(vh@F1O|tOA@*_JEEGZSz9P7yG=2T5C%0MWk~NML#nFu9HVk zMT!+3KHn)oZS9i5VnjRuzcxEKea1s{m&LY4MQYOKMZE$+T=Req2D1OQGVgrp>fNIf z*rNAB;;8WnDQC)y7m>i2sfL=WaBMMkO>% z|3JLX7aAN%?D^tP=`#@{u=f2q5PAQgDr`IL4HzsQ##y}|(x_!loNSDIXt;D#Z?j-N zYaTl@3@#l4UW*$WU)5n;FIB3Kb!K@dxGsK7(D?W$yAc{(|G|$KD=6)?qKq~no3rPy z!4N=)LH_F&2}auL_}U?-7qhb1w%gco59A0#_Jix9%^9XY+gI%5FiP*btK;H&ov`=W zVDa?}Y+L^&d$)UO^oc@N_788UU4U)q31zP?^Fn3z z1>f#ZW33+^V~r)f}KmlI7RfT=&NM2g&{(e-&)zX_jO*p zBW`n0&)$%s?mIUa?zy?yOb9V}`uq`ogw4SJ@^Y531fL#aP%+vKe{tvYM7=Kk;_(Veo6mi9FfIs=|w z=5#;G#(0JZax&A3>L5>L6Z{3p+^Tn=QKyKC$lf?8u&uoOezsde>*k&s z-z~30srf)C@3%5$`B0os>;_fW2FfFWbDv%1ZP_-(Ocd2ytf^9AGzDg~3uaEiNZ0#=W zoDa&ZPHu())9tOPIXsZ^t!e=8xx}S5xGg4+)kvF~Uk0iIa85X-`V@z$|Frv4G)Kt4 zBau^Qr+i|Ns>u10WLjxwrU4S6ULt41JSWcGVbpLv_;$MyjJ!Ug>+I2he<}5>UbJ5n z6Tv(pS+bt>cJ+~@66`2E3l(OHfSX>O!oDhoIil7*UoFdxOm-9l&@APiF*^L%EB13%n)I#NFk_cp#m<|7z}BA)2rg=1m8rb0XPT>~fY<_y8klj`8=1@Yzi zN{)#IKSigqGC|31m0tCJ2@ei0v}hx;uuSlRGmXfr)DWG0-g-Mz!>>VD_L|P_aWNLJ z9lorYo8wIEVj6A7VNuQh;&nkvbho>rr9+)PINOL;FqOjxrg(%mKL5a%@#Y~xS(xlK zjmL@B=s7Ase;rCnRh@s&T#B>tGH%byxGe5NOV2hDGL)n>jh~If^@`+{J9>dqRuXqT zr~?lB7W_4}uHXYYtgR>A3a>!YN@9mhmtP)cBTwwp!D_)Pl&CgHRq(R6**Ho&aSchS z#_J~t?$OaC=35FEGW7MuS82Z3$_rI))%=W4dte->)s}5@-M3x*Gm1 zafn5U{!BKKC~BeFtIp8Oh-b}Bf=&~;?%|+8(M>DL^$zSSy(U~b-bygvK8Ed5@r{Q6 z&2L~)!KQ$nc(lraj49_)XpV4~TgxWLbsZyTzvK-bmvqS*(_Z|Jgm{)1VNEY&YWfhP z0Pi7gx>EjUF~PeaS;p&}{lWRBx{5T?JYg3n4Bjx^|CB?EO<7!XwNbP3RA~bbBWyZK zHS5&m%8;&F2UGPCV|-Uf#p^-RNP2NXB=)dNYqNmpN=qOC^J9>=?^Wlidb1J1o#tQ< z+P$B9ODA7d)aN7CSMwdQJXBy3EgOpkPbeTAT0Qk4 z5f_@Wmzd=S6n{{WpX;-=TDH_H#!PZxeb_r<2wPSC3L%=B^6?h<0^~WeY9qEn(*D@t z9N8xI1+R=D%7Szxk`4eHo#J(Kc@~pfYgS1lIJ}CVUPG5NCZ`)tvQdEd=_Pgq#H_8b z4$BQEb_6BStK@MG7naJxbxaiCL&J#=jRSfmu3o39Ff^(t-WD*CD9;I06eIvU3RoCZ~ec&i#yxD+U5cRy%3&w=2&vR=q_#QWuV?X(XSEN?K zeYPJ9p(_c!P=f;c>iIgwYhT?C^+fM8wY5ZgkXID_gyDX1l(38~Ibb{)#*jH4n^UK9 zCj=k+2=asdI#yOpE#q!4dPIz=+syiv@wj!)k`c3>#TWrhqV?I1q{rhWh;4?ZcFJKP zc|q!XObN3ng(gfT!9t90 z>{{{Ta%k6?PRKbEmfXz0+aBiQ^0jRzCVRKv5kJyT>C;5uxclv9qQXa1+Yq!&- z(}`tthNOT~FcPct?|Alc!GJhjR2t+Ka<3Sh-~1!47PIZAN9fHkX&Bz=_QQo?+}<%b zDVQzXEpJb;CBNRG?kDzmGw)KY?Xl!w)$(S$ql`GcA#2UZN1<|FJ^NDDZ5Vox)-XMT zD3jeS*(p$xg0AZ%n(PO`lUdK(>_vu}&!1N;y^W%(7l*__WKf~wnn6j<_S;%k_H~?- z?6YrYuM0uA{kj3B37Kb*m(e+iIB6%YF<8-W;+Xvc`@-#dQ4i#Gn|`b??@W{P%}LzG zNy~`OJMs`~?&Cf^0u_lOQv3M|!w;>)sCjB8X`jnO?~P7x|%IuKKIU*3bUjU-25txsm6;AR64moCtQS}^v0-@=77*LUII(f;C9c*vNkfH1BO zwKOY1J&92QcKT<^X)J@u`yztVkj4${gHe;+eiQ+Irstt=U9SS)BHXzGJ$UQ1Mg?7N ze^F{xhalCpm0X*kzwy+ak1-EES*Iw{fK;xda~ao1vpFLy1ct#r zs-r6UHJUGUP*+C1;`B8Y;V79dQM#KUY=(VZe!@z|YJN1P&gQQJh{rmS@;&d8%k`@> zrg3U@Lp=f4ueiBQYT)#K{8S-J__v)p|LCpb29TT2iQkfpDAs7v=&aN~_Wj{KcC+MMJ7{|?bhM<5( zI?pkAi|zKclW|m!)*-uWzRhXwQwgW^ebGtl^}%6U7jd8nk~o>w_`VWha`gl$yKE$A zP*ApAWn1ph{DNP}E?^(LNJ2S9x~yWYR&_Z#KEGZPtR$M{f9w;ZhIKO~!lrHZIW)+e zkclks{`eCVm0^GqvRVrf!`0#fwlW`PhQ60^uR2ppY~>tNFrya&LKmqeUnbT*|MP6w9|wKP55q z`0nZ5Szg&4khtsbo`|pxju$P~kC5^NJ(tp6LHQbxMWKTn@D@*;S) zD`=b=Xr=m0R&7q^Ffa)vnBe|K-Qw}9-;5)Fw%W_<77Hy!1#X_0Gq6;!cm<DQdtt(txMC&a+=dRcre@{Z|`=XW)g6Kuam#O)7F0f;6I} zot2a$*y!<2o%uZDLFai$gNeJg^q@(+Na+l0y0sqe7!YdsE5MyE2XCcAP%D?iL^%l& zwby8rn|6oAAvfW8Fzq=8%BbZs#ve6iPa~~NMw|%kJVYiz%b`C5QuZzfRb-J(RBpbT z@^ZPY@{D7v%sHu4X->7wbss=lv3Y98(;l3qM?%Rt5Bw+bvv;hWeht@~hXPFY&(w|~ zK0_kbQAO0#NNMT_P3i`^t%{#lFfZdXjkpF0fPu_EiGkVPT*>NKU+-0IM~P+Y)ywGR z$;KZ|XgR_RWvpDh9mv<=sY;k*!hpTbHpzHlC^X>_ zb`qDDLfKenG==>~1Hypdc2BQ(w=A8Ed<=~O&gUEG(V02TAcSHqt9_BA7Qr6OC^9nH z@G&vG2T2u)ed0NW@RUE@FXv<6Xe5X`o%pM(pM*}>HZT-DXFU|f(5T)!=0LJ0Y6j0$ zpMH|`v$uiCD-qH@I_L|AEFLkbU_;MlpFC??LUo1U(iq?-CGRaDJ4pWG`}FT_3+bNh zeHTt|-_c~7Z;PiI|6W2MM+5#b;anVDvz*QIV|%;uV#0YR#*;Ps{bKY7vh>1%14fwb zh6Q>SQH?LnH2}yR!dDSS9;>chnT93mH%Vy)c``K(%4)1WZmjor7h39;@~^NoPqQthXyRJEmr=** zD%LkQLM47=`483-Q-%xh|LLhETKj+uG5v$HL3i*i+u%Uuk3#|leij12nUB`ksR3~C zdjT_oKsz@BAraUo}AIG1)98JPq9R zd0V9;8`1Kyv%rpWrlIK=asIk<%R^ndErnI^lWTW`)wzoj)gRw;A-N0xVsi>R(Bnv8 zgp8?wVhNV^7(R@6G>_G4gFL`#<>X-69m&zs7SK5;pety^?U`>b;2O({nJ>gUz3?y* zm`fL?gpkr~=FkQe-Y~CYkD=v6{WB8Xb*Q0nH=f3)NpQAkmR;`HArjz~4xd8L1RZN5)rU1eJ;HblyN%Z&S14##EQZmgH*8dEu&KSvAlo`lu!X5dJUq0 zg_@r=9|_1U=l7=S$XY{ti*F_GyriPDvl|UlN7vXyNU0KI?I)nOt7VBUv|1boWM>9S z&!LAJy+l5xc<3t;NhexGH-_yWIRZ(M4D;S=E5ej=) zui0UgU*T!>_ud8Ci0*RLPxQ1drSUv z!g($&+SR24h8~5zsrw4{&|t)*Wj{iUz)M0RJ8QzVX%qNWoiJ4^iL2Qna8P zE*m}{_sM;VpCf3gk`xJ{TU1_p=zTEG%_%Xl*$^RnVQRvJdu(dR1I#X8vC`};)V@z2 z_)5MQng5)32v2TgM=Ee+iv-UfYxaPZUt=glD)`q2#hBw*Xt?+-m)OmN7bhu8RpI9K zAH5IZiADU#g$~2{@pf19i*)@=^6xEm2JZ9Lg5#JKq+0*UfpKYr``$Lihy7%v(s_*Oq{v1)hF zf^1Oy=c?`LDZhXB9e3@~xn!da$DX@l_#qL^-Z9~v7dt3NQk?#O>o37;T;v@NCZ?Yv zv<@vvDF_+Wjw`Jh85cNAhLUlqHDVae3uDV; zM52^n=^JXh2#;!CeAahtMqVx$V^_OM0OJ%ldd(^-xhfBNMVP|015aC|gW}>oIj!vl zN0D*7`<@4x-&03+`!A2JqU@D6g>iOW=Dl5xGUMgI9k*wM?dCM~1tegTk-bu*HBJRWJ_ZPadeF|)*T|zpz}6fI z?)7QL`FisI@=88Ae^0AcpjqQ6u@ii8e2?|X!`gA&_WB<$c(|ALIKy$0`^bX-fyO`? zPKU=22|-*kj@&c_((&QQdgi+8AuDnc&S?haq8#;z9NNz2s8M}SU823JBpmm&;bNr~ z2lbnOdP<_;rKEhgU(lGJoRWOJgiIXt?<4cH%{{|G-DzmFiPz`rQ3Fm=YmU?rUQ+o( zHul2Qqb;^46Z%0L&NK#`CWRNMQS|ten8$C^c!|$FX2I~V2bOybFIweX?QUROX&l|cI;+QF zmInPB%#W56OvAt9@g4q~%l?O_^?-An`>uaH`-r&O%al%1dHCnI^LVu^(=8G#qbymB zUR#6ZfyGZDk>(^VVPEmE79nd}yyT~YHt;+nIG6H&n9(!3-%QPyx&+p~rhl8exjkK+ zqlqwE7G1|$AI8ITs=;{O#d=!LH1Zcnyu`0I>Ro<7XtO_^@3z&@4GfSI&WZnnoH&5| zPpZISOm5I;8u~Kh5BPrOIfpo>h0oXYem-CECDI7@)<62VATNF$I=c`$WER|_;pY=i zCHy~l8-QT-BMHRe4>c>gi`jbDMv*(UV+uGkj@tV`U@CjeuM!;Yqngv^76yHxNV*O+ zZ|O}GOt{gGLj-EDa0}SDe+rn2q}S-&vu=Fwk?lrtz_5^`$>}t-ignO?tDuoR_q2@B zAJs>^XSECN*Y01yH?1^oXTn?eF`nmGV*psQrzwog%Pt!SzA$Rm<+vIoRmqntO~V;< zSoEhu%;fC?N^K5p^np5giN#S~!xtb{TpLc7rcl5JJJ4V3!fWCm8F@WWO^|8)TGvmK zWPFun(3)kO!-$U5+m0r*OY(OIi^eiGPiY5EW3U*_k06{!Qh@t1`3c?~`ZlLJd1%hL zib-S_j$;V;?ZISeL6D&_LdH-C`{g5q)6wXZ_LX+U-vyl1PsxP-twr4b@OrC^P?C*k zD?FK^*=Yw>J!-%U9(yPr36`Zdp1${U(_+g=sdFkgz^&~*HI>0UaXb)lT7xea6ZrGT zY%yvqLLufZVT71Ckz_J$_lV-wiNAoGgSh&hAFf!^C2XkqG=@F#u1lv@O}wQiAEI(6 zFJ47?ZUAtiqe%@-4POoLDA#=F6$Nj3?&Y^6lHVmjoqJDY-~&H!hGGK2!gxVmznLfI@jjd-2Kf zDvy(6hr_!2j&H%h7*oviCk94(XabrHY<5$l&V54p0NmLAkbgoWEFmyH94q$hO@00!JD};8Nb8QefgNwpvwELaf_A*3x z_WGlNd#&e(-BK>EIahk=0thjm3#KW@kC}7_+|s_51ka+4$WZiMeePtdr%1dbuFw6z zw^%~_z3!M_F|tTM?O_U)^?D0#7UAtW37oAvgr`}<^v3)LhSkp<0JfS}^W|J0`t{I3 zHBFWSl`Nvr2s40%8RcEeAX&On=mrLsCMBQ4T1A~r$Alp9S(aMK06>631Ion8PiX*t>#^qm+Svbfko0trXw>u(XSE17w4jm)Q0g12^U#=P8J8);H8AF}I zi^=qOa&5o_7DLS3*z{XjhI-0nMRtsPHI|2LJMBsy;*5E(JYWe%WP1(p=mT{VM z_ilA-h7*3vHXUp_D@yjec@{eZ-|F-Z(Zj&VrT27z*z9Br85Ozdr^m#azzBLaT}JHf z61l%cdWkhG(nMSD+zF`Tzx84ekJFK4elM87Pbs_bMq?HrDaU=Z^Z{^7HyIaTg znXr!peA~jj378oe4<#G9F8*Ph6a3o{UczlYnrd=)>S2 zT@hi?R7s5fha5(yWRm(VtDa0t zy+;4M;}r_di20h2)$>&FtZvPtPs&7%Yu7Zgsc82^3>;>8imRUTeh#hld6gi*wvA)j zn1%Jo(}l)PPvTCZENJsxM%i(q*J*N?g3i#_$Qi5N-{d?V$N$}#%4auZb?Y!zEFj)y z;h(4^qG8?Wy}X+m+wTCtA-C?}W?gvv$CDpNl#UbzO};!U9a==ze<*#N+n`oqO#m3V z1C|(hlE8#*b@{ySN!r6M+x2vj@o$u(|>8FjXeCrVZ%CLKk(GHRjgZeJwvp6Gl^ z)!)MTpEB-&Up`&RSGE^M!y9Rg)`dLB61-YL2$=WPg;GJ`6tw}naxsI;@bc6Sj_ z&#d>54{RoY>g>BlPt==&!{@9Q2*c;JsXHTxF)5^6{_-A#6$9T+$C(9=PD@=s>9e(A(7O8XrZ(6`O_z^$@RT8Kr;JfQ#+I4sKHp|`iZFUyD(+P8coybKTz;g13Se?~633v5W&#SJOV_g(BW+Db{i_XH!ug*KMY`MuQCA$%tHZHwo!o)9gcqVz`8 z(P`j5hKWG>nt18wq<7`oUj*CVw)>C@f3A+7H#eEUL_oD*2;y!?@)d>1D&~Bc+~!LZ zn*8!Uc&osBYHELzOStZ~&s9*c&p$ng2Ae=X7MoZ2K{740l>2AK{!1#nLTl)1-%@^y zV@nmxql3;TB-j$Ai*BKoWjzvEbyR)cwYu<-Z~{HMwn%(Oj6Y_;Hf&KkRF_a+G||#H zZNAKLQ1?mB+Ibz|&sQyxB{1@&rw@j1a>-s;tbYx3a}j-@AKns?vFf?T*_FNP52e=w znMKmuJyKF|vR!oHGRK}pKVwoNN?d`#gYHweZc zKjO7i>b5Mo-jfwdXPhuH*^7wpH8~8*xh}Jfi#5?<)ET%~*4`jJhEW|J|C$Fa{uI7t z{IPdKkvQ-&!V>RNR6f9Q?EW1%GEaWKp9cQRztQy0&)Yvb19tQx&$2%ZS+hHsjvvHe z%MjzT)}Hj1JzozX!EItQuP7G(ecy9n4141Hr%s7#KbZ#nl368X12ihP_=w0{(R^RQ zBHGe%z+Xcp0!_zQCHq&@k1V|UUKrZBL3flLO;z<>#`paW_dhd-cEO;=7`n|Q zo9LqWH7H6F-?R7 z@cO4Wf$X~+WP@&24#+>P;Z+Rr9&W(&&NPG zs<)YmR{*L`O*Ha@C_ln#o5lwMNql-A`2AdI%;?vISl}d0k&5}Y`WUBo=fC+9 zJ)4Qw5w#cv>z|*0_`YA(kJM&><$B!=(dAGl_-FlNZOt2H&u|){|4zfiRw>w!$hWdE zwAC3^3~9mKrTkJ?WZZyX{a4+Ehz&#IVCAhUs)9SSNJ)`fjm>gZ{0T8tZhTNZQm!M` zN|_2|m7bXoj#T{?L~A~t(z+laFXYj2@2S`kAZ!!YklkusH#F7)ujYM4UWfMo@v_zgj zb*o!s4Hxq19$sYR2T2$kF8L079eCp83IaRIPL<#{+RyRYtIIa9p|%K z#9C)E!n@gt=+Vp|GWHAO6keuZw?6`r>-`{Ekky>Lk5r;>Q>KfoTF@<^=mt#D1hP%7 z`pbHBcM+{N$4TByw}@O(F&+Q7K2znQK1;;xUhzDiuByD7{h7Gm7GzwRlBXhid3&%v zK}Xsh33gsIELdr{^a+oPy9%P~#F}`~EPLp%)A)WC1N$Q%fFO^v)8eJ$z(AcHRh4z; zUp!(Qv9~l`Ujz`AbBBej2f^Ua1z5Ko5Q!NQYG)37UoTbPt|;Lvqv_u}rD%CZ;H%px zZLpuMuP6<@NNH7kF2%Uq1bRYlL^3{;qednfoyf|U4kSxCNv2A3l`*-XXA|;}d``2C z&yLnezY~xba9!r{UO+{#QjjsPFFS2wU{E$L4za3##n7hDzSEoJnb#ImeU1_Xg zw_MYh?08+4?AM&7>HJS@na}oPr&dXzr~_SR+ZW?}`1OsCv{TI5)$(9FjVuCS)Nz0Q zP52iWxiW_Ww^I!0j%{NW6)x>mE6w+45bpE78b8C&=@2Xc`=m9A32lKEz(HAC!G|On z9ShJTUe6ngAN+m(5dK%K*J6*;U^}6672{c9jsxc5N2&aJ3+_QG2cHU)4sg7?M~*q3 z5#ZS6{QG*Img+n7vv!X~auT$UxZ=7nUKs*LoO0_rDY3OdGMGNG6`@U>tP4b60uwkQ z&WkZ=eUE$GCbNPQHxb*-CT~hcip#bZn!;wfXpVmIj+0v) zEo()^-g%wkB=6x?`JXo=ke_uUm?YAXJ45bEFlw{pHj#Rn#Jhv}_EOx#=kJKKLgdr| zxD+e!(V-1P1oFI($8{UVpX(&noWD)EmOnCSSM>FXjw-^8<1u=u|Ep}2$BQ4l0)nv( zFWXZ$yvwor?poE5;BaO_bDfxGZG$QYcfxe}p2pAbjnR{4&UdOX z7AvS(HD^iBvm^=oE)l$lIuMVUj&>cNs(>Z(PjhG9*!Kgcj|Ar>bsZxCl5;4VCsEmC z3@!U)P0J6TidBU4G%;7`t-%c|mA1U5zN@0&^|L;=oYjo#j9uv?u4QDj{*m)ovy3M3 z-SKM4^xgJ6d^m)&XePT7J{*zj_#3y^(0D<&hZ)X% zJk{!C3|z0P@%9H5N;pbVg6IL(iX|5~pobuk|D2%pS@k7yZGE$x4ry>o?nprC`7op6 zc{WM2LoKXv26aDUWVbTCcjEbV^U7{+o9-@;;Q6D#mtf$m=sfFqLiJT3`)nNM-oDOE zcS)X7a8{7Oqw_h?Cof2_?PrisTR4U~Dc2!nDAcBZjdPdX^Z*$0p!@Hzy{4Kyw=Kki zaU0d+%{DrzXz^W8NK#c_S>v8d|Gla zwDE90v3udJ9af#O&YRl%T6xe72sX8yVDupSv(@s0d*eK%*KrNgriVXiMRr{((6OTb z9}7TA|NT4RJ+N|8pBehL{Iq54kFkbD%A|{Y@_)q7S`5+43N}0vD$y54rpGc}WImbh zkpot%uY>-gg-3WLT1rinp@vD}5^|^RSQ5bh%Dw}rDA)ZKD9E+>R(8Wp!p{3Ex*vdt zt1B1Q%C=kSH-2OX;5AQ=RAb%=1Mqij!!Rgmxj*kDM|#aHXXG>8xou$pl+E@>(wmoB ztef(wl{v{`Y0(DSov344O`*fWT==X&&kv$LW{*)xOzA?sx~afX=apScquhpDvSprb zX^>07C#<&vd!u9AgNE!$IYe8^>wRBQ0MhU5hp?tEV63OHqKbK=Ht z&ETeQKe;u@#bVAyMqasTwBQ@>PN4iy&R+b$qte*=&~_uui`{Iw>^4%VNUhgey;_Z~ ztkkc>*w-yMmB|C*oDdxg#t`Iz_eo%)T%#;tJmvz>+FwWw;p1)X)o zZ%w;1{cEZ+9j$eYatdj=&Vfw+h4*~L#u?4UYYNqJouu-nn=dN-|VhjabI7}gG~;auiUz40WJ zgV{d}S8G)tu8gO=ja9o$G>s!AeE-;H4&j40B5z^ekC_A>1TL&pjjTSmCBHK+*S=ZX zgg*b<16}+eI2#iE^YxQzhI9%CtLG=>jI_1H?k;03YK?54F1Nrk&GxR@Y6F=97M%p- z`@y8Vd8-^j^=7r?*)kJ@!`ZTrc=`>Asl7G|5p$(QGf<7`KND~5bj9*So(pDuFO|y5 zFRByuMEY}orW8Xctct#r@xZ6;-|a*S*bi$sFQhD#&!^~{YJ?%DKVR0k(MJ5o;(r+8 z=WzvfUH}{8yn%b1ywaQ31Zg-`iGe;^z>0jA=H@z~{aX?bO%IJ!@dUo9VyS!&$k!eB z5=^sA4P)iF6{|g*j3H4KrfxgQMbzzdG;7RY?lCy1hiP#|lF$|Jk4d<2BCYKgKb3EfRdWPQYPVL^}g)PDtKT^`14)HQE@QD zZg%6nAgQtO*-hIXQ^5t~i_5DD>(hl|jfb$Ul5HyLe3i|;xRYnwMbg;0{hJTkVeO`T z%9!}&a##So`FxjA8E|&2BDK;WAKz$^v$@|&vsga$dzRDQqbL#$KPz^cBR=lRqUX>ixks~-;*bz9bsnE_J2WzLYv>cbBr;gaN`gHeknHR(LwGn{~a`c zq6f|8tJ|+aR;>$|c)o##If{yK&LbHn0$Bh3 ze4L%R-RuHT&AF5Pick4F>3Xt_NhN(;N*TL~&ToM8Tm`2m-^B03xneQBetM`_9_C#c z?%}83fW~(Ua;3i2X46h)i6Hf$Pu7*6V!qIMHiEyTu`qa++dcL=zv(S!4lg&fx6dp# z36yz)OMChn4*GIx{$bVfI36V9{10?Vy;DlvLjonM&`D~Bzw1-C>~V)hc0lM3Dek7U zjjwkk4J5sWz6t-mXALiwucDg798UU_z;LnJUKR92p3pUd)1_Di#qoU0l1B90ecFuX zgFCEplyx&q1GYVzkAQUw6kUus%WbPWEA$H(CyI8ucmGpmPrG5~<<}BdDIA1g9(%jY zqAm1jdK^V0i6&pqtYr1lSZMHPpx7%;d=ZT9rsQ8j{#xrLCwx2}AleNXZyAnpMF?yg zAShAx-1#G|9Y&=EtdME7c=_aMTSFw&8&mu%Q?BnU82Cv;um++W4##~~&Taoh$n1N# z&=~#48C?u9QPyDOIBTh;Ym$oAbFD+GmavfNm*wZ9{gyLds7G|3J3rd$BNPyp;}Aok9$YSTcR0bYcjW|t{XM-u)8S+@br zPbHtpxsBW{&MXjNL=bL=Za0>UfeZORGhBt71D`avnv6Icg!|%$kf&6T8_zt33}j$> zjqwfeM>rOxSQ+nj8s66rV^|J0y)MubYb>o@jm3^H3!6qk$K`159}osLX~lS^I0`iGqix(gLA^c);QIEC+s!Dq zUK|10>%F=Wi!th?WeIzQnCdS>7CAIHAlQ3onMcm6SI-DJNioffF$`=O`I>2vI*H~B zo@M23Ds_fYvhxK&GBI{q1t;XFs7AOJ`m!~`|DRG?FY@A)LhBH!@pCCIt&*KnTQdjS z$(}OT25}n9T08$YQ(*_1Qmj@e&Ev4h{mAbfAp#w_4S2z@LKV&$}K{h>8s*2fo>E#$0SJ|Nkg!OU*{#tfai zBh_bb+lcm*JBg}mO3*N7#(#ufgxbAj+uw0La)d?@bq|+^z$JqE0J%{F>*vzhp~!51 zj+_;Q5%lk6fxhvL@>I=3Sf9w6=MG*%i3;_b=k=0<_i+$}R#kr>EzNYD2Z9P_=lg~F z{9t-7Fb)3#p|?r)a;xABlPw+Le~>%!K!)lH1Cdz);T38h}&a3xM6`EG5=nqgXnwdPhYC z48U+(|KMA1l@coOIIt~I_FH|^z&S0Tc`wc2@?B!qC28sk{9Q6?7w%758o-39FLPSC z5LCLu$B&-5Up>*CwL=&cuPO&BhE7J4W zMNHumyF__&r1n`v^4mdUzp$Ni5BGBz%MB)4_WdEWp55|t%BlB^?I&2XF~iI06Mu^% zw$DD@dia!?zz-P?WOQ8eO}#hFfSE(^pB!i}P86Gn0n+kh1ugv(FWa(UzM2_U$4EKj z^Kr%)$T-W|UzBz6Th!ymEne<%ad0~f3oWXUOlT>z(wv8Qp=07E)Pga6?B?$c#QSex zm*})PVa8eF*-TehkN1do_TEK@dW#Qt|I(IvL;N9n={;rsL?{x^D142nL@?TBp9Fz^ zGi9VaNHln^u$>UQ8L94r+=OkNQy(PYKPN@ZgzW=8-rY>^Hm0FTfN3dC8hiYT%TTX3p_*%RIeBG=3 zZ8#QG#+UCg)E7QDX}EQy6?G&)V)b6Iwnwja;ta&MIRyYsL` zKuEnI&h9X4^A5Wy&|l$6JX0E_->LsKREfNbr8+SQ8y;#X3_IFq0by-r;XVo$bbdTK z_Xs^B!t$k+$h~*Nxe%uun#17v7@Gt-5Nv(sb$gh?^r3kPntV;ol()@J_f)wC@tbau z__@Q$B89Wg;4Q4Vh=d>_PW+35D;{6mUJzLaqV=DLhN;^1Aq9!AL0qFL$i^uqZXeBRN6H3<*skNz6nGO7EMk zVE5fDTs(TZ|JRXdH%tGIM3_M#RraMz(0iZ$%e{zEkEI0BzRfrJWHpj2QHSh1i{5(3 zoAd~9-7EY}$#hm%8i=;lcb$M*sC1vB-+;cO$e`iM zEVV=_xsBE&a_eK9HAvxt$Cy-}ZMq`vl#~rNsBr%~nU%(+=T2qI8^$$WGCiH%VJ-Em zA^9eeox`s;>@Gh%l#n=6$MtzkU16laj=Q=qGZB=#!BlEx9;Uckyn#}RvRgblWSqw< zP2W{{&(s~wU5;02ZJ`bw4B!s0ux_Xh*g)7?C`OC$fu+mHa_R=Yh0$D^S_?-dltN$W zZCIMS%{?$-*{h9WZ!i9^V99z+hg8EQ4WliLf(V)e7k8I+MYlf@Q%bn}S9=RZJ2E?0 z0XrFw!D)kc;as2QJY20kFMXmRAhju2SRsR_%tMF;s$Bxd|Hsx_Mnx63f4?FEBBh{o ziZCGEJ%A|9ARyf>(%mf}-6bguAktmK&_mbI-Q7bE3_RS=S?7Q5^Q`CHUi@Xu!C_|8ZSOJ04c8!DY2gx*E-tN^qZAmauJCe_0Np7cHVCqFYPQ1^NDoW` zBF`~RT|s~jK@x*FY}@gy>h0$l5U$=By6^oO47R3)QIrCD?Yqg%1<~a8q$@wPsgExH zX3090sWrS5{98Fkc@#pVc_ZFOU`|tO7I(k5As%8DyckD!o1TxoLUI&p9hZjg8j~4cQ*&IqxbFyePaMC*=TJfwuOrUR31)-*! zQdv@!ks02iZR{m7+BN#&qf;n1ugDt+iI?Vvp?lV5UtaeV9Q)yF;sf4t!+mli0y^=9 zEPi9{pF7owJUd<_)(Y@s=6z~RL75rE@~a&QKz4J(<1yy`-lh6u({&R<{Mr*Fy1s)C zQ4mE;3C<-~|9A*}<0alQI5R-Js^Hn@=R5NCky-hV3F6ZR2(i`~47a<*_S^^ts^-p$ z{n?pw-u0&QOJy^r+r^OD7&;oI%A_xZi?MO)3-VUIY*$ zrT-)r_(%K0y9CJtsCLaM`5FoM9T9PRGfvsT0>~~n+0i zDc9d!^bR7VvtaxpzYEq-;IK%;c0s*2uA!H)`m-uE&k&J4VW`Ut zlD+)hZpK=G?#)ssBTuHdLoP()ndM$@ni>-YXF$RHO#4~zgRz5Y$Z31OMd_ROIMX|G z4(|ZVMp~7=fk}Is(he>kP^^3qKPp?irr)?covObsv7u7?$IJz~Nn^1gNe=aEcP|w3 zlWIW~cL%NsD0~#G=3|P5B*Cq4zwaIB@#g{N!%q4h(G<3%evlpRzWj2V{E9hP^WNnX z_)#Rw`u0F%tr?;77VaJh@f7H8%fUR0(iG3JR;i?XeH~@!@y%(=EsYTLry6TZ<{{8U zwyVdz@zebM$ughlr6&LiLsO_RZw<1hV-3l~T^&r7OgX4st`plF%F=zf&@s0XWQ0*N zTjQs(5dd$4%+9NLty6{!4Cu16h9JB(EErY1NfjkUCF?8|(;%N_c?)33`u8QQLAXGH((QNzAB4z|mEUGU8GZ-oMu ziKYI{AnvxZ4K9#z*iGT>^rh|>z(!mz3_VIg$R!Z(+nC5Kyt3ojY~xWozw>g9BNfOB zh)=Sh1+zIJA8Jfntjv# zK<6IRtcKQE$+oI)911UY>RpM$a<{&Os*aroUB z*Mn|J#qTuLxT&mT#O}lejmuYvZH#htz3(o>5sZZcg96dT94HG$O8q*dm2#9?=Qe>p zz8=2oUR@?EiO%Kfta-7LA~-a$%@W>u9G%kgNnz2PjJ9b>YsCfZm5xEfu5l#J^zwa$ zi#uC~yyvTnvYeSh{xI0k>?$oyra;$`E2(Ki=9ua$-tqe98YMn^GfgKQ&^Kn-Dl(Ez zF-b;@+)V#|`rStpX1pEar})UH3UAal7)_9qk>~Y9@6fdv-!2*@U{eyaxdvn(jk7wT%Cs5W3l9@SoI(A`=U)BEEHhIs zU3duxFpAcLOQfF2Zd0{IqTXD!LOCUbi1uMeX!Nk@VLR)T*Z*bwlYkG6A}CmFYJKeI zNaKHOxtZ%1LHGN00$-!Ljye$ENZcup`dT@3?7trG#_4Oe#nhd#4DjPrPK7D}nA`_S zM=K`S^(+c>?VFSy5E^1uyu#AWu<#2JCOC@<_^8?xVR7)_G}9G34`z0BHk)?a8u$*` zml*VS8yxoB^#3T3NIc<|9xPKpO|*I3n>~%Dt#Pt+a7pFyavU##=Nc~ogIA%82lpH{D$z@h3 zj2>tul#Vsv9nyJx=epiM34l9xKgPKK@+Ld8zdSBT6j8vxBX-{EW1KsIf~p~T%^gZN zg2A+m8yDUdPWV{Tj6JjQzr#PdNtimtF7Wq`%r>?J(eqi09ZFKcskk+XUtR9V@2uA=kb&32V)iN zd$cO+58UEI^JfOsw)Xqk8kX%GJ0?pIQ?*WdsXf_&Jq-TWKffJv>j;-C#a~+MNv%^W-mIe7|Z=-&?Sc}S1op9F2S%G>#Q>UmQ68tUJfH`Ipj+^os# zn}0j$D9ux?_T9^Tzl3$+S8E5hq{R(A+^NS;+7c67C-2{`Xp_d_&;Gq z*&C~%w#BQGmwxg7>c*G*Q}9Y-sivK5?+?n^qN^Iuj`_`1oxDrmdItco)dQV+lNeLrrQ%Q@W=wE>WT{)s=!o5G@GrY-q2;kfxg3A*5C> z^K=(v3TAa1cyS%@oOBq zBj>S8kwwzrhwIwr-Y8CeBRf2FZRb!rTgtr~Ji~X~{To@-4Nd&d&u99&q8#NC1qBtq zUE$K7cs;T^mr5FtdarL9;rj?nTOJPSkbsiZ1VD97z;$Dr!D54Ziw$0d3@$OuQgGQ; zJL%gnEJ}RGi?t7m{4&k|=}JBbV*0kOS8QMp6tmj1G-5&^vdu1r7rLwIZrv(qa~ z#5xer{q?#OT{vG4vH9({Emp&ARnIoF!$`Q?uPV3yBuUC>s`0fCQ6~JBqgD(Z0ra-1 z#rm7>Y<@daII!8tH%IL`G{(ad(_FXHL2r*`Z*x`o3rgiBpG+c+OWk1&V8-Tc&H{KA z|g8}}$ECTMPim1ZCkqdt=@4nmWv}r#ARx*}Xz$t<5v+x?j9)lt?tLH|sa?sDi<` z9NowAy#&p*_nOOm%f1i#!b!0^V9V|k&UK-0vN~V@-Aqr47bN2=sVtU0kva^whrvXR9W$qU)#FiPT*mUKF^BZBzdI;*K*6-N;`K0k} z-yN9?Njtn5`UMm*d*&d=p%h?;ay`UYYg=-|@)4sgF=bM$W>$*4zRbYM$)Ov$x`WQ_k50TMcEsGmS zsW0P8YQLX5co*1T+0; zSCi9MWK}A(*6pGBeVEk0DrN^@L|~klpdzrcAFKgJ$3}TqERGZrYz?xncPqRNq_cU5 zX2Zkho}@U!f~-@cxaLW$svXhqX#q&hNwl+$mgqZv9hw;L($T77bk7=QG;3Eo^iHGP zkz$(ZJBJ}jcEMk8l4qLz6h-7Dg1!kp-_bL!ZTTs;MU0LrWh37sd}4RXs4&rIfnHnR zJ#Qyc@eYR8LXeW%eTnu83$L6wMe=A?!!VEb3&r3^6bW#rVkK+tBzxkWD<8s(d{5ILC@2?g{Yua{LWfQ#7D25s6r-f=D&y zn}coom>^}R;;s>Td-+;TC@&{l20c(rXEJwKgU(XQ{KsWbE0Bd zYr0PX?F+u}1VNK9s8<>8z1M_9$6y_*al#$?TpB158iw zO%Q?6AKO>?zBVqe0cuVX&q1r1)q{=Wu;0757cE_HP&E3(n5DBXt3!Wfo8wJf@E>IU zvnDISyiCX0m7XvbcI%p>vH4Qe>_`jpG~!G%Lir;u9Q!=RSQ-O~nnWa?iwfv=TSrli z4+`ElwQoyD$$Z*+1=D=!NcMkM((#fX%3sQef0FCJB=XNvD0;$}4*@&w8i+`Izg0j< z9_v(XjAkATfMrA}mBmUey|=DZaTTe?5+S=*ebP;$jCr0r|NcO|_hV^n25rS5mmy{? zf12fTm^50ADKQqXz$hS?SiV>TH+$v=4`sDi3aerRSel%F@fjFtwC`-j{^Q7f8&DLL zDpZw@hf_&sUiu5SGRIi4ujPJJYr?knQMKY}`Ff56@7lkvPd7?FHWm({W|@&FJf}an zv+-+s6pOqbqw!)E*8`+v)N`jfUY{&%TzdKoZ3>S&ZxwdT_hJg*M&Hr<4Q1NU`9%Z1 z^Yl@r|H$dC?NzBHTDthYo|sk+y}kRox$3GaH^lEAvwWM}a(rz34irF=hJEkZnWEzqORNRO<`*blFb9 zOt*54aaUBhCHo2HfK0(Z17wAj@I51Ff)QS+VQY1x;Tan{;ME3&MMpGu>M{@CO+B-( zxV=C1Pu@2GD)DBK*NH+sA3zLNT1CfGJ_bFpg@&z#ysVlX3SreM8t@%~Z`)K`k{hSl z8#SfN^e3q+r<)jtePP^hX#7@ls^k4C^)|y7S8R_9inznQiXfj`_2kJ@i<#IKE>mMI z4--aoKLevl_Nvvu6aOaQUZ*xz8jrr=A1^~cx1J_!KQ^awi2hbwPWYQDFE{DTA-_?b zycrCV0(U{oZq1~A`|$E<{C1C-=Kd`AEm-(tq%{1mwwe_jQp~IVZv|WoQEvzr)o+Tw zZ_@MiELOxTez3r>Dz)PGZH?lUPTAZVIGlfWDW_dZRV>QPafGd{=HAyar5-Y{EC%S} z{zzaZO*K_Q6Bk~upn1|qc#FC4L9=)C`i-OF_Eh<)98$0DP*a7;eygm004rP1+u;^7 zyf!|Bh2&lU2T|elxA^EZD*`UNVt=*2fG{XVs6I{!Y&KOtD!)ul~<<6`5d$TNq3|HM$a~n!+HURjKFba(z{tZ z57vl(b{&-=;kr>ei=UppA`el5-k&?gv8Uy^+qKQo$t8KbSdk#q^k@kL=7bmK(wI}9nbjz3+J~nmuIZkzy1G%NXVZV9!g~i`C zea)wPFF?I8O(c)L3sBWcER?hng3zO?j>#hsFR~}?PGVb9k zYTbpr8M75*L`aXhq!G<8S6OyqZ`L~AI5)?gZOU|&+$u=({=|i-5l0#UDcm<%#uyzp zKgA@FT+YPD>}^*o%GdW#AOy;* z3{Go82=1lwvwkAzw>F*M-CydB2qAHnN3=O!IqnAjYI)1kq6gFQ7F5mh2UUaMiQhGF z^DvVmYOW!a$2PlX+lGYIJxD#=>&(1BvwZWeITqvT%@}R_J1Q@C-psa{&p|Q>crw|# zBJvR(W5w`BbC#~Tzo7$(DGgQAVuA}=#nN4j2&ZTm!t9pGv)P7XjoI3O;?j809|J2HkYC)T>4kh2EzZEp?Ke3deIdLb|PY zj&#j}obiB8;2zdA_a5+p58l$%$g`X5@ABe!bEn4pIP%1jJ zwr3uJ(hn=NvL!EjfczdumJ$^1xAE;Qu?AM}b@Ts3b1xL8C9> zpXDv+o^Qx`^#O<@RX>}L@bs^e~YOt(qKt7byrn3uZ%D`G9U{fj*V1Z>h`q z`2Q=a{OI%l)zc_NJ-!mNYSBuC;>H7gk+P2uDD$yg$u|*W91)lV)U1a1WUNxjURN|9 zqMLD(xM_UZ?Hf>fIxbX9zTz}*y?`DLFsu6_Z0az7EE-u5LkLfnJux6YQJko~+Q6=+}B)M%K$GAf$Dy6-TM}dQdwI{oJDzMh4o8o$ z8)!bIsS+%mebp#{KB^zy6ZWfOL)%Q)X;@agJ`Js0NY#XPV4fHjJ&6JPr^7Ksw81Ic zeo83y74)Ze2V8N>2`mKuMc;pJ`6Wsso(y6{8=lWVkumk~uf9MMYHAAm9nX2D?Y`mi zHj1lEsT~Jask1UE1|k-eH)+HbCk;)Z(OcH#Py;&3`*0z@P)zU$^f~jZNKr$=&R;mA zE5UfHkey@6jzEeWc#Ma1YbScf`zm5tVN&`;;v#buX-aFYk`4WVUJ=NGo4hUyh3z}^ zeSI0X^r2Zu@|Dy=M}M%V+%{8s#Ruwh{Ei7TP^O5n(}x^?m&dRy*)D;vuHV&JD4gGo z%%(Xo9z{h(Q?cMl^TxID-bHSrybVU*AXFIkd)@PRO{e^~Wy3o@9QDq^UJVZZvWIP$n z4L#X&ZmoaN!u)cbB5CMD7mVq{9xUwpF?e~xJ-q9D8)?uI!~Iv2h72s+wT{5w^Z7fI z-D)=W)z|%olk8&a>&bN`N9$u7>AKkC-{4db{Z^RI+QtTm`)@;Gu`k+SMlId)+T&<) z>S(hy^%3OeR4Nqmi=@BA>!&eyFe4-JDmD{-)|WyK;*?UWDS zNPD0GnjJFyKXZ2-sjeXH$Jsi{$Ko(GFXK9Z~SWq0zA5}SEx$&aBWxQLN5;h zIo80kJKW?5Dopu3esr)wsw6`Dkq*(58^~SiO+Xd|>7PmMFlxoO8v9lpj(eY*|Cu0* zMgIw)GEzkX?p@E~HaeW)2KyV5!HW}d?Z$O5OBS)L_3`cgS&cF73nV<$9mw_0tElFW z+#ythuMn`iCGeaj3VhPu!L4{_Zs>N%8eBF~3;ipAD5AE)O4|Ev`{kfcS-gVkV(%b1 z!z+(kUl)6ze@eWq_#}nK^Gx@^yL+dpj-}0Z9QYjoj6oT_s$*k7W@R<^m#lGr@79E^jQOFPyA&B9%p=_peq9j=k0mSy-QiN|hrps; zfBy%=ftbx)tg1>4Pt2@2<0x?Vp7<^d(> zhfq6xrtHhTmBIxie$i)gjQ~e{1-c&m8z<+=Qs+GXM>36#A&Hm9d`O;OQ=5rs8XLIh z*LMEbmE=BP{C2e`2^Sqyjlb0io|Vhqi0Jy^8-_=wMU$_$x>3qjV-rmrhB=+sA*4X` zz^AnTk~+NwR*dHJ;@pEqMs8y%`Dp?lNt60DY?9bD*-Q5aOT%4mz7?Xdf7qg{BP+=l_^yuHeRD5Pp9vi3h6 zmP;HO)qKdSKCATTH8C_mo=ie0rJz2FdBA~X=Hih7`+aEZe_ zdC#Kh|Fgh5RsMjvY%3YRqEEkKg+bdd^$Rx&WPY7V=yYB6mdxx|!Pl{PLd)1ic_S-7 z22dZSjHAc2i__!?o&W7=1-$uMhTCTBE7_E904_@6k^_k+77*jy7zF%Mlutu9RTs(u zT5(Ym3xrC9okF{4FR*Ul{sCbki#to~`mOFA3@#s#_)9yL?=ts)EyEkZSSg)E&2_rU zC;H2hmI#qwWxJ}UILm8u#@|l`d>Rq@k-wyx#9HZq2k5&>B7<) zOn#(t%hjY{Vtg;Y?1-pASf{To83%OjfLCj^gBIFLg>^1M_USx0^ zVzN9C+#aftEy~}jc~WH0-+jFMTZr(?Lc+`3e693anji)hWsu23wc@3!2A8R-m9J$x z#`-OTuLm&ww6gYELg~>K|CH4_*?!reGo6=rFVh@Q_C~8=5^!?1Wncolyd}(ec;%ra zd}6InH)E6otDKA-^afu_g>#iy>!M!@9FZBUs5Pkypx5f351mP5NNSX~Hl2icf=OLZF0Rajw^I$2Bl27`IQL#>Yy?MP!l@P7CM6@>+a=afq1=TG-|w2>_KLm*Vwi|v@<-JM3#iuzsF;^{2j^6UkN z`~#)(YXzRgq-RfAytj&53(UDMQVc3C(g@F)qLj$Ge&}5Dw`%UY6w{--vUceaIfKad zlb)*mfcM*a>E2XVJw|)ix3pGswz-grV`opbI~=?g+@xa^WM^m`|F&xOh6gaMr{zud z4;Ao~Z0UPit!{JRA0Aj&FME^zq7C#vvAp+d{>+tXL@kS4dqY3}DLwFTvhOI#^Q;X> zWT;i>38s6&*Ll9zQ=z5597CgQd9k#z?YF@Eiz-s<)Z92Z>tvQLMUs$)B~lPQw+ zVHKE26YF#TOUTsk^b)Jyl)8n1Xa)1yt}{0QfBInQz3W0NV0VLQ&%(<}NBDP60nfsQZwI$r#KIq+F4Id`9a0NLPaSihaZd7xr)kQcDArfT4sq+NR3W4+Z_3(o#XHs|4<>J>n_o31!^Xz zA?#w5Ln+j#>;_;QoE+|lJ31!RWyCoeBY0zn;Y|=KLyQ{|5clRZN5@$%Q%<1_AOZmGOGJtZebmA?UO#+a`4UW2{MW( zSngk``$C@suqFUDJ(k&BP|Xwi*j?X#uq`jAlK6;KLXh2r%&I$;_tb|GxoxUQh11n2kgokcC&{!$K7d88THcxzKI3Jw8sZg_0JY3_RA1+ zjFTvqb2at(GS+dX7+ESteH!B;-Hp<6WdIWC9Rph`TJ&MdWEU|?hj?t z5zF=!c`0yq z9se~H9x8#GaY{sS%9kc)?rrDb2X6W|dEr2!E= z7U7k=4MKq1Z%2ENJcE&@O!J9~2M6-&0}WjjiHDY%&WJ3?MuLj_H@Eg>%&V2TFf+wC zy{c`}YClV}&9dm5j3`vtl9*p*t5u6wwXGdWGB~)k5ej;LU62?mHzR{EY6H^}X{icr z68ni#2ibcL=${~wbUhblr-OB94pG%(GLrSR^p&q}CCak`?aTF_R`vr2?QW({ z+^432Q}$7-V)qSl;-X|OInj?F$8VP)vu*>wCq1U)>kE3-oHam))MGcfrZ7Eg8Z-6u**{iq5YqAn*s}?F1$)&4-!$^e%IyU+vhx+=8&pH-)5|yDjhkIyFL% zFD)eYGlkMhf&h_HKAW4cHY7eQQ}CDY4%&9o@b^@YZc8?7#JPztwy8d-XFcgPuHO;w z$D4pvoTiSp?cgDYTG~p-*BjP6h%YeG&L1e_!^k&Vd*?-L**H;wLJZxYR}B72M5f~6r7@npVgI0P&lJIOT ztnPr{?e~C#&>{Lb&6AMUvNA#@X9rNAgpiU&xtNvMIeSLi+6O|L)Stz=MFR@x1__yb z&R!#l4Ij6@e*E*3iwi{Y1b&T^Z{o>Y4Nt0-0{z+K9XLpO;yaWX_sO@6@vG#i%k2ra zL=GGV_)!jg?o?@N{2&dtU`wo>lRwumP;%DXttm+0w`>BJEwNf*#d-*@ZCc4KH0#2N zOH*pe6h7D@O*oE2Z4=x!(@1tQHWKRXN>WFDD1vZh3E}`-j)E8~v&4i1k%#Tzv*@;yx9DU0?sUJ{2|N5ZlPF9!F73Dr; zdXrB5i{{dR?cTml25$zhOR~LYK79*n)m8_f{)eqnS)V*Tz4{SrbU%?sLH8yf5$8X8 znLuSiOV-4*ntHjr5Uj15%OdlR4qhQoN!NC=DGHG*+4(%a1pWyQYY$za0$X!kOj~o` z+%ihM+T^KujVsGBjnW5HeS(VwTm$BHHgn(&4nFi8Nxpf+A1}|Huw<}pF@!Q$GuXO6 zy`^BUBa_$%1M;gQEeb?is``N8x}Pl#x%ecI-Ae2q#GRU08zM>UKc%^BbzQpoH~A<6 zDgy{A^Qrl_)6PFFGFDU=dwblWn#}@q`bQJvFcco-ZWClxq#cO;1j9VTKT{VECL=|d zHavUCi%fTSJ_X4PT5k*2EU$P0;_hV53!z1*2H^MvwPBc^6u&ss}+4( z=pKGRBXU2Ud4at-xb4aRxWDnIC(Lr)x+yKkb+)4rixl<9Q!0)5lO}Siw4vHciYaDA znTvH=wEais6_rK}_D^HJz4d^+lgj!KrC-k7?t#NpaSfODvJ{6?F6k4nJv1A9Ob{=u zsxwo(v&!o>E{-Pz$B56o&M(DK!y$X3Vs;3v6t+hQc*T-9jo}zCW*gz16x(D!z2Oky z8b}0il$PYb$cf`Ailndy7x<{K58xRDO;K66a26>~-^G#LCorZdE{aByYDa5;_hRh&5 zYPV%-Z*X9HCH|C?u5oy1$KJ$XxP}gJx}zw-&Gr52;av0Lnv77nc>9@u?5}nWC$J^g zfwkXUZGEcu38(0Hi5y;_B9A4+^OW0jKTkQY4w4xX1cvQqE5$`6AU&PV>D~kl&_1hV7JN-@D{URZA#$|Js>((tX_8g52%)&UZSl}e-Z?9LY zA6hE<1SBv>h?hfVmFTo3724jc(lt?TT$_G6G715Y8nEt7>^>xz+FQ2je3HCTzVd|IQO+?K^sz1;CE zTQQ!^mO)Br&wfAaVIcI#KwAzVIU!WBCn!YtxIzb~WrP2eLC|s_WoxnL-=nhmKJwhT z)eapmNa82iSDA2_=dJ9`ht>a4c-pM%sD2~D@Nf_gZ?=BN4FBqi>9rWG(4lIWvvGkD zns?p)&H1l%>{+X|D6Dk$a?DVM#_-V5<_?gV@#3e*jptkD z)B%aiY+{uvp3hDyQ+0A0mt*%ZPJi)P)C~VLT(?eNB8{(>)OS%d^Cj^ZLpU@uxGLNQ zkYw6BHiJh5#ok)VBx0S_9R-B()BG_v9qP5fG;%#PB7hwZVH?dn$9zx3ne0+(D04UA z7Ve9)1#?S|ew}7bM~!&KgB{gjWw|Khc7NiK;kZ3_{x9l2&P`|-b~9P*i*tcpm&M6w zLEU2;y4D#!Nd$M6Q@*t=TJgH{&LO0DwfOhKn_=^1O7)*-8_Lk=sJ<*&?853lSZTN^ z%efbggeaBMB(KR8|GNu&Zm-Mla$g9LbpM3_tt?WTFq1=g?lsYU0ghK)gO`e{ACuNw zAMfEkQ7e!3j15~9EvfAM=a=YR@a5IiemBhR4iF8x zmK%l%r%mE8t{)vERhsNr2ZQtysTGgpU^joTy_>Mb`&4Xww}UmH<805s(oPZu=K7(A zS>zk=Ah^QdoUc*+w;v+KhLT6mY>zQsKkUQNjg{Q^h*g9eNKI8uOn$?^Kn-a#V<4wZ zPS4CktT5nGpsm1QepOx4pUsw#gi-Rr{OME_^3t0SJt>g%VrC{&^DtPrmL2GvO5k=6 z0oyFoF#|yI1L-vh)gqFr#34)KCD+%C3Ihn&1a9Z>)V8z2x0{xCc5*_V1Ceb26;F|xirza#KY{Hyr-BNtbXofWBOW~;-rTcPPS7vt3I zTvV1q`rl56pLe^IjH+|#zwZ=%s(z9A(FCUeM8vHkzZTpjrie$5DkFDU+={&O z&WSV`AMe9Ch!wj4(3kOQ)$i9<@W|@^q;->K`3vGm{|++~$E#I))N3*O3>sK_2EO zEhrA+(Dj*`kn5h|UBl8R5Wg^QC(taPJz_jRSD2Rdx;Ky$@s=hW(Mu|pO9damBA-xs zgK(#=1~~Al8duv}RfA*Biu5Q%TxY5^9< zKzL2DleXhKpD!9uI8U4h7>z=FRg3D=!NvnOJ)_g+wa=gga42ykJt3VY-&7Y=JeLlv zSQR+xudAVt=qlGAzy3quqA}>FcSqZoes@vk)@?03kJa+m_48w}yA?tApB2IcXUw$J zH$p&9tn}dPi!;icj(Y4SDDADP;Uou20GV0t$D?StWwd84ewlRD#Ux-GB`RxKa@9aw zo=}@kYX9`_s}G>)jZK2(K-oO>JHOcf*X|2#%@;D^SM8XDzxu}{f>XquebirsU1 zIF3!9O;{DSd16OO?UEE(Cuya9vahUhbLc{I)5I{HQ(7Q~YEe#|p>Mo|5t07Gd;*Y= zMN9sd-d05LKim=w-mc z`w6}$CMM|^M&=SHZLL~1=asiu;--Dd3MEmRI38vur^8s+S)f{xmWYV~j*Ku!ctDjU za;vvcpY*$vYMApwH!UH${C2RAN|2BtE-e?K`C+(Yo)FKz*g%XFx^w%G+8QNNA_Gg2 zI#W+_ZuaG9Ci*wG%!@uf9_gAPB4^}JvW6c%Q7rKXUA8p!iM@?7w+<%S5a+5a*&e;h z{#P5{{HX??)Wu}J+bW^me>dtDW07k4Qv?U*g8sXL>1yJf?|X_vZ~3Ca<|?D`hG*M{ zaE%=IH^8r{8l3k(m|orFpAn~4@gcUT0nS=fiTwCNAKOyBTFn3&)#cJY+gE~A=+Mw8yX_b31%}*Mqf=)kM^E1J>M-rO6~h+RhB_s)elC#ge4{cro-2b> zgxh~^?9G1ktxR?*3Q}5jU~V>f@ElfGDzq;9;<45n zVdD2^6N|z2p_5FO=zGrObxYz(@_XA_Xu4Xf?q0KEf{>a;zn)-?CJ6T{*XQA|CB>^QZAynSdy=XOo+iZGQv$_>Lts>IAV$)#}d7^PP(&aN8 zM{*HfZwZD|6n0O2KTN($w2)u?SC*oY?X5iOzcOsGuQB>6_S!6g95UWcLWMTz_HCqitU06s@TN&%?bh6y5yeOO^QM zEbfjr8SUhUu(!o<>d#rcYS&&+I%$pC{O}^1Bpovp>pebH^M#VPqPQxGMe zWtA;btLGgWlOSdol@v{1*f+z&FL$k8v{^HpYBn@7L0H40a%Hp3UkgWWH6U^-wEuG=z_DCwaq392QS5AB!tr`O&52}vzaYIM|*0S7~- zzYCX(IWi2>D4f1(a>KM;e(&f^BDdIA!C+VG2f#^{TjU@1Kj0771-Hp~bJy^j+REOp z`R^MAO=I|Ua%|;Bf1f`)5E%T^RKnml`HgIRgWhv(srQ4fQcp&+_ST3-kCJAf`YQSg zn{&G0-kJv%a2CQ~&9a*9A2hkr^04H9C4#{p*rS3c_HsIH1dC*>U-0AKVP+)y{9pYKx>gQ@&yTGh%bNuH>_898YH-Y|z?w8aa z;c6nygkeOKuS!`52o4mCn4705uP&&y!a+S%ul0v4UaoZfIC8!h(Hd?hEP4?fSZ~E9 zoW#elxjJ2u)UM61Z?yEv=2;0Fn*&MHzw83szN|#ic(%r%d|+dse#Dxf^GcuQN3~WmatiMM|$UUBsz1)h9{><97zvC*~qMs<%K72ubnH6!Rr19Y{UGd_gZ17ztJ8v=OOP^5QsVQ@$8O7G^!lVO!!+30p!{&Muf&vg-tjZJb<%si1~OjkxgJH3?^|C2q3_ymR^k>X|E8)HdJWD6juMW~8x+)f;P^H5{YkDZW-SBU z#wf2jF)2s9TMV-C}NZVia{hSg|w=G_i%5p++JR1twOtCVRWBsb<63sA}s$#<`mBRbgFHyrY5i zqR@B(a}4Ld0!Yd=TMSRusk>TiGyYzCmeq;Qg5{Pz3@6(j6lQSI4_~#ma%FT_y56Ob z6RPTMrz!*d4||icccFfZpZ*~u2eoZX{T}qq3{;PTyns6wWj}c{4Xnl6bKTi4tM=~~ zo)dS>W~Cg{+^_XhM@&y~sSGPR-L>3qD*r?8z=naYlvt|Ho?ZF%h%+7UnH^6kZ{JOy zp`qMi&jj1^GpaDm5=jry@G8dM8F6U+)6C|AyY8QctOJcc_j5)jg zu3Sg+=#>jvr7FVtZ3$x8F6pVB8?1pg9m*?z$zg zl*!x@QYVet)|S>%GFKL2Kz%LJQecFYbk=uI~Mc65D&A^;%Slf;<--a2d;z3k2 zx?q0>*E(!78nL!X&?F*lCv@4t_v~ympW-P|`BQ!eyX7BkSdgQ6KUHFVS1j zKcQQ~5*4FK+>wmFFMEiPA1=;j+dJo`R%`p2lly)vc?mL^^&G#0`x>}A*J7BG8JdKV zQ&;X7t2v#f`e8OIEOC)^+&_2b7o2&oOVa0Va`>6~+FYoA`Rg6cD3iTAQ((aphMwjd zYltDNKu|4IL>0lNaxy8<)}hNuL?%}HB86D90L(VskO(8ygB<;c05 z7-+)pfYpMn49&Xk;dV>Y3c(QIclvSt7CDz#YQm-Z*3SYqewV210rxNv;S!|4oraon z%8qHgE=jgTNkmC%qm$q)qy7{gG-~%7xd4X%U zuNhp^oV&e(-fXyKlK%3OzJsf%AaEaR{-YCsCcCM5(xdZ}_e&qM%X&(k0Se(j_1b1Jd2y-7q5JfRr$Fij+u6NOz~e3|&Ka4ub^c_C*C`a#qzi#b;u{Dh>v4NFwP63p4+f_8Q-8;n5JfQO&8$ABl$;d0Ev-Q)d1wt6Hia4m0haKZ}bt|D9#-a#QW} zKXY#wMHoXETNvMIXSg!zp19yF8J*SNG~nB`P!*i|^Mf|$Y!IMzHa10WVp$cPxNm^D zYnAnDx3+u~I_0~siNI-nf|-M#lOS`e6_TPR_F2@&=J{aC{c;6`w$rxzs^?W5hfD~P z=G7l*89>`pSJVoqiHIyGq3OR&(J)!weeB^&zo5W07JE4mH1HiPva9;|{NtJ;T8Ecn zXeWBDxbn{r=2j(azVOZNrURRSM_%(AAaEL(=yRA^c4}O^SxY>_^^9M)?da^b`N6Ex z<;kLXYq+q5#Ka=!$y{DWHbR9gwPd%7aA}XtjZ9aD}Unml)m^1a)dy z)QJC6*AmqLZ5XUd{wq)p2|nRCkg$(N(DG|vYFEY?P?cAPMAnCgsCo{>B+165Q=j}O z(Tk)1PhRXya#iS8H9zCkNVJeRPWQpU4!{uY^Ez-Ir&+={P(S!nQ7T>Vw8Kw4y9E<_ zCwoH-f4E0-Eh+}8xT9qL(RZEo)i%}}^KjD5)WXpgV<3cZ$T-Hafne!T zxZD~>(skd9i^jd&11>2^o-jd9b7?X4`e{KIiH;*-aHPNK@W+rd)e4EU9iYp-oX5<= z?*kNZ|TSq3{sO|LWDyP)O~^#`8Nvr;qxJ?>c%Q@4TN6P)Hkfo{{aFWB6_todoo ztZX9P5!us?F)@rKG}u<(BZ;3oe<`R_Z=R$4Kk!cxOY3}1_neeeE-k4qroQx~_KjM^@ z;w+bbhDn->YV;`Cb`seG`+P>1Mcv#8=OiLT3B`SAOY_(I`md5V<-M)S=*mkPBb#&Q zGEuAVF^o)G4To9dUf^H=zKHlnY>Or!$4bMA?X4AaZ0*L;S}P%&RCBjOwwnm(@(a~x z7utAr?_zu+O3bpC7AHTRO*R)%DDG`D?tC^kZ1?3WCPym}k=53_UU2KS9wojdX3|lpxK5Mzxi#V8nmzi#_cu3mri!~?U zXD)qoEwis3rS=l@c+Z`Lp0DKic9*K)T1h)dAgEEG=$+5N>Y zQnIVqvV`|yJ;i-FxH$6^P&O0k{zWN-GSuevFTue~a&dkD8*l#ttumpI+811i!(Xi% z_B#*jt*)EvUGk=hZ4p+!Tt5E&hQ^Q3*Ekgt0egBc=k~>npy8RPhg1qC+k<9uX@&aZ?=nOLY-XR`IE^arGUIuke-#4ZjT2a1kD5KX=@DYf zwRTg_vAQf-|DwTbwXKfoR~{VS0n46@?+Wf+z3nrk$ZQ*01VMZ056{1CJLUG}fUc`Z z%0AfqHes%JET-F4Q4Ot4uoci&B%dMZ&t{seIJ|_QXmMZ0gp`J#%jkiHM{>4_X3^~S z6`d@?uyRgei`hnG5=99dIvIjM?n$Ex_Nwn9cAu8t9joiyq|F!mv^16Yu*^Q+;ZoU| zS6AQJvz$X-iF@Zm#cDbj)423fM`tU7=MArbr0o@e>iCEIWw8AD?r4waX^+tM=97^Q zEfb7~d-g5S^=D4~RvnMc_L6&&{P|x8#NOnSS$SgRr zf=ILMkj7K(j~ZEt&D9~?C)x#meU!FM^pzCIrK`ABsbl_&ooIF~!t~ecijVl52Y-5X zf#4TfQY^C;Tx=66B^xU7?z+&a^1$XmqbhVZZuUx2Ivp|NY|m{RC(WpQ z7Q5|%Ak|ounAF~jv(pGA_owo6Gg$r#A;Uw5ayu?{_`_GAm(Fr`yXH%bH_3cYPJ3_h zYMioCM-P#YiDsy}IM`rGe4b25^w4=rY~Cov9o!7jJpu?0 zQRwO$P7R#Bw3Xr)ppnJ^PqB+{}ZBty_qXVWrz z_E$ko?_oYHX;y4ovU2d^Ne6)e4gIXX)Q@8^zRgFU;-_nVxdtmqAPn&<#EI3RUcSRK zSocJLq)(QI&)c`u!unjQY}J>~usl3TEW?-<10SwyjS>_H?w|e6 zmXP=OLTB|h?3>5}9*5_7%howzS82@?l!J&RpcQENi9r)YDp;Fiy@eg9BNRD7~GyVNr2I-quif>@N&Za)h^JmK=kV9x=z)ZhsD5U~jBlXX_$mmwiq3vqs>~ngmzhpS?d$mQw{riSj~DI2PgFkb z1ILjv=PT?3&R^yM#AyrmO@kuYAm78bC+nBKmYBtQdWT6L!7&zf@0IHq*uF7*o$8)= z&HJkG%wW1sp9`I+H!YY^ZD#&}aUp#zhF;TOfs5s0<1+R5z z{tDCASE{CR4q=e;y5F9^?eGW(y1mFhc!)2{n2wG&)x&L`$eETEd;0_2tviUbfRjc4 z&hb+jbpezzkBJ4#QPwSp5|5wtk*(NA>-mieElyT`)`3{!`#vLL-1p~OH3bi|=br@_ z7T&M95o+(maXQq;Jp2H5FC>Sa4+O1Nn}$y%So;(zB$b7fw^9}Fjk zgqvzMA475X4^>>dMqHH14pe;3=yi} zW~=-Mhw4u^I27N~Cg_^qI?EDMwVv?of2mdm8xdhv7tm60dav zoL%0`+wXjG=)NmsN{0IU4h&XEc6T;XB=&e8n}4miYkh8lDhHyp_+;d%h|~H5SGYy8 zjr*&!MeUCl0Rk+)5fTG^AicyH`%I>i1kFF1ODGvZX2Q(TrV?*K!g!LR{E?-)(}asA~M*6;+03#|}f)e|#JN z);0S{#};-_8cqV%-Zn{#X@1sYOvVBC{B>R;9~z{Y(Rjb!;kdnCjr3T;3(*y zO~S!wa?0k($9#ix8e&2>-Jfye>NHqCvpQr|&dTlklhqAtYMJu|zUnmo`oLQAs;%n# zD-InDZL@2vsO=OpiKzvWgWp8ssVCnBswB7HJWjRzx_udo_G~l%x)PG}YNW{Ul#ILh zfIRul0ODTKJe%s}Jtj?e^B2QkrpiT7mTv|`Lo3Ju7wR3$v znDVI%QMB;tU9d-TnCNy22?i_z)C<+3j)yVRZN3ko)mD4y+;rTm=Z22Hdx&)`?)Hpe z3ifr#_|(<12uspZ3hYJGhxIc~>aO z?73!IVnw`@Q0KHtrXTTwtKjAZd9vvl`y0_cF7Bq)2+*tHt+X;HZPrvQ;}0^UJBQB} zUysGx9c|m#6Ae!t&eSjk!h#?$fXDVgn;iY8_e<4;9`jsx-m-dus2s<0xqNi|a7J@= z$I~MU3p3PwN}v9LnRAn(=Lp+?$2eECXPYKmDy(QbNb?GZR9u5vU_+Ow-mW}rfR%JH zIzIY!z#~VwWOGsU^gV+Eci(67I57-zb6h2AWabCY*Cl2aiP-C7k-gLUZv!5s$N!{# zu2i5}#g~7(12x#Z3-U$;?tbTem}b&w?8qA-KlgfL`$mMxHW7n-LEEWlstbqN*{qQ- zWidZ>C6iq&PxoYA!E&BYHg~Y<-xdLvhG92Ycj5)4?%Wps7q*q2dbp9f2E-hlk`|mL zDH^d}-tgYYxI@xa%{oo*G3e!NP59kEocroamD-%MZ$AR}1BuoO(fF3(c@F3!GHFn! zg6H=dd6Z&oXz9@75S;rj5po6R)zDhZjv;Dj|23SED1(qvRbrnLPN2o`;(~mgF($5E zS!z^8W?wBxX|m<^d?V;JU)f$us|+@B1SF+$yZa7>AT~qs=8ru8B-(+q1OCvmUVx4Y zbCGzekKS#&QR2`(Ck)F4Q6Au=ts|J&~>t z-_z63r>FZ%&4EKPo~(b`et>gxsXM85LQh(}we;%7%Hv0>%aW>^6yo=W+k>Ruo-Ui3 zVvjISs2mT>{pl-544%~#PM#Xpv@`$KGvmism{s$I)(veVqv&C@AOwd!X|^e;-t0j4 zB~km9^!D+dYgaPkq-Nv8tywu9a%J}E6#(&0KpI$}2-x)f^*p5S#Lc)ANCjmKpNk6ZmGUBg|!eg|FH1n=bqGMD|kA;wA-cAfiA zW2iaLVc+tXDZ=s99{RK3O|h-JP~~kX>j+tzI<&ECI_OuJu zBc;EEq{^zMy1&+?hbZUcUkJ2FK3xq#CKZ0HxfVA0BnJ z0(VQQOx1~DGUj2|9fdsvKf z8tcSvjM}t&y>YNjb6-bcDLCN!1ZVu)Um{Q{qWs=VdJ;FW)Vut`f3iF!6%>5k8HXBO zymY)TgAp`P#e0?e20o^AkHJKXco54^a{>H*gd=e+FGug2A)0DgY1f@jNbAH@5y#$k zwytv9wWvE3q4Zu8)25)-*J)Sta0`)oyI|%xyN>B_=)CIOI10Es{vaG*_l|l`q9h*i z7#Y>y;kL-^`tNvccHsFMQ5o$+n%K5|a9`3Sc&BEv6a3{NAh^M5TEd5nTZUx~W18d^q5L}k46G`!qNUh@@v2A}X`BW2TN>Y(5*sxYmX zekcaxIm z5<@Bl6U9M8MKy_5hxpeJEDJl5teGA&&Fj>OSd{a&w;#8t2#Fcl+934wvos$j@%N%4 z|B&yRPq&Rv7|@tZ%z1yZM#tVmJWM1_i)!1`h!B!?Qsun36~;%_GHKu-??=C(IbnSv zzsHV+!!+bPH?@1ictj|2*PITBE&Z8;tc{c-P{5ZJJ?Pg4zV|YfgN&?9l_C*>y?mBU zZ-|;kwF?7p68(+GEbKsmD4Bzo1z@5D)0oPnbEZr)x!;Sy% zh!Y~RcUhNz%D~IwhXx|jE7#im+ea|V6jO36Whd8Vc7yM z3{yoU0tQdzGHjlMz?WMwMZbrZ9MXl<~{=O2!?#u6G!%w(xMRUNfl=;U$9X*4$F5W!!MY1&TRShjYB@s%t zm1Y@t@GYAzAe&c&2H$cLltf20&(He9EuM~wD985ALOJRLh+R=THvFms@5`9C=>80X*gBb>^$p&m@xgHSul>Mg3+({D8mFgb5wEFfram}N=QVOc^LSQ zOrR8vQlF@EvWRz9%~ajSv6?yYf>|y>5~SCP;?=^?Fepq#Kx;HEYXS6o5C#Tg8*?6qg=2@d=pbcut1f4GVu+yEbt}Oa+9JGnTUW?O@au{25{51`; z))}Va=Eo|A2@%qZiPSMs#)%zk3=z8RlLt84X1K6w4Aszhk~*$9;)=0WenMvbw5|2T zkdQGWQtNZL-5y(qA4X82`ku9se>fPEEL?j}CYhUtjCT=}i_07DRoG6gRJqoQj$a~& zVZoyE_Q#6_-1U|@DThlRTRHJpuC*-%JoA&>s*lmUwXAcEJ^7`4yC<3XJL1#o-tD^N zMyNOP=5#nC@2NY59gt+D6WSdZninx7PCs*YCn~yt%#*BmP)d60ZzWcE7S`cKCN3Sd z_O2`QK_@TruQ#gyVD!9U6}_(o;WvLz-P!5W3Rw*d8HXUxa1pa)^oU>;G?#bM9rDpcgx7ktfl&?aR@o!I1>7AI)`4Ul+LNBIz07$}QC7t0?-z!&R0?P6?> ztp=7nzP1nyRfE~M-jDFyoc?Y$uCbMasL%g4s9E&cJ!iYCQz?X@^+!26128%=aoZ#* z-7D#qtGV{I-r|(mgxvy~h}6lWG#_@0kAD`gvW1!q6S>6j&>=~J7l~X#=qRD#NWm77 z-7?R~Dw(tx23~~{0Z&&IMkQ{ci?!pUt(*Eq8Beh=1!?l@8T%IJ=%F)S}nVKIR$eDiUfvX!u8N46Y?WXhpfWOV(IZ175e zp*<}zK2?J7|B>%Qi!9hI*DzlzKIljPah+GY+@09j05LR941A^F<0X>0w)!99C5Rrr z--%0~YJ-$wzfqTZ9mu}we-`D__5X4&VQXm9;OjNe&I4?XuSv$cLfn4)XMw^RH7b34 zMFN`3>iwqAim2!Vz{qAi`ttRc3`%vIM_)g5P;uKV927kMRLG!oLyqnik<9y~o4&$9 z*+1Zv{8ns-F$iNHQlP}IBPP13%df}8cM_iSnYC{+4;c{_-7$`RS;(A>XSgSA<-fC) zp|I8ePcG^ErnY^NAHVnew~9nM0qz0gfr-EY=Kcj|3`t%ki_(&$02X3TPqjzt*c?L| zBi{5Hd$X>b=r2`&Q@gWiT?m?%S(jXu9#e925L(B%;y>5I3dGL=-& zqOlwnbimI?SvDxqY8c*gUju=fvvX`hcP#b@$mvPC7nST1R0ITFq^a5 zwINy-LYCaMD`YiLbV~;UkV$q+RIb=E9Lw%-9glocWVB12xooE(PU|NpaVHaA9sW!`s=42LGAc~c z2N_GjS%J>yqPW2Taw$ePM#;DXzp1gGA#a@D%)>Y@Zu3JsuG{e@0XTqvs8faPUC*l< z>}4Jp@Lx5)0T?N74x6prM&jc;U7!ACr_HjI&LmUijrh`JpY{Xo$*M$$=g(H zJtMv01{Ci!(7_#`iEz-+19u=yU+=WV^isaFC!`2}rVOm9?SL_4McgxPr9_0jsRsN1 z4nIG(NF%#onESWB#B&u_*^IW0MSizh_6ar#cj=^>4ZOxEBMqMRn)@{&;JlnU=?VoVQ zT9M1J%B+D&B`jH;xd zNm!xfxFWIq5m6`#3zZyLNP)1>rmkqN%2Nkzw)O89cziDp3@7dUU5%=muN8h%gPwzC zU!HuCiMqm8De(K_{&7HT`D01$vEq#vd(R?ndl9Tw#=Ap&R{KmnfLB17X71vrVUFy) zU)}s)kE?gLHhs8mPDVvIG(DD~YbTDkc+)5&$X?alX15>xNA@(w-c*fxlRy7 z68-?ke9uW+rqjQPA8d)qQ>*<4!ZcM|eKWwob9$9@27FH+vM{e|n0)vi@h0TOeNrN> z*7V6f-N_aWj+d+%kkY~7yJs%Fd{bsVyawP&jJx{Pg7xfW{vmoF;AiBK5I9GbEK2&Y48*-jJExg%B-;*y!}d+mJ&#w4${l7 znQ`Sf1FYF3rY0zHXE=_{r{A8n8LE+UzDAPiuL(b3K8CT?rk!Nifzjzs_L=(3bpre% zAi4T$$ts3s!XwG5--e&TRshsIeI2Tt`7Ht{gFi(5O!g-6bE-kK1QRSa8Qj^euL0b{Z<}VSTwAQoOmd$pc6Y>+g*#u zbyeC7LC+Da#W-@)?_WxT7p|>hq7e@B!zTn*G76qrgI|fbl}u_`=0QCcGe15&VC;9n z_x6LFR^_Ep7K)P}!TX$p-uur7ElP=ADNmtuBVtyG0;G7?gN(Pvs7r09k?~|+dPLR2 zfJLzZUs`4!zf2m6k598@%a{cnypOWt4ZB&OnuJ~5j~OH>w`ygbgkH&F zSAJ1m?jN?MJS)T=!L2l)wFy(iaC{Pf_D2H~hz5Uq;L$Vsf|M&KHrJi(S!8TIXDjSw z`DlE8!`eOT7r%rl(^uz4)-oBn+bT~))fO$5@b9wl+Ujl!1-Ru7&1IBhZ$_QC0jvqH z`^&{*nc=*)GFOAj5gt$uvGBYxow zT^IGmuPlsmwW6jGvaYA5U&ws4=0&1-Dk2(n+$}i(8TUL`Kwx!%nC$=OPlhhtrR9K2 zfau*S6IlL?3{GpjYWBo`Bn{nTP0LY>JLTt-F~Otw@S|1!iosXY>M+nxHb{N-}(5ipNzl0YQ>9ThlM37(lT#F6P;2PnGtR7Q$ zjPFbF{{sI%WIX;$hv8%L6JwbD*go-Os2RXqPwJm3|@5?HEoj>@dA1TnzlRoeCZL!{KQLrW__MnJTGToZPKzs zMDS3c=VqdrE$qTOQ8AmLR02!>nE}%dG5=w~!SkWy6QcBehk??MHTDWb`ujA4%@^Jb zoR^L7^{aS4eE2{zTUoB0K%=hLfg;gw`Grg@so91EqPwaO41RKd@IO@3D^envEslLJ ztiQQrg!WvRQlHOv&hBx%Qtisl03P^|=&N43Om&Z;J9bHC+p{{$&I~IUKX@Kq8orf< zvua)Mp?;;G{enxZ>5)s-7%5&}K$)fCpcV8vnMMKpDO6d;lM|?)sukq=>+GVFi^Bd5 z52V}z%7u5(?pMNl*na+XCSt?h`5%Dn0Ih17B^y{@xthi5OB54UYq<@bcvA)9NiV&E zW#H6wGG2|PCgb=YVtOHKuB@6ccTRuddqYk=u(CyjDSb$NV*84BaeLePH$US*i=`wZ z&WWRgwrs8YE?KS*e5rz+G#NF_7@lXy2Z9o}sxNTA8yxsv)6tl^eo?VW)F4D;6S1l820_Kfmz3{$aT24o>fLL~P%Z(>Ybl3N1e2JINU_ zqgXH}!v5&6rH8xU)MLkcQV`^(*DVd%l*Ei_fNKkRn0=c}=$}pxI z1F8>eLfw;B>Kf&{BI;&5mxepfN_WVZrYZJi`B`ZLgLV-XFCFO;KlbdCBJfZ(;zmC{ zd&muaXHf0)n$u!1cfl+==;GJp&`)YpVe7?E|9y@!)8JhQo9DG$*___0T6>^=q7@J7 zRd`J%@n&Sj7VP3N(Y`kPjZ8>Z-4DD2h3=f4v&(gaxFI4iIDv@u2^92==%&3Ygu+5K zDzG_)Vn-qckaDz(bNT&ooQ~E-jd@z zKrrx}*?Hzi$xMx*`l0AlL>&ADxyUTaX{9n&@0YIDgGuYB6|_!paAkmGxs+Q;7_F~d zE4S3^sy6&IC3`N_d&hh*4mzj@?)ftu#5(&+orx#(4~l@mvS+bN-|4metH2{?s_btf zb)^~z<^7D0lEVoS{_x8Ej5raTIUN~0KsclB<}@1~*R8()_Idn6+U(PlZcie)ANM^( z{y6ElH&}e-qOL{M^GlRQ6*qkfO50xPT=s>s%CP4a8>I9-`i5QoMV5-NI6;Yg)jl|M z55ZNHkfz&`k+C~_WZKna1O5fS#Q~<63HydhM4cI25DYoRV;#sslXh>*EH%;Dbh7^| z5T_}-a_Wkfyo+cOU#(=&0_MNXO(wun9usgKS`b>!N_#3#$MD-IX4-S|Mp|e^|JKAd z;r|dLbm9H)7FaZsa^f(2XUrb4KP~9DuT3Qr)*MeOE+|ioQk?$zfysVlKUvV=;F3>y zG_<2L1zv!kF`5Tb&*@~^j@vNIPa0jnFZjwDpY>XIP&1jY3#H={W}@)*Ew{~}=hHQ= z*L=u`#a$tR<{@^l_?vkBtUl|?LCQFBjd+QyExRIap@4ql_zR;1ja}yMkq?v%b+rWE zi|l)R<`0__7wzB?0O`hC**Om{PH!wWF}OXZ6!PZ3mHq_9M!%{REy(sonY;BA)sn^@ z3k$#qQp;{DM{D#3iNiaUDW4iK$JJZ%@B;I(&>7e@j1Dd@kiTpzSMf4Hcxl zTA0GOkSC<>^yW(B76#2W)bjtu?;lzq$=djBEf^rhB|Ba#Ot(A(7_lmn-Sjni=I>IL zNP-k+iMQsm7mS){)LkA$OhDZUUzQn($lE5;FzIa#jMSR23MoCf{c{ZXg#&mc#$sbP zYWC>LZLsR$xl3-hTnDuDr!s(SM|xD=bp2Juizu!Ij-PIb!7!%3;Bk&kL|;4OmzoBG zT@tndfMAy3UiYB8*jy@&(O-zQMatXY41YOVUk>cQm|pxf6E7f_(hBSW_15H(QL4|S zC8774>Zhb(vJlbzIa!Xn;%!7O#+_!M6md}! ze^&{LcUzTHYCL+73m0|BMMbr0s1z|X9YHojy&ba_tPABkYf)bwC|l`) zziUsTJ#I;fL#v8XiCcZcEPJA^HD%5f+qGJM;eQF)Q6e|jW6d61KrRmlm26}O42eh= zhkzJ$s<*tHSE{=Z55McCuDkLNd^Yn!(B#4jp>mRwKo#!a5ngD2U0KFf@O`{8{JKlBLJEiA!tPyG ztd?YGSJfcst;Nsq*E9*}meHhEW}>AhJ`kB7t4w*AqL(IhUjzPhxSicHAR_;~QtDgH zANQU4>v`Cm(qrTXRh`&r6UiiO-j6-$Hb99z9VjkeilAlQk~!pN5UF|ttn_cPE6+Ff zaDvm^TsmrH)63%)7IkZO`sQ-{ws(@%T$UdFB^baR@_Vz zS12v8`tRfSStJmBv99l?-s^3S?kt4^WaNiF?O?*$oQ8W+Sm`MS?3QKF9;t|m{2{q- z{tOhEP+>OQa~`SALpEC4ZoJ->2H(<*30184fQuG5fYKo9UM!p1;P%>Cg6M7v&B5k# zyIZ;U{tJXflgPOJYI+3j!B)3T zNS@eC6juTKW9Q(7^*+4t3$d6UcZ{i(ksUx_T{cJJ-Kc0?Z>&FJY1;62$PrQhp)?l( zu*YRvrEB#16WfXF#``!S{F6^2@7sE@o`iMRVk>I_+;NT;v>z>QNt|tDqG0ozw(uU`rrIgKe1F;^Y`1Wi#m^yzW0hEZeO|HqsiDux+tc0#$~U%qvLVjW6^IxJwi?jjNBD-=D9@)X-Mh=tAu;^c0)iV|B*gPwwQ0K3Yrb!}zP8&W9j%+kF;Zm3mG^ z%|jHVd_U*Q8RA?`IL*fG+rdE;eLAyw`(s$x(z#pa)%ym1n^psPT4bgppoZ6e)EHsj z4EH3mfsx&mp*N*lfOA`*5T|ZX%T0lw>>T_`CyP;b^etcv zmr!h+8DKhr?Y8sal_mi9fPPS_0N|)AS45oMY?P5)UF>}+5nd)r|Lfj5@@&F3Y%Ix6 zgKJ{!;wc|0RBvz64zagXrj5ya)tj_d$+#q@N*mCAV}w$Su~&^vhlh;)OdKuf6h(Cy z2r6Cpy*MOveCByI(34;DMoa@9 zpP(ntt%PW17L?`)NzKD%&*CS#9Vw>L#2U?`XL%!Vj0kuaN^#fOY<+)638Db8!_V@c ziv`-$V0P{6c+M_n$Gkn*Am#P)KBlec+VwaYj_OZTr`y)K=RKMDJ3YctwM>MS@pL_O zw0G_rqYHXlQD`eXz*j5Y3yL!%w-c;m!6D_*=V%jy+&7Ceu`=ZMy!^49&)9&Q@v3x! z4#n{ffj`1|e#axad4h_7?^?x_A*?}vS+&<0w;a7vJFx}pz#aPZ=Oe0%2o@)d@3)rL5iBjfefST2wULA(OB+keZp!Sc%prl$J z!+|~AweWY;S8mLf3D={$*_pnm#Kc(b&k;5@r~d7b49kSn#(O1|G~T|QJiu41X-idf z;hOKRQ`XqT{yo}&TEs20sw4h`hUFiZG+ODyiNFV)sHP=MUn}na?rVXt@n&+3`aCqb zXN30uM0$&RidqF=)+R1NPJ*Ah-!v~@CCA#uM9L`5;_rF~*icC0Jm4F7&uzBcCxe;2KSTC8 zQp6k%1D(0w^1MT#e~zH?A@lXj-it1(D=q3IM8_HZeW3QEeCd>CP9>7E@fL~j;d@!{ zQf6Lbj#zI=7H^DIv}4;&RYMKVE-=l6l%4$NN*jYPth|CV$eNQ-@FF1_i^Mw{>sYGs zFs|5&dDYFC7W$fP=bekOmll6tdRnS)ex^!kUf6S&ZFdg2eG3fwsPzD=IH)mZNbf8q zPSG-=;-MSe<Mbl5iDO*=z`okjO@}*YJ|O&Fd&)RfS(u`Gouvya|{UQC$stH+QB6 z=0ko(tZ)bh3@|S8JI5Z=i0TvmwPYSyZd6afg!j+o#KWArj+b)S!G@k00lIUTULQ^I zT0FCJi-clpRo83M3}&_CZI(LAOR=|Mxy(S}4=H;2xDcgnduM4# z$J6A=jI*>^@7Ov^d%2}s!g5*?5-D-*`7OOhyawI?r{MB7f*fY=!N*$keXJ^*=dl*y zJjNyN4oz&Jg^3g~XwueFESM3U1ii*fSKXXDG2Hg_m&!tt!PPc*fS=F#tH-C+}V{@89+UX>M zqD2o)mNimF5BGok@d=s4T1@+`j8X0|p=49}(WH$CGHQYrF2bExyD^fOuqZ6pnvrN@#4>8G#<2=l#5G zbj<91!i}TQV}6R+Jw5PYyC*BUkq?}X-i-(@Aa zKK&rDYm<_BOG$l^ciz!_8>YMxcyZ$~O33a?Iw^wnH?SgDcIm?VK)9*k7!W^ycKbc7 z-Y$>1kaX%~|Jv&~vNNh)jSw6p<6FpFZ5aO5LcZYLejm>=v-g9J77_<4djC9q-~Y>D zv43qoy#nx)^nS^ALccCXM80*Jg{UiPU+^01Xv%V50FHY$UADX_)o~W|T5A*=z3;Up z=g)>pZtqs`lep+1@S>*0hm+6D7ZVLeJ?%kou)!G_Mk$NzKZ|A%+CR7c^3W9yV&*m3 zIB*}zC=nX)B5#+La;`QrqGW9322kkt#+&*u;@_B7tQ@Prqg;S-Ln*O!^WN%=s`09C`uV)JFTmuokj8&$`UCv4> zdW2KdkfQCC`T=O#6DyF6edF0p{z+uH|f_k8#+X!bat3 zPbiETyl$w^=z}4=E8~G=bN$lNLpXp<1Two3aomb*i>-3z6`SI@0i} zAWHG-?9hthz8gOK!Acl-$+rAx^edsqZJ$~7_xOee8kyAbHTo`*46$8o8$j7j^L;!m`-`Csz8<3~I zukrI9eX%Yd?D+;3F7tB479qCL#A`8K3@cLEjy%>X%l~H^f`v;yH%>`vYL4;^ru4Vb z$PPFd&8EU~#NO>tNMxrj(B<~}(k=IvQY;dD zcVGGqgMECSmAQ)TQBnLf%oQ3h2O*L>=CI1rtSZ$)a(5%WIo%(FGCT2ZmuOjiW5>CE z%Q(3c8#{;Fvd0s%*X^Ye(Moa?9=OtFk@B8Aen5QPdhUbj6b}KM*l2d+E1BPZud@35 zRp8gd-@Eq?BYxnA2N`9xwJlTK;PnQz&`*;OT(@J=46v<{BM%mQiyFh;tdzQB!W(DF z?&}=kajUlHHdVyvqwped8B6#juc~E(0c74S9iaeIkq8R=ms>n^l7<)%NRh$tv?eWC z4)Eshg0jobCZ>@Tt|-$$byTdbAv`cl+ycU@7P5(@YS}U!r}eO>!*UN{QI-7}R;B;2 zTa}8)8f$-R==KfqBmu~%3E?|z8v!wgr**35wy15ia~qwkg4jkw)?+dP4ue%;VD1C; z`B3|v`}4pW#YP729Z>%E_l@4eytoK_33g1ZDen+%bA&e$PUYpysTAquVSUA*Q? zRc-9pO_r&`Aij~727b2}e`q@LC72GDw`v-Q?5KH(Mgz>ohTHnf9%B1^=kuF&G8$x; z7zJ+(w%WpikT6EWPnQ(!jelIAWQ#paQg;=0CnJ1g5>>E1i`5F7SE^#wAOEPPCm!>i zHQa(QRLY4yirI@wcQ1*Tgz!`{vp%s0RLK0YNlY8jsaI>EHQV*G{O>u}b64~sc&kH$ z)BDfowDAMGsr;gMqoMSz!p#C$SgWI@I99}Eo{@dI@1D{ZniBMFP~6KuylmBkc6LqN z?|St*EALzRHRL_KH{-`Qe^JvvkMz>Z>U3`1iL-DZ7@?&N0?_v>BQJoI23wRPA-~d= zFM2&8^XMKI+JCeLd@Hr*FAQ#j-ec-Q>vqKWY=86k%buPy$0!eD?~wzj2Ay$&Gj$n! z2u7n|AwR%pNsP=Ryn=HK z%LpPDS&2}u&uhF1wz_H3By*_O7qI^2%K(>h1;tvRfAk>=5sBmf25T&r2*Y2Yyxp8) zz=?T@N)~FVQK;Hho#4o=;Sup!yI1?L*Fwt;xsBUPxOYBvWMxhr-;GSPfxrSLmaC$( z`rW@Fvf;$rwO&a1VDIiYi`(vvEeDL+QZa2I2%CF1+?@!)A?EFIvQ}{`0IRU=CY~L^ zyr#ijEg7ZPseV&^!U%xU0z!e^3?_Exb3?;NXnKVd9byUZ3piW73Q=q#!5xSFXidMZ zf2HoogG8J)N~c7iDnXV*fS{mV__&IpgtUvjCfsWVQ?q%&dPgbk8tfbfF|P`t4o|o< zyZNp=${;3>epD$+#omP=4Pa42rBDtu?Y!|N+j_;dmEi%Nu1HcV^QW+zS_0R3FM6~z zXdgj;zx^ne`T;mbJ_}|8{Pa-I;8{w0u-UW6Ks?9_c-yEF%?!TX@BSSA;y&p4vdK2% zEV)piY`kTu*+A#mYn?yz*R9W#`36(>-3H&s>xwOAYChFKMwaZ8`Qd~I zXyQpLGtlR$Su#{w**=boqMr+#3a3hlikXzyF3O`9#vc+-5@5xWdJNTsee_(%N8zuQ z-oak^gWVr(|BljqCVntEsvK6`Usj1hP47M(A9-o^n23@ML@N`u(BDR7B~0^@$^a}I z^zLRo0evMs=o9bw%u3i+bJQ;AiHwVx2$v1%r}%SrJ%itXEha-+j=Um1ELKy)HqHwx23QXdwc0IoR4TLbUlKTS|q+(s!u@Yr;fx#Z;bA{_B!C&lV1fhUIS_ z)gOOWyw(Z!dLu}q|JoCzxoh;I%LD+xZ@NbD8V#`$HYCk7=pD^39^_P4hA5`DYl&C! zKpx)mEs^^?E_2dyGkPED=j{6jI+9#9h|92u&)8fW93++&GHU0LsS#{;`;ozmM9SVQ z{&EW`u0z5=xaNs0kE|XCe3O(ji;%pMMEq*y-!tJ!c=v5OI1h9p(%B~ zHO1Ts&(&qmPalT|#)Tr=35T!lXY^E6Ra-=oaW4S*DXGoU*=QHltTr#i0Z%#a&X0J3))P1gCiMV#VEx1lQv3PH;l7!`bJ* z_nC8Mo>?>N%lh=py>7d%UxHnfFKJ94{D1^Ky_F2!>%QoHDo$)69l zO1^VV;%LQk2(+!BI?OrRfR1YG{DMq=E~z@+K_ z@+Po&@F5crg18QAF<71_E20Z*93MiHXL=M#HqV0I3c&a@OrrIY);y(~tVt|$r&cWD zCy5mXTHZNYI;H0Ug+72r@(1GXiwRpFB5Mp`3Nm&= zY|ZTgAj=(fdi_bUnDkMm$39rUPRxu}8SehYqJX@-=zHc869)5wB4o8M09J%leVkHCj5Lr@hAGpC6F}D-P|u+UtF8l_(ehwE1o;&#F}V?(P4J)h`}N> zM2(Rm40#+6Aj)PXCCt+A8k7DUT4|M*ag5k}8xQ*}mV#HtUzI6f7ZPHKHsT}n@uA7( zt7mt}AS0WDbQxuey&3+6*}NV?;eNXGr%(KL=hmp+qm6_yer_%1)(g0}<5_uoueji5 zc6xbBBTBTJ81m(c*joE!^$C}E-Oug|&nanBTk=%c{3i`(`wi@5z991$K4Fv-u&ro+9vq;YfS*eLy_N3VC_QpN^f8&4{Ry9ke4Ah#tG8f(*H9;G7%8f~ ztDpaWr+b~eooZ<83xYys!*bTu{bjR?BUR${AMF zjq``mt(EhSHp1npo8}VXJ>+P_ZywH{(wbww(T|MFk^0)*U2+LM;^>8A(R-WYY9dft z!59$g7a7Ej-nbYH5b88xdUiE|tPc{s2#m(oYQV75Hp1%#nei5c2L7LkUzYO!3&u;I z5`}*HK!$P5G|-aWFYNdiT-+n=eLa(|L`tVn}Z-eMs?5?nV~(a@0fa zQZkJ}g_v>F4xDG*dx1*G%wu%TwNKAyK7(%K=*ACD3Pp#*x-MA@OWPTo#>0@>&f?PQ$yN#DVso1d&XS51qc4>uN4gUTT@%`Ix2)y~o>uOdxiN zLe$+9LQV~Ot%ykBv@!RftA&OP55)UKeE3jG!lcqe!G%9a4D!+Cxuh{9;};|qlK zief}U*#$P>lpt02frAo~LBo4a;5tus6hB!E=E6(;uKkTaAwqr4m1@rX6lchDg7KT% z(CHI$&&1K6;UJ)f2Jd(3WJ~>0tE2jPn()(y4;oaN7oR49Un4EUFo5$G_Ad*R?78u* z{lz`)$o@w{A@hQ602xCiMzH+hj-h4xn-xiP z8~lMfk5^?w^8Rwk$I#=N@tde(vgckrzvczO-N|E<3!#uzTQ>l>v!(c8HSOU*%zA~o z^=jz8qT0_FvM%k-0#$OMsgWboZjA_@P~ol{@@!=M|KbW%M8RkGsu`|uhlvbeGpCQE zp%?BUyok?doAt&4-L?xKDi;!&HfUS<(N-}rr|QC~PQH7ukiJ__u1*~0w>yRkL#wLX z`-6LDYklv~RON}~hHPu4l?Z<_jE1vKTJ$ANv6x>b9dWx3 zzS$%8G!TtyeNV>+Ox)6S9Z0IRG8-Jy{*cBsaG)|b;TdbacO6tp$|v7DGE2WSlMZ)H zYxy{IMKO_o9bC=L%%?qVy-2IeSC%|XQ7ilv>gQT*lGqK&TFC%5doIFU*2LLf(oH(NTPoNJ(hUd>B;LpzoO9T9Nf>zk9 zwfcAlu*fV9M9jKzrV|Ku-2T9uvh4ykUZDmT>n?+MMWZ>=>4PT!^8%O3@X?eO92Y^4 zINno0gt1B-n4bGOPF8(@AjMjY`fw~W#jhrD-@nVxWjocECCYUQFOc@3=D8*$TEN2gPg!A?lKTX* z5OmDN%-f++>F28Odw#H%p8OHgy$IXh=)~jPmu~=-c@5>kZ4`QCs z=XM(7m%ifj?iX(`rPZvPnk5w^)L5n9O?jlGa`HQ^$ygG3&9@UvG_RNlf0^X`$_^}j zM3=Yj{6U@?wICn8FUEPih;J8LLDQwFtv)=}Ge3>J24*9Ph7fiX9Ofp2?hfE6?nJ^H zGnMs>zo!@z*OAvpaKD(oJoETXk*PA-Cr5;SQ!)ELs^wT-?=ZRq`T5hYJyhDKpl zso(f2S33K?R4mR-Dy~`)aw>0Wwku(s8bf&yfDcN%VPOS!r!tM|vf>a6~gxujQ@b-V8gf43de zY_e}eUjW~G5!1rraM;&v4K()VylcWE|Gm)o{WEQSUNKIO%4y{VALNMsnZ#d<8>6#N z+Jr)KKids-ZLRV>NGQcQnAg7a7JKf#<}h3^Y(= zgtn>a2bDXZ!-h3wD}Xk$&XQJCT^sfVXafDwYX#?bX@G_f;StKSj{x_b?Vm#~Hj>|` zly_w)$NPA02j|MXE{HtdBBUT{W&kKQ-!9KdkX`DKw1E7$v)UkUaQ#r%91|bm*8m(> z?zUw*sw!hvF6cF-)4zITz7ew^VQUyAs!R~BWaSVIUB;pAOj~<%E(44i8y*TH8m4*| z^XEu>d5DTi`Cm-qO_58k^>Uz|bu~5{i6|3+k4;DMmjgM%vC)-p zVzIg*F`>h1KgavW4Xf#=Gb~B{Y<$vy_fYp$dNpgUJtCvxc$c-zAcnyMZF&s~-!80e z_z0Z4g794B&s$2f#g1mI3J>%20WC8m!qdE`^WB8IqiJJZR2^n?!d^m$8s81`Zz-Ma z0=-&}w;s8%7in|Ku7``pR!T^u?_)T1xLU#ky~$DH$5}QZ*Zu_-w}C;Px)Os~_R0u1 zxxW^i3!Wo7ws{wiWi^tBH(s7|xwAfZD2JQ1kZy{Z`z8PVf^Vpj|Js#)(UAYoS$ll1 zXA^=a%35VKIMRI>XHBG?VN~Vbi9lE`6wKKo*g4m_Qmbx+hHah)%D)%damiSjaxF4h zUx67w(^f-{m96ZEtcl;EW+gn*r4GBef!rwZk^dTc@YPOh2hQd@Mz|eMILVgE+Pmw0 zwM}$u)W367mSrW+@=-DH$yAgH%2_M6?i3{wUh5t>k$_}2YJBBQgxun1A28_oL=8+r z2;ygRJgC5OCe6;tv#uQI8??W6D2JPdB ze#PeXK81%}cJgT78w1fzT!MrR_aAl>|1r0;^`@QOH*K#}v?FkD$n5-BZrqL>7#_E6 zF86mj?Lq~+bXWd(z2C>}*}Fd$VW#~+T)A}1i)SKZdf2PGl1!N|fmA!<`;@iD9C2Jz| zT_;nrJnCD>_FdTwq{7NThh%^jf99l3BCUhKQ6{qnm)q-9BkZWm>rQ9BSnJ~?F29&MS#(qI%~P)xPj?!=bRjrCrP}_(E8UJva6`h zzl=gPRVBBx_@+ny6mGTp{bwiTt&QJ?*y5RJ(c=*mT>l!O9zOxTnqHt?Wd#Q89A!ei zmni+Tt)ULuEBWCVALhdxZD)-B1}TzQ(@-s1e=JU1V?tsgv5`1PT-fPD;L6VZlWB!F zy$H}@*gf2hc!P(HN4B|nvzR~Y>%D+n??puNn~I_~Cv+qW8lP3VK8MA>+bJYbZ)s(h z+WB=;AE#6$V9DRsQ~NS^t77)efLJUsRV4eT$Lt8a!jCZ}dtKS(hh=+A6#C&1>6u)T zaVX^RT4M=sB!_@`a_>Y+_#5@fv&Tr1P-V&s^Ll=7;cSDGEkk?+udlOj;fO)oDxIU$ z*Ina~%m-Xk>8Q2dKx~AxRZ%Grr=TJ+>rU|Wckfw1=K(`3I}7SfPJw6v>5N8w;z_T<}2Kr*NgB- ze~${bF*=OaCd@*0Nk<*14C2<7Jo{9(8gH|~mh&IKQu|oO{yX566TBd0#lT`20Yt^; zBw#)o38BK%I~VxhDN>5gX-QR1^#=)(U)>x<>veqC-`gLyn-504K2j82<+se1^TseB z?4LwB1qZdqUCs1Od|ST2?{y7c`B^a~T@hU9=DmhJAB| zN5F050vF17#)X9Q$EGAj+8fT}dVqKQe- zseVxX(T3P6`$+qdgwo_HhiEeNo)^J}I3-IM6vQi<*_}>nkaqi%?B7|&OVEE2kC8js zo$?9q(3&UfERWz+PHYBIkXDLc$=MGHP;YoQ0u}4=$2C%w9xDX>$=4Pk|LmN=VBxJ$AuQ;cKEd*z0ZG3x)7@JIEyIeH_)(chtTaN;OPB{Z*D& z$xH?zqmeXOFJZO+oZ}OP_*h3&kRrq*wtW#ZX7Oz^!s{b4lEbW~iPyWi*qh(e_OFx7 zP>-b~B_~&hIS;)%Vm2ZELu!mu`_nG{c3`M=QOwjkgH`zjn#qXNq4!C(;_k+_F_Q!` zHQOqG-GtUnRepPi#(#>%KG-RZQHQpj&OIqrjwzXI35@@X8ZX982M1uh9{JQ)^bm^P zj+D4{LepEFPuFM4naDJZzzH#o_%wJ^WBK#E-^t2s$^m;}GnitGthmKVhwkN$6`lxa>AI%02e(Hf5sRtQ8?oY+a9`MD<9*8lz zQ1B%*#RRM7%C=f%2&&a9d@Rd#gv5<4f7`5{Cw4KZ%{gWpEd1NVo3D zVB24%Rxd?XtXo>6#(ZDqt2uTD^w8S>b+B)>;wD%@-JZCbuY+H#TOOCJ`H?N_7N0_H zDI~EXT%&vwU%8u3bdOrq-!PM8hMO5lB7Crtf8P{K0*m7}k4+L@dzTr(f;@TDmD0|m z5xx6&g5cUbFw-lk`&X8?)hW64o4UOZ1($7UPpm-exMFM_y@^uK(bMQR%t_i*OK1AJ zMpJB19{z6cenfEf&qD0sbNVp z@9&F0|2Wj;2Q=8~24J)QfrJNSWZ-SJ&F#KxjX0+p31GMPs7NdkB=Evu z8OvCGBSj`p{8b*`Qc|oAiUvhmqwvt__Vl3((#=V z(*Y$;Y=qmOe2=;c=z6)eJch<{dce4~7vz$4ccyCsEMh3a^P}ZEwB3#G{0!R2sU19M zQHLilMTyqn?ZJ5MdDx0%?LP>*pBt`42yTo$KTY$D#O)wKVJddY^)g3>6p=u&wQTMfmXmB=npA4VybXPEM%(K$8+;yuNBoQ$s!2w_3= zvtRO*07gMFX1kj1MA*rdyPb&7Jlpa_bP;#>mE-eoczxZhsA}^c+rZW>6@a3%gAwbh zEbT#C2WN+nE!hEUeu5dyJ#FHp>TBlh^dN3~^5dLt+kItaI}lQ<;(ERUq)hTJnb&l;+ii}t`wpmJP8EV)_3B#NK4aXt^D||< z^Y7@xRmlfoy~~qW!kQ>rHdSl)t9bMeganT9OldrpK={`AugRHmCv0UQ!| zveX}vJqC!LbeUh-bfv?Yg8lO`->I`O*G;P~Q+!KDGhmqKA}$~3-hGfO`~9;b=~^!a z4D;T@-j=%=SQMGqtX)9Ag`$DopE)iH>@tZ(6iwqL+zx+WgN zi$(an>5dSraUdPH1lj$6+`?s&o*|Gz6TXm6%n39`a82l>1huI{OfG zy%c@HSJ|u+?}h9WuIk%fqeF1IY_3Z^%$6`$Xcw_V$ojmz^PUR&f-_q|bo-D}<9z#bh ze1JVS58&eDvO5K2of&9te`3ZSL}dBx_~mjAa6E058Li^-QP{8RAA$By43PWg_D@l2 zE{o?eDRzF+d~WFrbJm$DLjIL}6;mw^7XR_23#Tk}@ucyb*q}0ok+ZYiZCl^HLZ*x` z+@tOq{c|>lg(F&4Kkik_+W)fmw%(jr<#`W5L?ccEqDNkv~}zfZTFwHO4}JPtoe9Pwc%o!I%RPg+MHkRyb^aE|j3Ns5{# z#`lG<*30usN&e5d!^RMjUSsM9sIU^x_G^5GPb zKTf*JZ1{KH%nT$Y8Li?10R!npB z#H)xy%15|RFL`83dbw?gd&Q%~43Z4tUQUPDj(a^OvIi{M4?Yo4k{0N5IYvv}zNJ|V zen|Z|x?tO^F|p#6yXdllp;o31+5>xL;R(}oU#P=r5K>LHU^e9z0fScwrSxQWEvgfR z5C*rq1DOiFvxz3?*GwL>0ounlk?KWvU5iHP3bOmUk>6W^^Mm~_QY`|p%ZNsccpPi^ zW}3irO|Breo6h!r!~V;7qvjKS7rOTMs_fl%ngv^XgYh++B+N%Znt}HOCAr%>`=7ie zy}_mI!m`A9g;6j-kXfQcRlz9z9JfXCkehkDGsA@9Zx72BX-(0p-NYb%;?r6DC<0b5 zDHD>4TtlkbjNzM=1kv)_f#QsLyJ%^ce9e>S>S}rJ$*O_?ND$NZYW}TaWCYA1e zQ3mU8I}3XJHI82kd0aGp?cg6cB95IS)}h7ua_O%~X2=eKfPOgONiu{+tVQoBOewNw zWwYZG-e9|sH%%Fndc=Sic|$E126tXaPZP~e8n3HxR z##Ve<`+0=3#%4d^GS<>eJy38`RTRzRH_7WX<{CY ztxDV}t!EJ%IHyXj?qegX?o$e{e*8LMFKf|XdR>im@M4>m#Y{q^s3e}q<_D`K%ZzVm zz@47Xrlfu`k9Q2Xn_$`LCXQWhF(5W)1A94LIHx54o^BH@^M_rp;wwpLLmrRzo>N4w z@PwUv(t~S%k_3V^6`8Q$WFANHdy#2I7#4Ni#w|MSz>VbEu;?B2TI}q=J~ZWd+q)iF z-zkO1`6ONc=Jy8k`UZv2O4n}=5NhX6Ldd;I-^R$BlqYRFGma$4A0kKr{h#O|)#a;s zB36G-=Wn>>`$enTB8t~~Rz6N6$B>r=4ORaavwRyH1e_@c0`{%Y&sI4{%T z{W*9=BI1V9in|mVJPkz=`&UxQgerSPzXg{WCye5RgTJSk(n9b_0n_J@;f|t@?i2KW zlWbZMweg?QB}_NyEv&=nu-7*ELBqRakZoFJwwboa4(#4cav+BGbgKQ>qPIzX*$jD1 z_sov#Cx+fcQmpTSl(Hy3DKCg0C-z%B>r~C}!VwjFp)oWpg?cA8yX*N87OSs(N3u!g zItb1xxxF_2#a8}S?u&+T!H8C-L&IbRqA%={fm>?OaEpm35{GxpWGsOW9W62C#DKxnPZ@^M*bDGxa<`4c(Fy zF!{=1mXe+HYfJrKO75Z%rxqGz%7{IuWS_Q8#M^_%jk@S~6O0HL)*vjQTqE3>Hs1=k z`K#U1ZAMJ3R*s?HG){5i>j&&7%qX)%_*aGebcGA6<#AU9($Wux^5?rk9%XT!h4K1y z{pF41r+UoAy>3k%B2L$jpoIS>e2D3}cWq6&)7;of zvc3+?3{h_qI!?gWy^hsP%8H$hk&-D=*!Hxz)%MKa+_2f2_gx_jx6*p|J4X49JO2bq z<_=JR+w10_*K*Rp?nD2o_eSY#5=<66a5)$$wf5v>L7=wVXb9L`7Gk5b#A2YVfwjYw zVLRjX3N)@5O*kQmCceirPNe`Prp+P~fE#_PEJ7(Tm&N z0$hza(&oG`?=|a01zqp8ZlgEww$^%1UI=Sa&n7&BLLlPZ#eq@zHawPpRI`X?E(Fj@ zlP6Ix5d_ZcBNAXMi<$ z#G@{Xusc`$LE_%ZJz^*k+RG1i`U3}c+Z}6r*F5xfq0EJ|VQX)@bUp$IfIg==0?D(e zV6-LWKnQ^>qv!tHk>)e0)>XvmV~NX@=cY8}z#sR`gME2n_c7OQW-PqL^Hb{fYxn1c z06yB)Zj}QdIO?0w!~?kGM4ywCbabuHI66CWg%rt5e2O0{ikM|11>X?DW*G@i{_;B} z;&_K5|GOAB(mL-Xx8%)%=h-ICJFz+x8ZMQ7k--sYAYv}g@SBvan)XRcR%?coZf6lgKJeY zPeXh(^rbVC3^vY(6NZV#(q@fUh5Iac-c;(GVwcJo228Dr%?3*TGLBy-0b6JV>!thT zi9THQ}rPAtUaTP=A?HC*e>SrFSd8RCe7KSoC*@3 zkN&h{YTF(yXaT=&BFaaU0yk{7H)Awbg+lZB-10b7WD!DnzC<%?&$(;dPvrI5g{>rq z)A;=P|DIOFECh=i6b@6sQaX}7>0BJQIV8eUuTwhZ z<1F+Y6RXXlHQlc49Oisk?z{T_`_1i@MPDR-%wJ{N$CE*Vt;?PY#ex}b7>oyaNyTiF zsnF_PPg+7t(1&E{e1KQwN3-c1%=){7y)0Bsd~P}N2^I1%p60pJvA+*If9kKOJ4bh^ zUuViPEBHQFs1e1z3KV&4e!W41JVYz7Z;~3+T9C(92`f z)!}F_R+(7e<#Jf7Jbk>mFZZ744@Pg+VtS5DJ+(q*HcRz9ji#>?dY&11jgSgKuu{BW zZJvC70%O$jjOWZ=^?|p-Tad<&3%g@Dqg=NOOz&fv+CV}aqx38JcwzCo47IHMt8+ge z7T@Fiu@*Vy%!ErT;n?<5abcxFr*+JY{|2Cb9p2Fb9Jji2G@DEXWDrEWptiDg2Q!amw?%yJ(dZ={wm}J|_-^?w`8&0sP`zCm(ZZ}>t zh_1*t*n!81xV@2IQ&v+en5Y?W(qT)(!4)q2g~!06$m~Ln^wAk`c3Imu8RKe5RYy94Xb2m6PRF>hDijfZWzG#fW-;)$V-U`%G>@4$0cc-KpimnP)XMMCM* zs4Y;=`wdhc%?@gtqviM3x#gSflO4@gr38N1MyA?D^tNyuzK*1!M2-g&@Xbb}TR4tI zk#BC!xn?MHwYn|1NYn9K>iek`7@3ii*Jz!o_^?VY%Rw2#z~0|)4xEnF z%e(wbo>UWH_wVp?@B)~UP66$i6z6{OjH{EU^R?>#|2y1M@^JxEO;eX1!Be>zAI zb;t01a?E>wRsGLWV^fo4d0XFy*~_msE{M?9&qe6Kc{W4F=3Ys9xsYCm5xDW{ zxY`p0Bn)US!mmrO?KulMJfruF6wjtif*x}$Q_TUt8j~`sBrJFGF@-8 zF=4(kfKf9a`G$wTWuomldl&yA2v_cEU~a2Pw&FbU%l$`nJdpOIaX^~?67E1o#o`{> zTNBohH7}ct5Ro+An(@UL64hL7S(VIYU!8JF*VWkwR#2_NIho-KB!*1M2qa%92cCHtQR@6y0 zBlj!ympQ)WCn@ONS`-lpcYDH|E=#XbTm!yv7i2m}yMEr$EF$B=XeDGd(JKcb0>y~@ zG#?X{#Vjd56+?&?cMt7?{Eto#P!!-ofA zF)t8afi|L}Jz$^AuWPoPU!YbF7S=M8Lojl%{(8zEMmSFVrLLCP|Y^?0`?taVUJoEcZ zJO9n$Cb7$G)@j-~`Zqg-d>(YZcmdime_fYiu zY&-rF!R7@_m6|f^q(_8hkOSFl-#u*0xi7R*WJE{jnDG2 z0mC=iTHT(t(bSvEwe`eC`25pxp30dh9 z>XHE{pX(};FSYzP4&?38@98PSOuFpme~-m2aPU#nw&I~Lpyce^sk}1z`h-Ogh65_? zwp=7sd0~5sZw>awMmO|9LT|tkCg|h8i59#MX-g3EU4M|E*qZb#_Z=H;JmYuJ7g7`T z0vqT(GVNVko;kN*quA0bvfnmyw7+cw6)tKrl`P(kwbNS?c|-5U4i8VW&I{p)Wuu zG?1eA*Jxi_eEArH23u?Z3wX(2M_4-PpX)`(AnN{XJ2ilQR?bWAG!Xy9{-^1=&>_d{ z49q2uX&VzyfMb$Va+gFK?o?&%jpN%<3!oUuLm_AOUXHr<3 z{qI;chPqO-><0duE_ZmSj~~7}jq3sVqQ-?gwmc155%vH|Y1i~uNxBZt5w8`olj5e6 z@ki+Uf}7%kqr8#6_da&kfuC2E4&;}OSX~$ZHqw;6jD67E{T#l6PRMp2iEOEomNLQt zL$5}?;R}0TNPL`tMpVNpHR({8&gy%2h!EGZDQmC1F&K3+oQ|5Ee(l@+^9>($rKq1* zqU@h{P#wOn_yi&C!mPsu{PDb8^GJp7UORGObo9Pfh7GloWoiw|#w9@S`E*`Or(VGt zkko=nd+up`q8jUcvYpC^rA}h&?J-5~N2PMO?*8J3>tt{7@J&rtjSM{$yZ6kvD%?3{ zPs%y0*AF!;l1b*XCsN4a8%5bBu{(MfZYQV=Fpl5jynot?@`()0*Bf^b&;Z7RwuB5K zm4}7CWIhTNCP|Y|#I>*eH0UJH)XrEPR3=fV2rQRo*Xw+CA+$%;4&d;O2t62(UQr`) z=57*TQbb&9^s*Q+&n#YV#R(xtp<1sBMC~g~7)KLj)0|W-#A9|wy1+=z@@V9Ae9P|iE~^Disy7xtVUrbrL~yM72K z_L_R>w;-|p33_n`KW$9f`qhujXFz%NDmVFs&Bm1ppY7S+;4$_;VI8Z90Tt=b@F2f! zr9-X^78f9_-E+}OM&nDaw2U6T#v%JQ+tITxx!nF6-8o8yLSVb%3+WxF`=-W&~z>N$4Yg!+VV)3P-TigYlNuK&sxarR(SJT z+_qB2zy*sb*mE@=!y+bplFpJ$$gg_!iHoK?I$3yAq6Z3o7gYK5} zHn441gA~OZqCewg4HnsE2c=HVl<%uQtjYCbi9Boz&$u)|9IyH;VI4d!wS3USt*7-e zOGD0hu2CulBW94A<2;WQ`XA+yN}b*M-C?FNcz=L{!_brB43eYu6<>4$#A1!2EVMG&2s+26OqVfi z??LGcY$d~rC&f)Nty#IsbmTvWToF#5!opw%8#Uu#rOd<>bQFETSCKGSQFOPk-i+dT zV6WZs-@y=fE-tigvA!-x44)1CcB;6}#8f%*O?!XIHXWgQYn^QE#j>fg!sqI3pUj_` zcYQOezwSPcs4KPH^_8rd5}xbva8Qj(3iBqWV7)=vm0B_vmTg~`_7*=DbqoJ;biZQ` zv&^~tM64`AMdPc4K_GKPU(otwp1kmImG!j9tXp?+Ceiz`CQ}2Ll=8h+Sd=MZC(Nwe za<$MesZhAyyCJ$peXd4jd4I}VVSx7=V-H15!uQKec;SICqs{l3cvk`u+OmG#8*7dF z%o?wK9Lw`N+_Q;4%o8>}vJ;+Jaw`N<=qLC5#v37+%>|+5${nWVrM-=%c132*_kv5c zwguO3priS^B;qoYJcIbJYIUlL%*!f+-zn*o>%Xztd|^Dww52VB8{*pt%@Z`z(t!+* zMeD#OKtIgM^Qe zG&7HVSr5v(Md^|*ucm{nazW(@iWCzha*Du+_a#>ZVjrliL_e@m|7J)$co!F`ytK~t zy6Rc?`Y6%z3yNN%r~fj_bVehR9h{ofBL_irjW?}S`Dh-GzFgF7?eLBin5D@eNZ$;Q z7}2FzuEONJ9qaq`l?WNY1fV^49lh;gpZ{;tP8AyS4HX}7`S&Gw(1bstwuORqbK$S0 z&8S>NkU8Ff)}O5a(L(TfX9_-!yP>)o+U9$@>RIG92_QF#={6}S*;2q+gv5@8QXfczzP-@eSiIR25l zOXN)0ghSP1SGY5ltc^ybvhTy3LV8-qS7-Qza!g;V1LqXT>EE z%*1_F{svSz{9a~WZ&hI`Of@RJRQl9)o#>k5j?$4|g6b-sr%X?j!+0!jQ+f;8Me97P zG}ftY21Mekmz}`4ND_ux{Uf04)Ym5;6 z`IE|dBtx>V(-Fl511jjz2#6(A9C6@Tt`~YyZpamk*Y{iLi7as^8I|W9g>~j$HW1f7 zzT{{V|8F_uooF`G^e-SOe|5Fs#c_;Qu+O1I$OK1uB8cH6%j$CB zn1ly0X+;vW2rZtrPlOOh4HvG1G@K4dtoE=~3sL3CN%+il@E#qX+v$9?7QSJXEB`6iJ zSb;`ZKL?lfEu54iVk+M|Tn>085%F3?*???z zdk{OBwb3vmtzULuvrt~!8+61HhG1>Vh;IM*q?1nd#Iwq@rVsq=AlD%mk3XR07Elqp z_5naL@0t#l(YGfww;>n!3FmU8qn27G{j%ReWnfQ9Z-h696Z2IpQbT*x6?R@fjVYgrry z3xE^HCx1oozU~oiK4FQCLD@#TCEN`;m-yRXczq^OhUuuazw6f|iZ=~s2EbQ>Y8GF9 zr%RRFc$V>!u81?*WSK?(EsdHNk|t|$E6qJwSW#iO?8=Kf^y{#Ekxu`q`4sReDqgPF zm29iHQ_1Wu5~s@KidI|nd)&~0fXa5;xMFer(9yi+wyV0MW|6E|{sXnk%*wH7d*gM1 zGCPEx)^>wENVab>fy+)~Q0a{zFu+3vVoM z^j9^{@y=cdJfQL78tD)Ni+emR7mCD#i_*B}$E?H93vlX!+eu;rdszwY4P5M>Tj-GY zfz8vpO*Dc77P|suECadZLx>pr7bPTHtKgoYAwRs1GBqoLJoFcHD~3Fre&FqjDChuE zXq5r!%p8|w9Hz|#aLiV$zIAx6x#$Kx6_54<#>U4OQSQfD+bVHOi!66C5u1RErMt3= zxvPN4ltzt$Ybu)a=If*z$&miVRGwN}T(bv%0dC)A>(oZ)#K;woPwNC>c*5-5tLktG zkW}yyT9xs$AxE_*qW?~_d7x#c+0Zh?sNKP4eLnNxHCsqsp;DpJHTs2vA(Gii$O=gB zb0?Q7q>=Roy3fl2xQ0Q5=Y9I@Q-vI=G&Sc0w-PA5{(DyjXkL!BR0BiKz4ueuc`6AqGin9?oP|x5*DN&&&HuYo#=8|i$YnZ;T^ccr+6X5bM3iVTLw8NYPWpm2BFgnRe zn9AhifaW@qGQ$6P8Y?j5zXMQgjQe_HQjOg!6G_pbYLAH^ZHt=I3UoFqj+rVgb4y+6 zF$<{1kA7Sg27rixciDJH%B$3~F+8jeq(rlp@$-x)-K3$S&zAjetU2-8!6&o)Hmy*A z*axJL(2}L2m0(VB4?>$TvfDMdA@ZCQ={I?Y|G4_6OYMnl-a{$2s=N?&1|tCDrzu5s zb%hxEG7T5G}{?#vkC|Uaq--cBi6N#`dk&fXkPPoQcX6p|d~} z%g^od+^FFkZrKgiXsQpm1)om`96a=pqn@oHtSUok3uRd?BCc(CI^GkM%%;gc?};`F z`&!Cd%{zZ|a@)nNSDf+NtqJ!`Xmdl?Oi~*je&G3D`{RlJ)rmnWEx9Gv~k3 z^}3q^)?3Pi{TFIzs${lLo+nL=7Ojw$83L)QxeP8R|1YZE`mf2bkK0!fP$>~5Bu0pn zZrDf_BxNYw9U|QfMwfJh!03|hZs~^6og*YSx}V+m>l^p;!~THndhNW<&v_p2<2|B8 zr7P;0%nIOC>~Zw`^0v0@Lmh9^c#0GXQgqlG{POMze^xAI_|Hu%{C0I48_>xf3RHWwIRPDQV`XGh}XCE}qZL zQPJ?KUi?m3ZLJTNUlpLZw51L@3K(J|Z4wgRR#tOob;Ofl=JNYm9E|P(wZz z-cmM)Z&A~%@eb4n+!91GGx^9A3fR4N0=}twOmM<8$OAk4qc-msP6PTB>+M$*&a|3X zpW_piK7j4cJdF*KNqMBZQEqdxqYp!0Y)4dwrl@V$_Pr7Q>oYyD_SEo!SJ4*EMRZw@Bm@NoE7TVP6QgjTXN z3=8sOx0*NBCP^`?{Qh#|(N-orOZLGS{!A|{z_w4Kc5^h|d1#g^dj=2(YM9&~=GD2B z8S|G*_H}uYfEPV_z{tjwRH+ab$Z7YEfN`l$3o~k>z=#cXeL*vDZw<-Dmc;PxN2J1U-$!dLEHSe!|D-E&DM=_3y^(Fb`WFVj z-H)TXIsQepP_7a&tV`c(${J%-Brs6n7qmGG1R`g*)B7tF#G;L)ct;Yb?Je)^!Tiut zsuWJS2zmHMu14Kl=mV2p2EC z>s@w%{-oJ-*`Iw=d3%;L=(WKgNru*L(2Z!hXiYqi+jw9A*E;1>X_eD+JyeleXqMdI z0^F{w7Z`iD*pH0Hd^rin7 zYSP8DQ)h^g-SMniucciGHn(%N(RgUUx5Fw6me8~vV$x{NT7A2y05DlP(Qmo)J)ta= z2Hf%-!ZQIr=ZgB$Bh^CW0}8D$I&V1Hh7G^L&~PhnIPHz1se*vVj5u24 z6{yFLjaW%6^+?M*1K{%n)6jfoz99L+cyC)0m%jU~dZvvTF4T;{E^ zQ{7#pELKSPIXxrxmz<^}r67BpvtPd2`1e?b9pn76dUnm--S?*{$O2&+qMbI0t34h^ z=G#~z5RObUrPO-)IpGOeIJXV>bewMjD|k_73sa3f`M8pwcLkstpdyYZ#C(Ib@q~6OH296@yNS0q{ z;fjfM=t7Ztd^8!H4dKr^Aa~$8H?(x9scs8m^^%tZ7%lexwy%vCUR{_HP$tWThPa;+ z3}72UU8EJ}YmyV}Qj6;HL<)@ZY)ngHILwNpRBQ+mO+{#;wKSJ7T9P%tgosYA=2fg$ zfYr;FL3ZmcWh)kdWDs^5ioYis)^12O;(<@s5c&NX4*8T~o}xl!CxDdU3S!a`ww^zQY{d&B$P* z0{Z|FnJ1yhe+OOma>?Lqe=w8bqh9UOv~6!6J3vn^+_v3~z#_%;Td(Fz94B&lblt0w zNSKHn#>nd+UNJf9i?LXGUb0cwf zo*p0}jwktWXV62+FxoaN#}hc(oypubc{B{T_VAz13G7jE!~101%3g zajf?1F)Im|_gj>qVgDu;=3N%rFrvl7&^k>JgdOGtCJu#yAmH=VFyXbN8ard3uW=k< z23#OUTiIuXB*Dfw4>=R3ePMjfXF)SsFtU+b*B-bT=f@g1!$r-NSOTj+KRM2^`(oVL z0)xKi%PI*V;dOXNqdq5XN>l~+Rn>Ho>`TjJ;gT|4xUPkE|H2MZP;CbzYf&iWr==*PHT3kjH6OU3^LTkIOJ@e7QJAO zJQo-U#nX-3SfQ$G^<{XSKb!=Q#;V*>R;Lo9z3ibQ@l(UsJ5tLkv8&DjSb$wx-0Dts z$Mr;S?j00L;J1>-8#E2ghE>|S1=Vf~4+M;s(Sw5m(6$Re!l0g)MJ2v@8>dI?FKu`z z84?XlA4DD;xm}fv-KtvMnP^Pmb9c^tLY@)p{Nbi5CS_AMhH!rQ6axc~?~Tt9YK3Yb_` z-8OC?0hsItVjLg-I`j8JUm~dLs@49QfbS+uG){I+g2ik#8uv+|@ii(Qe7*aEEDHjF z#3~Ek67tKoJeF&Q(5nRk!F^-vM)Zh_y^q@uUATpOynEt*A(1)}cb+v09XSoZw zAvMb;dsreuqIZu<`(8IX%CLJ~2WT%tS1-;Y37R(1xV=a;(e|tDlMQGCY@ei|8CphW z6Pz&U6ngKWc=Pz~7#M!C_Pn9lI)7$#M`00f>tyM|{>mHuyiMx@+I&}hz1k~B-+S7! zP_8hoKtmVlQp8%2rgYbFv*$J1zV|npct#^I;tGsNjbDRHS1}9i%IsRC89Mib}8g07~j*A3i|7Cc}4$@Zg{D9Rv zc;t1xe99M*ny0l!rt?J`kr7$rsm}*_q|XN=_PuiU<%0_C6Bsf%T^wn&1m{E7pAJYq zo}>geX`*U`Tb|K?!FE%ZAQ9T$nxL8Mnu{mG8^3&G^SiP2V8TxrBD@ZE^~yV`_zHN= ze2Id{G^M7~<{U3>0Br*6btO_tUh6Ltd2^ zVl%D{x?fWM@keEG^PNB4odR5>P5e6XM}#^=KWSJx`ZxsE;2xA*K2zlpZO`-v<*+Q} zSNE2!c=o3-UffTg8ywtE&6T}9M~F$5QCdO7r=90}!aVj*zg?>Rm3g-Pm0#}}4rJtW zPvBJ7)a^m_*K0icvF63!fvs*e<;Y7?s68S!rZL{oo=JY2ipl01^PikbnCoe0<$0gx z1WQVUW#vVOu_SmhbOkQizdPI)2(wnE2k;BHehUV9isiNOr_O5ErYj?*FSmUAO2uhR zwdF5vA83Q*cR=OGIfm;;-S5e8KNDqJj4P;h(jKCQ97}t`a~#B!is!C$4MhNH3g5PA zB)8M#;R^3`y~2IX$5(dj(0Lf(vbXOib(^o2+ue`58*ZmPHH5`Bl%nd4a;|ABLz{kN z`9cE1BB?~`M%BC~s@`ayeOugiVRQL$dHy~XtO!}Y&4tx#qMXBfplaK^E1azjuSWD5 zS>0P(S#1EA&tU^=+0Vq?;&Kt=QEZKhvp86*bsKuqKo}NqLY1W=J2}2ayz%OI=N7xW zSi$LQwz`D7k4B%*{imBTh}ggS?O%q|Zr#NuA=zD-9xfkredWpcBG7NMY^qB-%4$3x zGW3E@Hm-5oC`LI;pVvmNFCj+KBWyXDkLxX?^b zeO7fm%o%t{U170=s94d_l9WAcSsQAkPtw2mz9m1XUC~1UJeM@AI)1XF0UsRRrEI~W z$+Q@&xt(SqbRANFlceLZ5EgT4n|gM+NI7yjIXy1!v3_<#*U7R)J%EL(AT}hFgxSu+ z&&I&3aT6jtV%^wO2-|PQG@U)TAn*CzkX1rd2l2X+6k~a^P39`Q)6<~g=V_Od#2&e* z@qRjCCkLf8VyTTvVth(nKaL@VtHYl_0wvRL5X_H?sQP84Pbs{c+zuDa(ZX`=(vAi* z_<-My1SOdHH!yHxMG~f=sW>WbKFpNCfh@-_HHZPrXEwGZw>cgi9JW(ivYws^?A}vq zDQaGqS3z6GKY$QJJzx3X)6|Q-Jq`VR0+8Pxp#}4Q-e<jMa(-WPkZJyqm>X6TSwvu);Z40XIf6YC` z&q;NT{J8QHlhF4NYty{kTu{ilK_A8-`KX&#r3V6qhk!~SmU4590&(4hGp_B!YD7kU z)7@a)MXatD0+$tFW=KI&9MyX=r7QxdB&>uo#bjt!RGeM65uLj1D1!mCfHC5k4rHDQ z;psYY^&Z=~N2Mo~t2nB)Y#~A}7P8q}cfSYMU3h>mre*}ciE&`c zkYgOkIQklnM*12$rI3q_2lkjs7_b1xrx7;ogIut!`bK(!!?qV1aeJ8!7jl6-Ot0L^ z-?L*(%1yT6aIH$n2%DByKms!x;^y05Q}D&13K~Dg{XBKc>-ZO3t3~B%<-@jf8*sbz za=@Kt^P$to-ujL(GU(-l=Jbu`g-vU`gV-(Yb_7+TgGDX$YG3HXHpI&Td9T^hrhRlI zXv_Fegd%-Mc7!kdLzMD~FzYuO)xsgBJvp(_bdR)A*in3wUH*VYi8jVv$8!5jsQ0)ZV?2H#e{zW+1L&uq~g5Av1$S&GA#JtXOVGf7Dx$EvP6essz zc56?v9OqG0&8;_;9?jYr=8}0BzQRx@WEMgw_0q~n$V8i7Jb^~lp>T2tzs`7C5 zg4=l81z~jJ!hJTTadn)MzWI0V2#H3K*n6I^m$8`(SWFNEFYrk$y5x8%c_F@C+y!ke z&MGr+L#G$A|jFf+Pp@W-74cj?k{2V)XioJW0CRxpPAgt!3J?WP1ddQ_MTEp zR!;-l4r?vgsgaaG7?9tizMnL5RzCh_pL~R#TBb#qzMQ;>m>z#o?^LDC*^k=Xlxq*rRYEjSZgLOsi#idJ@VM)Wq5VS;Zi zV$0dDTI6P>beDx((l161kA9n@>O884;n|kolZhDbd!CVtgzJgvwu+pTj6Qd!D{X@K6&k{hJ8wBRcGAZV#(wf1MCo=J{NqxWG2X*v7xPL z`$ELe`iYjfn>y1UzrK?{|M&)#xO$Nk@6ZIuGQl@XA)YwB5iDXm2W4-H#y7$MV*zn{ z1NM#fgEZg^RKD&lhZF2^I)rd%desB1SJZfmHMP5QuJ2JM8KJO=x=OUSj*KvBCo_IF z{dYpLo5dKC2HDziUFSI*zgz`kCNYV zy~w{rD}xn0WX5Z#P;UJrY^YT)<8JjyrQ?E!Opm1qn-6Ynd?>)v`0{?D z`^5eV0c|**0E5x-|4!O$nn#MN~f%!QcRq>3pKHQL7-8SnjU?u38tKELzx^-y{Ra6_yo;dsO~wh?>p zbGpG-nTD|VA5uLjVH6~}DhNli1S9?2jb(qGO0yng^M$(1ZR!5rSYR`e$v&b#2-Gu5 zK4F{11}MkeIl9=v0Tg;C2B8(a7jsLo7}140`=NQ0o>toZ?}hLKifzA9*h}PwA*Vz# z!~d*gdSaL93-`qTC0Swl8$;qjg#BB?DJDyePL-Z_ZkQTPrT>7eS;MkIwU#jlvU1aw zaXt>ya(i_%GB+;M{m0mp##4>qncLBbhx{KiwL0j-5f_u;Yd!?@vswR{Ec<9V5rcH9 zdj;gAYZkcg|0L|)m8b9H=HX%W8`QQ(?Ro$6S8NlrJ>Zqpn-Q;#miBp~6A_-Tlb7TQ z+)`Z~2<$C~B{Ijl@P;AvDKWbkJ|NW&=d`g1sT58a-`wmVWXD@CjKQNRZu1{{HHQnXY>O}+fl#ZH^sdG#jjEFfV12csMC%U| zbsA7vu2GAt4SbfIUhWGxpAaU7$#1ia11!+c!rBo;R0RyW*VzzGs^9*>CxAjF0jl=fj4unRR&@t5X&j5G(V2Lg=hCZ6_9IvKXg4K3{A{jvO+KRZB^=@(a*IR z1ns9*Y4Z~SI8t!JV{8T%!>~!;^hMx+1X>o>j!}Ue2dDDIvfN?J{BGxY3v|rAqBraiNMt1Z&o{9CX zP^Hr)XpYOM)-toS&l<(80MV=~!3r9v?dUWa{?pHGeh=Ag!+;M*5P36R>pH}6kYh<^G}+AyY~!b$9Qw)cc;GL+0bb@ki3q@@ z>gafS9CW4xvHF~j-L`Z*+#PnLA$F$V`Z>R<;!yHb=&o%0iAAheV5J9q{yzXGHvur6<>+IPDc8+%() z2(79=YMsXr#{lgB1=o%Sl64_Ibmwk2|FH+yqE9A}A3HmG7`Os6lmK)DcJmA6wlz#N z#!1uWh2%Ioe z7!2jnJ?&wP=VJ%{_Booz1r?;+2l{;-L;1b@S%Pw3-sSlsbTns*``^jsvMSapX%x_? zEy1N@>b|lUBFdocrk5%4{4j)^rOJ?tr${v z@g>dE4>=A_#XciCC4yws!OM(oF9QYF)4asiFFzhd(|qr2rO6I?5}#p+BcMrHGfWRy7N)^Y5(tSWNH*Q>Vyd(Xh*W|^TF_|W5F}dp1rA8Y z_rlGK-dW!?& znEodw^^YK#Wt1R^?ana2@9(UgXiubS>*@vbU3-nl>#l5q5D^D5X42i?f!BT-U723q z!C^XZxa1E?&MjI(?zd$dPuFSH=3n1trH@UY5)C-sfxA*hBP|>}{U!#ZG%~!#AYotL z@3>=vG)b%6^>}fpSqkoa zJsap2@?T7Az{nXDd52Y?!oS=~=_&en12UNH4}T%>_`f3|?ic|= zyIEb%pa{J;Hb#%OJ_j1b1%C}LgRX1$x%$?B5;nAcq00TfBY4V^zJ_(cIbVt=$k@_* z1pDN-k)jyCv3@7kmcbA;g%qcap%IRj!Z)g?a(#F(f3tEw;dTYvIR3|K2ma>aM9YH3 z{6QYbfLI#v^B5GtiTPHZ<YjNMlyG;o$8PTu713ma&oXYSAt@|I>@wYODFI&H>YjzA35bev{gyb|!$v_*b z22;^JF#?w2PY9U}Fz;2&;<@9%eBo7dm$>Q1lc-Ft{3)bWU70&>lvbwdT|6avi55GJ zg?0*8EzuAwq`yt@4^>Sm#w(UGD?e?cZDS!{48Hq(gvkg#82s1ZI2E#%O==yr4J?gS zwJD4BteP3jnxZsGd4Sg}O7A{s1>3M=431f@_Z_%^z9b$qSPAL^e|ZK2*UJ6haIF}5 zX{|dBZ~)YEf%hiMRL8&pf<)EMMSNA#nqxtdrVCtspBkNyMn5-ZVr{M^;>b-zwB$(LsG0oU;>l7Gq6nel^Sm7_lZKTEFgkaANHs2r zrlEw$B(~7&5QUt&D&$;DALTx5o^fN!px(Cm^&GvkySt$z>Xaf(B<>6v$e^=BRG1a> zx|)>7PZc+v7tLmJoBk*c+nvw~Y}rSd+M8z|NG>$AMVBqjv^43Qi}$C)F`ErJ zF=uB&$Z@Xl{kxt=K`w7~yzK}=?f2utBX;V(?)^Cu4Ezl8^grY{JKR|O1atm+R8bz} zQQm>^*i`VcAzbk=@H4drm#YDaL@MT3-;MR(J~4sUxPA-IlM!@uY`|#*kDyybCk$@9 zR$pOO-7SSrx`Oc_lPyy&1C|*O$GQP4+ntY4L8Wh*5Atj3V4o@Jk1JM_-o<2F5t=WS zRNzNYv)Y7{1G6Ptkh_KVg%;x$JK1voJhzEpLn)2}K042T)UuP~M_1I5smRZap;#ud-7*)XNjD zot4AH11GVUzP6I;4Sv}Q0f={KyL^_wfZT0macx{vJrF#>ye)Oz+aBYm~ZQX_H^e5zyj6{kl&c6M72lllp%(Q$&20P;7= zh`9|nIVt#gA#V7;;`4L@T}@g9C3OE$a)`&*)dk|meSa&q94_}q>050z)9+r7ppFP_ zsPj~EITVZjU(j}69jT>?=29_B~D?X7cPSxzlTW$$7BykvfGOqF9`_O~@R^Oiic=1W-I55K4_I3l6%2yqYQ$_mQCbASfBdyYaJUMu*I?2E~stftF*q>EW;$F4_W~=7_pZ zRsbpGyNXEvu#*nEu=jsEr?#H`f3eK*;~B#H?OHF1L1dxfoBQ zV&-S;0@V`D|Bkc&rix^S|4rovD(2!A$z-CZHd_@{kdJc>-xSKk7pkR^2q@A#%qX{L zIzj*Z6IU$y$p$UI_KV54rfJyiPZ9QW2*bG1;jcM;yL`eYUV)-JzW z!(+h(iK?${+{>E8fraGnMGwKU`pWY^92s^S{^V1T+rNE!A}hp3oW|w+?g7{mE5;dR z%vOhPA2_d@S`nbhfl(3Lz1oxjP6t_ub8Y3u?Vj{)iGf5`U41h@j6CY)sak>ya&@?xdGiVJ@9&@-7MAcKpJ=8NJt|HnEHm)|9$j@F22q?0dEuah z7k4bbC*-C&R9b9|G=cN4vNicRjpQRHq&Nv%F%WBQX;3GF#q4qD299Kfr9_Alp;f>H z?$xU8=X-K$@xHf4km;$poTykiyD>~dA7)F6m$sHF$l2y1S#9bq3s^5aL&nKM82`iE zmAs)BpKqTJ6f}f|WM}vEa*~2cKv#bEX8E#)jv+pUJq8Ef6XRR^X+{yb#h(nxhXuQK z0T3rC*^zv3E0@qya-}mo(sLKO{n}mf% zy+!8Gf`CHuS8I9!k)u@SgaT(%CcP?OVeNuIVeQH+We(-9g-DM5?>_X3CHmhBRO{=N zSu{TvzWKfmzHr_8K%W0Y=HZ$}LiEe+W$vJCjcj~w^1tezd_P@hGDhq!0EJ9bG@jCh zQ=aMt2^TI%X2lX^3XZmKR|XQ`Zzx5@lFwf_I1plr3r%R309nNne^D1Z2Bi4CFiQcl zg991cnt;aAYomP-MbS@%y0cqP6)Kikg3I6;u&aa1wSOyR-~arXWL5lHSXeAa$^mSU zRgHF+Q;mPp%_4h6pPM6D5u;cI!!9@+_=C;>=Kt-^kv$Yv1iM%ls5InN?_VW2YTX1_ z(ae~RITk2YwJP`PeJp%EWB*34&|-8Et}fC^*TdF0otLFsGZTt@L$L`?2S ziiR?Mb0*b{dSUgCP{sS7KPjfYlTtb<6Ji4v58gzHLF00@7&)PxcCwYLRQS- zZ#u}!17l-{DPk_D?+DmG=|6SNb9?C#Nh0q02n#GS2v*LJ8gBz()q67tHZG#yE0jxI zwG%&H)IW%~`E5a1%9T$@H6(9?)(JwZjG{$w@?Y8pA0>l$SN8*Xo;B|EOyBRyuhw+_ z6R>}~6}P81Qa;45@M!c`{xt!e-skc!qetDWLez6cz| zN`q3-#V}qSw&i~?0&N04Thh#XklOEC3g91zld!MTD(OfN=$&`}ju-#4_Wn1}`RA(h zq8RZm*4%q9w@9&he(MxpnQjNv+v=lBH#Jo@y#Oy>fe|L;l<$WQnQcd;5C*wE5cry(VWI>^Hn28kNL8Z%apJ$2Y z6rK_8rvwXLC2M;uuRRwjy(rrA2+}}?#7DyznJE&s*uQJYzEK&Pyx|*+i+@X){~@w* z?+*9mP1FF`LH5irH^(kTU~>wJI~0x1?N33X@)u;L{(i}|&-7KSv1jZ=B+C*p=?ecj zP|C4nY^eGM?$1z97PT>N5Vt#H??(7y%SmH*Bi>?%O3NcF+@w7*|0u$?zo=(0E(%(U zlA_R!4M+`cjp!&PE8IOEG`3rUcSfux4r&DV@jozD6y24h>VpH8M+nURA>^%tB`kE z9_OODVn($b^6&Pkhp!)1yfXMWkf6>^6&#oE+juzCy6Qr(SmRMrl7mkJ%Ml#mYWiaH~B^2NAs87Dr_4 ziKi4q;Vi4vWe$${=~Jc<&f*B|@cqlbW)%;@4}1(7!0ZpmhM?4`kfg%FC=!Qv<>63# zw}dO6S%Batl2k~lG7iiETW=8_v1epN!vp+&%6~%JI`sZ*>k`-0PoXckfzjbB zf^)l?fS~$7UF;*yacrBrXQ!C$OI*2D%1*#}!cfyM8>9E#-;d*j+tmhgnrT&IIc{lP zwj%VIT%SA)m33&B$H_a+YCi(X+opSdqM9a)iUmtHUh&#Ly`Z(CJPsJPd1guKE&sdQ z1yzq&Ud`V6)Mk7_`y?Xu*yxT7+H|=}oO3X2x^6A3BqquVs zLLk(0>xA2?mzSdYM^qfFAm?34w6Z<#FrCd;sQ9a8IZV3)bGKTIMY0Y9)4$xP8$hF; zlRKZ5MWKeu3N-&H8D83Wy8WHjPt|wDzLeSbll8iRE@#^x2*>IZ+AM!ybS+-b7$8)skmch?_chw zf1FGvbZPzE0G~NF3I{izjic+2g`QGh-$tEkk1HL*I`ycS(j=@~ZdCi- zr1<+2b|IJx)`9mL+$J%s6xNtp)%e4Dag1V-S>tfh3=FFTB{2?K)yXAj@qEa=D9vdQ zpD>-+X1`CJ;}gxfsQf9)zQk51o8Xmyva_Y-DRZbH81CXO$x(v*BC7FD&UCm}+RNP zKY5au5>G!#W{=|esZ*@83idOevM@!mhzEwDkMNT=dlt9{*(eU9!YFw4JQCheutX-= zK!9~Cwk^i>D7St)JxIZ1acp&RgIZ%7ko!2NFC&|Ni65Q-bPtR5Wn`A=NwJPOeQ1PW zzVFpBbfi$&Se1s`c!>33+TL0bBjY3OPh$&Rj1>u8jK3o6&pYeKR~VpF+nDGl%ChcT zNV_`oE+&Y3bp~J~1Jg6C+kUz4a3<`ZOH``2)S-+PxNFb7TX}UAo4&!O3{2m*DZ#t* zzmDpedaet_{dHl34ClXC@hKL_O|%qPexGD5$6;=t7xzvjMtUHDDYxS?uyTFu#X?Q2 z&R-36L!XF9_Wky-l!&#)1RXmI+AhF?Yjw** z1AX|)-OKf8(PLT2S))rjB=!9A(O=w0H^(7#dJc>&7%QVJ;td3tRAriANl_&?%__>C zRWx?C^ThGF8}{9*?LhaZqih8#NWe({D@JyTO;jX{*)=G%TnWJi z`gW&j?~1k#f&Q zQQD@uvCMompq7Z!vJwrUY>RCTsqlMaal^Fb46l$Y6j}OyIN({AGgDu;gtqD5pP?%n zb&%}-rDZ*8X_7l(@t%5nF&E?>d7dfMVbVPy0g}_9TK=?JDl#ERj=gT@bb#FSx9rgS zg;FYSSvtyqGxR5*`vnyBuF_wr%V^cxDZJxO^E>k0`0f=;+FBfG(X^-jVNjmp-NKn* z-qp;KeNnAb%-@+=SCCX96+$SpE|s(LXtOnHobmUsOzeEK^4Wo1+R7!(a^=-%uWj^+UP_cXkcj%$sLK2 z8WMM7Zq*#{^qS-fwbXuzy2;PA%4~yrtya{($~Rk+PErZJC~D{l9d{{&`nxboZ{jta zEB)nqzRf_T(kgmWbE+}bD-{2#bEzpFQ|E9FacFrFuzd4ulqz5^_~Bj0!qlPOfd=SK zb8#i5_aUM5{Y=r4nmxGz2*mCuEX{o0lncjr_{`HA6FsoC>rU69(2tBzqW1g8eY%za%}|M zcE~W6|K?MN%p#wf!x@Hx0XpKa{KyWrsWsS9m)+y9SPShAoj=!OfzX>qJdXtFe6UA} z8r2Bdk)CD#`?W{jC*HfxoD{mj3N5^P#@?}bBY2iEL9DhPYZ|>X{UjZ!m|-aYPRm_9v-gY28w4ozJaqx|&NQt>GZiKz-|(Q*hu=PHq~>$+TMej=z~(oyBWQvvbbk*UVi%BL9>q@ zJ)Fu&d{U-@yq9wh-z=JzUKuVySj<47&RvQP`CWdQ+hZIPlC){W0`R|x_w@1?Dfag@ z+Yz2>@Rg34qyFA#B+1(SLtJsGsLjmv5yN$BuEOlI9ruINWcLd%RBLvbbpCa`O|)eo ziFHb6aKh}}tne!>8Y)IUwvjjx)ho~v5f%5+`nDPJ5)|ME8iB!ipF>co2uKeS`Y8Wv zS%IhcXayb7iSeoBkJ2uOQ&z$0vO$jW$z2P^W4Gghj=)c=AXn>r#TZ;Se2V(Z0W9u} zVvKw`g#c&7c?XwRl3!r*R)bRx)R8*SB!4tmNGpKyXRv%tT4!jbGsOSr>z@yy5t(cz@Fa*4) zrX$Rl^&-B^3m0d#0>q`GhE}dAQM4 z**~|M@}T%?oV}XppzHZ+m|Us3TX;AL`HFY$jo1Rm=vSzuVzuBI`(51s6_vRAkK!TOFPK{uGIrm6*?n_X;fLv3UvAGdXd*{YuiwuifP12eRX2Z-+gknBo*0 zF_JO~Sj$7`<+Z?74uruRkr&T9qswAm>Q~w%sB=VulHNw+Z*559P6T_37kGsO(BtmW zmo9bx(tw^7<-;!sUBAtsi$S!-=v691Yg#b!U8 zNln*9?!aDe=g2POi3(2AXf7->;3v*@r`=GB&g$d!HM?LGhSWHktP)1vCU~u^f2)2B zN-2@LpC#{S8pohTJub?WJmAVqNv#iSm?ps0Q}L+Jc27_lQKF}3FYUBp>vKGgUq2E_ z(&u~8uE)CMz~IXcZA&Y8Sa)dZhqhJl6YPzXlx8Z0yt2+qTp?+)5lRWLJ`3ixbaHe| ztFD&_H19m&g*W<|ysS^=jt{ER?$8{76md&iH0$Pr;0RlOXk|_egUxqn@d@W{(>)$V zHo1^yg|oMzbxMIyj&Y}|{lswH+Yw!?5#3mA1Tzs~-5TAS-=$u9+4ad?1|_;mJ1c9= z8bX)CzlSalPRJUlqmnotR$cqfSbhmp(M3vleD!Jqb%>sO`P7s~G8Ts3lx(^>qx@ih z1#We`S`Y-+H*VA<1^bHc$DJpf|Em8Yf}h3oc`O|zW>N;uNx>QPXt?}nPO+MP*uP-I z#9w$f9W{HVV2?KaU-Exi6Gb(Q)~BEZBx^thMw1=0)L8iIM#m)h(OXac=Ky`>&A!os z*t`EaDVCaCSl?&&mc4jf>g8lIu^! zmX%4%%FU4|U>Rk|y;Jx;7h{qO3q~teVyL#do)&aj?*o!z@e}zdSX?-4ht^XJNpV+^ zM4At&z%eF_aRY4gLahF-`8omc<+$*>XaU* z0EL8M*Uq%Yo*R&|mH&cI%TLqYwIub)=T>-f*RC{OCxmL}tt*hTl8Y#UoZR7DeYSJ@ zk8NvJEMICxZV{j@m6*kZg=jLKgq`!_lBZ=8YCt$a>GPq-oi5LhYTn5~m(~ql-09%p z(On%&@}7SBA(L>ae}P<#ChH&n3NRup=G}FhdX0ar6;cHK#p8Y!skg%OXn2A#5*+rI z2o)FVUw>%f`v()5x2!$*2+&0RteDEV&iEJ8BJUjAQKGQSTq0GI zpn|K~AL7T7(|t;6@6ekfUs@;Z8v2?^OMM`bl>;fO7KK0#%I&_8eRC$DwtV&ZOO9h2 zOi@tpF_TVneVi&)?RGq~Zm`mC&{eKuX|tlBKn}_){FhW3_6sO9Mkgd(ar{=HtS}e!@Lh~Uy$&NDahZ5g%4TrsCzvaEzTTY`eD?Wnn`B{W(`!V zCFfKrRP|I3S#e5yJ(!>)yL93`RrMMfH-?V8kVOvm`61=xz*Z^EAyO_slUzty*`Tk9 zWIyP2F)1nU$+rB^+r#T){rE`(4R@oAbd!I*@?dqB?XTv7IU$D;VxN3EeCb7|oHQO8 zWg?@Oz%DvN%W)e%q%B$~vmlq3&yvy<+QE zMkb|F-^~(TOp}MQu$!b4i+YIolOz5kVL{=`8m@!0ja){k8GL{GiL&HW9RWuxS*gJEtN`sC5M&!4=1IYJF%%;SnRZxbM!(ia#s-dFgaY88L#r?`DbQoJ@)X=lDd;AM~ADz zm@P$+)M!^|dG#aoRDOpA zyfS${-I`o0soAe0ni$8HyRo78<}6jUWuyExbAIE>Y3uq2x2M`z8&nDQ*nnSH(^>hi zcDstALSq%qWQi5Z)LVB%Pv38r*ZekQgJwtKXUF|Lv-t+ZVRB8cZySr3-2tUF)URFn zuK-&Iw|_p3d}%kn%P8k|_DNM+?y~;T2v~I0$GwZKY~xM&O!)V)wh;40j_ln*QdEyX zfCE|74VsjPwM9tpIa5?!c^u-#!~q%29ntFzmtAyed)>m*RAbg@nwm2KzjLNH=?(rr zY`t|@(|`Q;t0JO;prCZ8bO~&vh%^iV>28tk1_h-~B@9}gPv2%@YIiPu>8X}VMkT^{hp$(a-E&j0iP26q}a?|0SIms-_hkl;SGDSHuQ-k`^ZFo2v7TT{zUAWYOa~|E%5H+9_t@6# zryH>K1s&}AnG}9rsLT+FQ{DrGf(Rhp2QRi00T9R*@9a_sB|0PG_%wcIta#g}RndTD zCjL$Cvs?55DI~XQ=Xo17ikmD$^;Kn+jqGkU zZQItKZ?g4MNM`SbF6|0E^T*StbL7@u(P{-+jKtt^h-YB@7XJdepTOS27Z#H)iMcz- zH+NxTG@Jq}3f@ECvkIu`H92HLO0sV^rJ>%$=R?5ZsL^3Mx@8RD32|t|D)W6J&WVcH znWEslUzdiAdhMSvAi>8=>-hAsq^v2X#zeET5f& zj|UW<18hUT$8vw7*i0=Y8`YiOs>v5(c(|}F7ua4mkt>qFXIWl-d04199~G#iDk=Km z?MTgw?8{TL>6OY9G$jZ0*GrqKsLrk+LMN67n?;2dDbMl@Lgbub1WtNC74HvV{in_H zl(Gy3g(}wVSq>H)A3Q7?Z%<@Hh>JNF=AL~6Z8Kla+AR=K-c&O^v+v6#wyY2E$IK` zjka7L|0D(l6zf<0KHZlR)A(P&z?o60yI>sA@T5h{A?|K+!qfn{aO@W4SDxk5 zu1?e1tE3j2d{Z_F1^<)0iTyX}@^bY??=oVG@lG<#E>Gq*b#n%Wc=4ME_PIo|i(x~| zc^zDkqnMwVxyj2~lveaLLcC3~M4Cr%>a(Ap=r|xgM9&OehxLw3giKR3Y90!!vlVq( z=~mQ{%p}!u&5$R&N%Z&1PZm1MRz^MIEi_zWM|+lqj~9XP>@SeoCq>@cyksLLyXeM+}T6M$DM01)m#OMjyJ*b#xve`G|V_q zjeEA_UM;@YkMG*4zXAaB1_5q09lnItLNLKRmr_pN7|&FUDwa1CgXeU6nSq|Ca>$ z{k##7qwSy0cP1&Ub(P=mKVr!1@|kDNY>O5Tz=>=ODottb+s);euWv@33o`6K^zbv& zzqfg_Ce<|>dl7xb!>ZUsvYbqwvr*86ql!}rF;*tv!`YK!hlNx&bV*cVD1+Lw>(bAk z(FBMSI|?j)Jv=!JpTOm-BO^d={-iu?eEu~%9(m5P{J*tFdAHwrGK)WzqWVWU5zz)B zS|;#m_?<$H#e7H~>~o!-$p;a*gBSZ=&5SujdDh)}pSk-lX?}ofEB-kwps)J{>69%m z=eZ0WEtt$|8NiGEC>gD346VWk-0uyo?SHi&q=NXeg1m^qeg<|*t_`3kFL_UI$>tTJ zCCbv^xOkJ($4w2KPi$&e$_ikli>g~@(NKypJOew0DU6>R^x*MAz*-ZV>R`VU+i~z< zwvgAn`&`S3$K3I+{x?1Iw^#C}X4hXm_XSl9W#;t_b&I*mxb#HwjKbzL#F9i|-4nw` z%C&uYX)uJZDKbr3rSMoC(+e(reoeAn)5XQ#(6Fw?KLj>@9CX#-ye?ooKgqr zxj5#_ekLj_IP!L(;SbAbnTai!1E#u(90hDO=BnFW?*`F@crdgmxCrEJ$+0k`{TGw^ zA5$LLHQebga#at*@CuYK%^PrWn6@#PG1&E;&<3^M19hB(zLwu{ksr+9PnqIQAK(IK z5oQczlV$XKbW^AfCdJrvq_PQxR7sodNSVvzMj~mxv8^u@P>7$M^^E_+?hh zTFu{Y5fKIzs0;t;t^|dw;8c|M0O+sS6~J=rrXX5%s!M_@*^Y9t8bhn_gL0lAmv{K< zeL3l23M)+_MnOQ&pXVGz!ndA}z{g*!SPD0Ogc)oR3>gs11;tvr{iSWTC?X5S!R~8pA^Dm!(@r11m8~jm6@Em5YY+>^~~0x8hX>o@fLAa z?l<(VMQ?%y->~wlM7o!pP9wousZc3eoD1?aQ%SV(n{dxrxfCBNtjvso9 z>3azshWle13Q>cW1!F$x3yXljh zBjw?LW>ZkSmpU6OY(%PzO*~SH>g2kK0U+0w#pczof||~E3Nfjh(BqDG26Y};|2$)o zj0l&wln=+CyDPl41e588EDp-?e83^jll1BO{uI3Y)Z&w({@$r<>+an+XyAs5LHf@c z|1=(>G{(VA{zMzA<#d}HgpI+zl7XmS6NF&8G~LEw#@B6YB*VsvqaS)-&c@(~30kUA z%Ckq|cvxpyHgD9vt3b9}e!sXF2SXiqi44ePR3?bhZCr>?%Tr~g zk&4nEe1U85NR1}x9z7_*uFy@|L_eKFzJVUZtIPMLGY#&Sof7N+@Jo;@r{Zq7B*t zK?{G%3%XQH7ZE0G~VPfWS627jWcA%vnx-;aFbe}t$&Ap?s{(k65k_G z32tQCC1Fy%b4g)yt2q92p#*o?m9op5;n@5mxy`@0<@eL{SD=6KVlK~$f1huC+Z*kx z*-Ak@^x+;vb+TNg9TM_woot39!|eA}XJD$oeaI?RkY#k6qH22o->GQuUj4vdT!(0M zl1U3StU^zZ3O;c@i6^LfWb(MTIGBH~xiHA*{Z_T+J^!WgKlI(44_ojE0j~(92p;_i zW5#v?AG~%(7!yzb&KH>P(_B23H10b#I`JOyEUjAqbPx2)sFPQez0z~rnW3apD~Eoq z4|q@Cq+jD~N2+4kikKBZ4S;xi^s_Q3dC^NY2D(06ZRT`n-ZlWCgd{^1l};4&5T0*u z#?}Ujw;MJ_4X?bd<9{C$6m{UWJbY660HM>1bnrV7gWAzP2wvm)-QMYV+D^Fo$m>nk zi--okwq*pBoAG0yU1CKHiP>s#x*hRj0x5!T9$Rn|w?fa%!6{(*pO!g+djwA&#HX6) zqmJq$rV^kXS_gQ5^j=K83M$@)VVBaXTSE&Nn`qzMcf*^CqS0=ZXP+EcA0 zC9CTx$4GwC!`^>?H|A|gt1VLgH+JFg&DE&*jzoC{vN%sM*;<3SUbjJqtJPGQI@X!- zJC;0oQm~k9!-Et2uKp>h1e@+OYiW|YgQ)7eP)k<-z_i`K(_7M>FFr;6(9UkDQrfLO zjyzoDW24D8iYNPzTX<~4Z?PQHMrxo5WraU}pl&Oah!)~_YWv)%^Q9*j_Ni19=r<7r z@$+Xqq1I*4gokuOys`9}zqC?kAoK2`zynn#SDVlX#b1I?&mQvksh?V_=J}CNmPbm5_0vGZvVLfitIZ$H`9j%p9}%XfLd4-?IBQ6#Wm?3 zyFv3WcobsuM>|1@$#UU+Rgn=N#Vd9H<+&@Tssj^8~(+oI_`FppjP0G_MLB z)5k%k?}LY&hGlgHUx(CRPjAltj5id6`6`M6tf9Xi21Ro3y#OHAJ!3pr5mJ>iId4T6 zdc{wfdeu{v&E%bDGa8=>;e@kkakm3uKyi+YiD2iljiNdjMUcTp-^y%zYb}cRztZX= zHhF|4Ns}0iRFPFwsZ7NbpYx_j3AqXV4yrv$+c8tnWk7+HWjuCcCGW5&$(wC&l6icD z23C05-_S09;Of;$H8Pz@YrF9lj zUekNSxKr2W1qoH_`B0nWRT;A?Nq6j>?s_)iimC3dufyH#4f>#dWxn~^=h^>XBZr?n zxal&57a%-lt@(Apeq;pb^ls=-dp~%_LsKLB6q?OM_(US%pyrC_?bcD=gVpc8_3p*mdI&v zfp-t|WbJL7#E%cjyL%3^1F_4pK>zh`v{INVTB%^;omm~F{1*h+V>w`xMG0+gt$sXc z;##jfrGQ}h0*%qnXPCH5yeT{V+Pl&s7e8Xgr^s<7>3U;wz1OO27~-2(^}%m>8>QCP zTWywpb=A${?uifuiZ5(AO+5noA1Bh@Y_kBHtmtqnV_V zk|P|5;i zNHX}xV8LcD6^us3;-lyOdi{s?>pfD;59Cp-DMc{Qr5zE|r43#sz#5l0tQYcJtdYxv z;|_fZLKbJ5bJ9L#fs!`yf#McTwD|(s@#z^OSm6B&UN-H3%uKOO>b924eKtZPs158MV-GZoU{8lYbK2{KvSIE)*Sc zeg5?mVFro2uijp0sq_spx>VF9qrfBGez2IuOm02UDY{hnTtl&<OS{p)sJ-GQqDG?TU_0es7`zq6feAVV*>PmLV^X@=p86Nz3j{)_kGu|<#B%{341zc-8(Z-5Wz^VFba6Upr7 z&SJjHo<{M~LzT`xkxo$Ur~4mQhcV$L|Chq@xWu60-a@pO{fCEvvwfeUd_+95`xF@rO{7h8Y%7Jpw7nEu4;{QG{E zT49^flT*)=qlq(um)|D!39++ETvZZxI*me6alDkuY9 zjeY>{yN0SI?5(8Ms_iKm`c_|36F5GEjMYc$h1VjVoI5Y=SiJv0T;6tlfZRkcZl2r= z4>O9ESe>;?vE?iuPU98U?eA}tcxD$cB*W-YRFNj9y*X${m=5DGs#Q;V1qjeW>7q8P zNfKZhM?tI^7qlrF-XZKK7ddHd&HS*{VTjGxc&oc_uVptXCAzjRb&CvkVkhA}Fpv=6 zFWR#$=s=>>whsgoW#1;ExV5zUlQLAZ{zT`_`m!cV)5fb*8rb6Ygar3kUCC=z*Cjox9D{XCNM{ z+@p0k#qhmZTGlQb#7yqQYr*GIfxDF(NB@Z{v%}(Y0m|aB(l`Wu2g`JO5{@J?ewP6ParxmoUXAW8rCsRf(9c@k@6-BIC1 z`H7IjUIrS4#bYk1U7Rw!-F4FQ6&i2Xb69vC)b3qhx^!JdH0K9;w12If?u=1uGld*9 zt4x%A2&&hrFglN>y?T#?oY2bzU#>6O7!=M)FSU?7qo)X95=lagoN(0YA5x-c-Fs7VhKHqxPanJlmbT`-P&c5h--f3ww#$G7DQ~ zDnM?@P6ye($ZQQ6%`!8mMGk&RJK*Gd zoP7ti$J^8V2&>xeg8xW-IRM-OrtOnj!Ai#6j3=HVjoI%DHC`pCT7C7NC)Vy*c@A|B#hbD=&nx!3!vvRd; z+|vo6MeOcc7uyphkY5lxb*(-i7Fl)e@9aB^`jX?y^`klB?oGyI87}EE zj+M+MrMz?j3#p_&Ne?Y9SEs{3f2~NO&dH!xCrdaskBxz7K-Nb-1B{Ba&d1>4>)X;6 z7MkAV!#AUmYuETm1sqqbuNC(s7KsJm%BGTZ-w5N zBxy)f9Pk9&zIY&hnqYpGuRck_^NzTm^#OerQ9|JUfER{62^?)UNhq~fNkI$vgDzTL zI1jk!{9YYx5b=?IuWoQ`>dIz!lfN*-awqd(Wneju0S504OD8G14HavJ!1?x$d_)e7 zemqiy4J?2Llb#U-Ex(%4d#$lovv?tcuH<5|-MQk+ z-_>_$Myr@4c2=B)m1zD6`9y!30DoDUL?HCuwjJlbbQ@ZhTlRgJ6%;aELg;3^LRfUw z&Yn`jPd1<9|E*PVh`_b24s#F7_9vhAmrP(#S1;a>P1Z#6c@Gu8KMe#RRf3YEd~%c5 zxZ`5M`K@hCC^HOdK@1VNEjwkYesu}aUw3fe@C=%OCQarzG7cXQCrJsqbDgJs=eGX_ z*yvAy{MOBR(#79?r_~0$h$Au;(}#MEY&sQn1Wx)CswMZuxWuO>N?@jv5bA@R^F~`! zS9bkuHy3NtY3eDW$)a9{N1lVBu&W7}#7O3G#m@Fs4aWgBj*_fLxW#9huAXm_e0f9f z%n$I^l%DZ(dvh)IU}YY+4f>zSw)VG`+SR9K0>^@1NJe>DDjnz<9NZ2subbgcZW$yG z)qV>iHw*X)Us3uZPCJ8$+N0pelmVar)VxuwBXr_fte`6@I-8>bANk;G10=!)c^z)z z?v2C0{fBll!J*ywO!70+zmH8FHrvI#VfZv<3%okC0A5Ym0|Rb3fB|bQIX5UpV8G$x zRFv3Y{^133pTcZlF24)S#1|6cqc9Tesy0%-!emEr_qFNU zNzPBnBNvu#O^$*-0JiPv@-AuM(wm$D=D5}bBoe?WW&(X^zxwRL)Y3>WF<_26=gMenx5f#Gu%~k0}D1<6eF+ z?wxHjzgvJ_8+UTI*idL}x%hH@+LNI>-8d*S*r-aev7aT3p6HZAPehBLG5a=9+a1%W z6?EL`oR_JsGsZZcbwBAubs7dIBtvrWA{Jrl?rtjq=o3A&{`#%pWIBjEJMF8TlRTx2 z3;8An(x|DGnEDXTKHa0UaXx)c8D4!hJCTDqpW3$gy9AlE>TI8YgSB}!Es3`^-yPat z{eaV_b#G0|Z=9X50aZ=f^nf9MoLZfmi!=?KRygcrt`<{@Q+PLSHQj>*a*SyVu7YVB z`Iz!1;3$4E~98)7Zry~6u`cLL{m_L25h`1d$r3F&7hz-rDR{FBm=9xaOd1XZDas5*WvuOmpS|(Wb4XYx8v()0fbR=AN`Y;z-9E&4O9v?rM8|$MC7<19v z)8Aix!4Jaq?E4s)#@{o~C?K!W;?q76_J)Xx5}pd`iTQdvDK6dARlkX)qmnbdG&nhF z(>A+&QUI%CmVu;5^(8AU3Oehrv?Oo|D<&pf%Fk$w3+z=wW?eR(!^RDsN!=I^oD_--!e(qe|6zX=ji=jT1?7d_ZTGYJ0xKr_RL$K z+p>U9bbam3W8vqgx%V$kyNeY|Io)tgXk0cy!T5M5R!49X;rVnpTU&PalsMv*=)Gz( z{+uz3j)p1Ce)Ckov68fgKQVDm&A$PL9MP^Fb6pac*Q1=t@0UN)y{xAb3{-IfdE5e4 z%g@|K;(oM$IFV4=j2gc+l#CPT6?3gvMrWhYcntI5Hex6M-PXSb;djoarwHgbdvn;W zc7$C6ihtwk`|ff>&w3?BNOHHl>&QR=*)S1_U0=C9nxVM|rUn@-(!Du70Tf~oYFb@4 z=NfI98c>d}tR!gIvRi9D+piwI3p?J1~mhKmP66nkKI}CMx(fqF{L|pr_X5lYk2`LUN%10k6C@s@6h*I z1;9K$QX>UP|3r=aK7mu+pU7X<3c+cPMUp~b4G zqO=h?G^-Y!(&GA!NruSjZfesEJ%qHg9{VMqTqz}M`spIn`qsx5jzQ>xRa7Rkg>VgC zuYT6y_3VKmd10G9Y#G$=hTNdmKaRCj)36;xI=$<)W~oeh70*gU$@RpofYoAGO@qWy zpZ^Jsn6DefXFmkHa@WtbTJO`ZN&{0`?p*M5-x3}3}@*)Gy-bjBtIAr zB6t&vSkjdIU{g;2=m75_W+x* zV`Xpb^bb=!$z_D1YF8>%@=rvW&fW~H>6wGg!Tgj^zz)|A(B}IaZO6}2-i<_A)rRC1 zhAev-ylJ&$5T&lfBvT2d7<0^8;dR7(R7r}U-uTJF8433OOchznRcEld(>)9{;!#54 zH=ooD@F1&fU)&Rq%>XFt^3kDOaDXZA;ne!WBZ=Q?GaTXZN#E?NKbCV_A2dCKIw6RA zPQ8Ra!5BH0z%|Abr_{m7rtlKt{DwsHSXMJ5gr#~h-k(X` z#-u3QuU6=VJ^Rfe_mVj{*s|q-4Pnx6`2jo|^_xeaC43Dx(n_+1{+XGqRrZlpN7@(* z8A@x8t5A{WP0807^}KD(*b5PtW|DLJ;BEe#m!r*r3r}(r_hsq>m9`RCD9I|>hc5JT z%>GmZ89$Ij3>1QI4f(8+8g$&k?{9ymbsWu(LhR_|a%2cPU*<5NZ`3EeDzNFJ{Qyj>%)QoSS#^ z!%iIbmYz8uwGi^|g`ON*T%8;cd$cK|>U`Mk$6zHtKZiaeDC$@tDlR6?vN6UFv-)1^ zeU$jbR!s%iDM|P9c{wMGZEWH*htu5UN|Whw&Fgmw1s6;pvb;CL6YAShsOT)!bbok< z*0FQeh!aHZ!jpd%AJ|G7H7Zi%aJE}%3r-MmNPE3Myr|E-KXD^7R4oF-FtbD2b{O@`9*5^nk@$)X~S=4c;fbM)MRF;e=A4<-BS*Wqg=#uk zPTJNE?rjvoPMczYpy=mP?>o`SF;>o=SdN9HUewY|4`9z6tnItFqVj9C=DFgfA-?lL zhM`0Hzu;t^RmO0Kf znAC0Sd!w%h(A!@WH;OW|S$8~?H}YnVs{DwpY$ujluFOfBlvXT2{0eA^>P z7yh$di&1U>f5nxnNnR})c4*dpajI5Z97sV-%nSSB>}6(0AQ4@6%fB6;nC?Y6Kl3&L z=Wf74C4rQFWq@oqWw(%Pe)>bp$C4PKkBg;x?l*X;C(GxQ+vQ3BBfX#zEyOmfB zpIRVNwYh_#;c1)J43=e&<}kdnDJ){D|8W~rO2%@*aAY@a7LfI()0Nyno1=`7W?ew$ zQACmmMrb6n#S0pj?N|<4N~%x6FLb&$UDm<~% znBiA_cE2)J`eB~?_!|$MG^zO1KpGN~{y|VDJH3bY_-hxyzj1O$#~PMOrjG5h0=7OH zU<=Si7pxSY>Udmm&`Fte5!yu1Cpc$1jH|@@q&8k{!M5!SHr76qsP3{BOG&mWMqNB@ z#0Ir?IN%o!Uh?763hr}l!Oa$bjY9r2L-ANTrHjn64eF^Z#=aW&9}@C&Mhf|Ppxv`- zeZi$B8&Y<>Vz>j)|6c9v`Jy|%;*F7U7Ocro{EsYP``eC2mc>py76(wK)z}XbXy6x{ zdU@|7i+Au5#WIfix*jX?KL#H*tvqpXwS9xf=1jOfpoY?+b0eG0U~2Ma;~OpP1{6L2 zQ_NazQOR#_SXSs7qsQ8YL#4U=n%wn^N_bKr%C8i-Kq0kW-@MXPusqSeuoI+sJuHky z9EmllUMDh<%6u;Tm!L~6_|E?F6?OR=$IO2ubX+JDYWZkQrEq7wdoax1G)Qipfao-j z;DpgE5_dvVx>l{=OrUPpiQo==#M#1Ec5}sddy>oAR+6rYVYz8B@#};x@3W!kbes>& z(3i1sCzpo{8tZ1kQi3FOro_l%KiTfCT^gDax8+lGL0g5-)TKWhy??ZY35|{1VPsj5 zoE#fikWEA*IeW<vr@$0j(z~4ebZ-c##-;r}=}K`m|B+HqZ|!$! z!a95?W4`R9yp5=L&A$Yyje$e;jhMRMH;K=Lew)08pMhmm((`UNF3QXq3XaBggKC0` z3BA6UT^147h=mx1{Dfb5Met);-AoVG&n$L@4EH)k_&^F5)T{{cv(!!}@qR0~PO?NE z$gcVG(llqm$CwgZ@h>ESEi*j6ZVz~||Aj`+g9{iM65KvS*wgJxqcDGZLth`s5SE`; z{ZS_M_-kF2Am3gQaydUEsTaBf{!^{^=2|`UZ1Zt^Do2~NMe{!5;geQry|c(R#TJbg zy%t3}h)>N$+|^C`8R1gj=ueWs5)^=CkU$QaHZSwB2FT`P^P9QOHlfJ1n zLd+MPrGOu%=<(wCb?Qlj)EHBR87dd)1X*lZ(@!x8`>btk#9ZI>2Lo*OUWY8{-H%;$ zw4Wv_@^W(NSWEC#aG0M~!g3I9u@k&@c2MDqLAST8<@xc#AMGCH9xY7o-0Z$RJL zUdi404|lv(SXY40G#IwqWvgBb^S0FRZ&QB5YKhIq*2%H=FU-H)Q<9>ScO<-_iQNUe zAMaV}$6O~qfie^CQ0+CiJrN>a-#TK$$(Rp51q~(DiP^kM?ey&zkp4i?*N&L074fP^ zrA+6(>Hi8tC6n>KuSZNJ?@)dNXL(bG z800!G4qf^kM|avb3^e>edClOPIJG<@jyTkCazMEEZf!q16m(W}Io1@Ad{2Gy-}>eM ze!4?k{!ib2wl$#M^I%>Fmv3gooNQ(MmXA@HgWBK-AnkN%{9Bq)<2GzQRYLA|okH$< zzl4%2e5p8fHen}9_IKJJe|h2;fBc)A%BN=tmCWoRy1VQ}^=~U$Zz*qgmh<(=DgJ3>-++z zO0<0YXQR@9?L;Y7(hb{v%zzk3)Z8P>t6~ffrqtJ^$Nj+k!}rJwG;pKesAq4<4;ck8 zAlIBS@>jvs098iQrNv8e&W8m`=&@_p!j2co?t;2+2#CkE$AT+R zta{X_Dg6jC)G7FouHCK0hxrv+r%gb**W&(MmRfDFWM8AzprX+bfUNridJVYePU-KC z##ew+LM;~|glR%kihmOIRK z_QLRZR|o~ZJqv#op{Mly@~P=^5-lgNER0O1h8MsI8E9bF<;xzgV*eaI2s8SRB{Q|A zv$3{JqI&xovw__!zlhZl=G4(;4#ED|^m0NtuqhtUYPGy`E7osH{>YdO`cQ5H&_9AEP`Mh~EPEV0sfI^0b%px4vmn zYzE1On^!v%j7!H5M$YBV<|I(Th`Tyn#7G9?t_#0kW?%W;obovX8n04QVgf_$Z?=-w zzrj!y7hC7$|F{hoQwrkE-g&*ZmH%if$>EYAbK$E%i(KE0%elVVI1Tn|HB&gE=r?+Q z$oD}7$_RD~hO#VKC1+Nh>}Y#XFm*(iCfQ~sI_rkA+bGw3(_v7r>HE&00a zrYI1DD^)d2i-gE(i0V0%yhl&C=fsAgmRxqt{-)|(B>TrrlDO}=j2lU-^b6&qKdAX} zR}Jv(dGkiIMl=E0{lGw0-rcf>tUaLb2zvjejEks2#tk5c))>?|S34x_;`5O_|=ZRbX``ZTQl35RYWq}m?6SolY^*u6^Mhd1FsVj7ju zmeb_)cgJU1ZJ6mkEuP|N#B*Y@?RPytu`IjU9#4ql0}BK>01&Y>3ffcG&kvj3D2H$@ z#;to%hvB1X+tk5f-#=Ui@e&XO;6geXR)Hkxzq*$^V&ESn-=D$GwAv#_rQ?tc7blz5~%2qtuIEPTC4$?%XQ@Gh$;f;t-D%tm`_X_ z`s|)hhu2##j5%#yCv-oajVA*;)qNSFY6iTEkM5>2>;JTJWc-uCD9G*FJXZ1UAp^$9 zjdHH)mVurWsHOYifriL4G^9dU^!jkoC)hCwCpgQ{6*jtQVqx=DS@=xDBGLOe)`~KJ zw%C?>tCv_zrI<)uh% zX9$7cp{M7Ed^b4Q%Z()|z*c+=&LQdKn_~_%9?O0XPoip~#ce)-SsNEN3X6v^Ox}|ugfT`%j_K$vz&6Q#^gWv|^ zmQXaWV!OepN~U|rvk4g1nPG-6!5t}=Ml%1glcaZ1{y`h3?{ zH|n7D8ot{KRBzf=d;I|~am$q(txUNFz&$9mK~&*2?*=R_BihE3)~M@+1^z9}AakD}PTRxFtvNHEi2CdykZWuKShbyP4kv z1Nl{w{&c^Mhw*OUw0LT^DU6%ib;>XN0BS7!)@}E(BhP z5J;ubfo_MCvn19}Rg7`YrH6{0+O9aa%XCYUAk?nylYZHm72lz#*q2ME6OSMT+I1}k z*IDZ@o@)_;UW3_hRk%Tl^_Vb1wU?vx)hysq&aYcpEvgPekN3TT=B2|ENhBwx^C`Z@ zI>D!UmBc)(uH&phJ?mJS7qiCGKIdR#TI+-$uc37UIc7x_T*oAZVIxWK5sfzO&F&wD z2|^UjI@=Y^T*aZ%z$2N{7sGfEEz!TO2+I0KP3We0{7yL{vw;A-e`3QH(6*l}83hX` z<7D{oJO6{(-N(UfhIRw@=^;)VSb9}$(bMSSqWa%BvRKk0*1eEkV#$i?i&q{!h#9T1T;dU%Rw3;Ywhlt>#z! z2kh&Cmy*W=_dO2HX9}+*R$NBta5*`(z`L_6Cky|JsHHk>=L_%>TYz2eH>kOmP;p_q zSeW%-rVe!FhcdKikkP=Utp{Rs=E8O%*6yOtZ!odKZXo=6>91QO!4hdh{+jm@Y-N#y zcP5sK%>idaIV-27-)ImPTq;hLYPKH!p#!xYST|WZS`gv&`#|nz2iuook={`{Lbqxk zHNM$NQ_SG|Sh4aaMrxwnWy1dh$a@2*2!MZu3OUfOQ=4(g^^sjukp$l!C%@K+lfyc; zYnlbyogfHKCa#Xlmpq8k#aV_!Jz^+{8BCulQQmGURH(+3<$Rf8tx50PzN0XZ zc*x$!?L%KYjn>%#*yR&RvI^~|DqZ(6OVtJP#|5;qd{_NAT_dIx{&Ik~40SZWgvv+7 zKfhzb5O8W4jY8gejRl4}4F_d}OJWuV&d0O*ursuxQPFfVW5PI!!QG_m&X($? zQp*ui+Gx@yDd)Sh^sVK?-hjTVf8_@)K0L=z7RDnw!fDD$)UO%xPx-2wL9cD^r{kO8 zFk#t-&Yb=+)&uGCP`lBKYw{_)?Gl`jy#Bo2bnFHc;p(M-Z?0N^F4XzHk#9WTL#t6u zkcPMvqTf|t3&`?VEGGam6(vN0iEPDcBXS305GwEa)a_|)z@V7wj7 zwdK)ZlN=%`0TFYxT88-Qz8WTJLMtZywoj{%=PNdJ3B*Ao>L6YCb#(y!k@gJJE_c^< zR;LzTy?Wq8C8R>07m?AUa{^CgYAw`A(Q;NzQFuzu%MT~Yc?ukbDxuR!`x#b?tGaZ>`K8UZvX!Le?7++B>xBaydS#wQx;D-PqNXjpBjvd<-#Ejsjj13`R~W; zK-^_^_30#S*tdMQfn%U_p)k)_gg|D+5fzV+#zKgD`<-pT+N2M}u`L4cJ5>bfohCfe ztslmOK4^4tYK;WgzKufVc|7i3oe&eZ4(`W}VPdwN=r6lsDfUm7CzgE0Qp&wTiRpxqTXSm!@mD~Coh+LkPq)OmCPM>-ccmH*K^uySA!SY&w zBnN%FG9)C0WN#fXk}N|^yZI?Hi4)UJfMV*Sx#n7-eCgp%u2491pjbv@6VD1#U@9kf~SIzJeT zMPu?Jr8Qf-e8^5e39Q}=?FRY}crrh_`|*h23ptt5?346=o&BxW`N{2*?QJ9Y!X3mA zE7#C&@-C_RmNBR2JAt{__TMte2{Bgz>sOiIQ}Bu70%VpQDF4+PdtAC=jVo4VnF9;k zutZzIqV@nc?6+%A6o&_(!(z;n5B=COkrZO+=6@n)*DkpJ@4d(7Jsfr>IoutG_Wm%( z_@zZg`lf0waW?$Htp}n9wNvSzztsU32jV0%X}JElE`bfAUihs0K7}ID1LC`I?PJpT z1?qek$3Pc}Ym7xVfR;1njAyeajAFb|C}-$TKJ4C5&x;*gCXt35acmD!*Jg<5el9c- zuqx{P=5Ugi;yeVp;yRmSR9Wy#!vPa}LAm!(Y?IOP@>7_kAnJ+o5?Ad-8B;O~8^p_awPrzO9au5m{a3FEDPSi6aP+2WEI6#xeTs z+rCv_d&&-vb^J33i_ei>|1npjLHzAodWE}`%lndCOZ7Ez@;JV};?UX9jzF^H8(t6j z&De*Y{Nl6g4VOrgNA@&d9UQ-f<+7n|oo(X(++VD_+;+HGs5C^8(c)`#>Kso=C48G& z72YFy-hS{=<*u>k87%yC@j~!1fyQPGfOq|)ebDzdc|X44smMS)zvgoyDMfOaaD3`) z7AlvPs!|ruTkx0kzuE87tBCVj-ili3flY!=S8T+DZA5tW-yX0GcLm@dI+reoBpd-r zP|X0Fu%0+%wLT-sOi*wyEOZi)$uX;n^FUCxjYWV^g?X!-#93Ks9pf}ZkhFQO zyMt>0z)y?Zjk$G2^S_&DL@K*Fwclpn-o>E%)Lg>TZL?Ol1)ZXslmoT_#9uQAYmo zoKgCLv`-yZ`B5{Y@?$TsX&N%Rf;A>6v)2NnBUct#;+Hgd?X`AqQaPob_MlFb%i!AE zHx9`Gsf)M|a<+K>W1&L^L(T>T(U?`Ljiix{HMH{D)+^-1RQFWeRnqP|gY^2M2s5-t zAT_+Rol_N|-O zBBB$W(V|R*!Kl%qr|3jyB1Z4M#werr(MP-e@3Nj}t^M-6IcM#&_f@{v_Y;`f#mARk zvYuwblhOx?)Zt0dyUPdVS{6;H_g<^@#BI4Du)}Q}eD^|C9nvgTUm70XUIegagGaw8 zd7>{i_O61#HHpgmtNM7B3H*LLsXne zT3PbIXJU>D*s))|qiLLmzo%egXbS)>HLl7ot#k@!2E60x_w{#dDy=`lDj<{F;7JmnS%Qt+MCFCxqyf zxrx;bnP(Y}d(!$hI4yNUnq<588(0xQ87+8kgoXK7(H|Swj#cniz=@qNBU;9iEXEs~ z9q`@8n61PCOBB2XLi9y1|pLr7fN>ZAzy8D$D^A_G{@^ zhl%@i$6RG~Ooe};<}#g0M2W=Xw8ZW!9U+yzGyqHDV=bv(Ejriwjou{A(Y8bbz2cKq zUi(%)YxH8SM$sO{6m9wSlsk#ThR#oiZ55pwT3gw91~?O_--slDyR z_m-mty7s6QTgKjVHd1mC<`Sjli||z07nb`mRV%8Xn>znfnVTWl(sSJD- zxi3KyDY4@W9)AR)-}qs(uepi36ZT1(=ik}i(~cA5c?mP{bvB=>+sOrO=dF4E?1>R! zFMTQD(#goaOyocm6OdF-{Cm*jx18od7#JX3;mH)|LqNrndZ;?GW^@0hKLuN*?L74s4y%I_7-fU^z-S9 z?at_n|EZ<2GHvxnV;ktV+|KLQSX2Q#Avp-c#1*|FO=I}-;`4vywaQxPoSM)~lAK)nnfiQ#2h{1ghIrg~th&}R2PF^* z=C`~t&wEe#<8@u(M9_(cBeT@oCYNjV0B4+m2}Ksy?++9APkU-aW;GTHIx4PhySeP# zyWwkeUNI*mov$TjH9l6aYx%5osiEE;q+FDbeXDi(3l}SSxkdz&ZcOF2Pj~QCfE0O~ zsnBEHFP}vF{1@1O6+1U)TuD2d&kX7r?)12M!L8Aqc2pcfMBMg#E~YO3pCb}G*VTCq zwRNd5yj_QW57}(iS2`|)j~F(bI|b+ej9z}r`^)t;sLZ7CC+1hGPI*~y+1Bxb+j=Uq zMnHN21A|n}K8#f+>S}$a%r9&_=zhLM3;i&AcqaY7U^6$r)+cA4CbT7@%m1n7h$GSk zWc(ucl^xzU?xVQcs@&)JJ!U7UG^@r-VpM`E@q_WB2v6#^LJlcOkK=aY{JPK(Xg=J- zS-6#y0<%*Y*&@Qy@hXSf^3?SaZ*RB6L_3N9)dk(>3d0UPzcAU4-&dYsZI8A2V@2K} z!cvw0{UO(W{g(&TMK=)^aPOxjdm^Jvz3Hrd7Ua5}lxEPW!8sUqLg^lJD_EXF)pwHoMe0ii9oAXUpnAS|r7XgBhV-4_6<|pZw`I z)gWTkNWr^4430bck3ndp7|QuOv4=^@~< z!N=QW7~RM2@7~0jDf?VZ+r7Y&Ri!eaiU4bCp8IiTjvr!e`(K}lmwVjuO+(xry!9Zo zB7bv{+!FzgYeGZD}OjuWqo$ycGH(MmA*x&ZFsFj`r?J+{_U4rnBbe#m&2#^M-ls2CM+pkjzai3b}n#(%*{p*eFP3m@7VbN+t(#mS@VuDw7XzC-om+DlIYSqC&d;XAOhgl==`j#sN zkWl=zb~X0#J4%gM^@S3D*-`y$p^(>)W@SM!@Z+HTXQtBVZf-7&x>NrpR|qu7SQSfY z?(}gt)`yooe`AW_y^zS$w;x25DHCtjnh#boDGFUhva|V42Tvhw%W5g%B`oXL|G8nn zp`h@hgWx~yDCT1)i>i}P3P|kf2povM7?k9I)My<+?CD9xf<@OgvP#Oo+)Zc) zT7pur>T~&eg$3-ED{IK88-6Eup!=J8SL0U@EUpI+e# zn?M94*-y^WC5ZfhGGtR{E7m#pXA4K8it~nAlG(G05}IQrs146CPX>g~L!0{Ey=ga!S=&MrwC86R*R*5|bCE$Oy80(tJnvA3OZPU3BH(iq07gbvovM>y^NrhKrE zv9ZrN6n19&9qyu1$29lJCGuHGCb-h9He-T#oD%6j$6l4}H)&%)@|zB3)OT*?^%>}5 z(BNymCszHhilzGqf4lFj`%H(_p6-3OB=yc_lp!>Dy^|aO(&cHu3URQG3{(43V%UZ31jCcgWvZ3nBeD^1W!0P zY8o0$*1HYtK)lUS8cLGT#muwK$*!j(aCm(FTX*apyD7GnjIo1PTWNkmI@U}DgBkVD zAJe`lw5?ZeW9xc6(Q2NGoE77+Eh$ek(%;g$2$3=Tt;nc-n^aX$;nE+?S%yEF z=F(Z~wY~GfP`i33EkHNK!9NRW9-u*wt&!o+LGmEZjUaV+qj|>vUcEQ25~WWC$Nsfn z><~&BXY-H1_*HaYy%#NMguYp}Vj=1m=Lu)=+9VQJ3y=8D*kdD|>kIvcAz~qC+|qWw z?s?O#BKyiCtSA4wGOoQ}=KI|d`0Ow$#DBzK-XP@R0@5E{ZXIxiy)VE;&p$ch{lu>s52x@#$SsZw{=gwUMzZXmg|7+8Zs_yvS5x0lDdp%#R1l7D zHg6vLyF7=&XXIq{bSqZ)X00rz)RtP^<~c;sS6% zr-@PA)A$)do3dLfTZ&pOI0KkiTbh!^qJw`1QE&kJme6b272ba3OggC=KDp9-E&7%Nt^>G;~}40W(BxRe0=Ya{P*_eijtABv_zkf zkdTd=yGO$U*G)bD(cL{pZ=_i6VTENJ8E=}^Sqs6Yv=8_LMOJJS9*Kb8*^AQIO5$Z} z))^}GTPryBb{-YPE~aARaG_l~-#{)4zoVO-x0@!8fQvyH4}ASCfgQ&jYT8}wM<>!U z%2jo6@a7Vx`={-%?N6!>ORxw=;F@?sPCmDwL|#HHp?G6LNmSvfmXnqoMyby3lAs|6{nu&+r zv@-`^k3Bb*(n>w(7(@O9S>U$^Hxa$(Q z3|5J0KVK()#yCAiJ(QlCalw13#|P#INt6~^i12MIn(DGx7?bx#*shcUb4q*vkP*j{ za@!o~a_#gA=%#yj_vXbG(6VDyIo>&EgmsP6@2qIKW)(JNHql&4pN1n8C17c>FU09m zfu8Q3Kev?`sG%v5`8*;XghER=Ur%P1Q} zo;Z+N2TbR?~qzr+H&(R-?f%!AZ`Q-54zaD-?=|LC6gQZllX{Uia=h& z@Hw~Ab)1#k$bkPNdQk(vSI^&vF0e?`%PXqdJ`sMiawn`NSzMA;sA`YaXpH z>6ND3Na^JxlX_+FA`B->x> z1u&_0q)yEah|B!^Owr3!p^nciG z|D~bA3o%*|>ld-veD9omp{rP&-@DZKUBC(q-It-%Z=(3yQJh@m#M{aq z($to4l`kqYr4o-!>j`)2ojWJK>u=Vt65^a2Kf^TgE8h)(K9SLvKLuS9#0GJ03%|BI zr4J=D5416uhF<)hHk#O$B_bml>`Z9aukty3_KAWn^5w)4bF*YjwUMg@a8PcfZ_U#g5bM1jT zwI7?nQ36{3V_w!p+{Rak!{tsMXW~7MjKnrhXTwI|au9ZU;=eExO41_1tbr_v-Drpj zZ#iisJotiMmsv@rhHplbLg+!9Ts^@!-Dw5&Z8_sQKEu*le>0LN12*KTnS$KI7Vq=? ziFMeZ45n{cR)qbPA%GDt`3C~A&~cC?{~DK%yR)%Qrtk$PcGfMAEIrkz9ZI;@Pw+Sk zm=9#u2X3=(#rT>f4|kGEzf8K2zZ+I2LvfmOIO51`q;PKAiXqx&g&`#t9}H9BO(Rpa z$m@66nfgzMEoCY)i8d9~*LAXchdqz$XY>Fhgz;}cYi#QG3ER0)9a*Q$y7jifc47l+ zkb8!F#&RiNcZKYV@+*AnDj}U{M{~^art)@8Z0Fpu-J{OdhDj&o-J*2LS70C(<*)+0 z3JjPlEMzsPiK`y&j?{JzWL(`~qu6d2^vctpIN~-J?mfUWd!lfusn|C!#3xug9Eu?; zx<%5O4=D5jBO78Oa314tT{WNYb+ob+xMWM$68HDIT}njiJw7YejC)+fYqgl<|45cT zet)Nl-L%_T_14xiXljq-iPrf2w(kuE}j7KK3Pob=iF!qlUTf`_x6Mk7$95UoDtvQj8DPcWN?p5=IpS_h%^07O7kFcV+l0*p@hA8{Zq}k?1ojW?r zWuAE*sm^j~BA}lqUhbqz?;a3*sqr&%#U?PbAQd*UCx*k<26wvghb8|>~~c&t-0d_nTkVuS#@ zn>JoCN`bwJI(XJJqHztXKq=$A7JUhEAXm_z^XE8wDs*Q|k>$yi0$QKOZIc96v@-1< zGV)daMJyU9;T8rF3yj|N1(?$h*h;Rj-*5-lx9d>}gtp~gpC|`KQw|iOSFZ%oTMf@G z05pO!RYP4;DLgH(!`Cs$Q@3?RX6)BJ$yNl0jJ%uW8h(*Fa_6bYj`MC4 zJNl@Qzs=+(XyTUbj_tro!L;8 zcpz*!@F+KIue)w_^Rgh|8xg>(8dwSBs8YNYcqxOB_bATvNQ#;6a$hNj8aiYZ#yRKaRaz!L>4%Xa=6 z&4sUhlOcKRp^u-4IJgOVCg}W#ja;SYs^?9R0EWcHVbDRv(=Hqc+J z%e|=l4!AM{?6zS4t5E5)%0-%4Lm&5mme_T;lh1bDW_^KRrqTu$zr1Z!erRgj9InHR zxk1FB%`Wyh-{2&PD40obXGMjmE^QgAgvkEHM_zyH zgO77SgVz3Q&p`x!^yrQ@mqkfsFOE_SUyroorG=8=NxBcho7V}jk;Q!9Zf9^tNN<^; zY{_U6q&e!y7WgFaBqjnAd4ckXC1-l&eE1GEWEwvsp+U*;>|JLkicQpp!3I$AYPXgk zCR-R#rN6=M({>p7iT$kd5H%+qYtSpRoWXWp!l$(RMVXfGE~lBaib0XG*;m#E&ZM~O z(R&=TY1OmY;YW3xnl?KVnPb<7F7#&;dTqB)ouPR=&x6WI7G#dE`1>WW6G%dduC$B(?O1xb-gz^FyALGO6HCor|mKSYIORX(qirHjNuxWIx#t; z0x|!pPs?30v@y(-51n>FO~XMebjZ(bb9G0`UOsy;U_iP&9gV;;+59 zur2Wvn7sRYLd|BK3?5T{sAb(hdGwwbf8a2ua~ven)@RN(NmTUJ2}N2!iHtce7IHrG zsV?XXbe?Da(azEElY0ziyr_ez z`GRISe6cPaTJsAr9hn2Z_oc?n~TKK7vydt$VKPyzc8X!^5OVMrq>}W zWQ$8=u#UY?^OB7pHelIJ{mek}!-9vt*=vRD5Z|DohKQHBQsj??a)z0JfJ57GsQY^N zBl5!jnoOhyl+;-A8Bqbn%2 z`RuvR9#=nF(w-hUfbLg%hw=F(>%PrlqeC*KM>t+S_kK%}k-be2ezUT=wX=2169E~$ z=q>EoGNtZp{wH+4Wy|9W!)P$Z(Jqw4{mA8+-j@4iwwpP^g(|(VQ8cZ$&7a?LJbtPp zMp7P66~sB@L*2r;&z%1IX-Ua&cQ22Z$0z@)FPZGW;T|U_fhF@t(t3+F|nrGzkTrIhfO~1)l6FreZ}7d91%e&v-T>PHk(dq zU+rJuJCSFN*8-Uwf1~fqjDcT01FMO5;!nGLe!txgKOJhLxboSJIBKhb#)0Od*Ye3` z!Rf%AQLD`ks_}GDmF(Gbo3?@OT25c=8{|F)mU~}PSj)RzHee8ka?=7t54vX~<&DHX zJcRDPq1vLKWvP4Umg|IT(f+!Gc?z9aCK7SA_(S1^dJSEg_4)vZ+S$lv-nc+Nv5R_j zP@j$ae=hs~DpS&u?Y9eOst=oc?zhO|As;!w^#QNWfA~IJR-5||A7-~j$hyvo-EoBh z)jjbgzy{+7j9D1tMIxOG2wqMCsw$JA-ffdjysdi+qo6HVW~Eh#!Gu6nJff%pI-3|( znKc+SnS?I<0G4&wTV<>b#<(s1S}p+czb4xL+j|)#JE4Zi`uO6~V~h=0mh{oOAmhmg z9cN)XF7c#pH8re;S<-bp4558XbR^!(W4dbOQ$n_{5|u{n?ugu;G7{FQwhBh_49 zEvK&7g?%-NuFW*NgbcY^F2ua=K4J=ZaX%Z+DGN;f8k7~_KNeTbNd~Z-$TZUdx-GT@ z>+9&QvQZBr1b^_3*TnUpomr$E6ZgWrrNDk!@fE-i)R+yl0;3RyhdXTrycHG6DCAc( z$xF)zEYMRKcjeH5)@Ra-(uleK8#%(SW6&m?5>Tqs9nD0jHQomp$-3S7A4?NYlS-VJ z<4Rn_6H`d!Yjea$Cl=)rSJN1CKN9vW+b}^HCa|7*JbQ|*;*^s*F-N(NJn|hq^FRt& z&i#?eEtZx&GmFW+Gp#wb46=@5aeHe0ZjaT{ST(vp&t|;8#Yg7E$;RfU-F|WSDjfkZ zEmUdlWb)Yl+4|oOhV!}bVi~$0P!m+-=YUAD`6eoq2J2>eC6M{?TSGX z>8Ab8*FW@GO4#%pbdlbS5}zn234JLQ32#wg_)Fctgie+>UTzN6-!O7Cn43Y!#q{{h zz9V_U)3$>#UYc@|LJ)n5H4K%B4*R1z4`u7fxa$}rRI6tttrvCeoT_Ah*1AV=0&9vR zNCdbGp8Qv-hH)!&<{2hjp2n&}CuCnaQ-?4a7D?h4nGhW`N8B!ls3yj*p8sL`tJC~Q zU{A(BcHqyWEQ;A!8p@3k*=^b=7r08#`f3cx)7gAP%9KoY#8fP4YH({0nziW`I(zR* zAR8Ji@Pg%+0*LL-wQ73p7ws@-<35DLU)7{T(R3evpGXB3-+*1jKZL9VEqEU)X-gf^ zS(!HSga(>!pJC{l|MkdB$y8ileL@{W=2cESb{efr>S(%ddjDtz6Xr%lbh=>xW*d8MU-aVX)8! znDD#fJp#OW-#DN^iIuASimo}&nT!1-Mf{aQhj#qRS#d(~BHO$8bZN0fKosHW8OAYh za5DRTvi;$ia>GT3Jq6XN;NIJ@W2yfD86(i4KL>cAdhkPgiFANVH$f61Z!EEgA|Cj9 ziN`3HSeI`RSWGXY*0s+8)0tA8i$f+KsI-s6Il;eYxKd_{zs|gJKdQUVtP7@J+`BHH z`?j$8`H{?v+o^o`(zrY2E??|9mS1v`GgI4bIAl+tdft4olo_o z{tj>@5Vv9=ZWD2C>w{4~iC6z~Q8ryEBuq%mKwx>&W)3NFIeSEF-c(pwW4h$j@&^FW z8+s%YyQy{cX`_5s$^V6#nU%OJ;hx+@D)qCf8%f;b95A_TG&&q5D%us;Wu3`Ao%|1` zf_k|Ea8Wd;srdx75j&js|AFrqcq9fIc%0fa3W>WQOm%7A4BpRw+g%zPp;9PojSFPn z7UyBBsG|1Bz8G?sKZKSnK|C`21>O2&Kfc+1gSBldQC5KfP(EjAh-Cz%c0NjD6x zF@-ZqQi-{qy*j2OvKs;J0w5$cuYucn=p@D=_;u6|mM#gHM=j#X{3Cz^Ri@f?*E5aWoMt?jo1ji>~E7 z@H#5E-w+C|4YLu&Rr47dzEv!z7`B1z?oLbbzZv}wCnxFve$k^GSwVCVAO9{|+?!jQ9Tz z%>L)%T_Ouv{Z{g>SJt87qFedjDOf*KvuXbIFNv~`hvx$+Lb$3_7I%p!9Wb=ng2(Ng z|G!HeB9RNWK8hNw9!@VdZN%ms$*wdTU!@PNnA?KV!s!lds~GieeDM;uITEIb9_xM zPno7wjeVHp7CJRjb5yjSgYD*91r6s>=sSR5QyEDOR_46w$-d_p=9L%zu^=jyIWo=xW566i?<{8al6d@jYzc+GLug1-Tt8Qd~os z(<-{zF#|57XfKl1dNgW5QS8a_mG{CIct`fUnEUFPmKidDroI5ar0p&aE+dt{Xli|i zynN8)ij2#xUzCrIncoC>Q^(r6K0i}fsr8sv3YiMrJPX|0;+WLB57cRPcK#~=YSYPj zwXn|3OLhSKX_*<)4MAl~@QFU1r(=+u|H3++gJ84us&l9d<1eVebo^=_x=TB&TuAM& ztM@|I4e#W$51A3>$1jaxPoK%QFg>mtv?sfDfAZt!?`B$}y#`(&Kw;=}dgKF{$D495 zh^obxI@Oqu>xOCwE5yH};JHbuEb-UHmyRaqOER4O49urXTXaiuf<&X2)|tc9K&GR{ z)%z&D?znNau(i>2#X1MqO{iwpno{u`kB%Wm?4?LYOLaXa6=D+J8}@ z@9+drHIC)WTe*VgRtG(+O1uq++V&EXIBijPM4n^Z$eG(|u;RgKY8j;7X)D*{q$DgJG&WNU zVKUa#$QO=MSoj(>xUOciW;!~@gALC*XL%jGZNR|LVyCa#z!G~Dgh4QdE+?Ps!67+~9t)dI7d|c@~8U#A!-U(53GAM2DddnbSdGcN& zpL5aiDqbWkF;-Tb?sqZ8X9{pRkoT~VSo>lE2FnsR{=5ETwE*aUV)@S!uGL>}%!(5@0`S z=Dg{tnvta5{%#@d5{{4~V*y^ZF09y_XT1(KyC-XVIK!|Pd)*?5x-rx@M$9?kDA;ez znz#U<{ZNTLCns;UC;8>I^x)@Myzg$ORg)qtGGt$*-&M1%&67Ox`#`JX{cWEk^{u}n z$($V2%d^Z254Pim;M-G%f@>+SbkTLkbK6~tW;1<9PT5a18Ki(8s>wN-Tu=uVJ7;D3 z&7Sx|zv(W22wnQGp>zXV7Er&y?-ck~Imm#2#w_rsNm08ovr|#3OnW|x!9VIpdxH5SGJP7O9-Y(LZkY9v=)|Ls`eG)#i+w=$L2FLG*RLto8~sB1UkO zfi?dQ3!hPoL)$wG$clyk#12~4=7b%5`p&Y~jhz6@Pg2g)(9C=M{a}!HtuXN;j3ViZ zO9e~VTXv=pSW}*!a|#k&QY-Qg*pM$GPI>JwXO97WrREtc{&PGm1OIyZ!DbzokzVIQ z|3b4g6nM?jeXkJ8x4CUo$x|6*$vyVaian@xh1PsVdV>X&4x{HOjT+;7mLH~E28`S$&jMXcqB4v&+Cz)Ed!{{$_g zKQt%ON?=DA_U#J4jw?bHXK2?k1n@QKuBI*57&k^<%Xd?9=vnnb$HJo7x6Bpur&IU^ zTyhMp$9%)DLqr?25|z7<00ZUzTDSar`-=WN;(Ax*Q!N%M) zu*!p3ZNPimnFEqlNo&Pz#TBUQ&fD6{%aIGNbe_AmwRv9+MHf3?d z#R<_3p74q!WHTbE>p^TZbO$OOlEkfYWL~aVNILFd0vWJk44$r~n`*tfG@jJhC*FVG z2mt@3@{cz3k9cKtzNG{Ehcd9uOi6;b4=5SEK>1O1|JS8e9VBx6t^9mxD8i+tHqf%O ztmxmB_1Q(_Gip!5hysH7x=w4{z^R@?ct&=!t+5ybTr&f6UTw`@WfNr;u_-Fz2PAZ9 zE+yfKE|>m9SFrIo>a6=SSf)zT4v{O~^!m`FamfcYp)5{c3+O&Ke-*Q|snk9$e-$?R zi`;7ea0~pUgtTC%^`4xt#txw@sYSA#DqiQiyf^IxEn9~~-cKs}(W;q`KuZ}Ma-+N@ zZByUse0HJ+Y1%?s4s_@pft{FQgKFTzz9Qh0Rp|%QT?9dATW%;8&qazG z8i40fYm%3rI8oSrt0e4XW4mMvz2$Z>e^!&V(Jk>fStF$ToD#R-s?lr=1U{A(fE_bj z?5lz}_o=Qn3ohqRHbGw*fk;JI2I%}S%Ipb~W40TDFoW^FtRU%A?u7}P(#Ny)r)FJNf{ z)_?t{ex%p0?JVY!+*E~RKf_r6Cq0`bK2WDcmo@)N+MZ#lSOZr+SS|F@rPp`-r(W@2 zJ+2(}%hVBoLahtCznF`i+xvE0K3TdLY>RWh$bfIl5itogP=TFs9&TS%Y%BEb&ejwJ zpPw1Ecnc5`P$-1m5gZ?8ud zKEBdtLT%Q5N02*{n#;cNJzI_i%l09s*JKLMwBl+{$MW4X|8CAy;CID8i#;mfvO@Lf zJ`?p+vY~(JQE1)4U+P3b>U1d-C2~jH(e$x?C5ocE(ju6@)P;!j*e%i;`jWrYLnF4r zREJ2%GPVSEm{8%!5ZkjezkvJqgCvUV+501SLJR(b(vzr*`cW7%@T99!G7tfSq^u!za6j3jyTO~ zlYZqV!BZr%y{MFb^o+Ak*rnrN&c3MKjTn{90-t#q&gSNP2X4J5X?#>{o;cuEr--Fs zw404bNoTu637hTqGS%$Z&aCwXRGOaCTHssU*;TWGZaKP(!Y)X%qU zN)!)UX}+JPj`&(DH;F?pP0sOcKG%-QwUj@~>pVsAl7o*L*HykV_XmFKETw%fT~rP;Qb=u~L2lX>wlhS24P**#T?_1Knxs9Nnh zw11=g?&t02lIzVsFfGRS>z^J#V*Q)fTBTHVe(pqweQgb!Gk3DCyyzk>;IbL`?s1M| z>HP0Vw&jc^)Os(ZD(F$L0~$(8akEmfa_~QQ$7b55?HsY2teRr(7AEf?&rOeo6WOct z;bmJ{ARj4%`T# z`tHg{aL5ABxLR_*pD*&VQmNGbGDG&b2x6;T?_s;2`ped#SEElkMCS%Zy-QLV!fqt7 zfV@Wz)c<)jS`-*d{(ZXXe#}$&S<3v0%CGfofgVfunR>ccq8~p0_q!}P@rJ5>f4Sh5 z)ybj!Giv^4?8O6Je}3P?58UevX9!4*o_xw0!$vXXg z`X+P6+YRRvHEgeVIe4-gQOWlgLcEi@mx#RI8X@}3JJYju*-GYGS61lg3oV(qe5~s~ za2l<;=Sq9>G3N{ZW;ev94!-%frRW@kOcnv||5l|aI%eLrj^>5&LCS6@nSW)||F{`{ z?t6Z&Ya2v%!`}%Nx*Cp{B2_4f%&xWk_wk+noMvfNfX&OO;e+JczZZuq{-Ek58* zFL{oIW&vhDzw6MKmA2P16GKq%T!h9__zQN{<0F;h<0VQ1vr31Eu~`^kh?l%l+x}&8 zG~yoALkm>%)QtgSR|}>4R+S(YduoYhJze8*;*BYpvmT(BgHLAe-(xpEMVC-|>aqI! z{bU7H?@6`l0DL_YL$!0(I25z!cQrC!!vmkbgiUr3gSbfRWbwq7DgvEmfBsSG3ji%5cL7l zWDV?Y@>_YRlenTlmTlFq2CK!p%ti=Bnvno_x;3W?rG+TN)N989q&9anM%p|PtAB= zaLo<8zVvXV0vB8)=`~v9n*MHQV@QVq5l!OUwtx4#_-(MGWndm_{{V5DG*i@Glx=L5 zcpo*F#HAtNz@2my^!jOIEgc}y6U%>3gXh}o8q}9qPDWHWrbm`cx|`|EH_b!@q-#BG zZ2SPXml0+$=U2!U@13xywdR`o>cDMGbKkD|(zqEB1mXik9=?I&&hBL!y=V4ZrRvQ~ z4;G7$Gi_{lUwztrB)>CNmUvY3O3>>;ho^NNq(PlwQ}Kjn*t zSKBM@Yi1;zYyHqryBnRCIJDl+y4Y<_`abEv1|^e>E@w@9jXZx7bgJwTlq?|x3A*vd z^dE_0VOr=kG>hF(I9o12xgX5VFM9a!9SN6KVoMb2k!acHPKq+z(s&TTQM*%yaBx!H z+oZta-Gf%Q*-v%WOmy=jZN)BYsSR)!W-QjNi-8%7$~P8>m8z%}+g4)Jp*rzsgVSh`V0c4)kwE~y zgJsWo>0M1zW!!Dj#VhF|^vd5yuF@rt2rTL7 z!J|=@dmMV1;I>~f*vw73wF-B=@zo^r-zcAF`@*%$@u^a~m*Mbo&n0_PLTD_<*7Rh_ zXfDi>&EPd*w?nVWu1wHbCD?r#vKm@NR)?h* z*$MQ@do1>Ebp;P>cjwyUJJoB{GF+XQYj~M?WOkwo0gFF>Hy*VuyVogFix0rl10Tf) zg*E!&EoRPl2;V?%Bu?BWuWsips6NK84TTiepWzkrqmJlP*p+_Q%Sp;FwK)2 zBBZ5f^uZ6K&OoIIyHAs*!sh-UG%p9^2#p})Q6KX@mE|rA;PlA>+Md=FfOOBQ(iK|& z*|dZvTLw%%vuk)eLE^RO5P^V+r4I{SbPbkhmaH+bM!1?qtr!HBto#m^vu2)yrpJp9 z`n015V)qoWw$1DLKoz_IPkXXfi-BTqZEfkhF9dAPI6pPv6PH~bagTU7)n*%Cex{anS#f0kfouEkwdmKq_3V(L4AX-R zN=B_WT$kA!d-ZFwikZhtCMZy zFafvse+C-76M*y{!^VgrA%A{xS}K42ip^26lw$!xI-Ngs_&#pS-TrZUB67ldA{$N; zG`wUZVe|ZkQ-=f-9t=M0pO8d|phF=MZBd3c#5buIOjvhv{HiDhs*e_9#z!iEIent# zjVywk%KmYBf2!wbrb~;SL8=Swyr2n{AS#b=qoH$yHe{06y4jaDwp5wPm;TP ztMIRwVgjf0vdK8Ji~9j$U~i^hdR&ze zJ!vGCd|>jw=z0scDEszb_fZr?8kC_M2Bbqel9Ydp)QQ4V+lneT|4x7Lt zSJRADazJa1WA*Vo;InXTM!nS~$K1|_b6e%7m2N@OgG6y{k(T>;nf$wSz(p~^yz?f< z;$gn4Hmj?Vh@yFmt@wWDS*?j%2Un!$XyeVK-N#jZWtEuM`h%E*cT>x@;zRrWoS!8p zd8Kj8^Q~9Ad!y20*9^M22zQe+M!U)^pJTfcwZto*p1#DR1Bd>r>ekGfpe((B#BjS6 zOe6xDxVo;?{|LTn)Z*TffUX zVK6i8;02xCzeTS2pR76VoxI>NrpT7??yWFsIb6Ah3F@0Iwyrso{O=T>IfmjxGqk_8 z;;C3~=aC6wzS5xvqM##IT6JK7{FW3qkTs=YZG7sVHC2sYk9T#D20Q~&ik+9lG$$f>UV}XlL;6-sQP;ywx>(Wie zJ)d~rBzERZ4EAvDlBQxpfH+B$w=2qD2?|pO*Cp;>{>ZL$w93yP+CE#?+hT@?^{&l=5Wdy7?l%HDZ3=oG32BwbBp79G z6$J{$9js0%$Pj$mn!5MiI`zh93$>H5hN$y7_+7?s`iIhRG)5jO)t+O832eP-(Ew-{ z&c543{dywsC+QKTnQHiKP1Ui~?nzB9T3A?J$#3E&v$c%5oUR?yJjKUcld&GmQz9N}Squ2p-n;B>OWRmjv|Wv*Anm$>k(DD$uNa|KZM&E;as0 z+hg=b)z;b{@w%1gGKfj<)Afssx4#riv@HUjaxK;x<8X<68F=(cvvXC6;rOP<2TZfh zfVsDU+t$2rMv>SxwqEB_n)KbJ2!A9IG8}0U zQw+_A3ATk|$U9Szq-Lwj4b#0X)s512PhA)(O5KR}esnX1qX0(hD|*2)0Y7stVvZk3 zYkPl@avO=Pvk{MU)r39RMcBvi7CCVNhOE$%{CYz+i(>gB8#OoPF(8?aOtp3nJje0Jk9Au4Pxd7_SauTo3x zUk2XRV;R(xPwmWn4JK7qDs6@%@IlgF)j=|`k|=4Vi&3iyv0X{*-hZ&3d~P{Jn8@8vRiW4$DaQ=*hhqr2%Sy^IuxEvtJI3~R=W^Ni=X(F& zll*_~aJ$&I?u*PMhfFd$7F}TkKi`#*>J+LLk{PIAKB)7gw0ng0g5VxXuO=tL%nseU zAHkzTiK6!SsveDMIkmsA^;vHMkRkk&u4EYLGj*cwouhb+k$>OxkF~i?W?QW@K4==q z6k^f_?}1B{bSpq8n*4D>(t3z2`$4-&T2R7Ff8l5`oP21z%V}rz7kPyg|HGbj&x|MMZ%w&!)uvn(b+zYWEbWIy*{teW0$KX>*OEgYX9U6WM zIKFd`Ica@(R!*5?#NN?O%Z`ByX`g_~#Zl>PAS2IX*S&s~nn_`Sy1_4WpZ!)3V{04T z?w^NU(1eKy{INjcL7WV<7v!x5Dx1)kFV_p^u2_!w8E?p>rvloNf!+zUJ1kc|8lRJs z`#j+TR(;D|8wnQZA*_LI?*V2pYc_?ep&dFpku&xk-UPKU-8fn$)k$F;JK;htGqWAT|@GdwF5cB#z{8x2Aqi84kMJ`>uYM z0pDkS`WcYPge7QnIAb^$G_A)AiZ?M!tVWHC@GXY(wT)bA@5@jX)U`=9pNmGi_BxA2 zCU5kFyFOwNDTtAxkG?iS++-_&stTZ(=^a7IE9|c=lC&+NVJ8Y+Yt+1-RbWDfcT1@B zITtQ{yxiW0^mIeQy!0k%1v1fC&-Q=mnvK_Fm~*_0K%UO zl%F@YC5gu~`cC3hn*TdmxLyE)Cn(l7OmNxQ9)ia(Elg-uwikPsR7Dxc?W?likd1a~ zy&A`|ez13&x$5digAj{HVT}VL-^2)=7h;_lI&RDKO3ZjxtR+@u7dU4tcWomKf(Acv z6I$H4e+}>7zLKc73AVeDibvIe3+|gfhEkK8MZ=1Yf>ED>;;$62r`Y_+mj)sW=}uGj z@V7rpkTp#Q7uz|?)Y5u8!8?ATO0^0S%v-J>L3cu2#%9)Y|ZUI_l&(Xz>Z^s-(PB;3$ z_nT@3#00{#b&3NY`>M@`!Be!5a8CVKjZ*JiT69+pQXC|n@xn3$Nnk?|$Sy>nDY5AR zx{fFa2HtRi-Hg|?fqAW;1!Q<)HbvC!7%mYZ0X&lL=)13U_Mq-^k0A1UlFN`a zoh8<6yKZl)i(ugo?tQoV)(< zc-wf!;)3DHtwGPlh7yGx4X4Wt$Sf=@gh}rtf>SW~7Eeb7+5eZ( zz;?b4eolgw@eQKP` z0+0^i*V%k_Qm|XySLVT!AzPwQA!r6{I(TC`n8USw!E*k>GD`vqG&mL){KNQH2G{LO z@|ZnbaIB!;UW5plu0@sm&Yq4;HG0~fzRzk@a``M!eY2WVS@mu>nq9y4wIR3IPdtv# zNNBLgIl1EC<|Rg}cA8$G;&d*Pd~frjY#r}`l4KV~MB$E2;ZM5TvPJsKlR8{P=GCrh zrfUDBS-(9b5+80Xzt&8w$o_!jW44*R|V$uT%Z#ws8URp-%5t!*XW+JxfP-J^- zdRGAkY`Wy2W_!x5{Uod8e4doPQ|&P6a2+N4!nm3US{U*z6?K`lkd{=)R4ctU&vW@u(6!V^~#%D<< z>)jtU@0?169rxw8srFwp-Oz6oXGu?H_n#aaEuVXmdb%K<^N(KpY%9V0;4v_$dVZyv zU82P#^+U!BO1iDup<)46`m{hAFl4i)b{uO^txTg_loR7_XWnXx{w=I2I+#A$t1-!W z0+8KW5uD&g6QS!Qsm$)K$slBsTT<(suEL(L4w&r+Qw9=Hck$X4Q_9&PFI?~`yvZrR z6xa4E!T|<b8P~ z`X;5kHua5tHOey2ne6Naj%a%#&1Gfu(W`t+k(BCLrsEm^KKos=khC%)X_`pJMK$R9 zsN#fy!KV>2*FJ(P`u5x1C(ZiR651tH79wl6i&nhJ|I?Mt@&$9ApwE8k|38c_p$t1r z#Pv#~FKJ(nzr8pJciS3VJE(*yR$KOHHG9}&xFvcJMyK5Etq}bzFnZsUI%jCtAf4Qk z{2cTsITZV_R`c%D_-C$HXe}((C~z=o5A0uTNnuMZO{qucrFM^L?Wvi-ui5lw<5QNdg&4bcOlq z`Y!Y&L~<<=51@vVQh)4D!rEsU8eXg_ls)kRuYq@3B}x)gR`E5~kMtSHWqgVNle+$1 zC%GJnC(xu#*XJx|kqG>RkS8(G?`#!odOs+iEy{K=lxK!y;nj58Dp4Qu=9%@@huG$A zF~CwHi;Yg@$#@PoMaf~*d!5P)U))M09DCh{;KnTND#T!Q3cv4j6 zvn2OgLEyn1I9GTwygvD$4G3NcZ)Lf+rHQoPat1^5k9?D!izsh~*m46G@c}OfogrbJ zm)drgw2pdy3(tneZc{kL$15CoC7*_5O1fGien@>rQs?lnk^K4i+3C7h*UCr7Cch;1 z9Ubt)c^%OVE(m)|1WV6go>0FCTZc`CgAAaH$PhRR!GPgaQivx2NT;E@vBq-ycQQTb zSvAizSuHjQR~Z5`PcpHKBabq1!tgGA(?`9BL%^{ zT_C1`CAm^NR~a{jB!=6hBv0YxV7`Yhs>Q+JV4l~gH>y;>K&JlsG(y(<=9x^K@TXh0|(!l6;P%0k#)Ssm+n zV9bdOn%D-$^{tuPf3+ATF<}Sblg3VOpilq3$9{wVBdq!Rr(F5_$L#mobSXF8gDu_R zzFJIIw?Fj@SkNu;N@?wayX0O^N5Y4dwrbvMGl8U2h{5~1sy}@ot=Bxx;AwUf+-1MG zPULSK{-6_X^`zFDleGbpaBWx@amqt|LBZ`a*0b$eq(>?*hh@gcXAF2 zdoJywTGQXhjv0n}vJOfP53Fj2V@kdyyfc&~S?Ce3KEHr}zH^&=sID{Kb7MEo6 zW}U4(umh2oQG%OqFoT#1g7S!RA9SFabT`-=oC9hC;AH91Yt@Yw4&BMq7PjiIcxygX z*5?@`Ksp!}dr5@|VD+L^Uo z5%nMYy7e5My48SZ+l+q1czbC(ilgsiSmGhi6f&lhZ>S_m=?BP|_dYkJf62rT`1`<# zhvftB<@hrQ$85i>@Ly7;NNe*OryFBPh%)s|hL#fOVshlAx=eR}E+`dl+}{rVa%0RP z2uS$|;SxMj=gJSH?DLSVfN^x0>+0NPhO=mNi3?rk46~eyk<_2H?Kw-~%N!kt{jKmp zWoD*-pfS*1BtWEy_DiRw#tJ85un7m8GQG<#ADtgO%@#7{=~}pIko3kkddR1FFDE0%_@&`*p4!fCQc|zuid|h@j1nKlBP#%z3llq4c~1DKP<- zmJ@F2bphWOux@%v20|5X0X-@oCrL2tuDTUd`Y(30+WpZ+>? zryD6xrQS5=Y+w9Y^>XcipP7N9g}GbI5106c;oz5od0#DXzVa{vFina)zuuLVQ-CDj zFL@2kGEYFR%xCU=lB(#BEcf3mrsF_lUS9+Pr4Op{rzW>qa@L2EBnQ(zA|9SBJEP-iP0P?4i9O+PIB)icPk3SA_W9 z3y5}JEBSh-ccJG%Rps}^sjR{+qVSt9vRd+YQuItC;czDxzFk@urV*%2q-13+v3 zY$Dev3nbBx;)QTwhDt=ndi0*vh`#`!!F`P#Uf5(n%%5_ifh_bJL}9| zO$i-3!=OODGt+r;6kS?h5&;_yB?5QP-oO1PCoFl&x?mPQH&HaRESp@_Ur7H zU8+$?n*LHuz$4|#VqhcV*Vtp1yD@2q3b>@A^`JsEYBiMDd z{n4T>S|a%3_Xzbp`Tse;AK%Ei5e4jR9mjlE(wiY#y3@PrtGej&uv0u1AAXC=Q@^RH zMRUl@-}(gNh~P?^RsDMCxuUqG_fJ5Ng^fYTosoTZ!97Nss>WM4)_-+FdbiY77y ze!9P&Xv!@Yw4qo|&a-8!>^&_v0kpmRrGFOVnB}D@PuSA5H>JOh4&6_y_~K^sE7xVd zxtUp`+7SG6{wF{B$4wW^SN9s1-|Ru$bE3fVw%eSx+GGOU_U=`TCVHHb0Wu-_WJjy;2CDS{DUGmpAdPvH|4W9 z9NDn}yv4Bc>q5Pt=}IGj=TMpw)=Z^8EhY8j%=ooS`(&6=n-f_{AJ*2pP`u;|VR@{wa+kdXD7!@}bsf z4~H4@Ylm(c>I3k{*xgv3-@Nl_pSOb1PsPL0T7yK`v;ePZq7rn@8%)sH&CX(3DlvG0 zCZqx9(9HhyRU6`toFITY&zDvP(Y4OID>DtiZMwxwe9p|r6kFO#H{y0}5D)WvWI6ITvWcJv#(6(aG5;oj z@s8G0CxdCxq= zio84gX%7dWG(l?xQkpXx-7T1RCoP`K^*nhVt;64WX`ZrS8IAp%z+K99c{S!>vJ1>} z`8MN~sQr1i=E?5k=b8f3Jx;A-0|E7flJ?PLkD6SP@dQQflgWg|w4uq87ccO)Z9v~P zH+?lNw>XH58TvF@qwv}DfZ*M3o&7--2op3}cwj6P%eQ%Z0%P}z&Xdmi_zUwWO{b7_ z=DPL_D71}cE#|4)*py9u>*X6QK+FioB9C{HI0ZN<7|u)?NFXys z1->U=XCj;_wiglaNSDlNRn3erHRclhIKp7U)g9Vw%$0XxPkygVhiciGjjS}596~(f z`N=I_#a`1eR&f;PTNCK|i1Z-K3;IG_<=|^^V|JT|Az828&yAr)LAKdm zJp=G7x#KW-%QL#&3d=i@ zkuLq+fx;;1f72$uWsJyzJxR1GW)d)$WD3WP{Snh|fJfZqn%qN86V>nADd-pF3GIfv zlN;;})N)WH)&dOe!$IRUE|NzY<{>-5jVL|GRd*P*eBw}oyf9FuDm9`-#D$r z^xKpYi`f?yBnz=@@2ONIEo}tu%boQf^qw;?RRYCY``k{ijKdut2TLxnecF6-NFhzW zW>su;k0!={E*`wH=xi}_`FZZo7R9JTOeaF&pxS?uMqc?x1PR%@-VeDy*EXt{&ADXz zMtX+}7bk*-ULqr7x~AXzSKFV-%EwZ~(=zvR@J`7`WHTvhcE{n?x_ESa$})iw;0X6w zc3g5|qIh_Bvb^RqdCx*(p{(MuQ%ECwfE_A{Ng`hU645Ou4hx?Dv(OY+Y{^l%ks2q5knSm;3W%_(m(dEc=jB_q?<13A zv%DHuZca!{FOrVAmPa#JGK#=5`o|`LgHjN~Zi4+?qCG&<&ynBh-4Gg(&5h>jl5HTin4`Ld@G!{NSz-!sifVLlHn9q>I%g|jK=efyM@p|kOm1#m_tH!<}d z@01A0c}>t$NIFZeg)5~)f!CP5oq(XxMR%z8R(*q=e!~|UuxST-3*t+0)2)2E2-sbJ z0oI#T;F^wYo0)@n5kK5|Y!rL)V}TOZWmDyWu1V<))#VbD%N)tp9%#JHUvkV-)3w}! z+O#d_$zv7Fceh}4iXGDPl)7aS%q6o(aI5~W#YcGZ){E}&a}{k>P&b$Y)Hqr1NFJS1 zMeZg9S)s@cm-DRV265Tkac(Ed(;Y-N4nB=P93l~Ens_B*usQHKe3WK#1b>i__w#QB zoDZJ@b-dkm#G#y+n1;$Rf^xmK+b#-zX~5Piv$E+LFn)^_Fm*!RYJzZFej;TPmBNk+ zR2epvq+aXmoN$yQvOYqS>rAVJRifRkWXGV@Mga%8J=9gq*3nylh~jR>VrK@*vIVA- zTI|f-o$$EYiey_xx4(u%dZ*cGl4Q31Qiz?+T>2m4IEkNUjLwABz|ng8KK;mUC2v^j zi-|FQf%6%Ta{dU;i!L@%mQp$F?_WEU}1AWGeUzzd3#@TvXKP+|MZG_v_*}!58D&z}s%#`EBCj zY1d9M@L3>r6j%PuxbqLM>D~?f*PqJ=-_3u6ZUW;-qZ?wg!@6oy*Bky?h|<;2Q`bY3 z-b`yCqawPl-``e)2T7?n`bzMx0+I{-9S%pCU5VB$maw+aDmuRP>da6CI@7a5db73X zLr}P*di6BeR9$AYcj$JazI;+--t&$9e6P(N8GJegbN$K+=S5YhK#Qc2 zv&J{3C1;1|>*M~b_iYVlw8`l`LdX(h^9li!X=jhq`r@uT+~JB%`oRBcSsVV^5msJP zA{eAb1LurHZD%Wsc;&{kNK?aAz(oMRg$Mgte*uKCNL}jnQntHQ{{mxFVHQ?lCN)3z zmKL4OIAL9Bw;-&RrliPOhmkumqSJB714WOQ# zV42ygqlSgn(9tPzW=STRaBuqFW7-xe>Hq92QKH*})p~IqVG2%thY|Y7_{MK-5~O?& z6jcw;T`m_iXrxfoUdIdNR?Rev7c2)*Mf7&3GwBowLA6*LVIlTk#a2i}snA5vJx;n7C^RZ-E_b6FD!;A^4dW(Y4PEQg%R__G3iqNB<}C5v&AFR$@)m#ulX!H znTTso9|;skN%;g3ZiO(|o=B?|Z!m4_lBhYyvs~%(obgwVBt{r;c@+gF*VHCwdDUJ- zz7*%TdZam$9)Bm8W_f@3#v=npCQ~jNqn%ql*UC%YCts{v95OH-kqFz@{!Wa#%qCfr!z;b&%g%gOV4TIoou>IqRwe+nf_D`HO1b{L38 zEGD?Ruj=NMHAeCoe(a-EvnQG=)at~|JP+B@L39&1MC&g7t0UC*9a=H-)+wf(Ija+GoBwQ?6ZkE`jV9itQC@qGYsmr^PX+Jdod&fy4m#e-f!ZE^NFd!7_ ztJ7eRN4@xRk$RJ%$e?`TEr>UEr*#D(c?*BuD-#f2) zbvl|P3@^tt^+!q2yPc-fZ#PZxLzM{U&NsdhPP?0@w;T@C-nw2rrl=A42Pba}CGA54 zb9|=61r5BRz7g{_+eD&NNBzBMrWSn?ScrnADiNwdl-0{;GEoNW$=$FPmsEL}3eEO+ za0)o#etdV2!|dGXye5z{kUNkjkT;Mokp4U$WYRKl_E%-VW53lScJ=GE@%rN}ie<_5 zGU9Uv`pWLqi*NkhgoEeSc1axJlYbCOtZ}0L>J=8XA1pSPxUcOez#b-m;HQh0)iUDb z#hNrdk-Fb%zu73U!lw?fTmvpGLo^IS63O&(=To$%p)EKXvyiuG5k4_1wDG@j#x~vz zlVlNRN%35(K427=aHaTe^UuT1>7J+9*myIVqPX(CYG$AX#_CHQW12WsF(SNr`P#U; z7(#!YpnF>r;^?^@b9nHzyW##fuO;TYw2N;>>S|ofaX*>`kL0T)+1Q8oi>urE<(dvT ztLc01DQ<;R)G}+G6}YVFBhlEm+17k>tV%bg;qoFg)SmgRf4&t`7n=14aLAp1_vluy z$JzIK<6#B;ax+HOmNUYngj8Ws8teyS`);c)Xym~k?ZLD3}sw%8|~nb`r7MN^8#DlCJ2r+Z_l$0f%`QOeHqB)L*~GA^;AX7 zj`Ko6*lXAC#bGOro>(|wiJVN)J*E$uFSa-sC)uL#xxT$`(PMlI(F31vaM-+xCVMwu z!7+s~*Ijn1^w7Xu!Z0vJvrlkztTC$gkJ!b_^=~ihC9;J+4{drYK$JTbGH8e>0YaKE zm5(czEY^3ziSL!0@eKrQJKA}$T-O@#uDS2=!G;rbV?sfMyfZ z(_D9YH5R*`HObs|oBsjMMyDlKiBREEGbdCDP~dWYOQ>=ZB+a{IUPCLeERo+2=_OX} z@R16K3I?81%XW@peL(LMvy{E0MBnk%v8hjnFj?rbkY4sNtquNe zY0kX=hPw5WB((%FFmkC*s!$c3vz$NRl-r0E9HRdm5R>xE*P~1vYULbiHP>nDJ8t;Q zP1x5(l4uzb-am({!@d02r^xzT&RV^X?t8cCZrdg%qO-5MPD`! zu`cQ^1QFOjXeQOSv~7-WpvSEF1LnVbGubVcTP+y+=iyn28siThf3?=PXES9wC5PAdcIIJc6ZL3jwE$r*RUgRJ*+Xp+_^HEo-{Zu$#$1KOQB+&;}oxPe$D9f z*lDHN{nn{WAa9kcUIoMPI7#a4I7r9oZ^%-|8Th*`Rvuk#Z@Gy z&Iy_(;EIgwOT?RX6_h6QcIsa1y%049+``EX8hvR2mG@s`C(_1ckoe-|GNCGo#no4H zlxWvINhcFn)O98zqQ#3~)+(Nv=iNe*Kcz-?If24bTwsKRzo`SWy}F6=f@l#z?G%q` zd?PD07kFm*1VC~XK6id?-|ZOJoCZ|2hKK<#-exp7W(2XMW~7XyEnZ0us8nsyh%vgc zm=$?%x9Bc(olI8f&-Q6D@mN!@e~2EAFo}@x>}6EiB}yc7S6}#2XL07R>^T2+a(&o_ z;T3sWYI)c7+L2b32G3`{=wQwF<=Ieb4ab5OU3c@3$1_dh6S6p#O&`^K8iS2C>|?9% z=DZdKmk5rf{{tc)(@RPTq8kUu9*b%Xn-JS63{S?>Fa6EN%f9I1#DRK_z^ zYoY6cT6noS=x82qKKFxo2tE#MzRi1hF#)0sQVLReP@q>EW?~cLR}J`c!_gzyH2I)# zkXR@7Sr_LqAuDTr8NZ18??5Lq3hB!Jx!l+! zOwkt-w6zWAd)KUQa`_meWUVlAwm8HXecV(}kw47qt`Uo}vpx4B@(LnC5loa zsE?N#ln)x`C|kIt29wQ;RH(>kTt{Zk7V^3Wrme1v?xu--C@ zsV5o!JF&@1!DdRaLjc>L-Xx#dRK-u~bIUuYxo?ek6A>+kZ{zH-s9BDrInE0u8Z#KO z>FN@~Zx!Te5pQevmcGA-ykFL=k8fcuKTVD<&L30E$K-%-w3Ay3uk>fIFb+zC z(RM<}&F24$CjaZ}+t)3}NP-{DK_Aj9<AmQ0aX3Aps0rXK8Zr1HHdSms8dH+wwJlY1uUGf+ zn28GtqtTU5!@i?t&`nmKkr#9S^ayx~c;zbT7*%}Z>b8u@e|cI^!9sUCiP|1q-DrVV zh(Qk)u*h17M3PjA7Vv@6R8Vm!)KQZAt8embKO-;jk{=Q<9UVYZ`x~UGWtx--GTm1P z^T^hUY_Hotm#mK1XwO2I6n{}}PBUsP%Y3MEko1)YR;wC9gk_~MQW6ieaJNc0TC-aN z_A2IQPBOJ;XGKQBr-RX_EX@%iLACgb^!vcpid#i*kbK5wj;BrF zPe*pR?oAM5)1j?{T;^mwjiAs`3_=GW8JfS0_G-o${fqehZlXWSR!Oz>PgysvTJ4P% z?!ua3mS}mbv4ubZadz}WIu7$fUFYO6#s<^PG7OQ%s@)##C=-)C9&1~zT-osKWEtL< zc~UFx*;fVy_mtJk9<^A0IC+%*+UZ-5jH`g{1$B%8Z8I*&ZurJvH;pd+wK==32E=mU z2a9A%m(Q6tU62gE=L>Mr-XwKx7=B+_$s}*O3{frlFks%D8+Fq#j*m!VR{<6_3tvEH!b;v+%uUg%mrAb0VH)o%TfFxyGv*QyA3mGd1> z2*@ak^-s8E?- z#(O1zRswX7AXNuv1;`ti-C=qrwnYuzAH#i+G>Peh!0 zcTc4>YO{fOHdzAyPNbG6UlCkR$OsS(+DUsEOpob0dxKX*ZsxoSomuJP=R{>i`nLwG zmtHKgE?!%9W3=@WFR4%IZe=SJr0Sq+Ywst= zQ;mrYd_8PTTD#lUqD(la>z7jA+$xq~ovBHk3aRomZVou!3!*QOTI+P6#0^VJNWfp` z_cBu2u}6_WtFvhy2w$IY;RQ=GS#mVS0~RQ@E`IA0W4E~R?_2K}x$6hd;?aUh*^}pm zNnXhUFWb0pxUn_pLiQ4;CS2NhA_#v;5MV6Hg$YVU?$CA6@!lk(BKNmuII3q2&@ylZdW$EcI)8tQq>* z(3Id0w7nr~NoK`P>F4gi;bZnjM&FU$@uyQyT6R44f(i1At!B^o4i^HR40)M$m-g20xl#9>)om?PL~2}PQ-4Xc5Bz$q{armx#Y+W zRKMEUdL-mOh-intr+0yWZL-E=^u?da{g$a zxAbqAz~iuqG)iN>`!QN8ROVh};b@POrdWz&K;C>#k9lRR4G(I1_VirZwIL*-f4f1u z&CJE!8{&krbVtakJ6k8yfagQQF*H=9(Pn-H=~yyJCL2C2YgiGFq*8|x@)7~usJSWuDXgrPqFn;6( z7b3&Zu5%Wv?L!t-qis$bC(m;AJ2Iej?+K1)NY+qI+{eY z@cQa?0v$X@!ngb|B4+X4F1x74(MuKdOpP{9{S_z#@-oSL%J^hkw&nPUPtbv_x%?o^ zSgL@CZEQH2z)b$G?SkKG8~=!&H>+iQL+}K1mp%E&wmqC)WK}h_73es3_RIql>88{6 z=G$N=c6~JGElg-3acMCzX(L`VRBaN6@JqcKV+6aeHKbwm3Ht*nJ7drW*eC`p0Xtyi zE=vEq+~sS>VZ<@r_4+`XHsLe(FKP7ev4o%Onma7|I%+#Qyx!bT!Yc{f>Zt`AzPLxZ zdr%=cdkLsxaiy>=tV=JSoqqoMk6CUnH%4Ca{E@~YLymz_^mV2y6@0-xfUI{2KEk>} zmI#$B_;5r#-X!rDn?SKWg5(*680n*~aApRERUX8Nc0MtmZQVtS5-(>qbXSQs7ThZA zI`wvg*4K0I>la2jZi9_JCi6oIHQO=iXCD_QQZ0%#RCiKkqG=|4`-#;EZDPpp6eEwh zf`NBR3pUiRe7z6s_hgr2?~i?#b;<1lKI5ldYr6$`OnsnOLfh3jO+5W>bfvU+*)^Wi z_Y6rPPRguMJh7o@6=Kr6X3}Em<33R73B;%V^GvRj$9$Y5r?S<>^{vfV#OK`xH(;20 zM(`T*V?IgqXJPRv9y%2h`AQf&2?>Ry2Zf=>QM|xN%Z3qr^ykdFUfn|>9`FzB<<5Yvp10R~-}3M6$C)0{Co#!4Id^_n3SU${FPKL|3$i_GukEL# z?}*1ov50Ig=|2uoex4-=x+2YRl+bT=5OE;8np>(c8$=bBmS;|LF5-Gx(SB-!`HX7} zjy2oRUVXPa2HLBoEanP6#>Q{fBOL0#RD{HlkGXKn&PfOJBZ`kHmKrI4-Cw^J_^XNo z^^^8N6~|nADQlY)yfz>CXLwEki%gbn_X~;l>SV{T_>8%E>3q3I&QM^uI`#$izcQCq z0-~2ld&HO8KCnzt%ghXonXXK`xBmE}t{I}KN4IZ3W9MQj8h?uZVls8UYL?nAibDo)y_$xxz+~CL^IC2E}eK=S;zAav0PdDhLj3%_CRrdHs zVoS^JM)hJR4}eJZqq>u_EDwwq&AExDDZYoCH5YTqdZC}?PJH{#9NM519rqJn15|CG zjTwXyDjk5oMKV93?~CjF>1xGijHH%!`C@J+f$#k4dff9_7|&c-hyT|{eP5&}zST;6 zS$SK`=W>tDG3A427f$p(isVnZ|cIFsycS}r@op*%|j;`f2sz(X(Mh4K)9vP zx%2rJjHN^Nxk6=;~R|Jk!807r(U7OsyD3j+zwQ5l{vv=8Ic&ri1vM3W&rnuR4ky15VQ=i%SBb zJ^m(V`OT73OGlY^wwF+hgQ}9=YOd1u;RhM(lAKPU+ke9(K0@FAhb1850P^i9(dk4BJ>$-;7(!<)tt^x@tJR)uC`0Az z>++{~S^cAtNm;uU#WXID8G%?y;bej%1=@oQu4fz@%4o;+kq~uEl3sIgPBQ~gM%n$Y=yA}GIzS1aN^;%Hj{63A*BZx*Cw*U+TUR5)dnP0CrZQvndpx= z?HkR%lF|51lK1@*Lwo@IoV$`LdJsvjj-9F)^=XnmdJuB+8jKvf1;?SK&JH>aq+Em1 zWxQ)^vP7CZcX=m(<^&FtDUX@Jdg^+?!~unRN$ucFJ~vW_+KiDhzg_J&Q-L#Af~`|~ zw991^8L<5>FZQEvKnu6CS0JW&PfNf}*q0S1_;!$poZ_bP zm87%}GfrFS#N#&It_jxX&mtbN9Rrs1gYdbV<9cU9qt~<31Q`6AJ^E3qY~{rbWx0Ea?Eop5nf^VKDS$G37l#l3J-Z zd?T{hNF3JqjW^x{rF%(o?0*ZW&o)P+8_ZPWy+dZ-Lx#WU79BtEbYH6ep}B13P2`O} zh4DD1?aj}qq#!;J;)NT4STK_jXmD#9*W{IPMmS&R9U74WcNvjX*N=k4R zv743q=2lMA>lr-`7ASF2(=?0O`QPL$TEnQ<%dNfiZFj_zw>6Gs79Npb8HqPFk?>XmlemMIJ z7T0Un^LfYP28_x?bV&B4Z@d7-?csnDx2T&RV>*R4uTLM+{LxGcrc2hBD!%#f>_-4| zlaHg6tdu<53N#iLNJje#Mc@w&>%sItw}bWdXPQ`PNd;xTRLOu`NZu_?qNw|JFOtoX z7>)^ilMWGKB7Ch8}zC?Ib7cLUW~3Sr8}O@Ar^ z^T%z-Us2X|2^4g%J@`0alOVXZcPZob6P&Df3vU;Rv+HMpWPpi~acyGym z`HLdrwU~=VN%O%K(IJZUmJqzlLlM$?i+l2h`;1@DrU{loe(6oW&nVbffct~~ywQ?% zk@p}ZKza6peiNii6X@2KLW!P{)?h^_%%5G(qS^B!;S4W(cZ0%}*30K?ZD`X2Tw7;d zCn;ML9t7%T9<5gh(1SDW+TzInQai3)3Io}+d=H6Ex2&1XQG9bM%TZLO(Id@TxX`V& zkIMZ`9er=mA(fMtpn@qmcXL+vODd%b)6KPPw& zeLn$9jUo&XR7+7x2>)u4gW2J-YN5&`r_`%|C^|A3HrmBx{Ksvjr9>4_dZX_jm|s5_ zXOd+9lj4CKFrGMd$?=;$H4SB%&v17J$az9Qr64Shc6;V1H*EtCsh}7`{>DA#FjxK(Gin%FwK>S{qbeGEQsldIe+zNeu z+}@R5JY8p`59i>4ZbO&6!CxCWn{lbm;_|hI6tix=Ju_+1aaPM7y;Hy6dhsGEHdenm z`$wz(NEpyGfgX-H>hiQD7q}2cUM7l$dM0yQ0()v=*=lA)Exe+elr+B+QrMFmJhD}L z;sLB_3F&UUN=Rj2ffgs3ShV6Gkn?`X5dEL|U__D&E|aL^gMyW5rrsHI%&xH$K>qIM_~SAB66klOezTXHGwOcc7Q5 z{Ir%wHpH%ESOEF1ZZzKTjlINPZmQma7IsZnken}Adyg>(An8Y#`qxIj7W+Is@;r^bBw*1AE`0EgnE{;!B?bNn}TIB8da=0*IlliKzb7;xo;jSRR~<&)^7|+GbhN zxwBuH8Ds%(FS5(s2l9aVE}my^M6RZN+<|3E{n;)B9GpQ*-pT#=gUY_g_h=Sb3x`*$ z^O?a>b?S4(q-|gD4qt1GM{3nO%VftuUvJms#+GYCW-Fg-J1akw?&}8MCyST!y`(Ox+?s+{^5-QtNmPldxdt1UNe;!L_@rwy|A6=G~&5XTI z?V+<~MB<}?L)91u(fWOo&`OHIZnvLq7eY?bcT@5DDnr(i_6%aBtWAG2;=(`o=rZG- zTmA4^5fZlBPx16JOG|JxhzOwX-2E-+vD*VOZk&G@N;r}pbe`q83(r;;K@&5S%Bxff zQ_$!bYvquVR8Za{`>lbZ;(g~l*cWSA_bL&5?wnzMfANs%`4K~^PatESxcItc0#!q1 z_P?0Hc8UK@5>N^`%ON~vc!)9{dbR=cKi$0i)7O|`jkAR2-Bn-M2lXj1?BPZmzdB`5p^9hRD9$rpbO&iIR^yaz;?g(O;^+BbF+5)=@hVX zS(i8${;JP$HecA7xf=#2CD34sinvsSSlu#Ft^$SD zt_M=lah3gN0XR#7j`u|_jaP{Fj1=L?SndWp)qGH4Rwsb>&QhbD+|sacS9;|5e7pM`C&;jwS(8a3BRHJ#)YR1dOhx#L`)ZP6hIr6bjjLEcj_~%#nqCy{&~v;nIw0rp{L=zz{YJI(Jl-x;?WA zEEs;Fg5*fo;n;8oW_Imlpky9I&f@3tPhyt7%7dQViMNRHu1q%NBE<6BO-*sG2`^BD zy4q%~GU2ThhBhC1L#SQR`J;#eam@s<7V;gE`Alh3JT5AeBz;RMB=?!Z+Q1dNuwnodJuH`c+@4Rct|96UaiQbu3De)EgDmBA4FE_%~iYg z>6WO4TlDcawHozU+3yj3h*qpI`QXXnQu+gWTPbRuUtY+6;zdm2=(UW=ZQUtl$@W7U zU&`n%Yl`vv{>cpg(%q0qh;dhuO2L?~t8MfoZyZZ!HO4rdTqm*Oqz()V;>$JgM%^ZU zM`c9`1S43+iZClnpep)R&|z7cH!MU7n>bHz?FZN1KA%*${y&Ur8Q%JbuD^R4g%Pxd z!^<&rn5Et)p99yZXJG%lhy57>NPT}(ksm9evDC&};Puy2e?DQQ3Axn%k8Jt>hqJ&u zh-&Bptesj{9ZZxp65*NY_$pHazGb#~(R2oe(J7=*&1AVa`(~@AJd4fuQDxzzQDNtf z0t)ZCX7_HI?(=^vDuqWinSl)B6MAAGs z*-k6(Yd|n)yMaujLW_YvweU&Vq>#ZOe{~k;w-f-Lk*%^DBT+e$9s5q+2 zG>Hw!8GEif+SfkaUo$m>9ok)b*U;WAT0**I3~#(T;5Qp<)4MXrJ8$|XUu75VA6M*& zib6)A3Gj^G-LFz2fB3AS&i$DLFpr7HfH#sSDj7=ufh9!Vi!**e^ovSccwvdpUWzQ= zH6f~w>#4lw!TY7FMJGr94}NPEC)OrTa+!hXfB0LoWhT3eb=(7qtU=su4}@?qf>Sw( zZ40gAZxmTU30ZVE9f%Rvlscs*cp35|U7Jp}m510B>ZTCgK~KY5O%E!5(j;(UOb#5B z-^`?ve93YGk!EURhZ1%xXX&JB6^_+E`*TwsBbu-f|^wa$QgYCzlqBnYGdtFHsbkQh^Grg)wO z)6+!XhBiN_N4N;*)7eLtTW3t@O=7aX&HIqu8nP-jNH)!^D^rU*@3Bq?D0FiRK&Lk} z(FUHx{CA?4nO`2BM_{u)dlW&jNc|S)tscobGuG*tw0xcqO~4(7(sH@9e}R=oTw*r(&iZnikHgeM=7z@5-tDlmZV{9>Rj8q^7-V1}T6 z8=v#h+O|%0o>H1P`F}C+9qs!piDn>8Z?ZO5n^nEE5Zjif0e1PzlhK_Qx1>sWTC{u} zg3oaJA~zLRSnf=gQ!^97c%zTx!UB_omwu9e-{3+urwojW(uPZi~a1vg#5#9|Gaq+&gpX+r_c1`DP{WG%Te z$pf~vBx{s%CK&oB6B1;PuDjctk;zP#N9IhB!LuB*M>YR^pi6w1%hAG9xqFkN1AE4D zHQvi|rwPuV@WsjkeeWpLx@Ffv@ zQX*VTH6GL@TrO4;$&>h0{7I$!SVv9iSjm9>6Y{AwyY85m!H=WA7QS|w^mY2KiEIzu zCQavttJ;aANEI@+sEJHc`66H*7x~S6N})y$0;CB0^=A z%tZYMy|enfKIY`)hgQacX|6EZ#)of)8}`{NQGQ?ea|!m(@^ivdft#qfi3*w!K= zENx>N?E@iKZ?n!C;*M( z0>x;;uE*0ilRRbv@1Q2PB`tS@X)FS@#P+ep(dGM)5Hhm_R7rDS+vznH%c|KEYlURF zn!Qh&?uKepG)9y)elyaZkTZ#Coa8sxzG$8yE%^2+3A@gix8W6rwX%`qC^Y{vASwl6 z-R1J>Av@x}o>o@_2`Mk4KtK*?AZnMa!L5nX_D z$aN-qv{76sZTM_t_Z=aNkDMIHwF4JT)J1t086;HeIA1?&~@sbV5r;7ICv$BLz64n z*1QUEouYR5qf;6~slG*VjB;6Pyc*nckaOQ3lxIfbLnbuL0e~UJ%Y@( zTifvx+K9}s!A#ww#iixvl++ZX;=_oyl_4P2&i`V-;+sr7oOcGgD|~K+n*4qd(&{ef1MfTojm#sTK?Jod5TC-)_LK_N|B%dQR0VknC?F+$qZ zu+swso9ld!ZIa!&Rc3z=Pl{yo8;SWtC8zQYnVtjY&84A_z#n;zl2|r;7~6oo2H_u0 zfoZp2iLhZ*!#8L@F4I~57W=5K^0BZ$ze+-+G7+NEx@VN%njUBPv$zF)X(KPmneC+}yg!?Dn0O^v`;KsK{`GJ>| zFLB-mBM~=?X11t|_WOlx97v@3EcAc7Cl8&SXmE1kQs=%zu_wJSrjg-@GxYO8SoY1p zim1=IW29|-v#y&}c@lNfTb+;E@ZVo%>R+JI)c@+BQlK<}n!ZuIRss9=I!C$NgQ*agkbzT91Kj_ENe7ZL}j^R1el-cqaQnv#3uO5HZtm^4{a5yg}S!)^1U)d}`lT{YT55heG zqW7enb|%XQ9}j@xcE!pqvngI3=abGP-Oiit^TVf#{&aXwiWJReO8fcJGR01ROF!MW zD?6b5ey~#mM_A+`$Y=D_Z{2&~lBJg}($g^imo-+U3en^Cmz6w$eW5eC?EB2My%RexzfsaUyd__hNn>OCy84E5n3*Iv-hgwKt#I z`rdDb<1l#O*IfrMG_Klr^$^@=3wYlwiDo@SiT8#akdi*mKN&_E?z)$?h6{g4ccRz3 z7hJ~-c*FBu_#-TIy>6FbX=88W&uf~oF!DCp%!F`8^)q1EWlERhZ-#4pqut1Z@RK4I zR2(=0e7i`PjK|GQuHGhVHq75;k{iSat5<;^OnuiwsY&fz`VV!U3L^^=RGU}a`?A%$ z!-?Dk$dwlHZPnVo-;-OUSMuC_Vb3iX58C=Ip=JJYstE!WjA;Gf(6sqYMRSlrF3Sipy?iLP6woGn}mmcP(;TAn7} z=-Uc77#&4k@3>r_{Y>6F)@!?|CNiqayIsDzVeIWz$jC3JtF=yjc=*-tKBsx#(x&`a z_jKh?lF@x9>sk6`BzhjBesn;Ryb)Y@oi-2ImS=RhF00JEZ9>yB>i+k+7x!yNEnO=< zZ|aNHsrT3;ygphCTU|AGoU3^H{rmS4&Jot4V4@i?M@LDndt9Sf<7$HGNS||)rPDz2q9w3 zJdLD+Uw`EH531tlW2@I&iC@rVCISIa^aYLwjSaX>#j{Sx#9~!#9eJIu+ItyQAU?n( zb-}Z>p5zw?SA8yUz1n4voOBaKbuKojY`T(*tO4gv*hlxUIfs{ zws|qM@}6=LvRF21dK>>$R#t(2e+>61}TJ2YK zK*EmsXqUm9qz#)O9%We8cZN#4f3Kb|%P=IoLx3OGl+*5Cu)G*-3IBFBC*t+?I^v<_ z$1Du`vH2Hz>Y9+kA26)hAl9SSn@m7d1Im87QK2?aWpq(}+*Dw_WSVSLrfK=xV2dnc)}Nvlw2-SG4PLgt8)vb!qw)S*XpTZ%N9Wr)iqNGBdJ*U zl@=(_`G}lkUveZ;ZuNm8f!onErcW|A6{x>Hn=Z6XK2^;Dz;gv5)i&OJQRPH zmiIu{K~`zSGi-OZdzW#J7-3q&V@3hEI)d+f(+bMt0p>W?TZgR2v z3~POMwI+zfWtaBN4R=(CKk53vZj9ZA-vCp`vz#9Hr@aHq?3HN5fXSENt;!GfshZXm zbT$}Xutg~8g%M+iJ!}Fbg&x>Yy=UbbU<5mhHL3~0j#_er-duBpUvf?|eA>|2XV(@| zijH#PV-swhKUQlVJA6@Ga+z@H)Uz|$_6FkCw%N!c8<2kn*`y=I58KV%3zJwQC|3t> z{M`%75dH!Z-6Aq02L@obHKpgB?n>(qH%G+`jjm#CC8TtLd}DdjMHjAb%Rko)m)H05 zZ)T4)Hxl_8sORE&y6=3J{AkRWR%5A4yleL44zV@+;zOh2Pf;GT?e@zdZO8V>&8o*A zCOmOYX^~LlTFbZlPKxUdeL<1l(3TT#uo(g}lsVTAPK5&D;w||pd=f`=f;&C0yD=gx z_pgiQ+JM&AD(Up)so7KQb z)fEZH7E+U_(skvT;~Q+*ys6o2IJ&Y~^E|ztDz>v1$U1YF+_*4&@80M7>InCG10(D49`ytBlY~dd07flQtHti=pP5ng6uT z2~~uY(%vjLQ!LiH)ZM@6nm{U97G1;h@CaxqvX9(|7MUv(k372Bo&(d%Zqe5Az^$y#T2jnoQYBvZdOf&<~yJBR7HY0t)8PM2M7L)Ri&mQ(>Zap>0_7CP=LpyN(TUFnKwvG7o zC2QU}nYh5*=ZE@eYY=2j{yj)YizY|`7dy*rWR5Mj)wX$hjWf?B!4z1b2Ul-9d>xy9 z+uhc$0(UwM@f|CF`{;5SzDKSAHqCJBvwf%hlNxCd-)x`)A3T;{K3l)KOky6}75L<@n~e;-7S%5f5&%^uk}2bE&rn`4%*dB?rxMwIMNRoqNw{H; zS5}5gdQIhEU=p;j5|6v5(EH6;$hu%xuH(|mdwdbj+2M5WqK&)#BI`0Au^YlpAmJ&Q zQ!pfRdHEt7R(Z;$M#g)y9lnIq9=`IIY+Qj6o~wXQO=L*`NnjDu@OXDJBo}T<8@!A3 zGW|@D)9I#yIq(@&-4zyz8>zcojB&AjVu!m9f6Ki&bphT??drdfYDK^BE!-Et2y)4Z)TN>fA%8?CNqQ6-a3i6^u2lMavJ)Gu2y}e+$o36DtRo4#23(hEtsFe(Kf=UJAc@| z6To}PMH7xZH#QRvcv=8__&w@>Nzfj+5!lcbc_c>A0?ODD+Ev)w*e&x}ZW&~HW=|VsAD9Bx>DryQeb?(luGamhFo*F$+1wfL7k|h-HgXP(sDKLA z=uG7t|E}-F?xpPcXNZ#1?q2#b2GtzPU)qxd?6TddVp*aZaK4lzv`YG4lK?M%q6jHDiIBCFtV)FJH6Rlkq~aBQ*(rvi|%_tPeCWW0rUS?k2KELSP+1S2HdnH946Vb`OZ-wAS zK%gW|daJq}oM=?-{rhrGH zR^Yqo*diU8EiFmk)J%04F?AspK;_5dnS3mRwaEcL?|Fe!obFNkq+X%Wok4ANt1f6E z)Gj_Jre-x{wwF@UxqC3ckxb{*pI?LuP9Sq=pB>PVEcJo z<`$o|bwe~x`U8|X-jANoHL3YJZya&%9>{iwE%hk)U^D*eKxN;+ckUQx-ZNEkC8)2@ zN>UyM5P3jz{V{2+95V<4gf6?M#qc8J$%=!Sh` z2&Iq<%W|{t1x=%CX9Q4Du|iuMWFC=5|2Wcs^{tkb0o*_)@E?J9$j%D#w~#dZY{11rcR^x z*2v{XPi?l%@E8Kz!phQNXtwcEZ^?}gFeMEG9 zD+q&77xO8l>`k=R@s&{?m6u7`TyR?P$2fdCyU_>dgX>JJLn6_|Jixj{vPl0ag2X(w zTCSA6a?i#Nz~S)ISZ22*VJUdq^(0b}8I#j_R+0>(7D{l6@1!v$Ff2`{5DL zk04|5-(ji>FfQLyUtN^}R*5=7C7xfjVyKwh z9(HM=oIH4%kGra;x-;MunL4RHVu!ZKEK=DVyuPkVH7-Mj{X+NMJ>R zaY?-bq@ks+oE{JdX$01L0-n~D8^6c_>gyBKPhYnM3gB{l5+^*=hTDMZ#eRzuD~Wb9 z-ci5JCm(KJay^ui>l$3_>Qd9I9kjUlF;Xatrpsn^_ubY-I6w$ni;=*7i`p3}#rs;l}2 zw}i{E4i1jx3c112swI62MxVFAM$#6M~3YgqQ;;yr)ld2?hwh{^QX)qY8_Wbvy}&3T+7X=ST$H4 z+}}iuws)H>yRu*D&}bS6y}QkUlj+wwP&hL$=`3-Hl;qt{u46=6B9E^kri;Bx)Ptu% zz5M#aCs|$g7KrQJBd(H>MS*e)&mdMD50oZ4fu_31t43B=6uUu{zfYP>=zuNQP%(5X zxwa)n2v5SM$+!EmMt1bUbo~kZQ^IuRiZzPT=aS`fO>ZmKfy^yod^_I^5t$hwt#1uN z6j~8KXFY5CL*J$^0}joXCj;u+uVdpZuGwcf0g;y!;>{QD?RCn& z6SHcq9#Oei4i)mOFJ302*N!5bFu z%)Az#*JpK%qtC@41-N?UbSU$Zq*>8^hf%sNvsQ~O5_hVzH2UTBat72K>-c9xfX6K` zK9_^CR$uf1tcl)cWgApuRRBGh@NTWQI6&S>>Rt-@am|0bwf>%*jvUuDC{rjfixJK|$0!c^s^YO} zjvjUTV%+jtZ^l_#c8o46w!S1%bm8cUsQgo8ooVmHSb}gZ-J3c+l?4*YuMWQNoE4EP z^ZxktJ_LH}F7&sTIM~p}(Brgn^O<&GS+myfi>{C-y};F(WR|Y-H|mb=mb8=VvXB}y z+ZMmgddCE8N;H2Bwi|sXxuo9Yk!vk%u@lBkM_{`wT z;9G_J;tMkTwt$nCGqUG=-dJe)ek^6IKsW%qAAM|efJSyHFuq!@JeNW=Z3VG}ffG@Q z4+KpdX8q0KcA5wdGv!QW_<+y7;l1&?i_0bEL5`fq$j2Jm?Cnkx-!hS258 zWl^$(;hL69rOiD!MY`ed*svK)}hU-sk_}SU+C1``_0Ki7$M2%1qFEOdb6fT=Ckc9O3Ur__1+jPs2lBlRsmD7{eC3 zD{7DLy~6^>W#$xZG=N_|cQ1O(QVmZPE=bK+H!7Ry8L%oeiPuU58uzzw8Tu)TF2A2zKI#7HF(z|yW$mz(`$GXB+2hCg-eIR*fy>(CAjG1<5BfXz`{0cI5b zHVnVFn#3QP=fNcE1msLssWnlEXu@9}~B{vUyLpn?OjLh&-*{ixEQ(FIWG zP8*TPi>-`XqwIZ2pPX)@zFDbESdW3F6%J_gk$?uV&%=bWF|K(%efb;_N<9}k$^p`C?XbrG1*<>2zr>vSrGs^c*q(L!eGQDh@oz2PDi`^~ENO>&4MXYf?+{g* z5YWZEcH=Dws7gA><4}LtTsK|N-=ElV&prtec1_xNR0pfS5`dqz63{=Ue9RB7e-)gd z(a)aP?B|xplKk;#O{9^elHG|w z4a>@FAtXUEFE<1gj8RWsdQg8$F$KLIl|WD})Y?Zz+Hx%b3#gr+HhCZR{Kvv^n^KH= zEAfViS@mBRl*okN`9U~}N`%m|H>Uf1YtZ#@mq+X@sPhiaZ9W`|^YfkC%t(5WgY??J zYmE9zUVOSBrt%r;_fzE}p?8A4d9@k%;`W4Gm@Y43GB8I>Ux1sQr?;L0lm>iIZ%>=Z z-)$4Cm%Qm(pv!&V5}>E*I7yHI-VcU4iLssCJcck=?|swc1b5WW998~?YZx0hlFrrQ z%@KbutkmWy4X}-}Um>+Ls&UVE-D2Nj8s1yNS|hSq-A`swdBn=OnP+#lCKP2A6alL{ z(r-mxRGhwBW5zw6|L)88+oJDVD>-k4R8JH|G&CWP2B21T;Qovm_%@97V{R|7y}UE! zpUc-*3$gPdByV_-G=YeM^O6m@yVpK}49RTD^ma#Y*ilA9kfn|r?V zicO75J!&$qhd5x{jS*Y^s_o{jyc<9AZu5Kubc95bU0Pw+juS8_d`a`Doqny=%46B{ z#Xp1B^G&VeN?^T%tCzh(*4>CwLyQUq@Kpw3Q&qDVP-$5SVM>Pa{Iwj_OTm+WYa|Yp zAKp7`&he1*(tm&@Y5tUgNM4^Ty7VKs!^VDUNKpSk#TTe=9c181soxVU+jw+#?Gcf* z$*a>q1&J04AGeBzHfp1^AKuc48w0`2xL)aF1Vq18|E1fxzxO!T;LjYRx+<9IEoTRJ zZ}#>3v0Y^Sll~%F!1`9z@mm>Dlg0j25l!VUz~>dbVt@6+y^ehp<-MiXlwWhLt4JM2qWa=@1NJ(hyuYh1)nZc~SIQqUR$plznAGlLbrd7-F&sf{Dm9i^A zEn?C~Ww{zuq9K4lJG*i*KMPbIe6IW@`an`*lbWHgmL|@jONOW+H)RDp97f{P z+PSRbxQ5lsV$*vO6br`y9~f!R;_G`|cDuONlf0+=r2H6-bNGsT>Ha0dBquJsp} zI1Dge6S_n9)Ipoi2oRJ3^p1JzU8Vzcu~2|+WAB9rw*}AE`wV=9&6Uk`=cDBb+v=aW zRxU4ZZ*Qq4^vfu7d6}9eoZj5hn=I>@au`(6+dJi85i!)_BmEwBFA0YmG(y}(+_x_e zJx5ypZr95v(7qFIy(Z^Ot0n$8@EwWSvEPvNN-w0YN$7aF1=Hl5=rHJ~*yJtX+-Rs$ zp&#;or`7N74Q-nyRZ3zaHoKA7Zl+W$d%@_xjd7xNt@XD=4#HGYu~-gu9~@S+z6_bZ zT9#vXb%|vbKhdND(7yXt&;JfX{f^X<%R>DeM=mdwdMGxtdn;N@`;Hx_0Qt@JZKH~= zblC0X{%`8965eWrbcQY8XY-Bf8$5+Phh5iTXAMnHwLp2_iyHZ z{O?8YLN#pTM{lw%eO^9%cy)afayAJNmRssanF>+QR%D3!rq}BLhg`g9TOvZ<0xM1{ zsMSq915=`f&H!6$DpUgs-rE$vM!%W~`*xn~Q>UA?JYD#^-Qk0v>4N(`5%+z1axweW z_JC$rgVuh?@Ee0W>BjoDiO=2GE7oz>hzX>yKDnK(ZP*1r%%^03clQ-arxadkIk6NQ z8%rY*mXfndG3b{lQd>hF_AU zl)CiGkkrU30e^G04|1TWOnJ^A70gmR9 zicPrBjoSIn3qRry2O*{1zw92MXJ4vvU%L$tGDUfRpz`r~=Xa9B5BMZA6JwxR;VoTl zwqklpP(D0yK~TQt!&$J&V7hT;2<%rFVn4L~bf=h9?2A)0Fx}j-Kjcn{?>UX`bmA;C!PC(6S=J3LQPX27t7!y=3_bVll7ybL7loux~P|O@L=2- zybFS8T7aM_N$@YkQg!{e)LFYk6uee_ada|0kNCW4ioZbb30zwCeX{@qoZJA?KX*3U zGO?iMxxSrGMjz)rbgsCGd%WHl~v zR&>At-VXG&qX|X*re<3Mi#dfZ=fbyf_G`<&eVLzi^3-n~ zyjMFGhU;Z}%(h|q_nAhOq&vx_J*jH`S>E?_{KFqBM=9p^UOGa63Xv>dj4S`0wTKGW z*<*}wn}qyjpV{C@+F3^h)ptpK$rOpiF$C~9CkVbQpXn-bGMtmy67#)$KSHh1JI^U5 zYie602~wSu95{0i-0ybEF2z7P`RBFXEeNmi^f(p!9eH)v6dnBzcQ+>3In^w363JNJ zpW^24nJ_~X_sZKa@5@5NtpD#`#_9HSZvn@Y+qnee6R|Cx#7 zd?Ioo*{JK{Y^f#|I&z`s7u9i1fdrmnK<4NTL!%&A>&FA%Y>^$vulwDsm7PycMB^Y} z@R{}3>5xx6?kB40qV8{mxK{opUFdZo%OEj#AKHl&JhR&@%QtCjk3&%e=h}zmm*GIK zBfa1RDY1{>=+0EW8=5%KD+J*+>mSKbwOe7)UWMzLp~#_FGH%75y91ga6wSGGIxa%< zx_~M(AWtv}dSRiySjvKd(77%h;H?-XvCewnW9uB{{RA04%9U&G^IW^OY#oksAla$M zX(;^}l;~+(`i00^%-!T#@yIv%_L^h*j3Xpd!V7!H>#(bziM_(Moo&dliaJQo&f@Sb zPKnuHOu(!&iMW6KAxF~1;eK?W?dW-I5h7L^F~UyegKJ`f$4w=xXN8bmbS&3%JEK-e>23QkERy8McEdI>aD-O> zV~C^4VU6_l`7XSqg@%ck*>MTXg1fHsv*pB&eIJ5O+${8kQH62c(-o%CVp`%fwVK^| zUoM-^#Y%T z22BU%(}wM)4ls9lK71nbu#<}IwGpe1Ae^`Pwk4Wo0KmMtmy-g8koD}8w5M+bh~hah(V6$=iJCM zL_g1N8VbjU8J2(45#W;IwmDvY@{aizSVi4X;C{NgT-Xh-&7#d`?(^lGdzErxoyn@J zlASBp_Rs1Dq3kq?^bP5xv`^F70%ixEtI4?u^Pn$^2M>0CL|i6`)9QVboaIuYVc9|j zZbK?4Dwon{clR}#!uaBHoQQ$)`Q;4X^#{6rS7#s|Dr=q12t#tYF6Lf4}d+ zi#_J6_$M@p=zeRsHe()x^r;uO1?^o2SH2|Bi?WUPD_&q#RO(T4;Cft17Wn#$tZdng z3Q#dNEKV>Cl`9TYplkKPm5zZQ$u<1>QisS4NKq{@BGgCo^(_2SnM%>uNA-z$_$owATn{y1s5g;4iA#>gV-Dl(Mb?BBF%ntsbr4-`whiZiw!RoV zI+y8I(%3B2q}VJ}034?>Mr+6_#nNbDuNpkH^0i4mndWw^`p9^&QxaQH=(hKUv>^=G_ zLV}+7p7tnPl^%6|tBNmL)dJ(#^g-j)3Q=9lHjLNRS}nvjs$P1FSO&8cwbo5z zNacZ!>v=a(=crYTE^IaMz>SS6d#@pmFPi^ROm!3jY|@{ z{}VxK02Yk?!z{dxJGFB1{|7Z5NYQ5--^VLGd(Cbm-qPjLfhx_>#W)x*Gz2?3y*c-=@e{om6dKP*?Xscva@x`rayMc2s>4NFN-b4S} z;|+L{RW}F)K-9(Oo&eym)h(P-xg??!txT9hRl~ChufeNf;OmP4LxU<7$HA}KW8zh{ z+WuwOA=c^b0J;jVDu9S89BSh1WY)ePn?z1LumDHf$VYVrXy@e73LA%Y3R3Ub)<2Y|LZiW3*Qye*}MQd#qQ|VT2j{5Xf2;&+05NJl zUvhcejcXwj2_UC105C`p`Gl-%TKxLeH?wakS#74VoQen+f4*U>~2IqDy9Aa zkpwC92CJlKO0M6$yiqdc3cxViEMct=5tocx6FBX+5|*mvdpac-Hh9>~-7n!QZ}# zrUk4Dhf~o!D1^YHr%6YD*{<89?cLp=YW>y%ubV^Ds#IG0N-ah^r~&hzqYCZHsCwY= z?&6((M0|m}hFHwpvXpNT|A6e_U%7^KD=`1PA3_irROVjAiCH5ELu01G^j+*Om&*}- zlCiSzdwl_&UP1cy3C;xI&*JqBl(hTN_PPE0X0aDtThZ4j7S^cV+Sgdin(6Om_90r8 zfZ`ew?l`N6=DlvThe7Y)rMTdDl(3di9wuRCr<++NP==sv6^U#Ne&F8Ih{?GP^J4oP zi!#=_pmIRA4s(JdfsO#$a42#MdEQ%Pm<)(~LJC~;c12Ze=yt$hRkcdSi^V2jOTXv%)@M_GG6-c(r z0{C|14AzTVa_wr_<0h@WYa<2GcEOp6P6!S7CguL`T+Zxmm-`5sTUMKUp$^#U_boIn zzN*z6wtO2{;KhqOZhFbod(Y~O*a$;5(kxo zWI+I?+4GZyo17!zBicRcw*L& zYEx|51q_T|6y~#iY}elI-Tc#f9o=^8!3YA~sRI|5k_HYzoDPuFDK7uo+Srf_Kds z3UnST%5>V{P5`x`7TCS~1Z4cLVK@xG{Z}}w7K&hHSl7eu&^CS_BN8LRp?ZJF$_qDr zx@i2j>ViNBs0o6@ZvD1kL$P%@|29cKO=HG=vM5VGUTD=mPG^|UQK3~Ge)LWGE*}1LHNH4i0C=09p;<)FFC-0Q9kp*0r^rs6)hO~^10q5XzxlK{KO3J751@~MeFl0 zclKLHkNk0`-l|9+1@Fm?Z=lA0wkxz84h-#D86wYL%>~X>_(jsFm5ieJ&NPVB1jZfj z+l`r^`4meQMf`jRx@=U3s&1RmF|!hh)_8fSB;D4NYn4u6-1hBmvN5cDt@~Ytm1-j);ZoH%1AReY zu2OYcLws4R$SGwDtHFfb#FFzf!%@KTni7F5w_k24-3Y$%#0}3ZOH@-R{ml!nBDFDL z>tq>g7I(3i;+{pBaU->jrhVXFuK4l4EVYop;+IV4bU!EDi|!uyX>^rA>T3=C|2J1c zCNK`)J!%pC6vY6&1CqlIk)v&LXYH->)LnTFOw`G26N6P=he*-@{tmXj>wCw#_>Y!H^0BX1xeti;wMJT45~8q zG~ln$SV~5EQUA8CqQAa#yB`95T+!A z^$h(HfsuZZxg*@UIOwEUA0PR$@!)}HJI}MW_GffRE+k8cO+1aqQ0k?}@VWmfqZuIp zvO}(CXB*0d)%bmI7Q>V1MLWb;&;=#dhF8M9`7E8w8Pi}(u9FBHN5KtPR9xdh{L?;g zG}Gn{OzhDUZZ13rd?16OyU zp};!0q|F5!hY&z;g&Eug7y0D2yh7eAY$W6#cyV5yQ`RLcco!0y7>aNN@3eMd#rPRR z5*4kl@(+OGW${rTQu$^)T9S&g7Zw|w)P4IM;slpH?8=ZaTRxud!ApKAI@-4 z@zo)&ONYY;F-RyVLE;@%L^z@WX`RlcEVZ9bxF_pxXR7bNm`^)JR^JJ11tqU(CeF}wS888DCDN0Rwo zp+y_ArIuKxv1b~Mlv~8~LYGxtrpPXyapn980m}Z^*#LAeivtYv1CIT4nH?9L9Zq`R zU)Z@Wddk@8`eNBw6bTqMbez4&EyBxTzad{k;6s6@Pt|m4T_Nb|ECy}p_Vx?~C_za{ zSlZPFq0R^6-|U9nCNfc08ZA}0&H40iN+z9j_Simo;fcb<7N^(Y4~Fze0{y3uVkpT1q4 zGVI)an#_t)f9I5vmED8X?^!KWXk|8OJ#+Zn{}}xx{bf3-5?vn8I+$kReK_4;)=6;= zyC&`5h6css3pqsNWN@A+POvC0*V?)sa4ugYGt*xRRcK6{E>0wGD~ zDlQtVauFe;LhEc9jMh5o@DU1{Am1_v>=&ls9q$Dq(x)0Z-|de&d;<@MFlX7Xyf&I; z>6twG%@qrEPUkXw-LGD|sUXLZH&g-pOS+3^vhH5DXms6{QFm4!k6b9#N{dNGmC;u zyy>Ci=!Vx9o=z(03M;!A4`XtI4RoEq`Tf}qWVgXD<3zXcx1d11LAycE zyPT~L-=>AT(R$7~hYetlXU}8*zo`j6C>#{cU%HRo`7`qVw|?Mbl2`I$vRBGu%4xsv zMAyX2gb>IV)b&DL!@^ZL!|TL-G-alS*A1zdU^eLg((XS&ya- zMzeJi+7jE7_>FRcv6W!96kD`C^x8eFeHX4NlYN@dZD zTH>jt?xSkofJ8vJ48{YZW8?mHRTq<#C}%|m4IZQ%=gy}$epY)>+;MvpSyavrR3E&l zc;s=I#SBNYru^xF@Pha~<4=n1(oDWaDc56}^J=^fZb}QiaRsvbl)=wGL}3WMK;%hS z#?ki*N502^1zU}QF$O?&)P~Ok1s#>kA3QI#GM>yFE{%jcusJTm9ZNP;38-bey!4`z@vQ-chbmRvX)sHM z-;)eZIhA*2YAhrh5Rw#Z|5c4tcyyUrWAj|U3!Wh04cT&}h2M`Gq{VG(aHH+uR`7}6 zpUxx08dm<3B&(8uIRf*x)YrH4ky9BYwt4KO=Hw(z%)`&r|7!5c<}?07E!Ww6TwFy% zW!(cLyB8miXFGDjSQ%}YPHD0P`Yzcl9gHF-25x6CbvCGfcrE80$Lbv#&mHzFn47|2izzp1Z9=6x}yCna9$Z7 z&EjNDoidCc%Bd1(itg$Gov0emUOxn zce(LuyFF2cZP};7mn^zu_L>Q6S3J*$x39sOaZB!9!+Xu7!H*$JKhJ72EYltED-0%W z<+N%Jxep(lxzoaznz~wE384GVN0Ubt+r4M2q(_s4(JE4FpR{W3JE<_w~~I!hw05S~#)?zPu>eT0enIeB<^a96M-^Tz750SSn8mYkZj&jy{FqdaLA8E3)a1snuYA`^E1wWiXn`iPo?`s&oJe^EH1K*=x1F zPK$kUm-nw+DRhUo1HZvJd16mv!iOp6ZJwFtA6j7R6=ZD0BC{n|+3>T(|1e3+) z|JB+4`%W~)U7|(d{x3dFmpkK!;?poqiHoWBdNJTfi9*RC$(`^AGMPjP=|OMDKBz5u6)B3Z|XW-M|F~zz1kiyPjA{NCu<1$iVYfPA)2Dc`uE&)KXCc@DlzcFC?jlzFJ z&kel23WH&PX%o*9-4WqtbCWR%K5M2jsOM^sYUTOW_B){U&C{GF5;b>OR6I-9E}_^C zH)fUV%T&)Vv4i`^H7kS8dUSPV5@8&XI1!4Mpjp^?LJcG+1KsZ%`>4K#&T?uvY|Qe=HMzVFHjg$ng2yF#xp6i^MOmFZK$)bDG@GM0oH#4MZHEi3r!qT5^b!T_TNi zglC0E))kbRubt~sX7d9w^jGKU6{-Ln%P&U?O5G2348qcHhp^W zb{<%_WE;IF2M1cP!c{_!1XVEn4_0vIi2!K)f`@~|cl-!Z38ZhLZV>E>)at0Nm21<- z^@E7pq~WMV6lS&QeqkiwP5GppoHF{kqtzO@CY_#ma;bPNTzUaFY?88~L=vo0LZJrg z{%Ho|E1@=gRyaP@%Q|oe_SnC79@G0?6M=j?_*X+S49}3Q0b!V^*c=N zS61-rojzA^SI~rzP*0>vUGjIcAAyKt_&7c_TP#VWy6YBgp=0|2s_nUv4f(NMrl!Q- zyI<8TqzGn7Q)B@BGk(}LqVV0Vw=!em)Y6^WS*j?MsBwSJI2&jEBt5Xt0ob~0=F;c% z&FqIW_#gpcq?|=piM~zP#A->N`8-{+z2*_%)7Y_9M;SeI5OUORcQkMSjDiq>EaoZ4 z*JA(FknneD3C~hFudj)IZl+V#;r4t1PZAz&sE&L<-R|mV-@lDUUbtJLpXSl2I~S9k z2w)@(G_6LfU$A7e`LpGz=+dH8e11ItBw5I7vg(#FAUAVHsDRa z*OF6g=`Ea=#HAeC>F@1we`C8>!5||;lZ271N80<@12a=8%R1{(;Wx(P`wtzNj3<36 zRw_+fW42|J1Nqb)UG|=^@6qokItm!zP(O=k770mLw9o1oSXm(nk3TkfX`zwIH}L> zI-`1fvWW!qjPjz{+V?lnBk5$W6m2+;1SvL{(DU`0``2y}I2k`LBK-JH(cSY;fe-!&9F0RMlmh9TZgAcY7tzW&9W$>p@Qs$B z;dYIq?E{L)Gk@v}q2Ay)7r`&@vu@&mdVQ+B_CA*;8yZAQ!IpCbTj00l_vL$bLPh(p zkjm*Ok^TVAnw;)1o0Q5(2qj$X&+y=I1W}+PoH<-lfK7fFeP`*{uM}c2fs`k*90w;t z8#i(WeoVlc!!%N}!3Dx4ZYe?&BNH7iAcnK$SZpSa?QZr3KgI>oNwjrmDWbg%S2>1# zcfw}^5mb?MQ6~}SO8O8buhFuuU|!^~*vi<5ftLZH!6P5HGipd=pYOuXC2IdPM?C#n z@MEl3++&>A^lNn|f+ZB6nu%m~2k?BNq}t)Tp}nEIp}%3cVGMq3dHH=E6p#^~5#Kw! z5bBNlL{oG4A1$AHd0=aZLTrU7*zgbpvC7#28MZ(2)$qCCLH0|#}lvw_2p+&TRo`}aUc!Vv) z{Wxf*C@6$-9@Zq;=L;)(XYR+1O`!Rigx6!x_4PQtEudieHERy2<{GX>!lvOsGkhE; zxuNTp2p!&leW8JGxaihb#o5TF=fY*9TtV;Iw*1rdUwt{e#p(30|jad}_y zjEBB)Uw7~WvdoYg&QKc@Zvipf1tDF(kNtUM&@0lH3z8mtzN(xmYVw2P)7_z?3`BZe zglotwkjc11NaKBCeKpNjF3-r1&F!xy@e)7k(nF10q&W`tq@eLz>h1(+Y_#jc0?fA_`|h&|5@&IVHX+SDk)?{@VAJ_ zD0meOFG4a*;=t3^%kLL|hwz0hkWpE-;6^l5(0zw+(dMU3#z3L}cs(QjP2~DLn~u*P z^Od-Kn!3yev%ej^upC}opc;}Gf*U@PRtmAC5LXDH60*s~+(Dti_w&_eHV!rkv$DaD z^xx)BP1e#>Dx1!EYvrgXkibHVh|y)Y!UUNO8AGt-j@$^(SZ8EL%3jgRGFhG z!`W(Ej~D`eIQVd`o*44Q`6<6W04gDkSB{5f$J9d9(J6yY z)q51{w1e=#)>s_d5qV06onK&TjH!O{0|if=E6<0hTg==vd)8SuCqQ4fk|mdtp%yzrE$@br*RD6F^aS@rCs@QZpIK>geKBUr zJi_PokE$ZMJji$D+GMB;Cj#|r19>*PKyXKrRn6Emsk+{e1$jX51*xGk9~Y0c>NR21 zk~);PZ!x{ni(WG5y5Hr-uN}hg;@RUSgbK3$2X{9U*B*Nc57`PCowBE^c%qEHrFn%t zhUG&=Sz($7Hby}I*vgD|eEFKg$4|A^Dd%A7nnQ1YB!J5rLd}Jm+*Kz7fHkX8vBvDN%!&)){XsR(Vdqt}#3_RF|JAZye9>^u|I$`mn>nPuISQQ z5{#f>JmY5|u>0#Q@`s6CDLZb`R zc$utLapfZ=rcs;NTv=Pl?E*&^(LusVd9(&;Mc*2(VGKl-ze{CQnA)2@FWJ>nQa#UWE1-UO2CviDZ*U9G4))!`caoOTpq%H#jU?zWxU^tpCZlZ0^3yoa>6c~+>#Y`+ zwFmv23LAVGmu>N+1=U0kUz*CE9uZU_{vZg|Tj(PeN2bDm4DHfky6? z0o;#Btq$wBJGeZ!|M&}NtDhHUYK#T(@rfd?O5s#y%Y&&{{>?R_G36?B3T*iJqA~k4 zNu}=Uvi-Pb>+)tqWMa+hjS0IWr0`ihGabR9g{K8eJ&oPasug<0&bNTC!4mSOU#7D8 zf@SWAifb}f#(C-Dh4p;UF$e<7uIPTOHK7beW8)3y@iS3zeXeY&k_eQCRc$fSC7u!a z84~gt;{9j}wFnwbVnnOZuK8kUXXvZ=gaGgWC>pY9oq4OZx*2A7GDPZZcKe6VQp!Y! zYrWgN;Mp6cxQ{h@SqFav!hcn$XR_LA6($w+W9nDRP5-TL`AuiF&=yUI*Po@IOvEdK z2kH-6olXd+f{(lgXrXv{IyklZTNde=oz zcv1WyDkYH@V8jH~RrqiEOR$yrOF`|Gzi81@4a-~U&=vF-)B$f$lbQ4|VZY%1Ig@TQ zxnBJob|04BD#=&WV#BztJLw; zKGPR`F6YQ+!skx=*BD&Kn^H}(&w=MoPZ!o;XPG;Vld`p*8hqXjS+^1DV1+uj)}gpU zLDgz6ZZpOV6c`$`KT1hib`6R299@$mPMMvj&F`U zZRC%MghR(PG`d>+U_@1};gjv1CBFFIGJbvk`QgWM7e?`TDz4w(9xwPZ2fm-3r58J! z$IopQE+}cuwJ*TVB0qwoc!R+q$~3UpgNRXXL(mexEZ=c&7#K|3R^g}Q^!6(v;AWXw zi0>xhx;u_~F0DXa_qerJ8;bA$rcC@tVpGx54s0!vxof)@)aD8$KPfh|DwUH#4U2!K zWDs0zaSaaRl(PSxut&b||3D~6*8iA8mLP}9Ne}CkIN!RSriOUS|1n?O#L?9`s68bV z9k#386zO3O)lv8cwbp)lx1Id%V9t__qsZ49q%)`EyHZ5%Of8}Gt2iJq;}KTmCCbuwp!uOalvr1*cqh)jyiA$6f1 z|KuZ-(cex0ewJQGJ0z}zVqKDNg_6CfY#gcX?cO#J%`5s^Rk0JV?f%J`O^v;qL^L)0r^GXo;u+rUc74glK z6et2@>t&y=SFzj#z5&_DI9Lyvap^qESt|JHGX(oI2!PTq!YVFqMv!cP!w9Bq>tGh zz@4H7HBv&|paFgG9bvgKr`Pl2r2aRF@rr{=la(r*wh|vh$JJOMujt;$Wi!%X5?%zW z18Fy6G*(H0{e>mTceiU;`_pxK;c2G~$;&+dpMtcPrdu7aR%@E8=e3HD4a*I7jL@Il zS|q0smjOscDKGs?&5nr1xdIPo($S!cML}NkyVyV(56hix*S=-V`70J&KNS=+@M3J}l7uQUvB-y*+B z2$A7og*!etLWFOEpQ+K>vdLM5_h>|XM6ab2{19^D#bQKVtf@@>f_F~;!TDqoIc5=v zaADio%DeQox;tQq8B73k+K*&U(+G$~_#(JvHm|+Z^2I~vn+sdRc@IIEl!JyT4B9~# zYGdyLofu@V!fKF_)oR8bh`FN5w4{UI!`*CJiWtN9S?)ZZIyU)Qc&U`Jc@ZOLC^P>Ehn!{%CR7_o}nv!PB z*0g(aJIxAJL@$@4p`nm28;so&` z|3{Y>F#v7|Z+zM_CkAZwjMmI>0o8_ zdO}}Po6fQt*ITLE=0%>{8xSYUGq`XwLax#-WE$6MGtHpGr@I}uLV}#hBL~9qth!oO zQj{NDiEH zt^p zE@^;~>1YXXu6+{=4h2;9EvuqDOfIlJ)e$;RYD%^rxYa$z2?Q1RpPl#p zAH_Tx*9ZjoyR6~A8pKO#L`=ls=7x`2yRZ5Fcq$TS$<)v2=xB5kVgXkKsIQbnkMCoh z)hvbQvu8A<)BQ}M+_YGgFTkYAuo+i8P#ggfvF{1xRISNMEtyFd6DpP$ladNq{^l@N zKs}l#C<>Kge~5)4jEId4t;}Myn9L1@j=;+IzB`$jo5Mm&YWQvOvJBoOpMGjS-CJLA z+3oWX6cmKYSv7@-x4OJs*1PD1ktfh9Z&3Zt>;+p+BV^HvH*%4Yk!R`)Z`pp-8g*a2 zJi34rUaPZOXLf6Gck^X7nI{)lap~#l+Z=a35pr4mg!ZHY*C9@x4;LhuSeQ9{E~bY~8IEplIA~BP{n6Z-M-Kv(FD3S}(?d6>De63w&OIyH04?@88K4ORK zImP%&w~vPqXR5n#yT=i!UTcE8@s8mh6El;vk3o+wL2MQm?lH6pjj-0Z7xyt&$RD%0 za!DqRiJ#5wT9ePu5fh4cM=>;O40MwN-hv*lZm*>@HL>q6R--wn$A7E#Na!@1;(U66 z8HA}P;?s1S`~pYV+}%*l**nxFF-7>+LSl_0HGJ z-_+dE@X!>q_>_{1#n0i|e3dcV>i=2YmdzHNF=w`-yO~`{HfYc&VgMDIw`5|8VSR|c z{ka8QWh}GD4Zz=$ejUV(@oBw?bfP3hCFLQEb0C(6q#yxiext?`K}9<})`UWaMLB{h zB!ZzQDV3BS_v<=r9v?sX!AKjT+7inQao`NS*8LdcfIL2t3kebHxBBVI(fcpTk?)~A zds2So@h{c#*2q?fRKO5aZuld4mWhK470f^_p5mFc83@--+Me-j?f}V4x*nN<`nM_`W1074#>Y>x z9lK)`G|u<{`$N*JrrVJ4Q26!O^&RIGlqp(+9p6P9`-k-%aL{zptXJHn!(RNTM5bd8 zRNB09G3;~Y|rhcFMGejVkb!#c~l|u8z)P7^M6H$ak?Zy6gqb^Sj6e1pMw7Q$P z9EYtQoEOjCWyJX^J~7#K%a_`MSAUO(+|qkV6T|Rn8)buLh?_kn)qY> zXjF43;Ih$<(#G7T)Mm%AXS4Z@9RfI6;Z{5-VY9KoMs}f&or>9UZ0mTK5LL&9(d0qb6cJR4GXPplY&K9$-sLYr-pZZ z+rG*<*E5YjnO|sM3jukG;JevYqJ)`Yi?8mF%?^UVz`raF@AzDc>PIvgcg3H`%2{JC zx(B+-EoUlUNtv>IP-);$=ij7Kxx&8{wJX{LFhDfB41V%a@iP(Z++$p|9l|cT42si; z%OU(8OmQ85)OhOBpzO^K8bR6;E$|f$y1kniZHpT$7E)38q9S47n7#eC?CY)uVUk*) zT2vaQ=RnHS__vX7SM&k*UT48+wht9&&Bbh?EMblPLRZR_3TUD0l;?R% zA&n(ptx%@i;Pr4aOS8tJcf@uC)RuYl1X?g)Js(&#wHtb5sD>IZ@p)eT)}g#wja*Xg zO5Nqgsjc>m9kOLMa$zR}6UHo=cG2uL6V%Qwzq@pFxdTOZ|097LEG8HhJwap!Xopxv zFwQ+7&T+S-|4`(w$H=w#$Ec{t6e_(c-XR)Kw=Fvew<7J`4PNOHhkJ8=Lbv9PoD{oOIJC zB9+-HPIk{>@Cu`xmAb7k% zOZ$J&5Q0C3|FU%*7B|nr&s8=(^!MVhm*Sh>o}2x2xwUjrIElCyLs8h`^%9|KRo}@Q zg8tT{>zaIFl?P)Zy-deU`%O3J4ZX+fhq+NbBf2r^{r-AwIUUu-70amKLeZgO6lKo4 zDKUUDg9OtA^YdK09>4RP>V*3;BB9F!ArU$Zrm8W>v9}BPvFtBDxM`gBYAv zlKR-1oGO1D6g>}V@(vzj+#a6V(bk_7TO8)&g{L8*I^O5ps2!g=qI^A;2Z1lje)A3s zp*54#2U)U-wKiF*{_mWAIT<)5PsL;~TUJ9zII`eRLuyS@YGn&0&yAg#%d_?`8Q{9x zI?eT=ystx*TTmkmSz$|I|=Tq|>1UNtSA>l>Cm)dI9etb=OqFA5aK!Xxd=;qcsmE<+X z3GUiXZmvViv%o}VTORO-(>DD*l1&MBs{n^s5KNX!^K1t4*s4#!$kxIiZP8N(*-g^grhm!ytvbb zF;_nwF=`6SgXN1EBA-oGC_)oBcA=jsgS;`1|JQMV;x*n7856c@812xN#xbxUYYx@YU{T<=l%ud+pKT_Ok!_S19q4`0KYOW)WVi=QVl*FyCl09rlhEOwDW0)eGzwNS_u>;)O;CbQi*U#lpOu7$vFWo z3Xkbvol$gl*VqSHDn6r~Jew%{z|%CcWzucsc^)q4d?*@`brr??_^}u-R%5Cf$68hSH&3 z(~kmZD7^+HT<1v0m3w^RW?X_+GHbukM^-A}F~3UJDC4M?>_H(~kGR}71Llxq27{dP zgoYz%j7HY3asi;5k@V>{V-6fkbSTfrA=)VSRw*IB`@eemcAy8MSMFPf?Hykz-$iDC zNjrct8mAz)Bc4%Bx$^!6rfYyR_j934EHybAQlwB0HT(NQBS5XzTUafZU*qWOi~?p= z{b;Y=f{kevlW~K=nbgEX#G*fQGP4nGs54&w;X+m-`d9`1ZWX0~L|xIDY)i+&$!^RA zffI?#D3ohUV094DEH{81af^@k4eAPJa~Kw4*R}>pw5_B}B9CCGcKHv(j zId}ReC2(+>?SG<+33X*sosLmhNj?gRH`yVg#Eo!{3e2MiFU^UC=t_U>OKe+Ao03j) z@;75z+~e{ed}rtbYlbFQ6SWQ#+;Ji_pkZbY2Mtd{z&^2_zaHn8KzO9BLOZ^{j1{-x z91cK~|*oCB)>JxoEz3JxA z9<`QPd62{TghMRtpE+KC0DKDjp%!v~)d0E`1|(8p>?QAc4!=l*lS;&IpHeF2g@2Ss zuB+*%M|DgrB};6aByw-^PwC`wr>aj*tTP5Vr=t=lw~!j=fR7;7uEX&xVb<|9I<&iP z=$c1diLSm_7T#FeOHt%&A11PaBQdVnCJ>wOtF1#zBNVz>9p7by#?pzNmJQ;276G6X zL*2$2Np$RyfmuuLo9$=vq9ShW+G0tZPUYs37A}Ob=CZN&P-~oubqHD+afvl16EuViv33X5Ujl`459$ zLT#onUS%rFK*d63y$#Arh1M^yWy=9$oz2C|bS;U>(v*6;`-WarFOA&`J9b_z0ViVR z@LPDy1gNBH?^m%p$r8g!ThIxFcgd-vAa0)Yy(rH0eB6Yx-gK0$>c46IsM#Y%b6<&X zKXV0tLN~6*f}3lbd$9rH<72UF&eHypis8p79*|x?oOueyd2uhD&BLlSC=`2fsa! zW3SsDWG1Nd+*r!&3j%l7pAL8EcAcxi@BH&Ie5^Kk__xN3mJnhXb36 z#wf`*KIz}qgm(U(`{mKKxb>=a?3-Uq3BEe+>(mKPjKYLEPx#<9Ub{Z!;6}q=Tj>N= zV+qJU_7nP%)P0gU`7toNb4@7$QHzrQsnrGFzHOW9!TZQzP*0n}tG1)o^*a6&i}o_- zrKF8)Wy2wtB+^p1{05Ul?FP1f3*#~NiYf}1!qs=MYPVrQv;Ic{ofRW)BVHrE-YRI- z`gi54GDNAu8Zd#OZ>L4@leN5>Mh|-K?Img@tLJ?;nsR_gW&v_-2Jgh}fxCoT$$!{z zf|>lf&}_@AaTo-y^R6Sa1Nk-atiYC|h^O($Lmj-rM(I!`w+%t{i(7swa2+aTlUedN zetT8~-)pxuz77|;Y_Ss>4H1;qk+ocd&o$==q#Y-UtfDKS+je!YA>wfIi9*NIaxXQK z5VGQ&o-Rc_UwbZ}u6(RV|LG5IBmJ+m55TIy5*+_&+*qqpPk*0S!0d+HJ^xH6T)_QM zs)V>et?>guQr3~Zd4uEJccbi{Z`guyeYzIbt6OimK4rK74NXs_B6naJ z_$PqZZij$X(%|nNydm+mzVe{YXHUd51T_J$Mi5dFQ{fr@GA900Ch#|(`_=N^Klv+D z3s@EJD|qpvGgtr|vVCwo|H~jOVDsoBGcIc*<7eQ9NzaYQZZA0LuFeKz6n3`52fwm1 z$u|JJU>U#*-bV^|ccIQ$QfH+l{W9y>oiIzH)aY2PZ45Uv2GI^qWD*N~l*nye~B7Hc)1?)Saa zi)O2g&DOC?>@$RHd2S4Y+kIl*lCUxuq|{3(F0%xCq?%Aj2+D-H)5>_ZOWgHzPvViS z3Oddk*6$6hF&%FvGgr4b9R?1F0X~RaZbMccYQ&9ph2pE4W<~^OmzzSkDxn+f_L+Yh zQm?_45{YbcmLED($VKtJm8$P-KB%doi1m;*H6x1t)IZ?Jw_Ku{F6ZM3%0)LLJR}PE z(EQ;_{3HnR9E_2U!7wlWKA)bRgg4@%t02rv01d!$A<%?RQ(F&bp16&H<-Jyy#62Ards~b@pk@FZWMOxz%Zy7ns zY7%O~PhzC1-Cp=0Zg6m4;!35t2@lf}Oz{+1wN+L~0s#eOVlSs<)x{ zBh`M<=2XDO^sX>we`PW;H`yjRhTrUj#;Gl<&VSGkx0cr2JD*fq8LgEfa4v@rrDO(k z2eGTL2NwrHOfp7|BOnJenLCy!&3ed*gxWGLsISS-bZZ9hsN(#V>Tt0s=p8NafHoW) zAFb&IC;fC(Y9>tpQv!qUszliN;WLHocAaNo4n zc7w2h6uBxVw+p+uDJQo=siC&Jqq7Jpg}2C40NQu>%oP3rK7jgs`tA}-goCc)z4)vy zGSm3Ia3p+y3;!0$*+bd$S4{?(wtbJbzL`g-Y~vSpDkt# zHB}im-da{eqhTz5>|`apr#pt~WmmG2uFT!O{Q;kOJhP6?Om1?$G+O{TWdiUrCpe~# z86E9MOw*MbE){vu*L2hT-1ETYm_zjyp10dHCf!@|SX3&Ff1{-AkBW;dvW-ZcyA1AO zoMgjcz<*hojoGo4LV!kx^$cbdygDBY#aR34ABwVYYB?nzE5hOUCS;Ajl=aKWe~m|Y zbZSw+EEcJw`H5qJq;{{TfDOP{nN>uPPZTaYxcrgBG@Qo4AK+oPMF=xJgo&hu{E%`} zs9{T5fAL7ncS2;Zra$P{IgSe(B+)$|c?(h&fF>l~23;rh-sCuztkB&?i4b0bvF~Wt zXd}`->~3KnT2TQ*X&Wtit4W;2qYqZ*Q`7&%oyR;LlzQ54ICSY+4(65`kzRFK!lK&> zR~m1M%KEl6Mg$>F{gverbm{AeG53gJQJf}0>mEB}g%462uCb3s`v$HXqGV<>;^OV= z&Ks;0Xv%jvtYCdjYj&)#!lv@Uc-HyB+u1+)}pAO*gUbY^l1^(HqOZ%|{P_*Sus5uC&K=ZT?ot zA0$;dp3i&zGG=vvyZImdSOJm7vMwOsYW) zKK_3sR!jDLB$nZ*{Z~p|t45}Rz%m!}@ef87(1h9@u!=K0qu!u4Ciw|q+JCk?`@fLDwo1Pt;gdb0b9aK%lTMv~}V z>^oDBS$KbJlo#1JWOF1PU6nwUxsMGN-^XBcpl~W+EQX1R5$W9B9Gl9mPArQ{-dp(O z8zB){Kz00!a&WWp$n8~g2GDsh3X1B4t9y~}`gon>Xgq>_tYA{ebqg+PwgL#h;mAfj zDFqIM0>@t;9>uzg1(HYYEaE@-4+c0vplTz*pGD)iNvBHl1?K)scgc~ zR9(J}SGBsMrhd?juMlLnxwb}efu3u@AbE}Cj@_q|S*UPz{p5{JQ3-*dEpPb}Um058 zwVho|RV4$@Wxg+4AqJ9a{R>B}PU!brRh`#E=oAv4pBN2e+xes0IXw_;N1s0U>NEDYo)XB(5VL-9BRCXD5DF`3~xCPhDD799uqB zms@2C26^$`VYB@`^T$ZDp)JW`kf&9w@q6h63pv5*G;JI)kC86|*S{5*s4?1Evd#Uk zvagPIa6OG#+jIPlU`iKFmynLB3l`8)MATf^3rKaG+BwI*8FaRKT-|v1KIyJ32KHVO zM!%VJc08wBGj6()oTGaCL?v0^kaCiyH+z$_r=rx&=aMd4HCw6W6y42y*{scsr;hDi zv*KbOO+U?WX8&T55{>oQcJiuB>@ZEb1TXKeWnC2i`8cVbkwD|B(NU5d1_{?Nx%iN0FLwCIjHm<9 zPCw=KbWQ5H*o*sg=l`@E`nQ?XhYLt&ydzT6(*H}``68qN}hu zIPNQrn$=3RI2dl;H(vnskW$Js|9j+Lo5IVNFJDgb`>yIPJEtUv{*T?chGQ>=VC zk>tFwK=2JCH@9*)PAV^$rw`|6!LMJiPMgbmf_L=dpUKkD6I`1wS^mE4iSST>NNh$vPiIU+A#X){2doDvHD2veoXT%oN<}^vV+V)>$8g zhYojHz-o`Xmkf1y1X8p1I^5PolX=0$(+PVSocZ~JSBde7{ifDx>@9|^s~fP8XOL$y zAuqIhU}54)0)|(H zp_^7UQjL@DmhM};{yWXfHT$(EU@ow*xJ%b98v@lN&f?8VOG_`@wG;W3ITy4QO&}!M zG10-N;zQS(ef-{_{z!MJy(i6jso`9bOoOwNKuJDCzH@epoNkQn==4ZhMolK8 zZJmkuYj9)t>~o4=8FCa8m+_|ZL*5XC!k{lPEgM~mWlm0206GO;9nQV-ybYYUFJf-rr9;!k=2%~T)I zOxxM>wE&1V`42?2X-CtT!-f}qLUV!&ged%to!To4L%g#cgFWz67U)a)sXHX}Z z>^%3kcf9>p3>Hy3lRQJCyFw(q=pTrfT{8AuW?vd!#zi+JjjoDIEM7g>svC3q;}JTg z%_c0t%=7BzDIisP_*~Q;b!CxwyvW}oWZ>b_ZKG+hTRMpgNm6vWeRP8vnWg7z=u5au z&iSKN(Vw-S^$TYD6>>F{)>0J|kbQ9RAsw0kbblX_UgW3nXQJt;1*VPQgKoMg5RK$e!S417+4tH-M#49@3OJaux0YW%%*|tl70`- zVsd_8=9tb}`aKR<)!4ij;C4uEoZhI%ZK40nv@e~us!CSvOq;|DzM|u?AUVg4k^_XY zVlc3dmobkqWaV0)P)hN=OAUBU#Km$i;H8veMvwsgac1V$X6fF;3oM)9q;+1X950pZq66pDv&3-9PV> z^mM4a3N8EB&&5qV?wOB}Pgdyy*SCdx`g<6cm#B}&?TP$XD2{(Zp4}e`^ho}YL5f-R zgoQFma1b32z6~$x74?7nOrlO!mT7qo{kTvNQrVh%_&zo)E?o6(3aptOCix<#(RGwb zvq#eJ9%U6bsW0c8)VGD;8oKL2J9f+>q$g(mK`?u4h6BqpBSh?mT#>s&cCU^gGskcy zlGPkOMm+8hCa_?$lr^YbYP9x6hiI*@pmXDG7j?GWg@R6x%E)(d$pW+3Emj_3J5rY5 zB;678)r<8Ft65ICyoL`KoIu!tGW2<*&n)Lj>s=Stq3YA?W$(azZ&e~kbDnc=PYf8` z+V1*_X&F|ag*rQkZ(B+(1fj$-YNJi@ehUk|kVXPDI{|jeVT?HyJR;J2omx2}d>h-E z$~yOAeqQZcVQBWQ`0;kd*-`Z0$Js*$cE6ysoij)JacV}*%Ha`>L?cDQCFDUBDBwH$ zPPSF0=YfJB{1FTh-)`P!4jGV`{JQd8$#6s>_&OL~$7`~@ZuaatEfqgph#B&A?Z>)f zx_?@j2K=id>}uaTJ&OOt231&c%dK#X;pSd!Ya}ON8 zEL$Ho9Ovcxa7aEY;Tam|QL@sMZ8^?7~Bm><0`&H9H+?U*;zY6uSffSj>kd&gn!u2gKM^I4E@#-@A{z5AO)+KH5gftVz zEhdwQp#Hw{wZNli+{|8+j0S5fs_JlTyB3JH!%}NW@64 z6^oKn;52PJ0^^(W*C)?pT^hlT?R;w-eMGr5{=dkP#A1=|i zC)|bH?OS39s#;kXEHqf96s5ro#UJ=W2sJR}iz3-3qgXwEz8XvQP<3&4A`|PW0gF1H zU>LXga2(zudcR#dey=Esc~v(1+BTJJq%xgh0EA5>NQB*hM`mG~kiViS$#AoN63Ijv z6{A-TyBr~4aFk-MA@cW7@{^5l5Pz#>5uhiK_K ztLgupvm-bHaikf_y$ff_{hI|YmHDASFSMSuipl5;jRU&d77M{iORcu_j^t2akv}+ zX@}%aOXB}?dVs0oA0KXL^vLV~r7C!ad6~^rykc+3XP*u&%jq0A(Gzf?dB?L9F0g?A zT7D0^-i8Rs+90S;tqQqlDfpdTM=|fu8*q`R{d>9P$vjoY?>`d>(PaBwXRbNE%BnXl zw!HPa6=4@$q^@`T*1UQ=1wZWB>VMR;FY{SLKo1W{T$Sk|_Q#6+LwhSPE2*jdjb*M~ z(&w~>^FWa0qz&T+%y|Qk*SCbT3G-6Z$HMh-8nhmow7QP*llT2@h7ozE)b!XRvT6Y4G)s9{BUXJVdq~mFdJ|h(|50ADO`UL?|P7VJ$?DoQs$dl`)S%_ z!Er6>LH(@5O$%+3Ki9&Y_dIH){tPaoZ`r1L&kAp!bxhgyIxE()lHf#qt%5#YBKbW# z6}D-jf@ds1(ZsqQI4|?cRT4@|u<{oC1!$4r9*+>NmuYsqhwap%4jBbKci(KSdGOMI z6NL@L?N%*?klYTao{0Oaq0dt{DEgW4Tq|wPU-zW{O*xVekJI+WcHwcsJ$-(9#0rBK zloi-Qp=>q&OFzMp!@$6JzWi))pXzx94*0_HeJQ=}`L*kME!Vi!`rb9De*>No^Lts^ zZQWh{Pr`!i*Lk;7_fn=~{Gw~sJ%tvwtGGsG+i$GSySV?7Aex$=EJol0JF);{NJ5EW zG7s%0OnTu*HR4yr>YNd4fUwhk?CSB$+0vfb`Ok#6yrBMfrwW}1CD&A+i7&yam08VS zqP;S92kmQBjdnfggcdyBB8Rs$76MMckLQkRM|ZdVxkoh=q(~Qxfcny{(jC3t4Z5vg zldUk7o=zz5vyb*d>j|drT#oRjJs>@qKg!hYKl&EOXh~1>%2uar_P4k+|BD!r?z?<_ z?6N@rP&WCm3IYEa4BYsTq}uqCOLC19Y3Sac3h6u`{IOJ)Yk%Pf!E)vD1INPDa0pj^ zbhHZTVn_>qKtCyg@Q!cXu3oj%f)gmAfzOO(C-t1tB2T671?tbYq}*+_W*E!M)<*ncVtN-)L(uP-h-N_ zNxbTfE)nPvAM-m$UZ2A5?~NBfd*b?rp1molE%;q$p_*yzOO;J7PV`9}V|lA}&2PeJ z|9h|0TOMA7+MqmH$x$r0Yr78G%dbVu9I@2SSUh5Qk0A8xk=MA^kCK`3u|<(_rB*$? zR9|qn&&erakQtK11?Fr2K4wEJ1`2}~mO0qtVY}0nLG4zkC&ODQR}?7qt2bozR_pTj z2?_1Q&E$zIPdo_7o6$}V$68#TAzTNXH6#?pV6~`MeEgkf987}W_jL%)NLGo@O_t#hCSB}Hb1&Vqys)@jr6Qg#R2{(w z@MYaIT#o;!u>UBx@d=azTmOABnI6OMwa;DaIeS|R#`Mkaz{VUZ#bn+uRK3GFmODem zI!&CV6Q=F&dn1c=esPy3vxj`_9lX*j#Vbv&`tq?}ZHw1^QZI(8Sf`A&bSB@7^j9`K znS-sMv;WfuhrMFEoSRme5$??HbV@9vL_tyXxK2t84Aq{NJHn!*)aOQKF~nZkm9%kR z9%~NBw>*o58zm|tcm8_)rLIOHj3Mf6AN;0E)UoagD>^2*+@YuVzt1r78_~WgOTRE# zcwBG2LXsRwDt(Q1t=`0ZZp6AXU1>}mwCT{Zd&>j0B#olX5SsX<IOTF(^HZc1HiUi?(bTN`pTIeh?A=AfQvYIMqv=0*;tErN0zgre$6l=8N)CvvZ|9Iyb z_fAV1e9-p7>809Y?_cRw+~i%umvT|MHeG3Y`<;7j+oI6@SD$heOEil0TNpnkS!EY^ zxA8mE-zr>CkJJU)?g-qrL?$yYtDO_gL>Q#0LQ_w+6xXewD^5Na^82aK_7gXnud9}4Z;EZ!rqF&i zfn8`q?Wi~#V@2Yc90yqp&a!?PIx)I%xNy2y%)2VS-616Zxw}X(MPN)0YTgW>#vEUW z1|q)-n{;}L+C}_M-Iw@G_MGkbACoQeoUl}aKljqz6;>rx7SwY+<&l}ySSYy=JFWO+ z$FUGS+yhBI{dNYrow-2y*NzXBufE6E{G)$PcTH9%1<&_U(c;lXmn}^Vey{mU{7Uoa^w(+mH(wXtob!KT*_9SwrXDmy9=`|PJ6#1%s8yaUVyoPVx{h zEJeiavXdAB6XCrqr8k%hA}iqQLhJh8rb|o8rS;ST&UXR*0#3X1y#gE?sw>@{(1(ba zl_;aSOkUCMjbPl&^Q_~wKUF}9_O0 z6`#s%`vAZ(Z@2#H76{I zi5o$;==Us`$gmQJlxy{SD0AU0ozrLXabua=hJyq)1G@UEIea!U`mk9q)nMa-<9x&; z4=UCBaU|hjvv+SV69eBvU{p1{h`+C&J$jEswlA09W0e2Lh>6J1J8bBC=!dg`iCn+y z(=8@Qy~b5OeGIAXtmJ$tTgEzQTw(P@Fv72Y!B~{L>)T;k7y@S=_sugzGcc?wSd2{m`A@$|xC_$~IpK+sO^*tA!6fq+8z!6q3}3^g9`uXpIsd+TmVt1HwS(75SAoKk{>Id8>nSudoI_zGUuZ983#T-m*o8PIC#Pef9qjTf!^W^@8eoGqEkl)@tGf( zXqB$e=s$I?ODIS&f)=^rJmm>}N0R#CI*UMRmCpeot7SVWxb%bb7?)Ib&qUzpQs0GP zS9l-G`tt*76=^=ZApFvob|K|Em3PyukqxUal54}6;&K+J|Cp9-f3)@WL$IAwHwEzgvstY_v%KPXu84z22F zWuz1093P2LAwhv_&%8?hWbKueLp~x$xa&k~Ewq3$v1l_NF&Z%$2}+Jo3agyzllw|& zO*qRp%fB@yW)&dFWcm1=)`{82<()~BcjbQ?pY$C_#M!6t={e6*X0h?gcjmHaRE@rw zE!{MzanQum&y&rhV{Xi9a<4*vfeL&zADi**;{Ap&p?#u*U3e|Kgp0WLmXPAI;?#ZV z?47x$J%Tqq9QDNFz6kWqsI#u;=UmhjvQT6+$VOt(R2A1c!aS4xBkXs@fq&p7b3s@XN7X(3`{BcE2Gh&( z{4~!Jgx6MGqp<+|64l#Z(d=Q(eWwI(A7Qa)9hSAK|FYuyJQ_IZ@NPE;J`eE9~_8 zYR?fZS+W)zSQ1}ud3XF7C;o)aT6i`7n@pvr8o5sw z3?839rq_=4_^9-@&=uI$5v>T%5^nDo7J0M`oim#bH_7!Aak1##eKkx(M42mm3vcu_ z_&2A~jLY#80p;1G%d|w$wH~kY#k7N20Qbs!nR3Fq)2PR2Bj^B6drS^tT9GH_i2oL; z(s?Jg?k}crpStzB#q97BN4N3Gy&D6|X^Dn{*U1W?DP*>3@9E@LYL{vCn#6QNf|D=~ zu~I$SOxcD6ez6MIqWE6AO9sb=G8}?`21Nx`5n=R zAJeK!_yHD_KkFP`7ai zn_8z&uHPNjS!hL80c<}m=sM&s?0;0Q&;NU7fykN2bN`Cs8^W>gX%+`Xs~>mY2u<9C zS)a-D03U^Th8-T|b58j<`mhO-?Zx27lL=&|Y9{t-q;xB&Lst#TW^sl+l4qQPK?l(Ps+Nce9cZ#FOx2`fE4oMDMt`_ii z@3X#b2I+#EcNyUmOvMhZx4xoP(N!OF7bC}4wB%(MdG&8zUVm1!GB0bOn9C*YJEsd4 zDVwg@EkqY=$7m2iH&{X6Q|YQ!fMc@8Wre8Qi-kAlEoefD|71(;y=|}V~V5Z`FTQj zZA{Ha1vGwLX*I5QT@w^PJ!r^`4Z}54idJc4o;?3YV|N_HPmBrE{7slypBes$e9LsP zZH}VVoU>1vMin%iS@It<71-I@e4ECbIucduW)l2DeDXh-B%(d#$GWoN~w@4Y`E{>b*4zItWsyr*Z$GITxp@2<(Gy|+%C^u4oj&KFT6MWqW45z zA91^U{Om_1y%TLegF`a!(Xl`1Z#Mc^dcQidmsQ$vWF62Z;%J|FimD7NbG*tzmO|=xk~8yR8|0IDHMmrGW+Qp`*5xu z8(~sVp73wd^ZV8g+W8tO7w*tyPRNJR{hg@iM&z@7pJ*K1fA#h5c zn_OYq@jA1WJGaQTXrmQ~sRRqX9|`*jf}(X3goZ~)J@u&j&yM}4)c@VFdu9syW++tY zO_=I6*|C5!LHS1p?HN+?O()%bcC7k5rW{%&B0dX%Ymt_d~4bEiQ3?Ow-xsHj-_8D4>l=FG{ zBeJpI{q=mGq3s8B#%goEi-AOR6cNSo+tWt5jgTImTxUR3mHoQHlCQNNe!+LhM7QJ{ zY%Kmc;#1Aa)a2yNCRPzVh?o!w(%Toj-uMb-QMs%Ah7cJ%MS=6U5zbveRNi`(Cj!bl@6KiC<6ZJtt z;wRT&zH(kGeWn9tt`LR)4m7#3g2{r=OrdX~jiaS6Cgb?3GRSyURGO zb9Yl*Z)c}__d8EH{&t7rDMfjGZ1?WKTRj^{;LOC-WI{}LQX!sLX?WgC3s40~wW!Pv z(hgor3-s6fFE+ZpXvJ{L#0c^ms`GwPN(3a|TX67znP6o}0x=UJ7QSr-u`A}0^)g~K zK}(%^)sn?AczJ4(a<#{3OO)e-O+ik2w{%1akH@Wlhi0}Ak`m%^&>jm1a zN>FvmiQ#?hiLdna`>7vsG{<@<9=q%JDzs2FuL9)u06gINt7zq*p&>r=2fd^=KBfHx=@jT%?YtKyrRt!z(BY9;qejd!w(;T- zSNgeyxBX|A1D8~BVz~UawYoah?zMef2;C#>^VhCY^)MbFL=4D$Ap39}r|>6SvHJV% zA1dC~!BmliR@N0u>9zobvv#HShK{zT__$$@d|pwtsK54!^lnexeiSsdM$%NWbUlst zL`}54&m<7Vo^>X1VnyMST5Fd{zSZpy$@^T5GGDQAc;gb@Ts>JJf&tk&Vc$#4;g~cX zu8y^%G`f5Q-+OuhtUC5z#kPCp_9&IE`8K8Tm7LT5Cs^wbK@KQtnn0H)y<>lXva`;; zr({UffHSrs?CeNKlNy1)*Cm4@dq*v7lBxol9_@CzE@Uy@&LVI5sDvD{Xqb@TxKp9| z=(#BvE9v^oA%>i$Il9sP$wn)}>jlrsiy~Xzj{A6?{o?2n-=cMW?aGaD(7QCpI7rZp zQO1gscl$cuTOb-`82VI4*Ws;w2e1Kr*DC!JUU=K!_k$_w{tYkO)buU$p9szL(SjON z`M%49MpdoDJjAO_{Wb#oL2J5^)3wWcL@!d9L-zBw;(zK<2LDG7ze1=nmL?*-7{#KL z)U&%$=3f!7VZz;F_U4V97Pr)LXa~F$3z#n_LJCu0Kj;eTcD@=gnXWL4`GqlwD%XyLF2c4*2|Sn1q^ea2P0{j*#$kl~MBrY% zdWQHTB1{6j-#A{RPH6QIp{%+aSluQw*~4! zp~CK3ar3uR0t_S01P)NM8>rbf)Q*}=Su%)Rl_p~3Db8&$^Mud{B#`8Kz=w(|h}|zB z_?7dY=e(Uo4|~cIvJ=ANSb1Vtcr4zqfb*)a22_1F_E&>tPswwzua^Tua6WVfUcanz z(avN!!XM)_%pjKEczY8b7r_xu@T{#CFLYL0wK4MDI%dVdH6kzav)-rxLc~ z;%_~G<&*MsMfDYI?-_zU7^?@K)ygGUu@ph2y_fg;o|r*#9dUifo-)2`jTO?pX z9p1uTX^pih$0FG#8yv%xJ^x60&jQrYb`!4h)NQ=%si7z36L~RkDU)-+s{ZCe^zOSI z!m!)-zhJ-I*xlz?q!t7*8+0U+*o>GwQ+B_-=h<<2>ReKz{Q!TVhp?X&r*g^u+m};8 zB2UK+S({Jah}m3ja$6!L6dXYwtFB&jo%WR{a9d8#ksD0(3oUYW%;c8>yHh}`f}Cr_ zv*{};b-ra+zD|!@Wb=8FDuP_`kLciq2>d(8^1kSQ>GJcDVG957^X3CmL-l0+fdM_9 z48?d}v}l)6hgVKl=z;W@=x<)jcw)qE)4W;w>?Z4ddBBCsq5Vc$J{nT55d3E?sQ7(F zpn}W5_lGZp__Q;>64DVyz{4P>AB5b_tm|-r=>n$TTw2a49X70f^P|URu8hPjW`uX5 zGzotg!mDxK8HpS?u|TkAhUyx?eg}^E{9bUhT zyRH6h@1yTh>_>_)gO zCM2n)Ip=EwNehoB!=T7p>>WG^Rqo0cKhyFf3c#CSb1agY=;(Wbz4S||`GJs~8hKGH zy|iLWt^j3^bLgcpE`!BwdqbpAwmrC!{T*iqXh2MmU%roxf#U@}AA*U{hJ@3ZgErj& zE}oY_{MLpG9%)3U-T3l)Du~y((n0T+^}{7quY{`CJlyXE3H$<#BkP{d#B6FihAYj{ zOrF@F9oyAv;t}s`J5u4{WTmhtS7ATc6I2#1hl4p82*JTTyko7v{S>>NBPO>^OVRL#`~89!piQ~nWT%EOft8P zzeG)zTSWd{y#Q+IFX;pc@TrVmc@!y;@UJ{o=KN=#fb`B;qI6^<$tvh^(<WzuivD+hR{~e!}+D?Jj!pSqKyCY^1Rcm|F#eqoK zs@ivac9EY8t9bqK{VO|x}w;JWKS)2;N8 zm!ZN+PA-_|7WW#33CoT5pp{jmr(c)Fhgc%euv`A;Yq^d=F0#<-rY-eB+;5yR3PV zD2a<(7Ev^*O*$fUji}rbKSO#!3vo>qqOrbH&TJINa1J*~yXz|Y`J572mWKG;ezD`! z8SN$4?6;BhEdp2ZI0X2!fCYYMosaat>$15I`hT~C|J&JP!C+z82aEe_KMwAHcBTDd zk+-?~ZU18iWZwH1ghZ^k9PQz8lb05yS81I2-i(+SBPi77%?} z%HFPo6L@&liK-PstGs@8l){TvdE0@C6hd>nVO$oJy75hpJRAE%PGu1Nq6GCA?=U}v zLHs3Oc^qcwg+zFc>-u>2XC=Wia_TL<7J{v+h)(h8i6ZzrSKB(ur3HsK?PJY+^|NpA z5Z($D{nuphEGh3FD?x9>@ju+&G&^~!2%)dd380_tGf2S44OTm~4u0mp*Bhx|}u zns80COQUTgp@!(*TQLpRW<@b#Dv5-UB(RhyC+QX7>~y&}lNu@_STS9mp89LzN*NQu z!i5vqkeJb#n=mKL_BYD(h{`fTJLn$@`4CzX2Mc?IeBsZA`2u^7LfUW?q=rIDq=tIA zHoGOE2BMUTG>%WX8>djEJrFx&debWy<#B{$Di-!xWX~gUE~NM-DAY|Pu+xYIU$MIY zM`AP_X=^jfLMC1P z;phi7?V#Rv2O8RP$ZiSghYvjI9CvriwAe98lk2>PFp_}AV8=SW2G0`ZbcR5(A#Ry! zmq5Z7^XmGIb_nr@Q`F#YRvBt7@@13VkmoaduL??vc^76Y zwHvxgWW_+N0y(ZU?5KF`5+0ac;z7yiK0A>? zDHiS5ndnju@jtlB-gF%nxnw+EG6Zw zx%=u_yo@{gw_J_GZ+703 ziPy$iW^ag|R)%F}fAsaL>;!6^={pO}!itwnk7hrK9pMkr_1sR#6z9?oOlY=VVf7EB z0c24AvoED@1*md}?#PZ#B^xB@o%5zCMa*_f0*=TDZ=hbqO{b|XzKx2$oJ8;e6JH_822Bq!Is| z=3nL#dH+8mX#Pb=Asw+fic}#y^FRNCv)lmmpy*faU|v5)AVY`SY<+_}X-D)3;c?mEmNQC-kAOJgjdc?C26( z-cFBL3dwjpG(;PA0qP0&3w!asOthiAEtXu%UGnn7l{e7IOFvkoxm!CVe&Dh7KzQLZ zd}fBA`nTsJrHWZkmww7hN8iCJjIM6&$i0hYRsQ>O)8)!e?DM#wDsLcur#)zS35&_2 zlJRBbH&aw+H)D)b9ER}9X+YDB5@^LCioALML&LZ+&9!4)>xHNP5-9@@5jJ*Y&%v!= z8sEW-j6dLL)*q?4-V62=^HGmt!kE?Ns)A6tH4lPA*r+I`jc8lv5wEvWs3!_$Sb>Uw zEB%>Hfz+)yQm)M${Z~nzRr8XRT9f?}ZHp!jN6f$NH0{mtpAc=W#ad0owU&dCo#CS$P9b^@;#>p_YrnGiJ-Am)#${!zR125EV z=&r<91+M_Qvryhi4R0)~HFhlP=9%tTm-XWJSNQuPIDqsNj{jE5T&;Yyh0AJ$&$i2% z!4D#I{?x&^o|tB+8RXrB5h;B5ju^u4*yg*9vzO=i!FiQspI42xyk&Kb>;ZvC#6Ch1Q9Eik$QaSy$KxJj_%?VxYP?n;K%pKq zS|8V|#fHa9S<47Z_5t%Sx<34%Aer|w$USp0F!RvfVMne|);$v98%zff26Rad)1YJ_< z6zFX!!{9@jyq=WpkCcn)^|Tax6QwL71Uv&?aGJ3vi)?1|Nz`J8?WUg`iI|ow6A95X zobCDs$A>I?M{cL$E8xT>MP7boxOw?@cZyIp>#Dfis+42Qxm~`$mocKRjni|{?~LG0 zudM}vf z71oq8#EL9nxmJM@T;z4`D>Z@E!EpNa4tbuiTxI&Hbau8=hGR7tiIR-?3$k;V7sl=O zQ`j6cx{}O_(BSvBq_%+cRo;X?7mlo#edoHHs7atcB!n{vz#hj^PF=Xyw~sZNB+t+_sl(W@4fckYp*qa4ZAgAslRs~ zjWPGQr1XWYP6BZZyT{UoEd?IjX#N^Z+tX7zv4e&_ZRw3mZ1t9~y&H`2vtn~;fWs}P zU5=yjvk$iGiu517%Q_g23(qe%)d#R1C(<{yB;ghw=aToZ6FMFTAF>AibHVXN@qc9j z+rBide+K6L7jy-?p)~_aILyOI{F-)ui3aDytA&ZQ9g5Ply~Oc=WmJ7sSYN`Z4`9yc zk|gPo9$ITuTcyvID~MKC5>)22Fz#-1`ITECzu2H>JawVce$UqO+yk~OFcv7Cxjk*cg_`{~ z5Ac(x@)6223Nu-z;`#XqNsmP=J}^I{QtwX9Q6h*jLw(Ve`x=|mFo6S;yxvoPl~QFk zD`A7{gsL;3*FDdg(!25U*tzY+^a{i;b{=y89Pm1qAjN#c z^O-{?X6Y0?4kU*`ygEGR4}z9s-ezZU53^fqh8O;4PPEtHSof1h91HNKO-i|eEg;OO zpVuf|<2JGG{`8~YEYDep^$8oEHdT(?`12ldFnFO0wifYAi5U&r1{GO1*C$QR@;rq= zt~}j6`lQgJ3ckC@z?a}?=h{~;d zV|7(*3t`L$3lBi1#3VI>-q!_Bpq*U>`mXYezIKQb6Y|MRbbT6AZkM-+!l}i2u3zg1 zZUgo?nEWJL@9Cp%rgyE$*MrT7!-Zfh1%7_OrR~5DR*-_Xoa@? z#TEbT?mtU%~(1`iUVm?J5@%j6a*O!kg;_!UGJ%sn}={bUUK{@ z*XP=#Tfbk_3SK5Yr+|V|F4niZljxO&3&Qna?o3ib_*~D{qV-PZO%_@u7CCK^T@^B; z^=1x?7Z9th{&);C41M9rJh+s%IRPCl3V7flzbUvZIUx$AjDGfvwIa4>$9v`Obv z&DiKVy5`IvTC(dq5M)0PweR!9A?6PxVaNa3g0i`f;C&hQ1;pSe^ETU`JtUB@ef5^a z$dn-zAjn^Dd@`@FSe5?dxS@Yaf^8_Vb?Zut`HjXpQSgdO^k=s|JY;Q62csdr z(@Y*He5t7ZkocWS`*X5Y-dq&slv?2gKlb(<6QfH1L(JPFuxUx9%YvfKVl&jr7oU4W z>N!;$pY>{Mey+3Ch*xbG;QbYZ`=e_5Pk#wAU{-FJ_kL6*{asl5H6E~m0PGnCjg^)H zG(MuTNf$uJx5}_fXF=k(@;=qACg5+d!L)7mSU|QZcn<0~Y;oD40_%EQu^!O}r+{aK z#2?=rpZCks7i%m~xNKJa8FlIlwzTTYR_Y_1R_g5o-*A0eJs;t(TJcE&OO%oLCe?*Moy^33c73H>Rm9u6!%{fSWG6nMmhVoR+6gA+ud$=o*KZEy z@w&bk?`0(pvnLFbKO zQrKj2Korm0gse4LhwZJ=cvwilpir~{Rah;&y`>1m%w7*Sk?%t%99$NByV93$G<&Wm zF@x5;;U*A@8XI0=@5XffZ%wF;If0Y&;WI$TTdKv3ju;#rm@bp^$vTHgicOCq_x88i4n zO2!~2tNWj`Ozwc~gL>gl|Mr*tFZ!N1cq8G!5s1&M)wsKX3aAeDdmN#T@`)=kC|=noe>h?hCEL$PjC z5Kb1o1%uB57I{^w5@$AvV5T}oe5>TpBZVLE)`Ml2F=fS1p(&%@uq3l#-1z-P^^gr& zbnYRt$7#oJfR@Bah-Y&1vDc`Q9@D^w4~&Q>ez332XQ+EtD)zX`9Qu^5soN(Zx3d^W z$d(<%5XV~=^?3t3lB2^FMg9FKUS7L9zWKIq zUEab43KN>-3BN-7T&(Mnb`K^{Isrre3C~AO+UgnL#P|+Hx~B+MRI$1{nmhP=8%rIm z8U3!?_ zvaM-v0>phW5#Zg5Yjj;t>BSuVTE8)THOe-NB6RLD6j#*z!Rs=ReyhKBL|V_x{8D5R-iF;RaL#W5${T%&^Bn7+>5?sVk9KSI8wz4qskeIm8>-zcOgZ^dAf6rf% z{|A@v#f-@B<5Z~=_0^8u7Flp#3U}+Gns#smrgH696%1N!NHFKHZ$CQy>U@s>DBNAl zSRU*by#rtrdYUPZ*FRrhY_n%htFsFL%V8QFRR&kivjiue%UMpW#Fi^)=bOgA3?@k+ zLDg7DCF0dp8cngavQ|NoZGTjxOY=JmFN{ifSOL&(`N6LHT%A7N;BXm3ew+N%L@-z8 zSVvc)oAg`ELycAyT3t37ECIOP-Mc}FS%j7qpkBm7iGV3`^~qzZL{{N9aSKL$sKS=# z6OtmsoY;jqp-Pc7DX?Aa%D8l)^6KDPA|ek^g?4zU$$t8^2KmDF)Sp5^{KhV0Y8Dx; zb;fA_46Ica;AC+-)nQKzqSb?!C{cPGS&>zzE7tBCPEu|)g~KQkD%;5U$o1O*A(XoU z6+aacLfmcCz#E->Afx;I4CU%6m>uw)+&z-@GC4_9k!W(gA_loEJ>N66`p25nr>qNK z*w`I=2iGf9M9XD3GXyLVyEOfM>R&pg@X4c@C~~R@vf?U(*eBEYm+`te-$)jpB z<*;L&B3-T0@+3^jY&j5ZI2mPRo?=h)*H&*h1_rCBQhzA9tqbu@w}I&6ZGV4NJJ^=Z zK$PaY$yB!wKItx!OWnaL6iQ4WMm5-^h?Fmd(`L%0#uBuz07{8 zhk3}EgU$0hoGNv$?CsCP<=+U3Oj7~RGB7_*ef^;Vi}JDja5MSo9pjalR4ZAa-A=+0 zmIqM#^90u;c$l=%ko!?DsNNels;g@HwhQHyTNDYmup<-wFoaTHDd!SjEmA)PE7N!( z0|_RZ@W;O$k<2bdN=FT&DZ2bYkjSu?{z(ZVF{MiaMJ%3Y)4Key6@ zI9M>0fyHkt#JYXjA! zPE#cSB#g0i{Jxt#iN3r((?IP7eXOtoOO-~u$j$&WT}VD z|21d+&;9SF%%<1l`O?TDg*4;)yS2-0Y|rb3#PE)<(xsJ+V5I`;UUII8YsU!DhkS=t z{ukuq#M!A_Q5dxfEy9@t`Ov1qCbh$hPJ7CN0-o~y^2>(jCb41a*bSrGZ8@CT*ALLH zbDa;Q11x5{wDLGVL*rj-gIG*(mK?BD@1{Hb%a2RJj1u)~|Gib^ZN%-WnM8X6$>%kuR#ekBnzaw4fByIlu3dx@_l5gNcm;XDe=#r6$y@8m51j zlV3E-H83r}^LYdMf?c*7oPFzG>SZBXGZtOlpnlwUEJ-0cQaV!cx98M;epN>U2mZc? zUXo)83;`vt?cr&9oGDjGu-#%r)~n}s_a1`re=Hl~lNNpqPJQzx-D}|%;;B6OD2X&F z)-isJGxttY6vG!R(-Uj*=YwrHN)myL)V}WeQfd<@_66{%D|R%y)O%Qf`c<%&8feBH zt`zD@&9ry(Q+Jq|BImLz6WK6sQyD5HfiCF4H$ul7)fw0S{l{^mBRqJ5NG%l-h zSLRRew5LZ{NpzIh0?%8^4zxMCI*B1PO0dJNP6l6j;313Eg5*bEqrYXC-093kr!KVK zHKna~gUH-BOjf@x0v=!YUtk)(p|8<Ff{Tir)8rXL0rNFk*^>JsWzxsGXr zD%6OeoUnUmiDoWea&Xs){9@PG@AB;Wo=UB1l4v!N5wICTqA3e2o*-tGJ~7uewZBM! zzO^sam`mN+sA-m8pnRRTSyG*0&?tf2NB#km=)-)ecp!*8IxUiatRS?PP8r!q<`Qz=(=ntO)yTtDEw=8m5unun%QBwPmBg?|Na{C(?BDn#>Hj>mHJkZY*tuJ zmgM6!N&=nkuRqK$TuUtt=XpvULW4>C*m!C-Vd748o6gHnv97p=x(jfF>Gbc#f5N?% z-40;|*U(`#iry2ugcmPU?p`Q6*bGz*?uJge9|IuhLa%jCxNL!HI@qGVq<~Lfcv;^E z*3~J* zEYV|i(Dp?aUq%+M`^Fhju5dTEuwgh-WGgL4>+|8p?(+VAU@)G%iGAZvu(!PK=p4;b3?ro#~CD_r@J1fi4K46Z*9Sp z9n9jp>z0jW_njr>Cdw^E&drr$La(P_t>HEzT8bDS*c?L)sIKF=B*ks&d_!?yIir3S z%Et3&daQDP0RU4OwpOO)s(GJvuCt8zHjvj8217Y}Qp7_nn0}R4cEMoAo4!-up0EQc7uT%cC`3wZS+ z!JyxWGm^qEp#J)oqZjz_fzeJ*6y;O}OF%tBP!fFroh$zP;f9v<1QHRxo*sPo-Zv zKX{8gpv=0WYT#tC?Ff3Fxg`p&2L%&O^H9f<*7FDMWGhc<`mWVAX zU+!nV@@-NiSNTg{UFT5Oxc?tQiST{dGHKKHYJD z?%cCZNVM1|)Z)2hG@4QWp~gaSAlIh_b!2}gPaIBW;cK4s4r%Jy2YqPHmJOy1{=mM- z1Cz03Ot9CWc^_%0&5{T#ZEzd_mX;pO-+vnJS%WxP_&xB_ajkG|R5joAX$p-3>3t30`6f(({BiJJCb&RGot6`MTfLYh(( zL^TryZyhqbEbmR4Hx=-MZxH^C}B5y^VP<;=0A_oXeo9U1g*e!2#r|5*rc8b z<14B|2USj8NCYcqp{fJl z9d`Xun3;h`<+j{a78XF{wjS4JHlbU?Y`30%&I1;=J39GnHcb45vhNKo^rOz5Wk`Dz z1swQ}n@7hHF2Cgwu*dqEZi*LMwOnBq;7P%n#Q*UJ78Z6D$z~k0gr@Q?-2a~=LMf(U zjy0Ys7z#A$&-Gckvt0Sf*z9s1LbT?Ip>@x+_ULuCT-y^T9AY>TBN!GLChlt|WcVpc zn;bDUgp54YDmNY@c@zH${5Iyycq}z4!a$ zgymcwBQy$rj9$wJ91%hP`42KO=Crf>(Ypv#>_4r(x z%9OmE&jOIo_;PGd>TwqRNKR%l;|BUff3a<@k_sc9*5a0RXh(HWXZm+&e!6Dp`|fC! z%F}+q0quq#6Jy}g*PSZ|_6qteqgdKldrwysl^rm?*1WYaUp857tAmL10K@frBkJ?J z@_8+@b)4$(Q8T)^yvfE&75j3nT_9Ng&{0aMLTL2qPHcimaO&=$-g3SGm(On=H!_6j z`?Hao>5~N+wE#@&N4%0&HRa}CamN`UAbcz37IV@O@z`E#8J?CivZAQ;0H!ss9K8d@ z!|A{}qY9zJseEov=Zm408uB{o7Qd(4^H5Iud6G%~&-gXfmxJ0Hwe!g1?*h|JuqO? zZ;=Y3HWuGQ$i*`Uk?KUW_E3l_n_W8Rw|aixmtE|aKWEH`({=pjW_3-b@^v?_|E%MFt;u8vM?zkH1k&FDIOA zwWW7`wfn*50)2YML>{15@@-9s{S{PZD+1ehZJ$Yc}347~=8U|D+~!q+9!SK5k@pvCWzr8i}BH0hw^Q zM(At_qRmrIK+b!mP#l}%J&!ldZ<0V<2f42c3I^3rkSIhMqFTH<{yZ^;L#e;6oNl~k zIQ^SxvxQUpEk9w9LS+4RY=HP=)|E|Yx!z$m8>e z17KE)QrQ0KU*{fy$mSPCrG^$bh2@Be{X4d{$4wj8lL#_q5)a}LW5#kw;Qhf9#P$(@ zN2SDtqls=x7^EPlfw)d|>^+Z?SY6^76yK8`kq(?M2@WQ3E&S4iKzlEwOG=3^#)8W@ zSzRxuG$Wb{UR3&ml(0Rg+*`!1DvDYx<_Vx;1JrybA{p49)0}GQp~^~iocir_=@_$h z@k_Njj$q=AiivOto--kljRZlH>_trAg)6kn&l-p96rCheUR?GYG(a7X%gus>l>&1M zduOYI5^5p0t#=Gnp~Du@)ibAi%7;Dh;7#y?1~?&<|v8ydh&gI4eGOPQJ}%mXP{w|M$jSD*`1wU}=w9YE!ibclNyB6&=OcVj0n5g}}E> zi)l=bH>w4<_}fmMG*DV}wVbDyC`KaBf-Jp3HHX#GaFjhSj(*~Ta29%xsbH1ZFFlTp zGslJ2uEHiHAI~0RqMO#sGu2-#s|C#=%joC3AI2?-xH=LHG6^N<>`Q#h z5I=fVyW@S5Ply82?#MuS2|k4o1hkNEwvb}vQX7B1$nI`RZsed;hwzAkpHgIlJP+R$ z7=CoNh4oJLs6hPAy))x~h8pG~FQ%P`m1<*S_RWb$Y8^eLNKRKab{GT66bL_F7|se8 z^*-~6L&n5e#nqU2Lews!n>KQsm|8CSO%@&iNQh5O8rsjSURn6^BG%Cq^fzDsleD2A zAtBEp)19E`;D7s&k^FO%!$pM46HR3Wz(SAqwe)YZ=YG8acTi96n z{E6wzt@DV`!om1t2&Ot;Cl<(GbHT3DPF~En^|#iIa)b7m z^aZ>1_2PvxAJ0CxbMCcx9Q1)FcKkFoLdDbj?oPXv$@77vAKbSb6Vj;`AcElFN2tj{ z3-VQ3w3G$iuBE1f&GrHGp?2EIVHf2u)Lk3wAGnup2`h95T)50v7jJt4$r>92`{mnt ziG!ic7Jlt!$jB*(=h%}}P)DmC;E#jtQiEGU`N8hxTaGiTfx?!WN zIy;5TARRsV>c7SH4abiyCoVKN!>lL6`qUG##l3z_-I_{jR;@8bK9 z)^hCPI-;Ia4{WC%Or94f;Y^w#AfGt~(miTO%BsfTma53Q6B+q%zY7o~8%X}p4)(Xgcc;q#1SWwUs;l2U?)6uL1|TK zn^K<`Ne_wE>&DjNV3NI%d$if{tncoRdL*aT2_CI)ZjALO#-^hPtEbMV);|G0NdLQ_ zX(g3dp@aE93Yu1=$J6&!@AH#dLJGHH;Xg(=W>a~;LA z)tKT|WXXF}1+8S^!h_6G--mzPu!gncMSofNQt()Kb>Z_FoGAFbUqG;)nbiWRv@_^6 ztvWGZGx_jC-I{Xk4;T+ujTz?|T{ewl$tt}-@6ogC-hY)N= zD*JgPD^X(CFvp`T)EiGx$MS477zNo%!NFghsf;U)yO{C->89nId4C(+%lN4< zOLl8cXIgA3-I4$;_bJ`);ztCS^a>8#qySRILQU>UHxZj$F`oG&Y; z_C4YcQ)moJN+w2A-Q*OzAX51!#4D;Rt0#|b)&9cbgeI%cn2aw1A0N>-LKi3><@GyZNy@ZX6Y9E8DN?WUcfQ@MylK%Eje9REFZ=z|yHzMK)H zD!=>8i;Oi+T^!p18lsMtz=LT$0&Ok}Y@ZmR33j#Wj){qp&?VClQCt6h&A{22Qe`4d zv6E7XEWTL&lIu~ARV@icO{elEc?nAaac>I^XMsl2!W6g~*mgnbqp?-!PxZB@ga1L6r3g7Y;;HU9 zf7nTV(q2UP;o<44oW87bcJ)5Px|`dHs@-hw=;7=Fxz{Rd8{!hN^O zHz!j2w@(UgTzIXMm9$_o{4Ek^_1@A;L6?9W5&Y=sNTl9#J*&7+TF(Z-|rhDNe7F=^yfYWvvLR#k&7+%+ zM_jqRwbD=KGIk=I!r$b~2;u=#-$g1Q8GZnv&(h2K{q1ys?hW|D9+@zF2-lCLZA;-x z83y4NGvh_1>@4WKc>THN?AMHs8P*X79qxqT4cGE!$^+Xp`Ud3B7RWnV;vczx(nr%r zPJhZ|#&DsbmAJs9ee2frEVIK6rQHXB*~;SK%Y@j?@89to9=Zf0)mBcH6)M2enveHzNG!WYm)L zsCI@u#sbrgpVlUEIbX z9}HfrOz&q8Ug+HF7iifHu4mUY$i$UQQdzb1LQ zv5%OfAg%Rd0Ak!Tl}L+8Ycj)FcjQ3>J8x&Nm{Tp>&{jGWYtlj<8bJ7ley=_74mp(; z;$tS(-dhu85^jCe#5;><;*aXdEXbC@9(VjBVwZkMy1BRU&XH|;btVooz3(x89*INo zXz~*uPksUChMwqsnCP)8+I(^l+pmiM=sx+2&>A8&sKbB##Q?4jlu5y+cOSjcOaG>1 z%G^A7+N00vd<2o((Yx3b!b(j)>KAWD2~3O4$;n9|hOiUko$27dxpB%LQ9ftCA;s!S zdlc)%ibeS#8Y}f)_vm9-b;=k9242BDtZM-W2C}OXk%ZL?w5T{~0SEH-tlQx@{(xhw)%f{rTskwP#_LphZP? z0=bdBz_wc-sgd8Ogz+hh>G;fyv7K>VTwa!vKN5aDJ3gsRgWSpeS6|uSwFnt_v51~W z#^?sFzHz2kyyOyqzWz0J2?A@>X>|pIf^8zqoIdomTdp%UJeKmLu+0>o#N#Fn-S^Wd z(&V)M3?l7PK8BbrMItH%*PKof%}Ga($^>Y!lw@P9NJB&#q-HC2?)yj2=zwI%an7dS z%(#BCx^!1o?NegWUD*aT2wjV$FpY7J(}O(%;)PnwaNpq<+r<1*aEnB%eb{yf%%odN zztro767Vqy3M6(YhUTE9^k0Oh2<>D*+qNcOl?2?Bl4b&QLw@YV#G{sra_T)cIq_rhi&%MHQX| zIuw!F(VxBY;XIRwj);zw2{bOFB)(zOeoi%roAA3#tV#N=LWvpgyP3F#GU(A{c}12L ze|I2{gKgDtMA1;~Pf9y})}n+^53jbuh8-mo4tlkJ1b(+X~w|{M#Io1a*2$?a*|40hr>U*9wr(miI zc)ES?Mfr1H2`B7Vg)=ii9GaaDessbF=D9)fY(2sdL&b?6?}d6wflMmYaHR65PqP#A zCkazdXey4>6i^Cs4kAL**;p`UHPZuCRW9U&L&XX4&J=Jm1Br2-LYUt)Ik8uE3wAr> zzHMr8)WK<+_E`XDsJ_K#CX6XL?2TLT@v5ZsWGAvO%)8b<=TOwNNtrC{J2M{r;S`ggY&pzAN>38m;55zJ zUn7;sb5NwGD4y(xg~$fs2yn_#ns>6R12PIu553hw@4i~@Y2hyY5XUv3fHNYKJh<)$?|4YiW>T`HqmpFb174 zc}g$wZy`7s^f=R$pr}d2H@#Um=M8``pf%xT=a1zuCuz3NdmveMP@X6HJCnY!hSJI+ zElSNA`>tl;BLCV93dFy|$W<_ia;#&|tT&f~ST$Y6n`TG|u8QCI@eN0>06F-vF#T5v z(y#>B_V*Z#_Nvqb0~>(GJcK-))BIBm>|%U+mjZUfdx$`$%&BJ>=k#!d6(p>ERzK5{`F#ltZXMr-z3H2MKY)-&cByG@%I42tR_5%m8nVg&du z9@lx6B&bU#6^do%NxDjMx#`Cz9V#~)QK?4#m53pq6q23RTD13djEZ z@eoh`xTLi-I6V4Yqj*o)yR;SMJAW{U(C-YaV?H6WRk*oCv7@^q>zce?!7Hbz!B@t# z?~N#Yo0O5@{D}dIB|kGiem5PmumcUOqs$7t{4!gnb>AKY;)-(`jI$N-l;yja`LpY6 z`s;nEcpA=i+KI1ld~jKh=vViao*mA-AGc@SM%dmB6WJoQisN`*j9@0v7A@DJI;Fbb9ZpW?9ZCpG?;gQ}+oD7_BCmLRQFVtvpefC(SM8IV&5o$&0v{;IJo7gA^Yo*BW=G6IPprsen{=@zXJz zOKbbm)9G`0MW2nRh9njUp0eU#?bvch%X7?zMRed{afBB-(jrrQ!WIAOz~0N@ItP2_ zm_ZxFYMcnRzQI1`-_}|J;u^3|!L_&AFFn_vq?p$F()~24$9QPn5Gq8ng-ZUki+|(s zIbJc0a$J*-{_!dLdu7#%u}At1D^>{;nm3^1(q)5CS7%;8C;2b7`scVSf9?~q9SP=>j_%zF4_mBz1aX#N7j16EMY>N$Nz6*mPeLf~w_K+0EVlzbgylwYhWJ-L-kI|af z-GluUI`P@IAGYX%aQJNb>`jR=UqH^?md$Q_9He-=6nmX{bs~vH0WInL??5?4@?w0& z!NI}Go<@in~kc z5%;v=)Qq~bxl;0$Y#B_!*ES%X1wLai$gmdH>jAc4tK~7JButd5v z7;S1oPlnCsN2oSVSD>pPI$cQN`;!PtoA!hnE^P2|L}{9wnuRye)*MqKIk+>hf%6x0 zc*3jC3-Dpn==`vzq2WN05PZjmp|tW4EtInRp5{)f{1$v4ydK3XrfztblC>&EqsENt zn;7_c(=BwUVklX8H*~xA?QNryEdq*cx~^ESc>K-<+;CJ`*cb$&_!o&Ghh&Bt9nL?^ zS7^ny@!xe1jD-TDV-`~8u_=?Eg`$tOotw)>=?YdcLwm`?1s{hr+4ul zyn45`W&FUvKI(Ra$*H{XK!~YoP4NZ+Qjku zP)+YyFF!}tF3^!@k=Jl#-d4a+>?5)^J_yVCtEineQ!T2tWndjk5UybqNB3uA|9(}7 zJr_!Q`|-Nd_CkOAXF_~fcFlwU#h{8foD-nNM(@}VV>?LK>;}R?i zoTL0{r6N9JVeDTCO$J%JQ^_umpx1DQAkfj;r5%Il!l~w>#Bs9GV$rgvJ09KEx(Zhp zPcp2dz$Ta-|00h3WsiVES0n!ae29ab)%m#WRtE`b4E!EB_eV1VD?3^IIiMal^8(Q_ zW$&%I2Jm^o{YY?&lAN9^(!QPALjStY6h{n+W)_P~D=SI?pBs3<$LqL1o<2hO5#{^! zptOwfaLI{E7%z5UV<4at`~h089oPT~i2)f>wkx%L2iZO`;X72_18bp?{D+2`Xj;mb zY{Drn)x0QaYK#Qq(AyV7#Vp6MK3}=+Kb2>oY;VgZi9zUja=FE%DNr$S<-YBR$qs+1 zqmMzrd{>Z`iBVp0&xl{}OsDRy|6I8rNSoR2Fq>D9MvQf+W0jSWP&>;?-S-FF>i z`;BMn>;|EM>uTK`1zU2dnBL-S@8N^Me3%$VBi=D|4g(NJtU#RB0UHsd>d_-~VpJSr z)|N6*kVk zbn6zX<&W_2^9RWrZec53W;0p&_JmOshD|MkR|@c28BLFfz~Rwx_?5}PPGph6<>pIn zPpJEcyjqfv`@2JlQ-5xXEN6Zqx!Np&bxqJ0P!CY=KUQ8zboXS$t`<6*9qU^Vgt@&cBm_7y3l7+GA!i4E^rMqZrC^ojmmDr z1+)S`rt0-{h$wREM6E={`bIb9DfFbjZFRapn)|ER^{mHy0O!`LpgVP6VBTD3BC3yKp=&}p{zvjpP16hMpDrv#X zJJ>Od`=BSmB!G8i6vc;r2<1;n)N{3?V|@Nl0F`v$Zq1M~L4tRwGmV~=N@)-X5y}H< zaAa)9SC$WQY#)UJl@i}UT4}hq-FEzN&Io<^y%lf7JWJ|_4jIEwT@2GyBk36@APga_ zv@r^r&!xOJ44V7P4`ew?KW;*>G~s;BlT6b@RnS{49EWsVw@*C^EZX}nZeie>JRkb~ zd-9*Q*kPMn@+%BNvWLol=VnX;>Brc|27v==!X4FtPmYjNjM)S0sRZ3g9(6#&{FY@V&gdSRrmFYL^hDbZkuCRr55Q*}o*ge&yjT zz;B$1VPm2^R|@JH_;Bdo`_M~9{gF}q>Gr~HBaa{dZyjKc4j_sUe|dB7&GL2^lXa|Q z(5l9{Jzm&3o6oiN((Q1}jMRjsh@#s|1Z99l*`E zH9l`)x>UL(eV>$3@v_@|QmQK=imY*N*+yE-8G7;Wjq9Jx3(O7Ys@2_J>lQqGXq8P@{(z^-${r$1mg%o#wp{Kf(GBkAvcvj= zMzlIcM!=mLwjHgsbeT)VZa4Te+6j7KK19qb%pJ0#7>=zoTksgW?w@ttn)NYY zeQ%RAtGurqnFfC8AbQ#i3o~kaFc=AwCz)CU)A=md0Cf1a`Z`sP zeLmrcYpZLM;8hUc%x0HkhaIzM2zbF20%SNZ`OXqj24JN6LaUBQe zSbpbYo09_sySi{iw9aW#blMp36~gV0K0s(Q1G5-KrDa5=kl8m+52MBj3qGiTU$@e5 z>l2YpBT|$iEs0KV4Oe+x9p;YCGD-4QQWpwC*fLh|CTADyS8V^vKqKip*%1A*0|9Hc zv;qY=H@I3M#GP)HPxwmu<@$$n;_&CnBxYd4V(*@;fA`=#{L5tiPatOQBL@`B)Q)}S z*PE;x^L&|hhTBKvMZOT<8g$eoUy%xQcEgP2PM8ezUaXe~$y!hfQ^X->?Nphe`Al|s zQp`3*#wGN}>gONCx}i%>3X0qr7-m-s(L*CV8`#r6F^SLT6IZnQ;z5i;|H}S@ylVaW z26o{5&u*IAcLg{KWqNKVaR2p4B|Ar|NR^6Bv6}ZK4LO;@n&1~k4p#}H*f3gC2%}(F z4-He;C;F7Vy`B9zho}b=X5R;A)DY+8fSVJ6`2B*Qb!v1xX3hfzK9VHkLLmRn!`7j* z1AU)-&327DmBoq>BauNJ&w*?f~HqWf;xiqZPiAY!ljz`Gk1%F{k^IcAZsldO0Ajl!t*2;m?()DU`+Ev5^+3 z)*$@YcP{yn=(kM545Z9#HoI{RQ1(QSwWSkO;}r2*+fb45S;k02)sI!u9ABX| zv`P2C)z8(*#58ovvdcGYTYm;dUEPeSW(5S_OzdAmvEcOrGIdul)>PyNcZ@+kj{8A7 z508<3hjYHFstYX2=>EC}0F5ZiQcAKYZIS7i3R;1; z(93nnpUmfeYVP3Iv$>>BKWkv@V|M>A58@Jw9LY!JEXAY99vv8T>Q&WigzE zAPoqM@XXYkR%N~EJPnshca(+!qFZiN6I&t*nUbVL=E@St5S%P?;?_5SCz%Qngm3;Y zFuj;oF#X@AXB`Xwnx1J$%REohx|$j(MF+2LsAi=fHEoQ6J%Mr5mLEB2Vrk>|(xBu* z=CIY_)%Zv$n+$2W%#Bf+JXnquMjf>dWZSi(0g}2c+KyH;TP%xqy>va zmWNCSV~4>7vFLDConSICOA2`Qkf}?38#{I(4QzjpxHXgAhQ*TtUDZ-d3M$i04wU+u zbJ;juduYf$m0#ohGOd3~9fZ*nQ!nu?EH{DsH+T9SMr?TdrXpahgO7H^plMVITwON1 z6HZqYlHXd=%_4upL6>9J&gCkR$EA&QQV6K>kKD8J0Sxxz1#CwvYId`DF8aP)@1Y@D zH$W7>y9S&plT9lXk{mT=5bG;Fmxsw6u|7Jov5~VE;*bMNqopnalz10d@=piqUxoTa zU&UP~a$>a?d|Q1zH98nhmMaL5DK|Gpj-^f}#N>uJAMOgab$p6Nr?@ne1nz zmT3JlpNh3}L>7dllaiE0!eZ76^SreN!bm;W+d8~P>ho?< z=vnQTtstK%K4DpX-c23T4NE{x3jmK`lrz*XRgE}v@q9lq+!FKRTVks7MY| zA|!9lL04Q?yPPlM+GW{Ir}xDrf&y#tt>Oq%fELVx!%D-C`KKj_G8T!3u!cJn5QDPg z-EU>jQ+J{D50}v>tlRgf4lU;)-ub&@h5n4ZC+{Puy2+B`2A|9dD7t2K?A!ZJR(`tB z>$bQmc&h##fo~@CP;%IlLPczNJQLb{t=XCa|7SqD5VjU8SU>WUMX80V3Jvq_O+k-3 zOsx$g6w+X-trLeZY=#{VCX~&(3qDJWl(IlmiB}D;NpE$S_U_J<)snrwZ*P4UhsTSzQ)J?BQ{|A|0C=zgX-$qY;7b! z@Zj$58r*f`8YH-f;O-XO-Q5BNcL?t8F2UX1YDrZjbM_=*bvyL zO_~xdf08JgzKYn8MB|w&)YZ4B0`%$szW1%!f~R@pM@t7I zLMD3n{Y)xAOUP3nWyW6+Y{Y(`9#sDG|^PT4V>WBynms8*kCkQZLU95nFBbXl5!Fplr`sG zvWrbY^JX=!I6wUTQBZMz>?9ZqC?sG46jrrT^@a2Gp2xH?OHE!x@(n*l;-&#^hr*(} z-*61QjKnt(b!EO)q?X$MYitLW6ZFxH&uC7^bGt}U!jLds>oXmohdbuL1oD#{w8I;7 z_p{FU>^M)dGn~!yoT^7Eqbl2@iAo=sR*;`uw5JX7pHYDc$5I-zq4u@EUA7@y=Vj2zVH@>H>PiVbFJ^{S;Bd z6I3gL&HDlqj@8P0JkHw(2;@$e8~wMPB>%O*4~xn8DcRF=dUvpJl&{=;3rHYmEr@76 zi48}nEVP}Li7BK)<}{zojRGRwfn7f0X(Ts7Jbr&`6|OmrRnosj9O13oL% zs@fo_^h9^(^C5pq^bn0!Tb5b#`8%qrU0)@WfMpfLB^I20O+wYbPl*x#GJu2mUA6^- z`3ZsyV(LD+-SuQ>-~k+q?dP~JpFCrmIYv|x@FJOri%6?k!8N_jpK}s^EAU+b^i8)< z(*FkX_=x`(Ag|#001!j5`R&;$qcTHOBo%}c3$}uP#1Wk&1uoAWy=*cbl`t$v$GVF&#_{IW;_FJxV zDbXzUC=O2M2UOrvgv`00d1{2pZ$8|Em)abLBci8a!=5#lV{ zaZhLR`A*p710gmC`g1^>&}h0>>gA2gdy6aEM+W{Y@7ZEIBw0{&=d6^9-Q}n_fH@1O zT{{rHW)Ut+imhj+CJk-xvYCzc9t1|Jf#mSvoXDZHE^{=<-IR=DG=!V0Lx2@44?{2HH8n;)As zTAUbgTc>>W;vwAc_D}yI6mzbVKMAM>*(U zpQmky4rB{$BjXtzbC49YVtsfBHo6IMbKM`qeAp~qTddmyQ$!fX#j?^`V$j7g*j znhBuW!3}k&^$YZRuq=ZVau9rY8&7-H^}GXA*xto(MP44}W4f>G>7`+1R`BGSMQ0V? z8GdFrpZp->qZL-%rZjjvD(+VU76|oTUQhr`{364p^6FC+7QCu%?QjA<$10Y1EwGo* z+qMpJ*rqSe_o_Au7k>sg3FjgzomSX zuY5rxNbf5W9bp5say)>SR&sh0{0#^Eluv((cKXxiJ73OTIr+8u5+<_x`x5QpC&cca zh~)J?OAq(y_?c?w-LIarJ7l^XPnlci=S*#y(Era7;0j$C6RLyNp=r`j9&@hWJQgnv zzAc>4yXA$sVuWkfy&W^F@`(?~#zky=0u)uIgIWi>n;dv|7u`_3j?~B{AA*1mNrWp0 z1|e^fW0e^%K#T$u0bR*)^{iM{zuQET0M>D9E7Qbdk@6q?qE88U=CE2QM*J{A2hGqpPD&mc+;EbP z2_QWBQlVyYhY=Py6R7K$xYkhBkUtc8hyfT*bB_UN>MbZ!1dFOSa| zYqqdWO)W`MNDF^FU)ghf-abBZw=|M%us@lpc%ssIVkTiQ?*lLR(NRwoU_Gn3vQA@x zt;ICTF>3gj%&;P8D2A|jtKzyiU1q#iogR^}#5vLm5*H|(64L2mmk zgSURTwsWtartIE<@Sm!<7D(zID$?!9;7%2ZtPLjt$+3ujleLg=4SM4Gb&_wYq!zuSz#sN4>y|UY zp3W@M?&U#uw1J9IE;h)`VK3Lc{;1XRbfs~>W8goxA^+=A3XrI5W>-W7H~G)eem)mD zBY*_AESugV{)vw`sVgfOFV-X*Y62q*d(IR6JD&>V#1}6l|KNAzk2J^vK*Sb>D#~@U zkx*;uu4p%f^AsIRVlSSVwZj*lSDK+f6|X7BKY&Kn8`b^Wc72?${|9;w5(+5xa$z~t z)5-N%l3<-MuS`~@P(bs#7{hanvl(FG*_u630STlb+_HQ&_Aueo_;si1pfu3ti!Zrj znH#T0lmE4agN4TviSYzY=eu+x_V@$#wqKAZz_sVNC5Z!pGnKEY(ms6 z_)9(}?wol54!;?(tp)Kc)hviVB@7rdLG39KCn=V9bN3CG;qtnL`mj)hx)txNd!s32 zCLf7a!GHtR+m)BTp^dFOyzdE9{|Ej6?so---0%hU|GEfwiVR;czq~!4w`w!-y+cqc zq#$TU$hz0&cm^goDs-v?8+KtqXCP@xtLpm!t+uuoW?1^AqAPW^ z*^->2MKxhLP;p>#%}6XjI_C~$pZT@voo$TlG%0490r82QUseJQ%NPAoOvV7%MLAv^ zRgM+7ZPf==8oWYF$*SW{zKYy$1rvEuM}|&xbXJQF&<4azua6LUdED;q{(3U}BAd<9 zChL{|U!GHa={tf}%p{_r%{wbup_Z1z!ie~!anRql=Y zaxl2KJczo-VMI=oqe@|Zu;ktAzP$9IM&SG=Vq73y{N49EH#`=+55y+IW(`Y25cjA{ z7{-K}El?H1N}pyX`1AQZlq!3pg9w;FrS9DptaaS<=6`91hhrs%!4Vh8O85L|hF2_d zCeY2APveMFZ1igW`Uyex1g z;Hkp584F9Z6s;QH7qB|2jolM5$d?S;7-)@s_4-NGtqhLPlXqCvX%TKxM3~iUD zAc7w+`~mWEb!a#+K|LSk6gQImy#_=1s1EvM(H&FJix=U%Z4HqOhAFVfff+8sZFR|< zC8fD@+b-$Gk-r4g zq9`q-^_N9@7UTTTF|QaQ6E3#T1tGWZ}Dak~3Ff=De=FA#hTTHp9N zmW%!U6DmGx%xPjIeKLIkccJihr60@b8svvM!dyhxS!+k>le(c9;^=zQ0{Y-cE6g@0 zEHFHUEXJ&ZDLGm^sNA0l+2foiu>VP%{11`*AKSX@K}0&8EA&i!xk@j@1vO`-l6=Z(`f0jI#yoxo}fMB4WP0YEW2LF!*UKFr+Vd@UIZ$QlnKbrXM zLY7C{9c0iAk$Xk9D@1g^GOC8j;;){Dz3DXOZC6@US)M_OLDMJ>_7Md#BNi1Ez52Pl)xPkvhg3yeD3FjdoBMbDli^V2pNKc55lW|%sjlyTA4 zUwz{x6)=I-QGX$B__8Vjp2i%z=9zqwH-;$gWwmy~js$~%RnkFO^S|zxkAAz4eb+52 z%JPK!)8ZIh8gGG8q(om>;I?C+X-BeKKe8~Hs{+G!Ig94n_H>-GV#V0q7(h0_4Fe@@ zPBh@-x11wlT5u!V-v?o=v9kJ8MII@OXF>&}hyQ;gr96~Xp5Y7nds zp;$VRy5UQezwK{yxcn$2C~7fb!sBfK-Tz=Fhh@Y})$_4=mgyVz<^UZincu+CldI+I(fM4>TYZ%f} zPT5?)yaw243k807o!6`O@K^ZbiEZ^IP1(Qtgc=zpU*d3zbqqoye@xvvvF@T3Eu;9 z)4O=2Oxmp)-Xg_*h@USR**T-YAG)CDfw9tH zDUr1l(6oq+fTJxO0PG=LCkR_-4jA~l1O$yIi9jLYySuBu-Oz|cC#tTZaroijj!hS@ zFqOR@`>+6hkiOKMEZZFPi$m*HbC0&0Vgf4`P}R9jSIX# z+dzIoBM9{j2a0!Wdhifw8ty(wL_WmVOOnbqb8B>1>%_*`59(W6X`aN^rPv2+xZbfsPCJJ& z#9rO-A1q{exTccG9)?2qR^lSVAwq%Z*~Z%o-Tizf*?=ko3mRnl<)}u=+iVH+?&L_<_EVP6nHwW>2Cs{CDjW@y zZmTbmPmCeny4N!zqh1onD$pJO9xZsWpvC^4IMxxD6BCfUOHoj!luvoYQlZ=62#c`9 z(=SK!V6o-Or?rd2UaW_Fyinmclmh8Sx_z|S^YFA0xqxQ~lkQ_qFH!TD9B>G9HZ1{% zd63%md-wO8xBm&bp4t$ECe6Au$?6NR(&BU=^m;0xVs_v8NKRwST=VF1ns$Yu?EUt* z&*gH50KB1|ZTw4Z^FfVWoO(WiBgrRjc&n{;1RWglNPZ8u$);sv1q~&Dfd3WJ(#$F& zJwKS0BDE5^lpyJ(RX2M|NjN(T+ zJRTiGK|TB*QO*k9s|71`KJDRLDaN?CC{ff41F45lg-{uSd=v+gAR^fqS5lN;r2wTW>-UP} z;b^l&@FnZl4|UxZ9}1nbm%3?yv5@!Iab!+Vjf{w?qiHHEjZu#gU_jKpc`1@mjL{+LmAZ-y zbL#r|Cr9pa{r3S+68!(PS`((D?d?Zn7A}69iFiMhch3|C4(>ZPTP zDIqc@?#DH-r54`qKhOPIk2O{4Le!+~;%!S(sK-LGJBQBrK)eZen<;GMOY+ATKXSH+ z{EQepe5+LiHR>!(e07aW3cp4#sK8%JF;j(vml)6O>rk$D2k`(3cNn#XC{jY!ANTId z8Zu;U)Is1A%W@wxhM6%*{duv*06xuoEg`vFa)MA?`149U$ZulIyejJ%_qc<7T*&Dck1QQ0|efvdg0+7}SY1@A4{3akJh1bHCOXS12>=SR3oJa5UYM`e%RJ;B8K3l388IIl`jURP`4n^PWUZzuzdV9PeT7NXh za*P5?0|@~+Rh~{>@bXm3WVfU{thTeg7lA1#1Ce;%{(>N{UNDx;S;=$ZGjlxV{em>k zSvZzmhtmM;is7*scP>L_XVGQxIiVG5CKfy|-O4l@upcELr{j#VKU*?)$ounruD06d zA)ff)=1Kp|z-0B{`RM1`71=IhDkZ1pEZ{b+uxG8+4eS9$kx zn!nzTzXcgU`DxzKm8bc?0CNrrC2GDTf|<`f#Iv@}Xfbd&7-ITVlbsRY&4~2BOg??fy;CIPrA#M+bLUI|Q0RzWqMHNkz=M&gkm_*1mpJ^R((mLMQk@M$ zE0c9fDr?E>Zx;;m8MHv)=y@;Vgrx1$q2D1_%O*YLT}Fto6^y|^Sh-?vzi8=D*P#(O z_M*|isfe_N#Bi%8{Jjf+47{YFE66%Y?}|u!7iWA@PWl$D43f$_wdqI@Yt-|;gT+5N zeE^>4x?L`MUR`=N{r!`OR8p%ZW@gcq9qkn3uD}cF?o@66sH|aM6PqjB-^)VA%{f={ zMmk^lHA+MUN!i%$!C_KJ_YT_0!svJ}QvBM+4VK2ru#3ONc`H&~Z*So--^Yf7N}}4E z!{GVnZHDCGt&%8=a0y5VymX}B$pX6(v=vdZOI+}hcer20%LM!U+O|6RvpJ#dW<)`- z`-_JZ*TIn^qM#2|=!W;podp-Sba$7`d_(R6QF)9#WF?hX<=C2QA)&>#)1c9@u+w+c z{^+OpKgZG4K;!FTt24%Sur`M^>H`S`JJOolKBLI6f8knFYA0bo8%2*#Q+^WL|Bxvf? zyA2{C-Ct%QzhssDP{(cm5g3j|s-xo$u+YhcPzcq~uK4$z-GW$#`r&>W%+`c2+I)|? z_(aiSvN#?ufZFkv6jKuu3+^R>_G{C_&CsP+1hC#lssBpgXn)z_g1djd{3YY56{@(^ zbMiWuss2i}z?JwBeURVb34t;ydr&-~rQuY>)3}vfgw2n4P}-h0vEELYur?zCAA48A z7{-L`Nre4wbkX0yDrhs>*+>8R=rm?P%fI+OR1(Oxo#{J;NQ^dP_hyBOpB!o&HtWzU zYb)p6#0Dgw1+ByB@4>A zcJssoyfAK?Wqi_i7;%EH?ZWaU$59I`7X9=Hz**83>J{QudR6G11@A{m$PP1Q-AqWXK41n4$$MAk55M)ykrY@!< zF%V}J4zTw(votHVly0{6;9PrW2YZh_84SnJ*w#4&EQwvezxqS);VszoR+02Ddks5s zY1_uI+SZIDJ^n=1YIEcslpm5Hyuu;}1AD)b5))RHu!T-wlrC5U?c&+azI{J1bR1mR z>B+E?aJA*)uv!iH>;2wkEZMf6x#~q-EiPg^XWnAp41fVoP0W4yEQugi|AknHUi6b^ z8xj-Be9bTs%nO8gp1`PUIxJ<@_AfBM+z6UMsy}!6fc_dgdb{#rnLdSYRF@`K7=S~d zv|I3FTn@&;7YFL{yp7Sa#dWSJ@L ziHC&~ti$VUFnYj}!ed2?45r*E{-2ine_L0CJK(KwXtF(Ds(D$JD>@j+3Tpt?sg5ow zw#I1$(-U(0JvbtPJfj78LdB8+qm_?o!OJ;fHnI%pu@|kc7w7N%Z_Owa!>auDCKwl6 za}8-);2G?b#j4!D78`q2LPa!CjM5WpJO*kuczxHE7?wNc-1NT}+&KM{>j1OrSWg&J z@^k)n^q7*uT`JGDr+%jZ_T9Y{q~uk>E5$uSNZ=lo1)W@qXER3rOh8 zSvagV_yf%j@D;xV^e{SRep=WN9k4|-xssxH+^>d4jfqPP*VIU+#l~GK17w&@dpiT! zt^x)(S)ndWA_E!4wuhF4v;*)jxqYn4)}@L#H0#x-1^73-CIu0u*Oj5yR1=_~hXmKp z&3R@81wABXI5e5zW(CI4oaI(38Krd664Ki~#s%c#d3LsyI5fP@-zF-VObYlWj>mxN z4UZt*cfARa+uR%Na=rNgGR3#m0v6}9C7Q90W+6cP%Dz`QQOQx5lyUe9o5MlPNn&DG zdu5(sgs-Zu3gS%+8i8lZ-{<64+P$RxHdzIZNv~<^BQk8*%sg%b9RFt#=f!oV0PJ({aJmmLvy7vuRM57T8(0(p|WNOmCd$?+G=3idk? zkn1~jN6)JicMvu?S<>hw2p4^+1|A4f;%R1S%6 z(rJ=}VJH(d1Ai4$wunJBW3nlJl!_G{JA*f<)kSwmRv#RoGiE++oyhSk0cj7Zo7XGf z3vqa?aOIR>>(kd`aZOyQRfQkDK+2g>S~Ww3`1U((9ZG}`JNIPqXTIW38$_L%d%qkp z_G70>wUQ%?FF;MaE!`_)@UTjkgX4pHd@|R9iAAa+(($20iu!2EsL{%k@nU&F2#{C- zwBxj49Ox8;GCKfzq+;4O-LDeqOR$o#*`CFJQ>LUgW4ljB&-Trp@sT) zGu9-Yebsd*%FAK}9hVTpVkwA(G59%Db#kEmThQ)DSl2IxKa zC(D69_}~rmNH3o|{XDnVB#FF3wk4x&vxPv4#O}8TQB;IJ_(a~z=)6eTB600t>Rx1% zF0;n1Z$mBzF9XyWZJUwCq9o(17s3PUlADnV9X&DC@hGU6UEj4r;P=Nb=mYCYswMBw zKEfevEC|}2U<)+B(fB-Df#s2rxw?V4fVq!$g3lj??9F&rey6(&^7(!rk_F5`iDyOfsw+Jt-V zJ%_Mfe;mp%z$o-{qzAEs+3|d2*}s=IQm`{t6s8L<=wkBI&8ZQZnP-rL8M$3hb~GoC zCa34yMGtb5A;y)W#Q2^Xi%4k_Lo=73?b0S{`?EZ%nd9L!&Re@cE5Cd;Pw0dI8Obi% z!AuL5h(F}gaoH<=MV<-Z`<5O?V{==;^5vt02H6c>yJjA0oH#u%#+Wo(-63ajaCfTS z^qM_!bSw0-ZQe3JJ3zBef0;BfG3PII=vNQE>_qF9yV6`8+%65)rok4rv zifzgh+tJ1x>o(@}6U2|u@R5N{hCWc`U+cDZ-AkvmJo9f~Khl`TKNMNMZCKr5Sk(4V zg#{!)HA7J(Z5!Y`8gXCwfmMRN0I(h3V{d#$sp(i&-+->>=I?M`cVwK%1)TF6e0Egp zthE;>COw}U0*;{TS)%-R5q%K0NBt+PiR@jRBy-)v<$8Ds$d{!NC@!}xE`e$|8W@Cw z=;9FDamnXbNSDj&5|znF)s@=Y z$b@X1yh6Zq_xsj3ijQ>a$C7ue`D#&^rms*1y@I`>H}2t>3|xXJA(id#x63YopTdak3;d%G=a#m4s&sBD>So72lvRe^ezG5PL25(PvjmWwiTfzB8{39 z=PDq;0WBK53WQ`C`{!Pt?+lF2U_CFUiWc2Bu($L1xS++1Iv347Bc}~IZ8Pj|Ht-$S z`iOL3qD_o;wO&}h=Q)*uB{S-FHm-Y)t&}+w$-i%W$EACHx)T@EpoY7?wms`#m@F!SB(*#XoUS| zNo(C6kM|Dq{%P?dS40|Le52%XyQtks7@kG6$YM)v{rUy$%i1A_F+SsOm_J=}mSwAf zrMz`Uo{rnjBqnvszM3Oy`CZ3|kHRo*zn%Px!tq{dBy&r0#Lil0M%}|2K^`FKG?%kE zpmdB$uQdYj((e0s&pZ4xVO&U~A=FNMPURR38~TO3mB-om))Cp?lUib~D7Tf9LE}Z$ zoQ4!6yP@pbsV-3b9W`(?dQ5mEyHLUK4_jI^E9Y7^9e%GK&X=zCRgI`@{n<$Y2|dW& zLtV55?7Ka^^Q)S6mSczMj0D!+EWnD|dB1yLPtIr(O>w)>fz!7RG?kH1(nA|bM)tu2 z2J=EizC`4f;qU1mcLK4WVvf{1biL>^TB-oAeA;%`BOG%=F9Mp0bHn7gf4+~Lo{sM0 z|9|DU2?V#2l%Rerewrg~=9sX!d^2yR6!M=s?!mF4K$eA%{>xyImCz+c%CYWz7+;wf zu4>BG%AUoV;b>^|4^f9|RsPC$FI}$WCo;a%@ewTIb+yRWpM9%G{mb^){aixR@)xEeBdE9DSEH$w{&FC4!KkO zH+D>(W9~bpo?8-QHdzZhF>DbxERanoU-9J4I@Huh)pdo*;}=;bs|Ia3wU)-z3~=SeZ1ob{n)LbGC8$}}-fNd}UNanOq1kr$Ea!dt|M~+Qnm5y2+vU^#))*Ih#Xy26<3XFNVE>t8r}G54cFe9(xFqY_>YlH_$!+AmcFwdCWg3Jw zmm9hs$7_VmcX9*MrY|vePrWRjSJi;_=d}UWD=B$#g}>N>cODm0Gt7p~f2B}Bi|WUR z7KOhUtNLIb%9AAvn9i&zdjxNng33DY*rAZg|Ky^w~GSj99 z@VU@R9{+e(#3e&Ao-GhMVI?w5sDrY%-zP|vkrJfoaWZLfDJ(0n735E%$u;t1u(bOT zLBk8qU!9-}=U#EAIt;fSN2A$Q;Ao*V{Alji=D8+Y?ua6ZdU9wV{9e9Vd0Meb3r7-@ zCPKadyyl_wXa)xcQ@aL6W&0HzN4Z`wgbLDh9FT#QSmt_l&X-uMb>Kb6)3js{LI55} zf|ftfB$297YZ_qTyF1(YF99}xBk^9KKj8E8qK1JLzDe(i%GyEg4j9k)a#$2wW8Qt< zYl^&)z^dudhzFRTFrR;^4@7iO5|e56S1M?!@z?r&P8PkeYV`Zd>nnAP%V=Y?4Ruep^r_|p00tI*c@e&p0)m1G;7i^(&JmtMz)-}Dji}LT$?{9BlJ-w@xmM@Q z929h9GcfOk0xB~jDJwhdqdVJZ7=A%Z>4R`N01Vs*#udkT<~mm4WBIHW3C&aPki6IR zK*4a>y!4KIdEb4~ZWY2Smw~)uA*>2(I^Y5H3)pm~Xkb~!9gzYJ1$AT>5xF}hbF{0w zHNgFp&4d_+(^9*Lmg{cyPv$0K;5zW7)}-~}SIh0q>rsC=E|qT~PW|JeniF0WwOPs} zki3^(q4Np%U_wvO5 z@_QN|zTMUjuI#S|c;X|e=HB3t-;?ch#vVb5d7S}vz*A#N%f{e7%F5FEvnUEt_@*cYiODvyKxcIis``LLfWG3p zKt?of>orM#<=V)+x&(d{v;Gl^x&URrWk-M&A)Gd7bbw<5Jz|w4GSSH_BX+o-c|V7Z z>S9biPQT2;tY0{z6yEkNqIZs64f^IdJS9X?KrM=p$M>&xFBps{t}KF2MAy<_)2BsV z;Q7Wao5oCdSYjDv;4uCBbLnI!ARF0zE;pJl*9cp{oi$s3MnCd;A^RGs7o@~nsqbH! z5sAzeB@Ptdn%lHH-BMJI>%vqqZJo=eK% zZZE$5DZ@22X4PG`KKE;vxidPzVGJ2FM{k zYlMQ{R3^RNg`0GXtsZRjff1HVv#GlYfd^w#+Mtr?h3gI)SoU|d4AR$lOV^vuY zcSlN0O$4iQR*NfAwl_)W9bWqz?cLdO*8&I0nkBzEhO+#_^(Sj>#Q3}1V!1)M$%{5# z$4~BmE07G*^1S#w&oS%{y*?T@$4uiIh9gDxXN$h??2_WKssgvmS6dXUbofKuIbFl! zgH#_;nnrsy??+e~sZnm5JHCd7MuLx1gupeOZUXk-UCjRjQ!68uObLC>W-ys1qZ`V- zQH;!RJAtW~vfRO`t$X`gtWX+jM+yn82MKorrL1|52Izm}Fm#zO!&wf0b!PGt1}zek zPzZ6hXTcRuVCn&6gs!sMk5>v}0&O-`LfJX-@>MMfqqX{dr%~IfH6ZH0Rb)^}J1KI_ zY2AXN5;*m&KiHc5lX`h1;>j%GeCJG=`}vqTdv z=7L<^t}XVBqsy56(sB?udS?a598#$4nQaw7z#%02dSu4Sl##WNhr*wiZR-Rk@HcKB zfFm0h*YR;#DeYf%Qd(h?dQ$*oI8byAPHo4WIV|s|_)DdRpY0B00mEaG^dkp7r#jSV zjFOFR+P^&6vI*!&O}LeuDsrhG#UHQjZA`*HW^Cjoc2)aVSuNjxlX(rsnF2b7e`Mxz zryQ3Itm`&w9NF}T3Be~l;ZzT<`1T2KYoNhehCrF>ByK+o{@fbEvdFbAKhofH;izGq z9sEs3wp&vuliO%okfBXaw%d=0r=J&*5DJT}3H`5(DWi_8`QOC>{_>mX;Y7#;X960)$>1%t&YtG9WrYkms1~VkO4#K-3u!(h* zm|(QvyUl9_!M$``Hwo$G6AcaDZ5QK$h_Hx_-KE;+DBwqiR=ofT;_vXozndn1Z^pB_ zjHf+esSeq%?SYpuAN$+0tn~Q0tx3?E?dlMI>qlMJUR#@eJ2vvIFB_92uP_jkZ~J3!x^tm1f67#EzZ z6_UNI7LN6Xd2Z-Cu2~rc4hyai3?A1~62q)%s-oH&?2vK@0D(r8gjnUwiXTZFJxMXK z$|hqVDWkrm*l7?B&29}Kp4X+IsL+#9SY-&-scArFc3?)qm80GI4}h_4?~Xm>s41J>#ItADV2jajtY^z(H>#580-} z9QJ}=LInzxvHOxfk{7I2nL@5v-*6C#TJhHP$+<|;2eJ#f2@fIL$h5>ltMvczD!~BC zSV*Pa6S%(ub!sMy0^fPl+I5|QbF74;=PcB&BS#(OP#vG$-9;){y3UNT-wvAN;c3+A zYeZEwSg-0Ru|?_?GvdfDt8Vqx0;8U}&pF{u?C5}A)L*Y(>a3U2l1rynBCE`=U(E_$ zBF1DKGi^HFlE$~YT?bX3{lzmE1jD|#Ek3N1h%9Dq4AFjQ& zqh?K>;4Cus4br6_9T@6?nFLUPhgK0B8pxNzhy1T%B-@lXzOoxSf)BsJ1_El3Vg$EA(w)BFjd@R7gCt$_1@Wg#KGMU>99z0RtPnmzR*^I{78Bw$>7_${>{Wq5twm9p{( zqP;GEOs>>f6yw=i>04ueVimCMGtE($1+mBH<=OS4O?iz@5de9~bz} zf%rxPtHYpXuV;=OO*UmDnxxpPN#W2^vK|0Px}1`!^zj|9Z8Ru}-ke_!j7*H1XJl7n ztfA4B#4s`18m$(6_*LSl0Qe%j&1wB`CItw{@Fp@p`S9tJV(qB`ZzO!zBH0SKQ&itB zfo51KKkc;mGoWotTkf>V@DhT(SPMQ{P!CbgBf6`=0VQK*UZVO6X5V3rzTFvUTV*_6 z^e{ql+j>oEyIhQ)*w{v1>zjh~c*Oo$Eo+oEyt3neU+uH6wlgDur8ZVt(uq3HQJ17~ zKvzF-1;%ER4S2g=nzR+pNlj@CGWrwqy4U>m4{k4Td@7YB+i6tHIcA*w`_`5xSJAnh zDeuT@^g|&sBcv@3fUJknqJ`tP?siUKxn4_P%F%Ej29;SFMTDK^C{O}q(}Dk(+NTo@ z>^i7sY~8v{ZeD%^#Ma&2-M9HNoXTS}OF8eG4RAt#_$z6KVGy@LFUhJc!oCGjEgy_I zQi(W=I8Ti4mf3xJU`-Q-Nr5r^20(g7;PE4U(JKzy&+>^1e?Q{{h{gJEe4NGWVBvcV zznF1olIb-7L%FqkrJYx6Af~FU?B5|30BQ$hd0Wo^=?23F3i%cK!h=!c6-t@8?;!nJ zi3msl-V1V9&^;*lB?kZM)&3hF`{05Mc-phLn^^%li^=RQb#F$v@M;$q`H6z>v~DPS zG%L^|;FUJ;G&}e-t7!kvdb*k6@DVAAWZhUIixumzf|l_l2SJk@lp7JRonp@nel=rH zZ9`iae`@?S%P&s8>0i~oP)IKcy!Nxo>!$nNhIO`7a+-O}l^1GWwIgl_Y}?(azj)~+ zv!;&x{?>ulQPb-?B!tR4jBR&2=c^5;Y3cR@>G0n(`yzOy;$b>)s4i5bx0bXBcF-0j z=R~S{!~I&SdKO6=!B)MT;*1(<0{}W8c$d?5R^}_9aNZVJbRKiI%O#mJ|^zdU$ z35)g9da+tQ^!K@vaGL8RVscIR$`o`2f@iEOpIlm9@7*t>I@N?Iw2;~&+fzP+ANf=Y z;dFgUQIf1zLk|$pDjvmiEY{Z_`rBOad_rzLkjNP6kde;74_3dRD!1QApw*>S6U_W^ zs0vH8A){N_BiEPXt0E3bTwjUkfGoJOHZXCSgiL^;Je8L z>@~lxHaJ&mUDzSJYg5RTIR|e#!W$o{wVYvWojR~;$7xvK5OizKpOq+EZNNJBaczmI z@%C3|wt8b-!}Nngq#RF+#{FyofMiG5EY1*oJ!m=lyBAep9y7jQV9VM>HQcUH8wVIB9Q(RB4*18JR)cz zXG-vu4ro#_vD>WpEt~`We*5W96*J4?F>&+LC_A~fgpRIU3p^3ca6FSyfzu;w5wLmw+>NkFr|FcARvZguu zWbIY$VTOa}yUj?kIkIlHQS#kM>9r7x#d#Y2p@s*B%H)!xcxXAae>mHyzlV+#yar+; z2t{M8|8m19_MvIOu~nm%WzGH3D1v|$5(zj%dMiFchzW|%TCC>}%`Y*B7DCwl(oI{3 z2(b_w(gb_N`#y}cs~DR)TNG3CF=uMiig{mnvk8NX9Kp$7U*KQ(eK<%Bi@#tmwikqDLBRc)Y}Lbn&yG~(2x{4`4}g=Cn6_4UC^ONR$J5;^5A<7(A5^CH~& z<%4N04PC`qhnYj?9y>xraa@8=%?%wP!%>&_+N{h-4|aM#5Dw~2#1mp@jQxr*^uM)t zCtoc0`1A_2Vqv-+Pg81wJFs#%O}l4AJuZ&ii73B_uZo4NTYO`AKk3ZrH!ahAt|KXO zvvffulIEzSjw7K~olN`}W!Ii%JJaE#b35*o z&5}#K(WyRAf2}DN9xZymR;l@xlDVkvL^j7Am|L6#NPeSc2ub25M4kQGUR2f^V43BO z;Rhi@x_K+up;pD|JgzWRa>G^T;8^;T%a+Y%wm87@@3c&n*p8FqJ_aAgOgUdx=Yn^% zA?OF8VH1aL+k)TPSNG;CMHP|j&B=fEEEPYZT$u=?%txU}&uQW?gX{5m06*I-1f;k>nY z%#8MPEfMDFS!XdZ_gJO5Ga5OFNVCk)!a)w4N@yFhAde7LL=w_xx0zSvDcJk>xfz~b znY?#))pxje;H5EkVsJ1Lbt23*1l<_+xc`OkgmmqIsdtK#o`!0x&qQM24ZPYY?ZuO@ zJYfPrDZrzr1#OuO;0SCQM3s(WrW#%3h$u%#9q^rhjG~#NrczfxA#KZhgZyS^I6c%b z?dkimexvEj@zbXQj(FqFkV?5^_2^J*m$lbE?lLxr7)QcE2BO~Co)_CG{C*UKfl2uP z8>Rbi^WOhe&=SDcqx+G7BC*n5j=ewpk|8R?D^WH4yTu-8Pp^w!m@RNY68&>y|F$9E ze{PD62f`*IH0Bvhwp6r&AI?8|a#)bwg*($;F$#iEhdn>|!c8&O1tlK&8U){Qj{u#b zaNB4zm~le68H$ax`*V~rL6XRNDH6n0a+k)!j6W)s;se9pkkRa}1t^zX`be8bJ}qXL zLTzP$8a`4?&tkS_=*$U|!K@RfZqwp^x@O2fEbm*t(8p$Qiql81@0v4wI`uQBgNOv| zyJT9?JT<~JLk`FA4$uRVZF&JBFFk$tOE6{|lm<^}?&H})M2L-a=A|#c-b^b@1=A)6 z_7C$U7pM&HEm;qJzI#CS<-iKAEaBcmUJG)PpJQJtS1g*|AvbqbxoE+O7DP!!IHUaBN_}&mVJXkzg)~ZVhT%;E%5{BJf;52A3@xU3 z(O8wW$E^C_^+u@wCo&cB-d+pH7{|%1RmT}i%V|O9cFRyPsTq81YLR18L*h}Wp?{g$OyvEVcWyr}2t$%? zeSW9t_sn3~#*N@Xxfl;A>DR8`(yd}=m)u`Iom|NfLCu6m=W6a1hkg@qM=Dw+|JTFmtvyBAD-8_^+`nEVC z*+47-{r6(<6DMw&%3Mz5zM9bETq>Qy`SmfZn>y`WhTvIdw^$A46;pV?+%A|6UZ4K z)jylVRmomoJTX1o_Yoj7?{I4R0A7R=Quu8kH;->}e_R0L$eOTdxh%kEy#VN|Roi>f z{FBq5*)zSyExG009X+A}IWy>Ev${U>TAfxxKF53$yZE(ccL?{OXc_m65B9O8&h zln<4VolCTA5ghfIOOmB(*}5)KqZKo7@Mw0PIoGY8ZGKjPO}mqrUhc!IE$UtN-W++Y z$!lDbIPl060ZM6l*dN~x9Q!Av=K>?ij0d#KOCmcds$?bRKxxyexPdl9QM?kV+<4}e zS-pj~a{md(5`67!J0$oGfnNJX)%%cs9j^wdNzCp@T!Ny}efOR;H7?e&xaGCs3n%>O z%%8}eA`R)}^@NJ3X-{{5Al;(Kdr)4X78R2;JmM(Oz2L-7%FZJrlkp?_t~}G@re$^f zw#H!h^a2e+S&LakpV?C!Uch00$oY}nyIShOcGDO_+)SA;2bOCs2|m!BzzJN<@D5>$oMY3f!sYHgpbOyD zJ^!M3Jz@gM$WAvi51NsmLXm;qm>r$-2-?mJK<_~(r^4No;WPkLf(5MYE|yRCo~i?N>#zf=QVuW}#dy7D5EzZs3f=?RUN z;$rvu98qUzpu+xiVSXYB*S6|-7RCsO;{>ZG@%-~P=8zyRfe*4dc zg;MCMlPMIdCq@RM1tn!~J;Q+IFj=iwGR)}5^aOCgBMvY7FQAkB9&jGbS^jl4w?WtY z^Vi4A^hUhtgQ?yNNMK`he7#q47D`LcaJ%BP?HX{r{kC2WCvpW*L;^dZf!-Y!jTzeR z`tTqhn)t=RZK1Ra9lRb{#yUq{V$p)xTF?Anlc$UxrTdD1RBz1DQYzvv#_5vZR6pC}{WJ!v-_-DP zy0jDY$O>v55QSTaB03tsFh{NQ?ta11EUU)siXr!Q43NH>U6mcQPUoEdGJ4ln>!vMh zo4lrLODL4+Zy?q+V_xl+&B&i zua(>gh&@j@bIb_JoYf4*-lc!qndUzosv6B6J~7qy!KvWaiiDfgJgtzpI?{s_c~@3Q z*tYEaofRKcz3`mr&n#Y^Ex*oG3jNB#40WTT+7hXyxV5h%U9n!ljlmUby~2zsPmr^4}m))1-1l7I!G$*8-P3EUERpikmDv%L1_2(_%wz+EJtreJMh%QnN7jBSn^ zL($0D7h{Pmhts+%&JTqV7yXlg-1dW8{9$}7enK~_l`bc|7h7>7)|=PYr-$2xs8yyg zpe&gkFz`i9S}Hpm5fKrs4=eiroe;eRsyF%vB%(zDgNc0x0kJQ!o>c03LO^jW%P#DSqfkkN zTg_V48YsBHMn$01UW|vI-tM2VMH8r9h4*Rt+Rkps)?IBZyXMP;rVU48!6gho*Fh-ox>6`W9LybNhI@;sRKK)@j&2`-3@coeUaNAH3 z%H!>#l*q*r>K~1hFD@C41gIjeDK>r5+DFz7OoyLZTeE~S>b z^k1X#fm$1uUG+K8+j37$C~?KgBIWPJrA)2egh*H9V!iRTEjlG@+IP+m^_4) zN7t<13!L)hRjQIIZkZpE)70Dyd^6G)Dd&1kR56OLl;#fG`uni`u-jrqS=M`t3wK)& z;8N^PpDl^X_umi-KtQ|En7%fn!GaFpdvZkk#;`m%3$#T?^eAXNA5!a+lJjH3fsps| zcX3koU+cXSArC#nLdKU=m@5=dnq-mywq<>`-~in+%dmSu`lvo4DKa@TDS5zWM@zeR zU)D9F`~EqZYVu4XYQdw{hL?v_1igwp;p|2UUk7rV9+jQuk?mz)P153DH(P8ge|vY+ z`f2O#uSelmc$buB5>%1u=4I#+Z+sLWFfOL+#$2xnSkC#@%Bqa57OdY9MoigG5w#GW zB=-z(ih-vph1tDZWR%8SN8DIWN7n5dg%p?`BC!6syUM_l7< z|2&m8>-*{Y#J4KLwp&gXjdrh@wTLy;yyajjHZiZS$tPN>e$@0rZ2ND`=UCKBzQoMN z?JKzc-1)t2e<~3rpc-3hej-W z0HjhYR#Lez(4-UTkPHh2GlDL`7O*%Ygxu2~# z+abXzH-q^%7a%*V17wHVXGuBhx8o9ydLx)g)P{e6xR1|YJi|@U49;Uf9#@e{+Jm~Y z5*U}){+f-Uxz!`H+b%%d*8j(}N^+?wOzCCx1e_>yWh(QmbB;f0ao_wX!uF0qvG1}? zkT|_*eu-|4LZ4xrun5Rm zS;*PAT65oax+O7E5@0MqdJ#Bf|L7_Q>}oEiS{*+-Vh}aVrY5J>?-6LG3%L7E`!MXd zHCozTKgU31-Gt7s-#vRi9Be;c)wMn+UEuCe_h^>+owGV%Zjf(qr}>4ihV20PvIntR z*eqje%rVysSGprF@^Hw|+J#*bp+VQE-^X^A9@#EYzEZlJos5_{@5@xnB4|+Zelz4c zLBlA(n;_pXqPMO^*3sB+SaU^cRy$pgQVB#Ez%KOCeiv5Xn4DBZ&r$l^H8AbEdjCq_ z!lC;$JN!?`ROvyHdhyI*D0nvC2`bz=gm3t zGh#Mvli9fWvtGKA4X0+UCXj79Z1d-dlUzZ6DUg=M|G09L&O+|+&GB%lugG?vlcj!!=*d|JuJVQ+K zB$=G>1!vs9uHS~6-rlE|-D=$dd7ISU`UDe*PR)p=Q*jF=yokn7Re~7e#1u?5N~sL!eDjHz!>hw=QBJ7G6waJByl_-- zvMemkk{|!=*DxK8^3o8i{gHjIO4h()8vQ@fL;tU&txwoMOeRVhmDhHdgDI*HtxpPI zQK_-zz2g?ZC0ITZ@AnqZBUIDrCdcEc4p6QTcI?CTY5dv~lf~8%MDg&=K8js!lt$c@ zi@34{8Gv6O#zKHjm)%XFp8;y=zqVxFlMTd6n}&5UKgN+&b-&I8#tkk1LDwar<15Q) z4|)7jvJ4TN9KvM!)bIx=jhI%#2g(LfE)*#l{$2w0yb_XZwxJ>i1p$9zX@;^~30i@_ zQ6|(dDhhUl<;a1!XF1=vT~2n^1oIFCB7OhVo$_PsnL%t}H5u-EZLb^ocC?vi&rjZ0 z&8*JM{AXqLF96#2za>dHbk4tt(qKDBHyEAP7Nz*I;Wd4)a%5P5;Pc~fT4YtxG&;w_ z$Op(9L)=RJ1DwE9Y3k5P;;_aRPy9RT-kKUi_HX7=Slb9L8{Mq!gTT4TMPdRf31iJ_mxS0ZPP?vdc8t22nKKe^q7??xUolQ-S{?a}H zj^M>WbfQWZOat$eYe*vRe#>_XKh`b#igDd2HrRHyjq8A%HvCbDau10m@paeh$c%Wc zbl_B6A=UB~g$w;dk&QT1h1z>cKFe_&E6jIm^-Tm@%WH&m)qOV@nBAhVw_brl*j7U` zjb&H_D8tGY^j?3vo zfTOvUJ;=met~N3FFdxnIM%X!E@U<+lTd#jqq`C_+cW4X}&T=g!2>oE`bNM(kxqi=x zxoIBV-b;9?_fU7GEsr-ugUi z9KP*uk5^p3g%$xeudSmVqFNKN6PTyv)2Vbih1z2C3#Uxk(%`~e*wgr3$_ZAK@nV@# z+x^8-h+Pvzuz1;;j6A%*GgqniY=qwM{Q7oxr#8iPD5~xtC92>wgm-YdptZUeBFXRJ zU3@WV{D{r&He8hA3<_En7Mh_Tn4xf*p@#@Vxi(wMK zmz)lZoO#dnG3u2eLbK?`IxPLwixDxn8TT8^gMp3hs%>7dw7z+s@GFMu>6N^cR1itr zI`Oyiiylfphy)P*KeJ0J7%%(Gt|tse`1^`>N}P$N@}Rq^M?^i+*>t=8XH{=vy8M{_ zFkob)IV5W#&uV5e|Nii}m@nY9@yGR=Mf6!oE-rt}=*7+Q@}LqSGp(#UqT4Mj`lF0* zZ7M3`Rxj|`0{SRvsq(Vzf)Y3RX|kzBw@DR8K=RNo?=$)zTCF0dXd1OAOqhm@(=kJK z9J;8k!pAkfFTvlWh4|(k&IK)|sMw3YeXh9U$Fvolp?HM_8`%umA=hKx&4KW05h}()xu^W;IbIlxL$~{h_ z5yUB*F|1LM2N9ho5Jgeu*4$xo_|Jso-#SP4b_NZZMZ(c(Q9I#9wo?+bag&}FdK)+9 z{CF8BvL{X9_{v>M7Kg~=++R^(OFY-HNHZRm=M5(gJ@|&T$0LGO0-B$-{f>DLDS=3O zT1{^?{H)*!*1tB)sMaSy9h~8vO)qBo3<8#Q&i_&ea&mXt7ttx6UD_Meh9x{NP9T)_ zueEO3ckZ;X98O5gbMD%1k5eYR|M8;O@w|S*RHO z6wyTx%xw!$HZs%d-I{4$G>lA8w^Th3fIV!B9kUqD9>&lJ;a8(l1$LKi|Iq=+N z)Xf*Px;-LkCUBe`T(?xLNnpLKWDj0fIstxmN^i8DN@i8?(fQ(%(jWU_x%rK{3a#!L zPu<6=G24A7^(p{Ywqa8ny$^Dv`pb#ow~=ajHiCIHZrX0}5rpr5RUCy;QM*EF(e|i_ z4LO)w*!o54EgD#+&%k}DqJMu;gBzty(!>*D4IWF`CT1jUi4m{9M&&f}mZGpQ2rI7* zrRVcfII5UVuIZIG+*=VO4O+H?ERRgbtR@wSS+BrN##B_`=!YH6PH;N3fB8rVBa#)LYKP4WgQw=L8$tIl2I@w?e6YHa(J8Vy^?P5bGxayYPu`TkimWmT$l^v)hN`)K^MjB=RfgICo_qqw1&h zxl)~9bCp@XyC^1^CD50?VQ*S@)F@p*w=q5LXaKWMJguz)^_T#Hkcvp`@B`q=NmpLy z0NfVuM*}9<5FEWXVqG|qQ&oX_%4)|bc|)gs7}AE?#KAT}qU}=LwSel=pArQo6K%(T z`^JG(FhKAGA`orMZQFVQ5wC5t{wF?8{rySW2DOx|F>>XH>Cs7tpZXq4WGz0J_vp43aZR9)iOWr%$T)J6K##FjUiL`&9 zKlD%`cFUh8+>=A+>u2cx?J@k9!1(sRi=EBQjkf*^E8#VjpS;#f6Rh8&)!s{)cQxi* z-{y^e1CK-5fkF8zhXxF2IB^P(H`PBz5W=D_y{D}f?X)robppIH%Bg`i)3(1Xjv7-q zERjXbwd=9tzOTxvbX>5jOFwBEbVJM!*3<3Uw%R-{NqAn5suzBrU9k(gJ8*x;F&ukt4&+R}PNE?;isiD$?eBo$d$EjJZgv3oCNJHwhxe_0qXH>N?Dm#hqwI6B@120t zFzT=l01Fs}K2zwb=t=y^f91_4uzrx`yS4RLtBrbC)zoje>a>KB1-@hTdzy92betMb zuj@@~p+9|=r5W*fT_SwbCT~*!d2QFU8!^)w(^*jBW%3xWR8O4x{gcK|^j`8gt-FMz zR?Pg;MWopv77scLlZ}k-Kl*hPf1&E=lJv@<&eb@_XT(IJJ`@hgBsDgsOU1#bMn|rJ z`nF?pj2=*%FH=4ZaQUnTojnm0nt{0EmVFHBh36~=-Z0i7{VH@gYZ<-EXsI1&E3B{} zt7q|qzrE5P2|MF!JLAr)Y7_JPO8&b|?&4K%w74`8JygYxx$g8+$H8NdyU8romxQdb z&Dm0-=NlV66uC8+MeiBv?W1j>sIT2TMrdjMg`2+L+GUC3WHxiOuoqQO&FY9Eg_W?? zYmoIcyeUu}_1OcbW6Hu#$P;1sA*#!=SgfFQ86IK)Lk8ToW+e*G2C0tv^BzbjM)RndS6a7%@IV{MCymREQ~d`y`kmd zb-j0`258$cve)&dTd##b5&?v$xpV)407SFoe`wh4SN03vd0!qjD&a+0Irq|h(dJh6zkc{CZ31Mo z`E{w|NadEF1DM}fnW<=R`~bFGHf_PCq$lGK9VWaYL!jbU>}?A4fN%Abnn(AkzCJJL z@24jP{a&24jjhmZzu|V-;il}tKdAzncx^lLrfltynC)*M5)O0hk^3&KoutpVr&a8? z%@fT&gklPrY*7Plvd_jKOY<>o+L}ZyAuP zH0-(t%lNvgMnz**Wt7`o`DQ))Yf^X|h|~QJUIL3`IZA!Qon6TxS)7<4WSd(WbJOc> zNyy2ABh}`9C*hq?Tk!C_AFJh!Wx9bCrx6cdR!g{14+1bxsW}->j(m)CzVaO9xP0^x z_|M>k8nbAF7FNf3H!aw&Lihn-0!sWB*buaGfgrWI_M*nLm0lXdIt(p$f{u6J# zSFK!*RQ~al5Wx2HGKyHG^^|}(JLvw+!8Muq8yI!yFDbO24Unktue%@{#Au;AXAGeu zg73b#JLeS>CuP9`F!Iht3s^SyZGB;)`Dt=3x#HSB{dlb|Wri!NOzZ%JR`+^+*nMT^ zan8OCOYb~#-8NaUsCF$W#G$<6ob3KZFnU@R!$F#lj$JE!;mTn9s>YG`Y$g$b`IJky z>C6{gN@DPIDO*i05?7{bb+mz9)^l0YG+wPw`8aW-VkhDnRi@AO5R}vFFRmviW$H#n z`j1#*nM}#Ac)}p%AFQizGuf)aj5j?kPHGlyj82K@j0VU7BbB3=x3(aM7%1+}da>pv zx!%*Qe#3pi%uz+}G2-t^IKD&Rf-!{os@^kveoJoYTiADM*k>Hc71U${p~3n~3645$ z+2#t*=`TZR9^WlNG}HzxaqKU{Kubu>=U$55!`2ZFwif?}$r01-+|M}4(PAOi3DMlb z0sYi5M&Q<`48`87mEMLV5V!5Q_BDSphxwsu_5GC!U(dlQ=wT1wl6_ldV|c>jkTMs? zPkF>V_9l|i`+FK5I`KI`Pm>kR?eL~8-gbAQ8kc<*wZ@=r$dfx;K~vju^x=)tV(tq) zTg^}S4~~5Y*EG3_Tx!Z>K13rU*c@9##;ThiJgJB)giW#q3O-nv&7&~>(}pIHQ6kc< zYExP<9iz$}&M@p%bt$^lopPpv+8P7|31J^mr9XfnxmQR_%lJ@2=C2~nWVt=>F4v`qAgN1~92WDf>Y zNn`3u5va9HU~Q-PAM8kcJC7x-b!d#9R%A1N!a>Sd-V5ev^7x}g$~;O-ZLA`)annPH zz}1gzHp1=P`(5dyCp!QLOH1d|MB(}Iis{CulP_exrhm_7fNkfMMa4bnV?hxh^j>i1 zwi!?`IQN+-h?rtLBSuw;XK))XBBY^2M;1#%9}Y*fXebn=G_+=bz6DR8{^F~eUCQCT zr5B*N@a|82?0M}y0sL@(UTQKUmLzeDASPF7-pN)vn9B)(*NEe$1V|;Dc?e6jALoi; z9J_UgOly|g#EH6xU@9 zotI!-=<{OlJO=N~%A1usUP4tSCw0&9a9OHR48?qya{VvR`9#})TkG)6j^}ssuiA7; z6Lr3j1=QcZ+1%VVncN=sfDQBC_1uRiJWHrKp;QZz|6ny7;oljjYtgQUxm9 z-s_0uMca3HJnki(@1V|p7US#j@#Dn~yIz~7p-*?&WQnf(?cD=)>#tX`F%;dhls;Yj z>l1W+r(u8y;s$t#QFW_S>jT{2&+JLUvsN7|J`bm7y>+OJhMrsaEV{KBb-{GpxEGQ3 zx4Ao)X z)$12+0fFV4TT}wK(dbzPDpg*19fOOcx6?mMU3VZW&W?HO6BS!1gtt6`BmI~ z_(l$X;SOnev(~sJbupL@F}U<9=SsCMPavQB_cSzk7K#+tM`He%B>daId$K!2sOb2j z>w15bEY1;mp|Y3zQivJ9-i)9zJGjyU=)bF%iU5KX_nS@{3NZ-)i%B2l_nj%#Ck5yZ z5*8W+YDo$oQ@N62@NEK4dG92{m)sgUS*h~8065|K2zT5`Zp#xDGk6o2Kx*^7unw}7SL6TWjoto$MUj&`qCH3uZCm5teRaJ_ZY-CpI|@#nHlb4< zIV0nU4xxmCF_(q5<9LHk2r_FoLCPh*Q_RNP?9VFDFhO!&J!f|zIX;rBySpqi=SckO z-7jfHpyX`OaqBi5_x6vg?OuV9%VF5(0+qoVOiID;b*sL*(adTY*kmk?5{$sV4C|9( zg8=n=2}o=AT)j2!Pw!{XJ^oPe1^UK@^&_vz{P~v065R+9ufpxV%X(4Ggr*qqVes26 zvK&e=zM9r1;fly zZ**ywH#FRH?|X@*{C%Svc_8r|-lKi4Nu&PjK-?GdNPNe8?ttG-y!q0H`E|LLoISka zg>Rh{5uF5*GAFRd-!B_WN$aQJoAk(hH-C3g7RK#M!LNJ|6FZ;26y|g60iR{$-tOaZ z=D++3;|?0tt?{Z6D3H(4#Rd=z64PK;tVY)dt;?kJGw7+HtfV-e?okk z&DHcpURnPA0+{zMzdMpp5nG|VHrLXB+IA+Qb#id0Lp4WM(UFPV{VpP@Qblbw7o_my ze~*5pzu!5PY`=lF51X1T9G$Gvx}gx=yz-Epx(G{8Zel>h z$apio5f}k&%(-nc?TyhrQARk=vyiMH;z#E?(IhuiFqJPP60;goju`3a!G{u!`QYpD zq*ImnTr{}(=+{Jnt$ClUcQ6Ta7G;^YM%h8jNc_vU{`H9bYdJS*rjy^V9{g zx4xZj?6TyVQPH9@YRmO=pnWDb88Xj6uubPc>*PS2)XJ_;mVeB7^MjYcg8$d1QBL@o znG^mn3SKWEwY>w$27fR0B0DMF)pV9-aL@bPL~)HAd2H1*2uEu7C<**!1<47(YyC$vd&7dTnudm`}gsQM#j#-qGe;?Ln^Zdw-A8^N_qjKp34;clg7 zqhi(hL}{;62()o`tO|V;82I-Ae}*sKtCay7j)14F9G(%zZz@F5c=J^d`P*sRXzWkC zP_8OCW&(Dslhtt2?gwh<17ZVlAp_@bxz)3Xh#fvaE?g`d+uDgZf!Ta~c;XjKJ;_F* z>}nRQGMcfCEgR^luZV~}KkKFF{JW*NRl)r^;k2{d!BJSL((hW^Zob|C9L^@AX2%1) zH~GcY|19>>16^kOotPKaOcb)xf=9Kr=+J_!azkDDC~o;UmcR8-d3e%tMxyM&m>{O& z<=yvEaHCu2feBZjGH}xp-MCI(^es4=&@q5c_=oY!PkoQAR^aUi1K?wca2W@yTqhAl zUY4Bek7{+#p4=tP8&pj?MoQAp_L(&SKVap_cTeZd8V(y&4(EIICBGM+35($r#GFzr z1i@4y;d7)V7T=eZmj_{jp`UP(sxgPvW@x16Ng^ZEm`j1vg9QB-xpZB|eecXWb`;s6 zVfw!~ku3;+?b>gmHU;&pA6J8AjC*XW_li?rkFkOxrAt>rbHGXKhb6rXxed8w+^9K3pb+!+d+l-5)6_>=*C`lUZa61!Ua3P<$W7Qw5$5 zxkrs)jl7li@h}sPU1n*yyA!`wh^Vl2!3WgeZ2BKoSAYjy!oTYOur66ynk*T3s(nIH z3Bf-~7&&r$&TtY1$2|NpN0pYFuTiuNLcEJ~A6z^3!g7F~A%4Z1tuf4634@Zct*{3S z{y_J*4$nWo5j$B_+~X(Uo+;A{$;VuwUwv^KBkcPq|LM3ePw_NmmtI}=FY|QjF>WIw zCg{|^xxkl%7e{+)v^3}ARFgVcYnnzt*Di~l_7Ob-qT#hcB-IoD+Cv#1>Y|!bPOIwk zacEhc(d}9Z5nHtO*TA~nj5V)bghAO?ADNkS;@sUKA`YYm2V-YvwPH{vx>$1%rcm9$@96^s`gI92=kvE@Iz zydqSlX^Z_6{44=i*~}fco*A%IuD4t1!d0r+AX|X1rgLB^d|k6stWwo&&ggq6N0oPw z9q6%xF75I#a!|HbUyu%dnQ~<3@q0kOdzKwu?G`kYUfMcZk9IHFfcz8%wQrSUoqc7* zI9_IbLXB#LM*@cgS&{fDmYWXJH5&nZdj3n&^&;HO+a`-GClPCWO9;6risw8r1KWg2 z_NlB=v4lbs^q#6)bMoYG)dc5$s6$?>-`ep@XZ)RWSIUL=7AO1~(XP$gNx<>=MO|*+oyG1r@{A9^>C-dCl2a2Ig zZy)xkIJKX8P~xb_6AwV`U@b$s+jl@@mKad*ajlwNrvoETGt#V~302db-J*M3B>8nN zK5^*3&H$|k5}YsztJH!|>@!3?KSCXv_%3~KVw$vlT=%A-jef1?wy;VcW`k-J#!w7m zNOhOTyyi@qc5p$Km%?RItLbGQ_9yfFe#=-|)Ez}bB@?{#GP>1jHIXV+(YVO-NRIAX@|EkH)FTn`~qQbH{;DPuiE0a5J zZ%zXxisheP$HzOlJ?4uRaeT%5TkRA&VCc6HS@uwxz;`&fa62(Pk^KA)Ono;R0ZlZ> zW5+LB#ax19i_V(L)zuI!DBhm1*RJ(C)R|slHgRr;`b-eITk~U#ij1RRF26Wbdlk^< zM;nC0+bY9$w=V?huOU7!n#mRyc^%hf0tj{8;@{GVgRZErVT-lYXXCA69hUkJMmM#Y z9C&-hn$_EQq>0@gtkMJTe-oU&_^x1|>wqt>S->~*G9L9ozu(oq>ak*VdUA^ODhd+&Yy=;U;-VCba?0&?u`lg_;eqZ@_mn)6 zBO{MAavCbjw#lxO%O?Z?)+8n!A#5e9z?eJ5#N46a*jr<-fiQ```-^d^?^S5KRcw3G z!iTFoqi+UoKMy+_h#SVXZMGUnnlVwE{z5|*W|IK{LSd0Z3;+%Llm}&$budtzP&RMZ z@SqyHZ(77LOOzv*ZuN4#a^D*}zn;Lz6DKW^PR+ZQ=S-_tP0{rD;$!}Mxc!Q@)l3tR zZ=#4h3RzYRTptPuVU;q}xR~1}RhQJ$!EgRJS&oZ1KK0E;Tqt7u{6&Zvd3L6PDW4tdNc&fd znymBiz83bvo=!rUxUyHY-Q?rpqnGvMU#;$YwZ$1}AL0;Wq7Y-72;SuxhH{NmW1=3$ z?2|BOi&2?LI!r~l?%1+cDFqXe2ql_(9Y{W=SeULuBQ|xYU(6wdhv-sgD2u-iEIY7D z_Z0Ekwe*&)xF@kcLLiXtYB*ICRayvLeI7X2Ww6yIXxac<{qH%e4>nFXAwC6%a`Fj? z{9mV0nC;WB@#1!yao;@A40i(EeYtGB#XL!rs<92mZCQe5G=)Zmz_L3!0v8 zlUUad`fzTyud6cc1X2c#VZJ{2jy(rutr)X`5wTpG;CGGM^kCnyX2E9BB;>3Du*&bK zFLLvx_PQCONA#;V=K>M`(3_64z>u-EcmJFphl`Q3#T$^(`x{C1F7vPm#rA_L6;Ud` zmjiah_lzI{f-2;qAMBkuN)#Q#{44(Jolt2DorvPu-v|S2nml(mv*EbEMur1w-vO%z zCZL!gE!5~MMM7a*(@J(AFOsm`jzz-D1|>un=!SRndRpN9Z9fzi6V(H7dbS4H zJI#CD9FbY-@qFQYfI|sKo$N;k{yPz#H(56)x+?~J2WO6&Z+;3>`|Z@n@auqoy=822 zIfndtC7t1TJBIHlleN;~cxNI&i(cWpNYH$G$bEi;gt5#1z@B8ky#K{xV_f!Ig2zjf zL&!T(TKVgTJVk;*`E{Dye})&4)xqZ^b3utYve8m$w@*ck3EwdzD~M>NEv#t>{zQyp z=v>xarX_3ppZ=n`B|{Efgkq72Vxa(2SYEDC$$_Gn2kDH{2>+9cKTg7oLnmfDkKi1~ z4(``q4@ON#&BW?I3WQ54!hc&j;u^__l>8*e_4+B{+osW5I+eMQ=#n1Tupg#m(~V!d zrl_XTZQJlf2>Kj@on*^=oe#f})o9c$w3iNY(1PLIsugT+%D=I64P%h&udS6o;y6&^ zz{3mo&D|tnbgMI+)V@4y#$z>14>*H&TRRMi{FJ38PN?JmsAz-Nh5sSFG#I3U%{3K# z8u$@~T1!sb7K74F+WElaer3_2qgUuTez!>4SsHC7e>zkER`3=@bZPl?L^z_nRrW^Z zn>a+3=lOWCO81^nu-~`MGK`9k18hzL_qn~C{QouO1ZKdLBM%e{R{{S9DV%p>S@x zIr@h?-j7;L)>P=OrEf4fmvV`&wAWchj8+yymAW>xmv zNJ3T?Ld%atVi=x(4}rnJQ!(>UG9V}Bx`-pYuQ(FQloh*UI`M)2q7O4A+N>kiGQUrQ z1CjKx)tI0QPDy2SoPUNjvk0MipC8=LNt&N5xEuQ5*WEV+bZV^FR$u;XAqgCLOF&O( zOVPsxcAsLzjxA<2Z%$;PIt_e_b-<6oAQmB#M?O2BH;+11qG7rVWq6SrUrF7w=D^>p z7~3x@gz_0GL9Op5Xe)oZ7^IJLztT7T9H$H-oVZwL<$p$x3EPp@d6nH|CKa*EKPCIm z?4fBYw2=LCR{nYXE9+GQX*puL{mBw%S) z2nY4;B4PJ^hOz?Dfi8i9TQyvT!&{{$3m$kAJL;S>nrk&gaPW!qDkanKHg1t^ZR-Wd z3AMSD@VeZVmi?BunLoUz1%s z_T>R5xxZ~M0DQ4Q0h z8{74UO)ND@lj0IpVHP@bPJ@OfZmOOe?u|4bF%Q%gKUWgyZavC_MV5HJJL2Weg(}g{ zn8!b0D>WV`aqW9u!I*+*0$PR}UY6Wq&i{|uMA$F(<>#Hp3gaWhVx3wPgRcgCaswYK ze&Qqp5`g3gDT&MskEbeAH?u_MgVC$n2D?rm2IFY74)=x~U1s1K4-XN)<98AC-LQ60 zq9YP4wwxC(JRSICzSzB~M=;uqjq^B#E+8|iqG+M8a?qz6hjDzsEe#M-NBuglA*cL}4`l79ul0~Yh&eC{*9SO^oQR&XxQ5)S_Xj6X(cWXdA-+`d=u`-tMCz5@L z2BLy|u3F}rZH2P+q{J7XPV9Sy=>tZwZXL$T%1YnBfZB+zB*Q7TFBREhon7yF_n@QI zDiw_sZCfsHyGeN;xyDgaf1Jz)$wGiqdV%!Z9Y_74OI&~oij=vTnU>-R$465j4~$TX zq`yT}V?W6U#Bc_rQLP~HCL+SHFzTotX_6cW2{jH3$8qX{VW!kDk~Ok!ZSJ>em;red zSsfV>4*CpZIo4!YWZkw#+-CEB zdu=m~19Du4&uWk!0HQ2wJ3e}@gy1M~qL`rkLQ$nUa3SDQu^5;(u}08NN6LebmX+qtwm{xjXe(wel7dTpBo$eawEG(A~dF6-BH zO22dZU3dzkq3jEE;E7z}G_b5A+PzO&q9v}{gqdhswQRzT6;75?hIqn*RXnBAb&k9r z&=stNm}@$@`5}!)ZYNhhkjFE21A`|umJWZSOEk?)E&<(of=UULD<8%~97jhxu_?8& z)Zn~3rAX zc-Gc9W@cu&ETbXl5wY=fofEkRO#Iy#R%-ixFWxn=@m+o{_PaXjeCr8xffGS0X=GkU zz^jxEfQAlI5yDjB%abqg`{%1=2ExE=gIY%BNJpbL$C~7L^1m zYc5uO%rrg41iQUkp1mJ*s}r7uD?E(J1Cj|Y)|G5Y33MlFl)uj>a}Cm#6cfOO4!~@S z2RVhVdEzRS%RJ(c89;P_e1uR?P|TiTJ6V1|e1c2O&2bB6i?yAnyR4Z|l`>YKJ`Z1T z3knbM>6JfIl33yIY1q{nw0QN)Prp&h&zGOR1SwVK-|RW;5xKB5mb%ypA!SC=*-kbT zu73I-e7$v0Tx-@n97urR!QHiS4GzKG8VC--Ap{5x!QG*OCb&02g9n!ccbDM7Ex7x4 za_^n_-l^Zz{7FI8spj;4p1s#vdoA4}CC%57h3dM+Lz-?S&!Pu)xRn#W z*dF_90c~VyVnR7S@ZRoCgRr$rqWaH)ILx-!^aD9>2i{Gj!#e0>gnX zzx0JHR{PYL3^WTqzNL(99Ho01bXOreXvsZxKW$@uwM^=A{mv*zhs5y^b2%-*ZFt*M zs$%%3&<_GflJy*cq_Bjc`ECqkY~}>(`0{LAKd4TQ!eH-Zj1|YP$?2U%Z&BZaW*py| zp!i01^!c-!F{_;oQzt~9rI(^iX}?wT(1H71XmN4zcr`3?rv6y2)&YzxGRCf|w(@_3G_eA8^DUBZE;~L`rBzD4&!%USi9QUF#F9p`};U zF^n|KXlvtIsp*#NmjZvkqc_HzYpao3aX9mJ!L=>zRzcdh)nf3of)8(m0@K;FrtzPt zS?a;xcV#Bl+{K`T&k!@6Kj=0Ks1TooTT5w2L;z>!1K5PA-r@=kk)oqXkvFqJhsaHQ zFPpS?vU1NRM5L~;O@K-GF6rj|r`N*1_r$<}crn;(T*_XuB{WleGOT57$b zc%$TGcRp7kyF@lr7IDocV3uZgjBc;|(dVS>)aOE9I|e8gv6{}gP8Vz5MNZ}`2~$iV>UB+P!miUyPgo?Pa!9)Q{U-Lg zWPI8sKbu$=y8aYe)@J)@w3Ci%7$|eAmP+we#;A(PEvdqU$|A<(AK+XA6}OXj6;zr#Do2^f z^S#+*e%$)V#HDI3;iHSLTq1L*21@LM4wcDBXw-o=-%0!BEm8A*T?l5&Z0?I7GwX?s zhxIeO*|gH&5p0HlYgAsq7K>QQ5o|vaUgYsjW<}6P>fz@{y2{B zJlR@s$eZ-ataKRz8|b+qhb)IM%HynCqvyOh3CE%t`+Z>vWR9leY9#E8j9(N;8A(%F zq7e;d56u{NejYX}}_#% zN{2Ym)}fVDgqxM$mauYZ`GO-J9Y$2Yz*jLCgIvs$0)RcX<}?!6VdJ``%5A&MKL!4RAo z`2%FUKdQws5BeSKPCIhjO)V-YTbr%h!!WHYXn>*;a25Zt%+L|O{mJQBqU=HEmk809 z2CrhL4*L79MngpPF%#!#kLm^S{2&}1OdC`t!6G~4Mfg*!QhfOy<`HdDZ09oD?-{Ye zzxvW1LM@sRON_tmTO;9vtN;8nRM=p&W$QRG?a-?lR;W?ZNk6?mZ58=}Imn0VMx4v5 z?NoJmPjQ!DOB~Ildt7=fOI?ye^O<5E)>!zuCM5?YHccpNr~cCo`O&=^)V>g^bX z=t{Inwq5Q18L5TjT}3D5sv^>baS&%vomsavdxJ9OkK!bC{u|S|D{;dm-g4V-vPcZ*%<6O^FzEmV6YvPn3)!{+pa2`7?#Fp zH(%iF*#Y~are?RA7sB;MqL(%xtk(oH)q}yhp2cg^2{yNvo}3zXQv+STTsGF-{0fC99BJ~AXswv$+&u+5PIAaL-L=XJIW0nR78`$LbMORzpKuEw^$I^u*CbGU>1A}Rk)pl83MVZ+Wm%%{i6x( zUt|3zEWxCTDRAv(zSj+<36y!kol`a%7S*}0)h4pB*<6Oajv5JYe6#$a*SMRKtM+8m zUy@RBW3ltZ5Hc{{WE&~W9X4*0E8Sbi#3OAPDq z_x?_dIK9WKQ|Ba-*1C+LeCUU6sE^*0(BJ&gI#Udz0`q%TQrpkgd-K-0tR#jihZz1s z4@2%H9F6vsIQ0j&Utw(v$Lrb)oTvIGE4s#|rjqsR&Fp+;ecL1)hrLVuEevS$1~nfB z!^f0ZvbTdGXxz&p>|hfv1>x=dqg|YpUGyToL{aBw{^XQ=6UAArhro(ZAZS`+kLk9 zM%ZP=?|7!Yd3BcJv&oTy80j2g_(Q4c!i6VB4PGSsQu91P`u5$sLqhHQ`$p8ztGUqC z=k+EVd>vwMdy`S_Ovc`#5mmxjsCAgLrPDNSSE&Ci~Rm*sgy5p1d+E4Fwl-t2v_;Oqn=+-1R0pwd|>0R zTTQ7Zu`nPySO2tw?ZUB{#$-tKTaQ>%ZrAo3&UpAqcziRs=O|A0i!8lU?Dntwku$=F zIcs5$WGy*?N=pC-G`aS=HoH+B2#rF0x2LA)ShqU&5O6I&Ko?PXyZl;vJ@*L~6XN~BtOq0Ux6OQ{5et4TwJMmMsP!YXEs zd?k74G%X$YLh^E8Q?3RXDBW=_&x_*hpFfNOjT8NQ!>az}qrnI(51+*}c`K z5j@sq>)ffUTqF+LST4BgCRlIb`uE7^DL0!+EZ6VhVn+lcVV&}0A+`bVs0zy64TM>y z+H$%Q5(+D%yH@f$#VBw4Pbc43IZddshCmrwRr55w=uBi#E$(06@NH~vg0g2{DG0hA zjT@3DVw(aHFwzPJoyedl*O}YMN0*T!(k8z$XbiR-k4ant+aCc(CkJ|f2fYo?zxxU< zO%-a3Ki3Mf6&@Izo`P;kgqkn^8=W)Yktwm+31q>st6Yhzzu|hz%_ye(vFaJf3k<0h zLH_blS~w3}%3(2s%i~##Y~Q^3+IxOi!{fsR5M?6B6~v9@&V<3)Hhy7=Y_$f#PYLI5 zCnPoUBNp?kK_}B6>O~s1{FU!+ncs4zX(SguB$caxI=i%e zVsZ41i%oF`cBmX5HDsAJ6ily`A1-#z8x`>w-(LqaoRK3mXA;-7 zIS76=MG-BSPkmW=h-d>aOcr&w@z?o3by$uVWWP%&{OKSj8bhGxLOea=I+VTiCOofy zlJM;fepoki?KSp^Bqx&PhExi`-7uWOWrEw6klfb~u2vFef5-T>2k>%h4+@CP<<7|o zrkl@h3k>vyeLf{b)x6INh-(xc>kJ;PG#AUa##eote|>V16IkzD8F zjzJ&&6a6W1{FE3mJ0|&QrR_!-R4shyDvx3mr4a==hzC_@%*XR=$Zjf9C^!cfQ5Ywle%ipo~{eQbPH_QM@UDx`Ag?J^m|w_4Md zy?VcU=Kt0^WeZoj(+FU8k*n{ViuRMgnWdr~jc10_vV&KHh0-D%ImWUe@Bcz_z}86RtT; zLi`&b<^r}zl3=oTbqA}CUHJx#QbOf(%*iw{!Rjv#EY>^S z>FUSX`6-|JTUO|FBvYW?$PEUS!LbkS*OGE}apHVt7mfdg14lE9>33!|0mXxC>bt8A zZdKDCYY4p9;Lxzp^}-{+j?&S#$kz@)Jdh1DggmQUIR7O}kEsEFc5pEcX5QI1A#h1_ zpV(K4yyKew=7b7><|?h2gi(22+~Sln!h~J#H5)4-*lIjBF$w730umm%h+HRQJbpbQ zaX2n^F$;ks4>eaL25jA7zh|4Xz2CwjBB5%z$X@?0bVS?#ztI0RK+r8IZa*J2TRHUM zZ`h`kcrIx%1YI+@$V{irCu`YRiVnJWJNdv(0XnilF6jg!weB_2ksA%4S*5 z9HCHx!q7jX(xq8+R9!|?)0IjqgAZYqJh{ph@SGuUAd_sW=mo~Fo}D8%rZ(tM>`JXw zei*3joZ&>!XM*j6UbA-H=I>(L@%&DumZt$8v?oiXk*o+j?R>Ppddg8Dk`t0Oz}OsN zPwv}!E?7>tR|u?NOrr##-8vr$7h@ab+uyAFx5-uTsPXn`Z+AYGiCs4R4%rvgHb858 zsa*c$NA^Rlr=FBibZ}u<;k~F#hs3AY3T7R-{=ZMm1QWt9TwN)H{HQap|KJ&fU$Eli z;{zhvmAZm3MHrux8l&H%P(4yPnF^|}z?DWy48T%omF{(+2LVVYSAO?oL2YEggH_2% zm>T})t-EqRq5h=pq$$%g$QOQrlP^IJd04JYD4Yytkln!4qPttRJ7Y~3W_Np*ZD=?Rwd;ebaz<=&l{x%qz_(4Je2W?yrMjYL1CE9s3W$%N&UXSP3sspZW?rF?s#k8_5M(7&M~;vQbq)>> z+5|(Rw%L1t95u@4%9(=h2@!fg<3Lc0!%8Ous=S|QH|hW|_)Hl&Pr!_PzTSqtw8{Xae=tz!n zh`m2mpFdhMT0^vx_&$N&dJo_N>C7$?);)+n=&L^QK%&S_7?91 zuovru$L4gs53mh}h5!ODrSc4eq!uBf5=uN5rcCf#!{Kstx?9>c$DQ+t$?MwfLN1%r zYn-^juIF?l?f=DubdG)b*;JC8Hx*ze2R*)uuT94Kj}1lq!M)Bi_XeE?`qQ8homMHZzL*RP&g!TYB<`b zjrjpI1={U6>zbF7EPx^ZmsdqOC=!DldZ)!c-@r-goY|0P>zK>sB=cg)&CX1|tU0-=Von2dWuvfG0K8minNnLL5QTC?eFq}M8 z9&P3Y)A$m?8B-X8zUTa8?6y1rP7!+N-R?XCpe&4HyIbbYU_}+GE22M}Tx~NW#r`QA z!Fou-EstRhTsHcf=GO-tH?#c>A0Ocr&C3 zj>V;=P2N&80BF5<$7K4phjNq|lM%eUvR;Se(DoGCV(HLwPdqbh6omUkTg1La&C1bT zKI#mmL!nS;n%<1cb?~|(&p4k8MKpfJH=X3+uMMULgKRADb8dVcOhjuy9+;TC*rp3J7RW4_y^O#2XmoyYK9Uz6IuP3r~m5` zOt+sTM(~Dg{hRs7bm*l8_9U*LWlbG{(aP$wCN?0o39hn?!Q3 zsZ#PhDroxVy;3?S`jx0h1=>fK--}obvCQHgBw)G5Ri#ECYj8vIC8ny3d^}MPA?w6t z!GM%yldK@h8Y+OeD+8QaCkLLRB~?LlsaGT9bn~eVaG9U^p_IzWX>Y4y^QxH(UtTB? z2B|LLkAh5?|B1@^0kQF?8uLFt*!_94u2SpU2Eej_L0-OwHXX?I==qiD=}}^Qrl)j^ zdlKZd6X81Vium{ZE~aZaV!?YHKw1$TgM2!_^57Fzd(#U z!^Dl&$xruBf0t=2C;#Bs2cbH>U3Ne6Arx~H2Cspkm?V_TwB7LVkqd14wP>SaS1m1; zWJ0bi1WiBlrwk$k6%pj-k^?u$`Z+?dSZ^zI1D@JW^S)t< z2Kb3^zHAk$=-Hzy#KMV>NRYsZWFw$A#aQ}j?2DLoqeG*evGSlY zW@POTqX9{|s^c`BG?HwWnSNZxhjO}a^+K1BfP}iM<njfLE{3MV#qi>OLq5=E zDYq=I2+a2-CwL(< zH&Sjpk100XOZOzM5F#EOnr`TY=g-xLQn(~XC=#YL9vkxJwm3Fno9||7bv*!-YNJ(Y zv^zjNU?9x(+5fDb5+U$sIw+N^71P>GcRv{@>YE=Y(4K3nO4Dowo4LUQ?u4z`XoS<#6 z(fC5e{563LWGA6O;8!$F4BZ0ML?mmQu-puP(j6zD1j+t-251t)F!p@3K9$2^(QVqm3}OBvjC0B!-u8)$r0E?Q z1a2q61&A)-PHUZ4BHtcK`>jCSU{7d0O2^ zP)tD=&7QZ_cZb#tA<*_1{=mdL>dnK%P&xEdl~TxRCKbVZRwXt-;kiY?d$~|RPrq%a z>zX^BoX6>MJa+1YPFx14@}*;OmYN34##(O{x>g`brWd;CRpBxr0!r;qw?c<)XZE_U z(g@?20%=-4%O$#Pk+8R!nuNLWm*O zlM`6oMh44y-mN$QuJ7J4it5Qw%t`;UPF>)2hVDh9&}&V@Cjz=q+_ymV^|16#wOaJ< zTRw?_M(6E;BqY$QF@TYZgiMqOP{JTi>$+&a0kXaD(dm^*KmhsE`Q?WI__&vVHN#M&Pii{sT~>0yz-2 zD=LVY#@?&ag$wc3hUsoj(VtE>392kRi3ycE2%?;JgD#wUyLeWC+dv^o{iaz=5(U)k zmSlEX0|ZzBm^WWEBq2D02bn?n-VXwo+RVLrnn(@1UqJWktYH_`H>27VcyivkuwhT_ z`gC8_%jq+4&fG5=&<*IvRrvi!Iz};me?t`F%MM{;rJ$Qb+{hkIntg5IhvFpZl&igq zC#J700pyLWeNK1y0i=qBrq);vm`mEdL`IJ9$5s#?qpg<5$xxIN$XR(NKovtT`2ZkL zf8E%?R?s`fxw@WzmR68`X086y`O&lW9Q8F$O>7Y?uQ^q=u~xZ&ry#;AZr_Pn725yCG(u z=v1LTMIjcrr=gqDSC$l9M@MzkfI_GH4aYAfDkcw;y;qT4%<3R0Zp~N~v!n1p+hp9@ z;e~y))Zxho>!36BSMls;v9x(pr5BO@)fm!HbG#5?DEo&6y;Pp3sYz9nxl@bjEPmxH z)Fpz7mfJ|-kp+jgm?a@MV=OQ+v78*}FcISaiio5jbLS7het$a1?n+GZ7kH*Cw|g(4 zkVYUeN_eX0wu{(qwnn@FW<&=JmIE5Mu~chsiegx10ZS3q5aub%By~N5NK<7_G+o3L zoMFaB%|NtKG!}{)(kCAoPf?%!Z}pXyZjz{=5aev)b-bX4r7F4k1pg1SN`!eAn;o5L zPHQmCCO3`SUUa^DGR&1(qJy;2A6?=|t5y6`hi{6})g+zOuO}PettUg+hw<8=<$h#$ zlaXh2rtH0@*OjYIqC`v2SJ3ZNmT>?Du@_Xo;1kcEI*W0sP-svfBG{@69P-Sqvs9di?A8X;XaVal`h>slR}@ghH~i z6=&rYv5S4-cxrUqk?3>+&Jc$rS%`fOX#e6Or=I*C)YSSJbv-OWQs|_AB}&cKX|!;1MnS0XfhVkht)$|yJlKe zT54fMo8?ei1(;zD=%*18wC@`owe?kp?xYnjaGuh05RH<=H!|QX$lCYbskT)>4^Ct` z++L@CLQ&NU=r=@Ee25k<`1bZX&z8YLT3exQjJB<%MR@a!7|huy zkF(GdLlg#W_=_dl7XnO)YG$<08J;vA!Tbsq&FdpV9KIbM0w!_)412FeX=4!oQ9u3j z+DF)7&^1`@N}pFezGmk2Sr_xk7ervLmGG#gBsV-7F%(RW%6p8iUgLt&CrPXq1Uw1* zq1g(dT|KOe-akHX?z zcCb2o$z^@gW4DoNXWET!%f}hFa4VR~%$=z=;QbD_i<7uO$`7SvY`=2{C4KGXm)rMAFWSl?WqQ79qduqSz5 zCTaH;Pr+HiZl?|ja76tCJnvdc~+g&Qg|85SKW_ilTsJ{VN*9RS{0^Vt0mR&GC)_hI8 zZmm@EKfxMti2-2gH3{kI?tCvOr$(IXMuI0Cxa@}I0J5iOHUHy2YyCj=#o;7LuE{{M zOT@L7?iV#?;0XZZiyWUp3GI600agXp$3cvLPq9 z%j>9}p*P@598Wi3E1oF<^s3IYKII-qWCb7Mczj+&0sC_CtAG?rPu`HELVBc_6h9zcu z7#U>4w;7uWMUHV_apn61oD6LM0E~D<%In=%O|?ehj|ZR4hILe>n!ED9vlHl@w15eX zDG8V%rQKUmPO}}eZ*jp{=b{lR2T8$m06`COw=Vk%Nk~vx@^VS;g(0x@#FFm=gRHaf z_Qv6Y=4%DY@n8{!roWimPM#NQsj%^PU%5ItqBT1Hf#O1-)8Cq2fw2oB3K;nf{3kV3 z%*O75j^jw40!ZxJ;6$3vzmI>FjVFi34*d#Uisu>;!2s~(_}zpE_!an+8YbFTw$GN1 z@Is(`E7v?mbts^147aeAXf@c8TmZdVOx_0(CCkK?-uF#Ki`hi~o-e8);G27PDp+lz zJHcOuztx9{VYYI9L4454*L`mX|QUTb)XcjwPQL)Z7xYf)X^nacT%)vb; z;hf2i_+@r~2{n~y0^6Wqr{uOVjl9zZsugM$4kfI>q+F9=DDFGlZIxK%&w0&&7(kC+ zzy0n|hzPA%&c+dR%DzF34>a+?*ER0(Tj=F!mJn_!6bu*FQGl zEQ)}=N0Ggq_BqPK-DPj_dZC(S6L!dFiGi=%$I|WJcBTN?-eDuUQ9BZ440h`FX^!e- z|1V|)%=hViFn_CVN?PRb$A^jbq-viTV-=KQbX@*CN@4_m>tq&%SCEgjYq7R}5tY;0 znFT$Obj-)Mr4@tkv`39ZY+Ii*baMEKczb)BQwPFtIJ7(>&Xnl{!6#|S*_8WH6|lz3 zR{*l+YuEyVQ*<5-72z9b%mB+Q7E4#OL)JcAc3>G7R|Y??tV_jm5+wo+^iu^HqWyS= z@|n$m$$cjY5H%R3?#(lyfTFBov0$G z)*5B6bsKM+G6gkzKO_eA&1PSSM2(8wkzOr3vm1HuN~fCVZYbL2Y%Koz5wt1weLKq& zW0GD~Qcohy+sHP2<4XoiY8!tV;}WyyR|V4GQhBz|q3%$MK)wnCD(7E#kS3CC(^vKX zQVoJw;LqWCz4~e&Vb2N+vwD(`d?L~v8gz~B?8%yc_(TbD1#+2-R<{8;jkn?FF;FoE z4_Zny2gE6_X+}Yf7mDR%o3fj$EBiTj518(-46@K@!x=IV_tzWQAS^&z036D|MEdXV zBvba2g*$ABO)D76oX6QZ>muy7ZFZVAe%2qE%8W~8Vf`E#6e30!brvDH_d~PDtu*Ii zB9DJuP#lJTzZwfoyvJ(iBu8K<69T_SA21+aj<4?N`BT~x7k@nkpznyW|8wL~qjrC4 zdm!ZWei4d69_d;-Lq){|R}cy&dROo)wKXRpKRVD1SBH-38N%@8!E)GmzRch=Yy>H5 zq~XZ1Se-q!Z@B6`<+0M25g$@e%i>Lh`12uLgF>jDVW+REXa{}84agL`FjpKl6!MzY;hDWC7uN9M7lLBb|VDGqn#vFcdZI7q60#tnf za>V0FoSKt+(g7f+a?BJI-z*LI{oqiy*`a2*2nC=M)qtx=LM<6{(&0Blk%Y7dN(n&V zdLXv2B0l?%oC-vfMb-6>Qx`?m&kOlK_$V_KGs30-iR4jw9P}%QpY!g;R&)vt&fE6k zYxG`KvI_N_j07oW?J_8Q8z)U~U#5t>FneMoe3ZDsLJqA`Ju*n2weyrp_Ej4#e4{K^ zZWJT1vs$ZHmcgUhnaOBJ&oCOkuh=HK2gZHNYD|}iz1|y_EdNTOdJ0it>#KeZ#tPb_ z%prc8!>s5AY`f2S!dc&kflrxO-S{N#R>QzNgJq%eDAZ)=C#TaXBb~ut)2|6J{Qp}5 zr|i@)+$;K}sB^CoAQx*E^(kSt*?GGJ6*M?x!Klm@a+!i35cQlh@9d?p(-lp_-AFTM zPVX}h`!gxMcf?#HRy=*N(D6cOHVvli+Ub|Ua07w}+><2^11Qn?;m$tuQf!l6o(yM((7-2BS z$iE3Si*;Nun@+K~194OM`m}yZXtgtJt++$zw3uiNqG8!y95+%^YnH)GRU3n+-KX zi*fu1QvBQWg5p1~n~o1$GyF5RjKZN3&lEZ!)9#g#(Sc4p;#pGk@+a~y z(UGM;%2g^=Pb9BILems-G2YUcD8l~>cuT6d+yZvuF2s2y@WbAD+^t^Utvs?l#{mpz z!u)a)cFHku3FTFlWg&hLG^jC3;|r}Oh1x=_9I2v$^CmJ-?|3X!10GU0Sw~ZVkJt*d zX78J6=u$sujAy&NCj;YXVBr(fKX<}4&(G1Z%lV}w^9d2yCb9784C=J_KCNR7l^;Uf z@6=rJCYwl@_(=6Tu*~6u0Sk{FzB{laLSvj6H@KR-Nr>n2T2AHV#Py&Q zvy&1uJZg5?0a!=DV2ANbF?spWNJ1`ju$(+9KJAf8DE)0*Z&gR2gp@(__ua%oED!ou z@|^Nc_Z6eJK<9(sLqI*rje|^r{i+HCPi0TVrAv@Xh^&>X&>$TJoHDYd-_%iR>TYi6LooSwnvM1 zOF4#1`&g^oI*4pL#?s;4?4=<9DPp6|0g!t1S`{|xE9_T+k3P49PD_s4WKK$XoQ?ly z78;1WLLjSAlpSY}i}1C%u%TtC=ZobZ0Gb9746k4Lp9h@N4)nn3S|MC^L<-o75N9SN zS$y&zeMU4V{a)Gc&i%*vYquchxy1p+7ku@-?>8iIM(8OYm4rtq1mg^A+)P&MQL8?k zzrVIS31Tuph*CfsfsHl_PV3PDgX>sszEk_+$4)zs>qixUC~Uu_0W4rn<2K(AXah^A z*axVlY`wqk9NK%o)-Oh;+qcQHrH~UCKXgy*iXM&Uhn1~7TrI_c62DL~^C|*B6ObuA z1wsZDDrbcv9r>w!K3G>3m3i~#f}o`W?SB9pN@~Z0)JE1xnv%O(9o*QS1|&))nE7XW z@&-Aad1XI|GfQ7YjRyusA;^h9BF&S{i$;nzMs$-_qa_B=veAkRv|buwMIwvP|0=%A zO85~_f#eqhfxNQqFGaSIh)km7Md-8ZNa9NLm^2l)4+U0wlK~(;EZfU2=&JS&?5LWa zOXC~Y4pUHtDDPEF&e2;%im@3o9WO&;~eDz&K( zy);sls1u$Iy?$#T8pTYnWI0P)`1_9$fylLd#k^ z`yvTQuV3p#!A5}`CdPIhMD+1zz)6;gvOEuyqOIDLZm_5QHizBY5av!PGYc6aDrr+M9N znhSk1vP}v59l)jox7Z%Z|9gc^+yD#30_nGONm2A$lPa?ERWmCfI~}S`fHoi7Sf#K2 zz^Yfr*pBpsiz2PwreGWjsEG}Pov`d{mMYyRjILR(#2fVaO;vlT4_z{F&3+D32=-x* z&{B#p8b+$Ru`CDpX5Ow|SQ*Q%VBU3X@)0ybj2z852T)2VRP*ml`x6j=z#-YMKF8uM z$LF(=5T`!%>tQtMTMP>-?M!7kT}?6I+jm-Py)5xL>QI009}K_Feo2oF#p9yA!gPBk z6P+Engyk#!I6hrz(zmU+-Pvtky)*prkKhdWd{f&;tw%efqt8822cm zou^fkN;qf7EnIjFurM>mhF1~_j-u~11m7A)k}+L|I7Lz=CZo@V4eskR?&(L&6n$~E zB;2Y9TH;iQMuy5k|1pdr&fodQC2rnbO<)_D8!=8fD@IUK!?f#cqpfh-?nJO7Mm*59 zD(_*1vox*mgBk_h$o=v%%>`Z4gvtEYlKM!ATq%Cdgh3V>^IGbQxf5@wseqflUmJ>Z zYFU12Ff@Tn%1Ewz$`~_m_|Eyb-wU%pF*zcO?uq? zuU}a~z?ByD!?d0>mJ5&zE;Fodv&%W6#`OQ`E?bTm35@3k2 zo)LLFQWM_>-CF#4;u*_znw$C~RSr|BH(ptGtkv0l(PJ>CVZW}KqimSt#Sp50kK%L1 zJZUy~BSV!e;n=o^Pmx}?Pah{^FxMl#ECE&%huy0nIJw2o;T;-{V+_{&vU2iqFY<^_ z)K3WcEJ@QMtAcudahmlQTp4KNNpwnd*K87;65WPu8+~8Yb>6fG;Gd?h2NtCpW3jx0k8>)z z-vWa+ILrxQ4K$tH&xy#17+vn_YodaP10-UX_Fr_*CJ`SV=2$o z7*V)T%jhbI-8!q*Zc}Py#>=JGOhL$Mx1OUIe&@Sw@T^9uu@piM!M#VcFeO)j%bM3@ zo!317I^bqqhF+Uz>`5^rC{Iy0Q{QE6Jk|j~@wsKSO1DhdYT${9I$QgoRM#tsPf5)L zkNt}&Tv1#-X=lS@JvQ+``>|`k+jlY;AgaqQRuMiqrF|{PRZ{v|KdJ*Nv0*$Sdsu8x z)S}rZmX2vwQl(egG5?@^@}-+HOR}p`A+nq{AfNrqpGEDly-eMm+Zk_`+gr6p2$({> zUP6JZgyDvwQPPa8S#R!3cb+mj9T4F;chg8UeAyy*(OQQI2vz`?jtBQY%kR%9QE-L| z0vgRq$4(hEavs9YP>jy3rRpSu9Ag-d*NucMfI>P;IKy6=@75M<;w)x2ZG(n(g^(O=*WL-6 zL7S}~i1O|XB#B0{#b|mWL4?Aq2 zj5t?Dsl|i^7#vWY{daJtH2Ib9ySc`#+PiCa+dIX6MW5>OBDcQ^oU5_?mHs1$!(rxw z0Y3#iu6|JETK6BCx(FgPswCfe`Bk68vEk6v&3 zG3p0D-##giEN><4J)qqAWXwcPlCWNBv##JR+@kJ6{OaYIl{oj|7dTkgo))$hw9fQcrqFEKYZ62>9km2U3EWJzLXCfi;; zMrYdt;(BjvNMX8AB+9oL)o$J@4GdYL%)yxzG-9$?&rhZk6*wICy(T|fES^_O>F%SP zvh(=I$jJ&2=G8zLsMBid4B&4BRLiHYnZDhw@Jl&(- z06ex#$JPtbF-LKQ(ewxrKyvKF2;$MX7e{S8YR+ega|h^}%|qgLQhPo&72W#e)Riu)9ZaT6Cfz|@F~2F`rXv%tl=Huy2nY#R^RR4RXT6x z5n}9qs2sA^@ zN{3jen$eL_EHqX+DdA$t9*$_XZ1GC=%eC( zxKxg74O2)NF#-RB&+Njow6Q0Ly0(%s+Zz@v&017=I<9u$RAEy!%p^wW!}gb8_b@fH_-7 z_UmBC1OP@X(DOCYY%13;1~)r2lXT8wNPfS6X5CT>{7S@F0Ta`+L^C)Ov!iDkiL>a* z3D}n>mqA~KYnMzm>Hl_!EicpdJ-1CWWqMX88pw0}5@pq)7#`7z<{IEi(Enb8Itwr1 z71QCB;@X`;Y{SaH(9DgR3!9h4M_7d4Y0H|?+tTXp28LVBb7}KZIVn$z@xXa(JR-VY z%>O&xHRAs#n0n9thtkQrAM*y~MH`Yu{l=N&AV~R|&Ge^Tf^Yq!LEiWr{99y>kzezf zWH{XCz&)g$p)G7F;}QRbN44K`YjHKb`H;kt&$B#quSp7hsH+^jp3Ri=2Ov1dnVu+N z{?2kn5m#iR_Ef}nQr&u!4g*%h?SNMR$Qyt8DaaLbQLkGgn!ZKJ{>F_Qf;C&gzZWf` zkT~}uaXh$VLjp#oEV($(PkW>w^P@WuIQY*r1vAc~y0gAM@>lZ_#+wv_g;TS&!WDn? zAM+E29+0S*&6zq3Wgo=y)_DIC5PKD0Y6kJ7L`TT&U@tw7%(ejb(ud+NEdIg|i$VYW zuCfqL=t%g&r4z{leq$3QG8cs)FmFB-g-^%I64-4n#wR=bwFFA2kb|N{^+}e8t*pgA zYJrViyJn=~zFRw}}qn}v@4*0l>(LBK%BZ6g|hrQ=4O z_vf~6E{kH>>3EQdX`ATR)=HvYuDps3m&6Je#rk>;HogP2 z{PZEvZSHvd6vX;r`|H~M!iI;V`t<-mQU6u4P@0b>l(nLIIteU{IO~pDjI69UR|XO1 z%H8yF5Fa6f{i9)j57C<4;&bE29tLM3DRs@mz?}J~fY9C^jpJBOL;CUco65S57_3U_`)61vg@rS4J)Y@8nE0 z*4o(B2lVZXOLVF{mMg_aC(bkXv!DLu?)%poaVge+m=tt9O~pdLfQy2rVkpf!CcXeU@yZ?=6LV$*WNH`Lbn>Z(ej|s>&|r(so5| zC*mfXZy*)}N<&3w^Z({*_m7Xm4Z$n$TNtod`T4c=?}(A&!O4EEp9{g^V{tN+!9zY_2TXl~OqhbColMzOZlz3*Mkq;_ zz2JJ6%s!fr(ha4#;QBO`NfmxR_`YY?q$)w3JeMDf&;B{vANQ~9S z{$u9$>Mn6a;xaP-AraD*WU`cQU=?6Sa_5F%@>G82XI;1AxI}^&7ld^zh>K9)N>Jr@ zcT+lIRsoDh3!eR#_LyDcLCGIGn2pkUS6@12NU7t;V7J`*eaC|!7!5FwIV$oIRCuuAJP zqn$7Z(FNKNX!eCp$eaeI*%gK#={&RdWbCt2)sL{J#x>i^59q*Y8#NJ^Aa)^)4I04G zvoJP0D+s#`?s)i4=g}y$x)U(Szk?mPl>R`{_5Ts}mH|<)Tlcr9ASFmhheHo3sf2V3 z0z)G>NJxt`NQu%NLrV`O-3`(yEz(Fy2}n2mFTCS9_c`Z1?@9?7iQGmz$-)y}W%V5BW>o)j?=gWMHfdA&r>;g;qenL+-zDK85*w8pgBbV zEj@5xK_dC+A!wUaIwt-S5$IiX>udunlJ4V>78TG5v>o^M;XxBU;N!leF!RN3evOd_Tn zPFkHB-+-^<$ws*~cGiV0dWr+wM_5nud!FzHXU;a-{$?#cU=tt~!jvZ2q_4JEc8pUh zh8vIV@1h-ky0cNEQ(xE-+wjdmxhaH%OP|}t>G8+D15;RKOO;Z$T8c%+j<`| zUds#>nTVX91$bs0oi&tf#OldTCV8_KvC>f~)h|5V5K~w~hZrlU5ovJnhl*W%%Wzs* z1{}-w@0aA9sb^&IAL!td%Naf`1MI|$rwOMAnaho@LVme#+=tViuccmo-Q(4CTN60> zDB6fP|Ek&0&dL0-(}R@4Y~kaAi&u}RhevURhy6uv{?~&{`@UJdn2fOE9oob1qXCjN zK_>F%G}57D?B{rYPsPDATQ}q%?hQUBUl}rggB_SrM7R9Zl6@VD@|{HNLd*!uoIMx$gNF6=v1^is-Zg;{i(NTz7L=?Y9DR{?*#-_=Tcy_I>as`Pn_huCI6h0%iS|>nkP(isi&>6r7tLaonndrWzr~9;!)RT3Yt2 zcM1MxK;o#&Vs7tC@~`9{As-v~yLNh1yb+b>sSVRFDW4}{K^5sA{~+~BX>^JR>Z!2g zlfZK=*LmQSj!c<)l;Ri4_9Jb?4{K1nUMa;PD`jJ9wcg?7Vdy6ItD^j`<%j8apZoJ6 z`4WZt@5gfFfSr&e;Co0p*<&5M#TYR}rrXef z4$^Fu%+hHhRCCr%>P4AmVq;)M>llr(1?Fz(56FquF8qb8%ZY)&4@IX=Ml0f{@W~%D z{L7b-ZcHJ)ORC*f!>)IKJnd#a4k1fySQH4FV6o=S&oHI!!L530LDYESMj#X>nK6!y z%%V3KHT_4mK=qGmAzztwfbP;v@oqvn5`-NP>Q>xwZ)U8++0n?YA#9&CAmPG>O-HQ7 zLp3v46k+(DH77N3{7wv1p6i~#$!`voNSpK@Jr<{vUZxevLno5Ju;s=qw%a4cUai2~ zoJ|V4rhJa}Jj@AOPGu^;kzo1~O14_+u$;Fw=Hnk394ccaZ$RYAf~zr^<@x@YWkcyc zlP@8Z*NB?E$T}^@nx4GjYk5C*>$yX*pmYFd8Zt+cl!eUnW4hg>r0KUU2D6M(&Ok@P zN)py#5wpeeF8=5b_Eq*v$IGHA`Ar_3FKwJb_5p-Zl3!fb4Bvy7Q6h|P-ekn5iI*@z zCI8W)xH3)Fx$O-~oqmawvEJe>6OOj+r|-A&$xfg8FcGeN%d$vQ4cG|h=+TsEtTaf} z(4;t%8Q*MOupLTAeFgz!o6730TAdEwQDo!qPT9kX_J2@~{*OLD1k)G-EF6kQW)zn$ zb0X1=$CI7E5*ARuzPPXUg1L=4?CL2N^r<{60IA%4{w<|>U#>6?VmZ{85w~}Shka(t zEl{c4cDtNqWS~{=;YL;KZW(D4Rm(nny_tauQDom3zc5|aR%4=s$M9lphRhdM^43k*tQ|ro-|SZ3N~gp%OnHi4%B@(M6h?hSv}j?$|Lk}F zM*ZL`V3+;w@ip+Ms;5~L8+Q`bA5R7WukZO_uhtX$3PRYYuuoTv-iTbNdG!`<`?T3s z97D&!$%4ataK`b;9pe3;#e{5gabAVgY;Cx3>j*>Pli_%SzQ!I#Fljv{v+384viM=K zG&eY~u)XFY#$Wj>bIkBjT=%JV3bD}z4t)76&tgCS*V*x{IIj5zW9&y#ZSA@f9ddFz z25zS>JpTE@5Bz7NDSZ@%*`N_tpD&a%tll-y!$JHmVgk!!EPD;>V9?Bg>#;spqS5yZ z=5QvOD{RCUg0@6p%F;V# zcU(HBV{a}@EpjxCwhj8*Q}H*y)CjGP*uzZ4QEuTUja!7(7UxZl8okdf$IpCuKkbxk zA8l!6saH6^Uri!0J9@=7K{#J!-GYbI_+h}yxDKBQBk8nS*fy|aM=XBe4pBj* zrrzL2{gxNV+3C+pJumpEgVq7gTt1`&MY7(%8hTvRQ{C8jSH(mI9m=*Ka6e!ryG3_r zn(o{x{+9{86oW3he9Qiv?$BQhqUJ)4@t}mxRJ2ZsBFyo`y2=>k?!`j=A0DZIZ?ieleeXHC`HVSg}Fl zquQ$H!76iTf~JTaEbdET`Ep!6M)hYA7146&&T7GB1^@Ok z0UoQ0`>?I?id#iZ7q#`TzED~FI?NSG^i*CYQ9|#cahGyoE*~e|iwaSge;U=kaY^Y# zvO9ss<7p)zd}ef?uV6n~_yL5AK!td?@aQg1v8^Ygo1&z1!ms=Bnr@2a?w+*7|4OY5tAy%Hi}8TarAgqMMP$uwtPBV*^t$x_V$y|PIz-W$Ff zQ&m>6&G#^W4#qBj5)}^xU7+~mAUFZ8M6p<7O3^@PzEs(-wh^WC0Zb@VAK&H#A7HgV zY(D2}zCv(ds_}OX3>!2(eb{(v`9z>W1g!LNw4%B5h*~ynAgcs2xX%*r)$tR@Em9K5 zHt)$@th>(GpEnHAA z@DD*;hD}5V(_6)0Iqx$(Crhm+l(WO_sAen7VolVH9)IjG?6&-1vGqc=IDCZqCp%2L z@5_rm>b;sTr?RZj4{?1NG-)@U=d)BkXOKzwUAWypcTEFmH$4vkug+H$%W zug-B>+}XK$f4c&r869Le+gbc-nauJ<73s=(KSV?jo*b1twSML@q-9!ARPD(X$^B+} z|Te2-7U~P~VUJOfxx?_Ud6P&1Cos6>RJ-wh#(vr_hgS#s_t!P0 zY3T1PIJVuT_Bc-a$#Q)q7^}NG0Kg>{l#&Bk9>?}@P2nIpJGT$m5d>&iD=0p!kyZb6 zY);&6fEG4Zg5#d5xEd%eG2O}N;; z5pSq6d`DK2x~~7xXhlR($tn4+yMu4eqmx3I3(nL@S6Jl4Wm9sWjtFxvHJ>aSP)=R;*|N)3uBUW`AW*5&%H#x%jw$v6DapJ zu3W+WKw&x8cy~tRM5}zw_1Jy5$iLAZV^a6lG>&e|_kl+}K+mQ=9Wk8Zh#c)nqLiCf zi4}zP@X=rRqS~62e%W}mxcHj7H}&hlqDKr$(=~81;ofkD3~v2F$8(aM3v}qO2VSW1 zQclC)noP6%B(<@I=1TWU>^7e5uTMN>kOPGY^i~8I%(0v9lB4#`SspUHJy`XbnR4pY zBQpzT=8l=5l%X)=VIL-uD#}($?)bIs*US0IDP@)mD%yPcNxzFn7GnH!UCW4~>qaT! zsMWBQ^HmE;{lSmt$H)!F@miZaT?(-=&ET-Zo+Vj3Q1eD|6EHp#-8-5iu$rWF)pZn& zN(?*h(q)a?3@NlCf(pVsHhhCvn73qeV?8Phose{5kP5Th62dgEG?J@5=lY{9SKFH{ zKiCqDZ>IAp4;v06<#yvJCmlZbWU@rWe}oRm4Fn$~rDm_FzSTfp+C%s8J3_9(|6dlf!cuX!eW@(fOq=!nhl zS|Hv7rWpeFeO6V64rnfOn2@@>cIsf3CK7gj2TKHg-4lG6%$?4<9kwAG`+3$ud1d|0 zrKOOrQ%dKlF=MfF%pDC5VFsTE>-pjv));LK{`f(LA>M*?4_S$R$S&2i@q;mvyO#T? z(wim!#9xB{F`;-ME>F(G8U*pOm1GFXZFYw?#E|?9Q&Hn^^=PBocNKKnu9pWc{V2-P$S$@FWsJcTEN4Lv7}D-JwqDd}`i@Qum(ZyA@rjhkrhu#`cG%_10tAt) zNFl3cgrN9~=l~i(>`!0jDta~k;!-jVmd-Bhf({U$V0b|U)bh?H^56AT%XzIdP>YkKk*VEsGB{LXc`@=6Ow~=6CtQT2ZI3ljgD0eo&R#v;Y3fl$UGjg_`!33Z zaj2w>YhoKDk#&BIw?l@%pg9+F585gufW6J61(<&TSfWEB zF-Ni#qq==3Mdhx9-Os-JHqE>{+iJl58=z=&vR>*FmC47nj~n55jdDlKbq zn+S|oNq7te8DBsmm2E#=pu@Yz;uNK$$}|H~E2(>WaJ0^Z{FH}5L(fbKB&MgGWq@y> zG<+BMa_CHt`Jh;tkIFeRzr7`ZCEMadj1#H9i%d}iDlkYhLz9$wWpHwn+U=;FL4l%% z+_ehz0cgqF4(~3rNAq09%EHZSuM3c_f$%0MrA;55U?UCzHBuFQCXkN`U z#U?)?ym=jiTseKTpnKUMkj5b9x1zByZ}wo13#PM^Bu3HxA{h*wG)mlbyP8 z*M~|Pb`d8ItzDcP*R1e4Ur@a(-|S`*in^0T>w_3GH_9~X)>GQ9Iiu39tlXT8VT>}z z{B20{^P}>Rr7By#!#3~HrB_%j8xHLG*Tzvg*VnJuUk${C_))uQ#cTpq(&v#8)st|5 zc)mqXH|u)!gdc~;9SJ4s)B8>cI;;tGTXyZP+f2ZdTF>U9dOwi2eXz|md?N)*u^3I3 zXy9)5D~efLk}q?X>|rP0C2$RXl*cO@=O9Gz7Y)Il2;^xYUTf!X&*M`GnqI8wnvG_Q z%J+4i&oKXNHm@n@2xH)x^_**neRWLoeVR(cfGkc;CPm865+%Vf^7GaZ5gkVM8Zw!7C=^{^vRDlF7_Up|{8i zq+=>-frEb(Q@rQsti>b-w-nb1L@w4V38gEI50DGA0I9KNziz;z7r}xM^_*x^)#By`r-n^HQM&hdXez56`x!l8qkKwAG8ZWIJJu&hZJs!QDrhDVX#uj>6 zMJ68{%b`$*y*v>f&cUdtdR4>@hW$p_CcW*;fW>U=22alRI%WA9rVv{cb)-@#f1K}D zp-UIhQTMM9uwMDzRJKtXZeG<;B_3jls5~1?xQ@+vrU^|(?&%`OMPu*lSn%x0o@+V9 z+lZ*=Cas~Wkv)`)35uTDa}6y9t_Z#RkmXxWLhtZqq#rmNKiv0ttA)?TG!%^(aQ(#W zwSxcf?fA3nmf&@>{YBxzM4!H%)~GH`k%jvij0?YmXz9KzJSw|C>IgF=>}xylB5*L` z=3?aTPJ|KBXB$4U_xwt{3NoXZHewTFu?n8CbSBllKgV)kG5FiE1n}b)|D5yPc5kM5 z>=C8^Cj8uhvB$OBIB5&>2iCrCU19sa|F#zL!u>SD@$sj&&=}U~Oqc zTkK~NQ_17XH|L`(j65~j0oeO))0c)6x=zLOK8O}sa3w#+(5@>}ev@;f14|llUtJ_# z1|>$j%w#?yE3E5=Op1~#WObS!u`O#x06YAvd?NM9wo7|jm_i9PQAmRLNUBv9&eX=- z`FXt?i8x*aXD4e!Qf*FE`gKMC^4;(sCc3<8+G~OEul*>c-cpr!!=0Tnf9yllRjYu7 zYk%2OVs?iFO6Uwu?R*AqG=>RbUnOcno_Ktw0#%Ts5?^-0%Yfns1B|$J9&Rz=5D1e6>1#~@Ibyvdi%JY=a-yvIOOl{m+8O?u7I{(s?nay(yWF*@T)zD+b ze6Rjy6R(=)Oxw;zPBSa%LXU5UcIUR?;%NxLbEVZk%Qed#gK1LMav;NZhd>pSH8qs2 zPVLNgARc2vWLs|+{^l`CiHrf>B58)ds3{}covkbfK$h>2=Q*?Ho?uL9n8qf5^;&fH zL3*o@!mFP@ETLO;Hz^D^!M zB23>{7sqtC7cv$~tOtbo*{vq)G3MvzcN>e?Bk&@|OU=okXJ3(jvKpB>5N7@ zdX@phHt7s`xhzeEk~9o0l!`x)J}9<}Gv@rxOzhvBs1+g@>^Ukb+RLwj>euGr!5oF2?m<(OckYP@$Gf1 z%Al6zC$V^q3>0|eG~pgxlv3JXl^j*x8E;M3a8*m9L=BuCNEJ7U?3)t^;mW-1`mA_u zi|sg=#Yu@5>l%;6v`QsARm%&9Z37Aa&$Y6hQ39pz&+sr1r(F1=!t16Cp{2{E&x#Fz zj-~-0|1aCUNHL}KG@pOs_gYF8I}x~`?ZGqntQ&{I{y)3Y-@=^H1H%86mV(q4P&i? z4}$DPA-6PNup!n;_@n+pSit`>#tTyEfH@Lg(A|ut4z8Y?!Y8v?tH` zXwzvq9kCX$#x*9%nQ|KXD4Mi)iKc)j-s9}Y=IUq~!3!X7#=daO`T60i&sI>kDnkZ< zCH{8N)frklTkeZ?^W=jyn&*eu7jANgo**v5#q*kabWOH%mpW+#_M_It zVn0Gmm6Y6VA*4{zfp9?eJueIqrSKz_J=_GtiBe_pm`0$cURB)W;Jc|JTXO^72?$)_pJ zI*;8ae%y>T^-8U*^a#m!F}%LuimR67m@XmnxWSBkG*gI zS_j*Cv!}vz=2OM_7LWNp6aU!%G*|eWg7+l~aN&dzwRpye_*RjI-nrTqyGG=s1jw{Z z+}7Q%NB@>tsI`AV(|7es7^hFj<00{VPg0oGH1}^Y_zTCL;lU*$IweM+7L0Y{(C44q}RvPEKgoz z=?ECge13fRlQ;)m-#QN(!=^ zttZ?*L@5)ol>%%Q-BXbf#r$G;p2uyYMd7xSnDvJX7Ns8Bp2xyC6i-qKb#RwXmLRY7 zD2Bt35-BvZIXA&r8fWuv^S6t!j6J_SPUC450B!MZ*GH2#H7Py451S90upr^9FOWNlp(7x_WW2&1xNIUFhfDtemGltYf}lWF0n3;5+ttjD zCyB^Qx1)mN`VFG5(O703iXx|^R|rqjQsa*`Wf$#@rooZwy)3l%4o24orf@_-KcbExso z>xL5zq0_dExSBT%y9Xzw(;W|K@%iW0afFf0YNAg zdbFT&3yj(QQ-j48Q`BB%KL1EuFDD6~J<02u6QXJ7k&xZ9TQk?^(cadR+TP0g?b)+m zXUMsTX!Dtq5|rJ}WxKfHQcj0mHK8|iw@NK%gts&bDfT;!6bUIPQ4RE`quywubJA)$udMB;#vh!Qjt{S0tC+Zz$ky6zc@$ zTKKF?BbtgcVA;$2Anwp|R$ocmU%o+M2%GfVn=N^0w5pYMvH6`g5ASyE0KMd{D= z&K+VV)3cwnHZI`Cq9gnbA7D&SK|PD0k(d|Hokj6LA=%kPufJ^BFg-FCWIge~FgQYo zlbDNYKO^m7Ro|;XAU>(d@{ion6VdS>5YhIr>ID)OZ6DbD2R5=O7{i@ooj-oib+cjR zzXZRWW1&Mi;4w$2(Lr1BL$uPg(6Lj&yR1mv$4-z0J~QUtv0Vup+*76_eTUSubX{4O z4S;jp0JyW9ViY=_CvL?SOjRzl$G$*xx&Y(Q_tG!z zP;bOFtZpPPjFmV8ty=XfFQvs3>|lLNE6P$cr) z@HY`}mDF^tH1jJ_;UI7IGg*G83f&xCOsG>P#Kt{+dY-?7TRM=b`&wyiJC?59#R2n1 zAnfRu;YK`%dLr`;_0P6F?{6Io@SXe{I2}0VY|_ugPs5s6 zz-?a(fSIeC>HLn%?-({!H$u{;+ZA8&5qp`M(Nr1!AS@t-`3ryb~1H_ z7{Ar86>|DKe*mp{XA!nS`I(d8PtvkG*Hse5i{RmwPpDeZ&*|vUl+SFB=0JYy zL9>e|;F*fvMmw1D?=K+IUvK+ReGhRvC|66@ZZfx*`B#o%#=DgY@gBw$XBZmvKlyXJ zCo9RZDD;^6!$!o{7zI$C-1V$O;kew8%EpJ)*41YGM$nyp3%Y9QQD@0}65-ACg+t0l zi*wj1({Re)3M}yzxLad0Frg7t2`3A$p4*sA5aCW=-tbu8CuoWB7IRYY8(xCd9E+=` zf}Mb@KQV_G+oKTm{}PMUz~U_aW?pS695l^3G-kXo{sUjd>C8C4K#vjm6Vl_j$L4&# z(`k&K1i0o9Iyy;)r%XH7F3&ig<{I!nd5Zh_8q4UHC=@)$aHWwQdep!=m?_>yXIZBqWM2k(G5wh-Yk{s{RL{8~m-_*meWa_RFh?3;DE)cc*bWTj|j@Jh!BB z7i!f|vI$`8(avw;OR#6RX8(YThPbk5hzk%l^dd0GFsk)t91$m#Yk-{%P?w zul)EZi#<0zzzK2N-~&4J!|-y=E!|tcF22nzrZ_PlT>Lu0o#$#njTht=TR;rI2Z)Q6 zzIdNbD$m=Vbt<}5!cU|UlPfTxv_SMHZEsd_W5k2s|5rF2B1YM}xUWQ9-oi8e{&JRL zGDCZbnhfUgRHOp0&wF!1(YO00GRvILJ;!wl-)NaD7sh7hco4)H{eu!`aiEg7`x$t5 zLhd|rdQ@(jn_qjnw5TNSRZ;ev5~tfp!@BuGw%J17RxK9h0PSmjg|t#?&D!Rh-|U>z z2tDargiWU;4!r@4-&@|gUejN0QI~5lgwEKvDjpXuJE132V<$Nscq3N4;hRHy1ZyTK zZs|~>FDZ6Xvivi^cWr-Hw=!8G`IGXw&*Q>hRiU3;r68F_VH~xNTg)4>-NXrPv9Z~7 z))tubLrnZKo%H}M`|x#NH4DL%cU{3YAAnv2UM_j|z%gZVEfHE|A}{OzcS4YF_-^8F z_M5FVfoJk6kGQ-J`ZjPHA^=?^wtpEc$2UpgrF#Gy`~%{HnCL8xC}+Xg5nRX&=jvcV z8)E#qg16CgsVwVK{XTrRS_e~zTj&!za&(!r*wgi1<9Y*5l8Ej*=pwm>tXWWWkI%th zP&YG(SZ$F7AOh~Fg_b=!ze`_?xr^%# z4)CigZ-m-6A1vaY%8bUTGFPRV@mIjh_YHjE_@yH^$IF8t2ava8X?%G&_IC^MVV5i5 zWdvSG$uP))DDy%U2E3LLr(~t#EiyRBzcq(irkj#{?Id=qA^{V+)|h3pd_CRh%jLfQ^Armrfmwz` zC_1F$G1>oZdT{srC*Jg$syMo;vfO+%+whil)iPY6@!nk;WVtyB{&n;Gn5ia^A$oIU zHid?cNAjeY4D7FZRG%%MYv-G9ImP=a>v}z^vP~JQ66-IGzV~4|PDpGa3jYWR>4nQX zTd~F#S1E_%f{q-ehiaZOJ>Wr^zLtU8y|}+QLn#KBV3m|m#=%fTfF_vDe*F;6MUMsi z-gVfeJsWd{Rw4L<_tR1*LFCo1!B%r83O7`4F->C$5tzn*|(1Y>s#DYJZu;N4uOGW!4Ke(*`35j?OBfj zw#;3JN_k8uF&Hx2j%d$gNj}FCc@7u+&0z}#nYl5)+uv3`2!#FmY(||B1ASXZDA`mh zxZ*FLN{%G&3HohOvl!O_D@QMeE5iP_yvNrJy^UM$-$6~u%N2t5`g#S{B-g&Q-ri)^ds z<|aR>tEeZ=N>yxgZ)YZa!hk~gMXWDx3SI2mRbNzkF6<4UJ$U>;6cZXo2aOYxQ#CVllUYzyHL4J3WBs0E`Cpk?AT;~yRh8Zr4 zLommuKq|}^4Y)%L8B0w5XN#hGU%{>VTTk8|ewLe?#E>ENJ=vIFT%^5qNO=EDv=nS{S!Yt9-zWO*SG7NeCXjG^Uc8CZT5w;!?bx(PA+#L-BD$?$n-jjjIY;a?=lUqw^VmgUofl)Cr6o-;?E`%~ z%ovi{SlVFcyzuxbp@65Y7NMzeT@-hD*g7#Xlgtmp`p1zy>0Pi+J1G`1s`l`q@4y3g+To znm@eei^hk?a~et~JtH#s{gTSX`OjMV_d-6PK~66~?B3J0_zRLU`b2>a$x=UJM{qIo z2HmL^Px?jvE5;%%)jSJ`aeO8=Jt@QL`5s;!Ey{+p>q40$%V6e#xI&^m`uqwY;xhf~ zN(Ksp?MKCLvuKcXDKYi}7@V`5!=4>==xgxo}ou!MBM$IAZx*tOHYIs-TAUEp6<`(K&qg^ zK<7-Szh|AuiQK!lZ}pXU#80WSJBcyEnGX+&0`)%#svrle8;EALwNl$l=@WM*Jq70H z&t^YYedweW+Nas~k*0X!jPc>rN^}KxLrGSSK`LZ{XWf!FltKU`e0Lc93UAhGG^oMe z8b!mDKXE?OpB}fl_D6Gi9=ZXbnHU2a)3NrPfj$?&N&qgJ{a%~*4uR1d>z##muK5m7 zrM!Rtb?--iD{+da*N$Pw)17pn-RjIaO*Rr^V00c7*^wt;`!SHj(aD4>7Maw=#9HhZ6|OD`qSQ~DR9Sy|q@-&^F_y3MA#D!39kkADx(>n>s{aCG{^t^2 zhD*rG?Xc7~9?iH?gIZu4P&JK%V&<=Fp>O$y*`dqgvA>@z8S1j6h|u6c?6zce$tb$x z2lF)Xgr0-!I-YotOlS$Zd6=cH4q1TuTy+{e&lsG-7ggXQ)zH-%_%GQ7sT1U1mTYD{ zkK9SAt&|~|LYzwrU2yEH^^c@P7JdQo&cT(57f~Xjw~XI7v8@PvrHWriqQ}pUoSPg38*>Dd8#|BB^qC zVR-S&edPc&JX;?Cc9ZcMh*AsfByp?m0fjF3C2)a}s!l zNxq3HMQI#*4`$9IHC{c2wW;&$a~_9V5Qa#B%;>V@Dlb|!HFj&N$Hs=9telsIxoVP* zAHti^8_lmrUjgvU$^{B4mUutB=O#5HMc>7JKgTJei(dQSR-yefc>_MlYt?)sPHsRh zIu=IMLFNOpAVHvNi74vtY%dP74aO9rWOCBYY9kI&IcU&FAq@%QqfUv6_~0LehmMXO zF6Mwas2Z6zIhYde@uW%X*=>Qcd2Ad7;Tr=|$^HVQs0H#t28NspZ=+_o_=hbIc!|g& z5|e^f$4zge-hm7<-Xqp!fACtBf(yr3lsNOO)##NJyElXWKCXp#$^}l6aDso*^DwdJ zsrLui__ZFkX3Y8^mK_K$pd2i?DtIcx+unNUQ5fj*38QF98h)$(rZthAo6d511H*P? z0cvaY*HW}REw~O6!oVdx_K1g7jX{YC`q#kzzX+4BE6(nT6x?&&n2p1!*PW|)8OGCU zu*o-d_z~f@^V2@2r?RTreE1P3$Hz?68XuUkphxX;^D-@2x1q>jVX6?&P6|A3efFXx zOJha6Cz2~}VZTf8qt7qY*ph_}+SQ{p5dT=?<%7_GD8=VuQ^^SZdWj{4E_?KV%~^iY z0d%UQo+Ws$Nx6Ld_QL%x`;;zFNz##A_d-eArJ@OL{oPfUnDBy>lo%&yM%E~|IG}yGMfLX?MHj@sYX7cky;Yq^Y7`D91H$H{U)R@p) zK-<<@B0HY&uI(TIfd0ABRI<|vJ=d4SdloDScp6>&;go*v8P5!~Wb(poa|qC(1r`Hf z@ZIbr(HM2~q~aEvw;1TKrP(YjDiL~-Z@%USX+B-@Utq$Pq7Y+89lk6D{DGaLX_nrx zOpDmvmDSpJ|09x*z<2>J7e5W@0q!V*1uGP0cdyG@eV985>a7Fw2JC)yA~ht(39r|> z4x!%d=tRuiDOf}?BTC7O693&0aReMO^Dc+>3%D*D`n@GwB{=QBYq(9?(8u)ci?=K* z5WX^d(?yRCKX~zcTU`EmB5bZSj%_URg`(mVA~o6?a3O8KYU*f5v~gALy?7pTTAa6T z@#zep684BCu;kuktbT;#Cv`gThg5}(wus$%ho6!!+^@m35=Kxkkl6}=+LCMGV&G)Y(H$V8_#|S z0(#PyQjXyUWm<@_@&n%PFVk%(#E_ zF|k#j|3j0-X^4MS&OjPYTSlyGTRv3iVJ-AC|i(govFu@GQxwixd~_cyC43g(hR;GI9E zay;Khc9kPq^6jQWVRVkEe7vUE(Sela!&wVO`6BA6BwQ{N;qKM-jXvp(hYpB}?M^V$ zdE(f~#L1vcqY`@mF3+V^Pd2NM|?|1^B zpn8jNRCi|yJn?B7Jhlig=f&uDjmo1(_Vo>Zz8ExSjkm*3BuZ(Q+BRCv;Hh543xl>E zr5{X{4b@HATIxBGf!Me;lit2i0+>d=VL)@jNu6&(dG3rbE4xZ=np!0^DeRgXX@1hP zM{_a-ESwADfTV&8@|VEFePz z6dt(Y$@L^)i-}Mphq*20?(@Mc2XbxrIstFIg3?htIF*!ucxYUr#D9)7?3i)~P&MmJ zBjO^xA&0wxycNtK4)=HaF%%F2%sg4ab^lOoB_(W}5<~0JBtc5WbMSB&VF0nck=_!c zG3CeWYXsRl2gLBj`0Nz1L_Vux_fE$@Mz6B=qKn;8N{6m*NWoTUsYZ{AWo6I6c2{UhgeYa&&t8|_AS%1{;s@3SsueQcKVe;Vb5$o1; zuYL<>i2y*fSNDI-oD9l z6*X&%pY@lvHcr=jFu1t9xoU-}>QEK)R9Xq2a!X9;)9#+Yx=(xv^?d7qp~h4nV1$y; zHjCdku?-%MUuI4|>@dFU2kzDaqr{`b*@?i4LBuOM z!}4>?lp)0=vt0SOSG9(BGNLr6D|nQUm)$1|a;i(V+rKRCN<(~^$8O-(v}t-WiM{`` z6#MyD5+LNa0CfY`bIN6$5Qm@U#?(aLvfNfy0DH29LK{#gK^YmBVDO)A41uO_E@1GW zEtGj^IEf8S-*-HUA(${DW(mv~b4vCzcwztADwzn7bOCLO8QMjof(=mi=K%D_{g?ne z0yFg^y`##bG^U#$Ly>er4k7Bdqv>v>C6%x1KPnI*gi)1XLa`+4oPS&g(s505>vtUk ziU>4ISIxInfxGmk`nd2FA)4d!kiUl}qoDa0)j>BEX|PigQSxSD>ph5_(?3Y~dYx=@ zdW#g$!gT-(BgbIq zgoe&iR&=+}h)|kP$3hi@?(LT{QS!9r0IT`qwcgFuU(G_;XK%TMaCkq4!%h=ug7yJ@ ziT{`VQQNu8-g1M~oZ>2fRjtu0BZIkFcNn5Zf9vMQePO86&8jD700~R`#W7`&hV0q} zQJUGigi~Zc7lE7mzoR4w7K0SqVc#FL!&`o$My!umE~kE{z@UmEyu|BUaC4Z*Lgce?#&%IESgq6^o*%rXo6Oak6VWmT zvfC?nRvvAnEM8u3iC8e+w-E9J%gT~rrVbA>i4B;78GvbaKDr|(7#UtFg8E7SzCFJH zNjcg{z0I}f+wxn|WSSbg1#X-ggHcMKbW03)+#-!$srw*$P+5F@lGBFmyF@Y~J8iBu zDLRg3L$?Zu7ZW)i0hY&&rB{TqBG09pHe?~06`@PGPZj97ATZ7FxhxZ|w|p6U+e>Aymf{xr>lEKQ=sptK&1ZoN>@+zn4kxSOQIC`C>9p_CZc7Dx+6s2QC`(kYqc6rkYWX=BPI zj=XYOV@UW)v|qJ@Iv|*cX7lM^9X@dT>Pji#S$#vbgZi`2=QcC~SfmPIwwmwqbanTL z0bsNmbM()$(W|_mXL%BDZ3Px+7bEavZ#u;2Bxg4Rovc!vMuF68$HIK-1O987=|5z$ zYq~xsKC*JM5sRvC4QdH}hK>i7u?)tRkDoTdbHicB8d=Fk4q5>1uCo6V|ch(dgSJlrkp-q3P4Qh&g@4+G`+(Ovl ze)S)PPIM&)TzEQ$kG1p^qzP=f?3V1{z(+@D3cDaXoe1km)yT~pjB=Cl5NoV&`n!7g zuN`+DK1imR$-5>$5e+4+kQ(?v`ysrN*3{x2z7RpUJq<<)qrrxyRPCp@ClKQ(zeLb* z&%SbOnN2yQvD0J-E5yoeL&yU*Q0(Uz`F_1N~o?e3BZ zn5ecnawe04_#^A~^Xp$D3vYPb8}UP&8V`XKhb z{GC9|BHk&7Y)7UtjhNdh+MT8Mnjlo&u8}Gv+U-U^cnRr<7tWKJeqOc{vot!j=Fi{Z z+#uGp)LIUDH&OyPN}p-YEdwfWANN=~{Kn3KSBygI%l~?A?=rJ4q%;qwmM{u1V({l; z=NPq$*S=Qw*}?*p^v^&mFlQ>66evEr#sp2EYnA~Zx*C1*%`AGSA=~os-492_0}d%L zQ`%IF(Fb6fQW|O^MxHHIQmOT$`Z8yW$lqpFnWS;O?pdJ7wOF+H9r(aI>`UeYmR52_ z^k`=xatFE3Tw^3WX+BBS=}q=KY@Tei8eNROjXOA$YUC}P(DZ>lk5ox1 zm2sHd6_7kC-V~kHzfeC``9!^(zoxyfW3JwW`9Jk4B>>;`^+c=cEv10lIEuB<9-6Nc zDNag_u&iL5ufnzhaJDz$%T^Fj6j+q8AT14mTqm30FnIDqUs6014hLFbesm6+55JD{ z7DhYL+G%lWi~ONopIG%ahM1%lGgs7}a?WtihC*KRa9+b<4i?M5E-j)mRJ00|1f#pQ z97{BdT4*pm)#W?^*j@MJUpoRHrqgyOZTWjFzg;jxj4Sc9XSHp89BP+ z(s1UZ;qm;4BFPhdmNB-+I9E}evcdh4bt>zlZ`VvHYxGg#3qpVIC-53Dd;HJiO=6i2 z_Pbzz^A-&4?B#Dfjo1Uyoxsh`_|;nV=ygn}9M8l(@gAqdrl5v9hdNL1B7Pv!zr#>Q zSH5;(^|fA=IZ?e+QP-xP`&#j{w(hVowNT4xGtVN;A2a6xCgx28j(oj)cw(qn>Z12h zGM&&He8bVaUqlY7zG7%N65x(UTsaN6Sc~H`By_7aew*mtnY-Tb%Orbj>j$a@bu1 z4=RNujM%N3T(7nD^+&wGhpssm!9-ZubdhRTh4-N=E-FY%2ewA)y(hMNwiT2dw*n0V zYwl)N@@$Jztvo2G8Jj~u!zh(n!ULR)b<{*p(_kvz+O3tlhWjsBj{WIN_&2|o$WsVRVG#OwvwqTbx_N{oXzZEKQ9gR0S3RHtrimbO*YH28lH^FUL>&P zAk`CCkGb@-Pi$dW5}B_kHoqgDd@@UFDrG`OxPM@hH&`>{yzl9O7Beu{ny1Y5sbP6< zOFw0r@{p@kOV&1@Jk2pUx!ajuMWogj`jaNpLkCst-fgjaZhrnonleMa~L}k9dcgayhV+l<&E}o^GV;&kkdM*jzq% zyH)4l?P~5f@*l)WA<|MkGE^;r>MZ*WW2wT!3)KclYq=HSnfy)dhb9RJnv`WP6?slY zuCS;ubO?eBp}^2BT_W8jB3%v)-7rXZw}6NY-4YVQ z5JPvvcjI%O^PcmaH`a2w{L3}>eeZqkUtQOJiyKIw>zCKuLJS6Pc;~={i)!lAvMY~w zbwU9^bT)7(=bg=4o|*d)29=T{1YZJ+>NV-uZF)5o9W>`*Oe5Mr2&)wg-4bJfI(%9O zp^Tm?D!kP++)09*{>t0_9Fv^AT|aef-3$A=d>Kuc3XlgSu2a8<<86MaOt>A zp^`_aauoAxW>g}&fHi46OhaGE&vgGd)AiVL^<;-4oT>(^Tt?+k15AldrBqql->j^E zJ}x*j<&(>1hT}>j$A>z7Gl_bCdK=QmqEQK_B&2*_g#>{n) z#^YS;6bM0 zfqQU=OH#asz;`t)a1x!j;RE0I0?xTsn{(W9uc;K0ABM*af%Di(Z&`p;&rMqNamC`% zDdTU1R*d+cynbboJ~(zYiC4SUS2o8(U;DF&PmDJ0#m&l;MjZIUqR|2c>*yNe7F^fy zRwvvfdgzq2ED?C3Yl0kHi!Kya@kE1Rd$be38@F5UzDDcEhqmLG1emu8Oa~)OWtl~^ z-I8>*)zt7RtvGpxaR@6=0xi@v__jx>5w>Cm{?s~(JG-B({8`2-L$Vh)yYnW-HFeW( zr8C;($WB~tO%8O#WQRe(H{}ro#ra6b8(83o=_?`5bW;@Rs!WQ|twe>2!X*}OMKGO9 zgg&;+tMJ}KH(i*G^4Ap{s$HO3r&E8G8UDmF;pmZiql6y@eFdwY2VZYn_ z19+Q0A;EBEkzm!E506a zusLPjKvgD0D?d_kqg$a!&;C9SV-RpRZ(9P7jj%fBWR$n?@6U2Gb87%pgw4nHtP5V83*hqloL9j@bEX%$3@!)K!_n zAZPGePHB2P7&7@z3OLXIR9j#pK6*J;|FJbAo%qi?(0({dsj3f+ykI+I;1wmu)WWR3 zLlMw{&%pUg%bpS`*HhOKAao~hv4hmX!Exs@VS5N2s4lP)wK6|>p4J&i`1o@<_gz69 z$G14oEvYm0KQn{TA@KF%#Tb6EHd1l}zx``3F@2wXQz_~RA%@TRCPjVo_y$SUk?J|` zaM8CUoEca~pUH>Icg$F3y5)B{Ijsv2&f)0pT(k3j&J<`(n4WAp5FtU4 z*k8^QIFm3037!19LV@2qpwcG9cfAj=EBAfBmP{$h*=M-XYPXzP%@we zJMP`JsB0RSFYNW7xCBsl#HVA;ui+E# zSd|)-zuS7hgdi=rs~qsid8^MV8UMIb#gH@G!-rd^w@j@;?DLo5Fa4I8Lb;UgUex4f zR?#NFcwdpmUQ zoo_Iz;T`0~@r!=%;U7G(ox3;n@@C1eH4wt~4(!Y?<-0PpK&UJs5JNGrS(M)^HnTy8 z6KIl}Vi_S2{n+p)yOMLsJm>0))XnpKB9n6062#vS{}8ZGW^}|^3Cwp13`gMpM80b> zimN5otrDGe(PHRHJwM*)0@Rw52iGNfjdlB9Ni`8vm!jHwb2uw0&iD=DqtxqN#WH7K zob;cu0ym8Q=I^qmE-)3Yf6;HtPkc;`Os8kZsE0h&VFxcVrF9567N+` znvmt26oHQ)K6FwXUJ5i_4c~XNyk<}HHp2N1%#RtmE2~cqwhVwYq=h;@s{8+yy)>@5DvrqzFJ6wv|N~T{t>e>xOe|Kkiz|hQRi4^I< z?8&unubNI%>@t^dojn@wj5+G~*BXs;R+d>bShdD)OIkr#_FPdO_dqB>%B!L63 z%QOkOIk4`3#R>Naqk1dOx zddptpA?iEJwSju7oIkZpx$FNoKs7+nKPw$BLdi30ED;f@JjgWdU zP2jD&;qt0F4Z|4`m&!J5QJ41RqBbRv#4@hi;6fFuQ24!Lh8+Zk&Gsox>2X@fJf4gq zHUD9eiTIb%FMJ~7X^~SkukFg`UMO4W>x-K0R9sI(B<(& zwa`O@Y#M7eclsvg=D#fv--@U$RTY=3nta|&Iw~80V>4}uZJBU2;v{moq#`2lL5Ma# z<{N2VQ#A-RxiX(9lioIEX${PEBAv{fglNZNEIK@8Vy%BUHoJz-$R4F0QbJIjeE&M9 z4|8Y<(da!ZCI!aRg_fI4-VxcKiK*KPd~CltMzFZ0GuO4R_@)E?%us+P5v>M`?zfDn z4ixsXBrU{rB+KZk6s_a2S{=-sSy;gteIh9vLZ0S(``UZH^<=3-vCO=SCQjHQd6aP7 zqE&?QXfN8N;@uGUflnsC>oDFU-e6%6ri6u-(w)U@0`c~{$KoGm2aaETjlM3YQU^e8et!dj+QfA*Ev_Fl9pxlnV)Dar@HIMUMJtViwtOrr-Zjd z;c&WKZuR6s!fJ6G1FtL0DY0R)0kLB?0f~;+T%4-NNppw9pqd4 z=`Q?CaUl1g2(r0Zo@Y$4i@INBJ4d6}=-gSPRVh#p`m>Yf9~?O8guURb0CtrD=&uvv ztQDdaWmRktc9yW=KSh0r?apnH%zH|`0;Uen$u)j8q?*JAonmsr3zODWqWaDM-7#{w zZ0c*TL1095*Y`t)NVW00;{O}T2z$EG`n&A z55m`?=_1$$FW;eCq3p+yX=c`gt3xEJhx4U0CBv9v*W`NjvwvU?adPnEtO5*Vy4^2C zyYl5icb>*IALxsqiulku@N1xXHPPyBjPB9uUwDg#rl4oC9~l(i%uDLr!0M6X_^eCs z?45r3{W6}qD>r7Lk|X1(9XC%Qi$;=^7{?DhD|@^JqrS^5b7JTDE^wp_@zrpp#YckD_$8*Z<|uhIF|9ru5QLwSn%<9GLaUu2rP{}satIivuZ`i(2i?Z zyklg8MxN0WmyAdu-zz$GBu!d>u3*BPG@OL!C-uroB&9}be^nrcyK!qb?$NKQzqD|}TDh^31G6{f{VU+YvA^XrB5y3Gduq4l-36ZZ?3 zl;FJY@_wi$c=J_6^BR zX*C0Z0vQoXcmfE*HdEz7OtYS``Iy0SgSxNeMMxr3x<|D5e#3fc$EOhD&+#$2kC-zo z%n23t6(Bee$^J|#GB7)eEDlV)St$Im(?hyC=TVzqn_EE`-^235!{TRaxw6b))`d@c zryWZ(&c57JEfbK-Q)#qa5j$AR z*_>vG*Z;WC5w!n7^e<>{kdfA=jq3pe0Ph76AvoLZVg3z=BJyE{4%a!Mzf|S>YFoW9 zN>aQxQfM>$_G~X}?-i3~_Tu=>78%ED#2_NM*THgEAxxnui>#ZjDVhw$nk;x)$Rvft zE6m<8Yn^l}xWoW1TcLjQDqR~^QE>sc__?F$DPqCU>$NGAMXE@>&|7b^x;fgXur{{G zq8}SwgNoV9FN=@Tm~m1fVM!k`b^37glYkQtD;Pd%qI@Z}uNbGc=k~aS>56u=^XPwst_0dczm*>=mX*Wiqnl|6Jy>|FX<) zblc%;X}K12Tk-Brb6$~KI8^&qZyIy~<( z=yO4&mZ6JSx{Ofz?3OTMbEqDX4ouSuj*3|EWMJeAJeSQEvx{q*5upEb zZ18xpJAvB=6NoPrr-d1f)%PwhqASgxHWz2ERMM*uMN)}<%>4 zav>m8Cmcv${0`$>j-=3r?G2{AtOg|*6hZP^NYb5;v@UXzg@Sb3IG|}YAk>x+2&GGm z%L=R-A+7rCLtG-T4cTKN0t&(-$A@OV0<`H)=Y+p#9{F#jG8%8xCfhB&jkeW5T)2>g zNgbTXrt%))H~!fWZ?4Mu*4kL1??tLX4A{JpM?Eq2Q=#yQbyld$)+gXj&P{3Hm*S2} zT>o4=3x)I7v?<2;?3I#&X~#4W?-pA~!JGb<%tOx%qgz0xVoG(z4+9gi=s8|Xy;tSv z?KJzN$E1;iWy=V#ar0wwEvtKFRhTjnGpD5B2O|&c+%6@GDC>z9_0#;~g(GEFg1-MQ zzH%%#+Vx2#AF7F1L`372{577;Zuk@M98LY9a5MmSDCg{?kwBRJcaeBn zXZM!{17s-tkHU3=e~3G7^zTIYiW6!EnEXTS(kJuH1YE1x5}MUsq`>SqcWHKX*S?D{ z@$nP&ytAjJ7z=F+6oN*7CRNc`$WIhi^6DT|+dwFsyDO~L(nvc!+$X2mTXWB!&9Fn& zHCfA@_hr2<`W-~B=9!&Nwu4rOHlKSTN+K{FSLm*!bT^+dNVE?NTyr1_nLUu!L zrp-+#zYM}R-Gxo@x<2^_aI1w_R%;RxQGGOg8D#i%`YsnR$LFAm=JeS7zu5HT^8RI1v{<+K6GB(XgLIGdjpGR?|5RDotSfG@pys!D_gW^5!Pzism zayyyB(#pZg#iGQ@ovjb95{qB?N`3`j6nSx^b27^0Vh34H145BVi^WOV0$X8U8c*`^ z*x&p2ztbt{hDS!8y*uI3wMUW8wC$d;mOgc`H7Pz>mWOda;~?w^#O;XH(CwzaGm34$ z^n-A-(`;A?;k6uMUS<}@0SyGEdAJh|$jR_Df5+^!OwFQ+W(JEqfsX&QQi@get0BxMof-W$7lGl-+js|$#_h{F&kW^j|2@XnNuPkh(;2szXH~+# zF@!+$Q^QkU3Iey42GoD+mCVWZc9yYg*Ob6+9c0g3oh=r=aym*MTwdl&;P%Fm>yfWd zb$Ep+;wbvKX(#BQVQx6R%Ir1d2~K-2e7p28HN^D6F&%%OD+txUle&87#rY;_5N8JN zmH_~!!O;0jgROz?ChL+GECalA9PoFNO=*Krc)?FzNA}kklIO3mYYCsevLlkaQDQLK z$XC~D$o%w8fPc42{g>=r;vXe`l@NuB;GYS$Z8>|jZkyFvz|uT7C-s|Jg7u;=MU41( z&Q+YF;RJMm{`G)RI5qtteCt83lpKyWgFI#kO%n*^MZCf$i;+nRmaRC52mVIj&OM46 z5YzO>zdj|?Fc`*MN%0meX+Y!Px}Cq?n63{p756EPckDZAfuad!$(kb0<<^8Q1}?7` z&ITs^Mx0ueyrsC?1AJRQpN~uw>js4q6-NzE@7)WN8+9(;ig_9oIp2JHd!c}}wEZ>z z+@~SqSLuv($RnV}g~?JdR_vOZh{ipW|FjgZr2* z1~+kQF*B$B6u(FbM=3e{vG)~_dPq9_MLHyviGC-W6s$-EB-)e>-ImL{>(*#5cUK&* zLR$5A_(djB_84qh$U+99(Jw+m%V{_?wbE-Q)s5dNp0-fzVU}2XQ(q0CX({$jKaZrX zC*2XPg*HnLAZzDbgc-wAGhSy&oX{D(ryYrjiSwuRJt1_xSjV5`KT9K`%#RvzxckSS zx~UgHX^hB3>$VvT4*Vsnum_WILd8nL;GWRW)jSVE9uo-)T58(7xHxnBW*aFpGcK@Y zf$CS~myb<*2tJ)L%@eq_gh^t&Hvh{lXTNmy>_p1zd`w#^46?Qhh#n6=HB?!^D*9e0 z@EQ{Baqp{2+?H>`Oq}L{5vKVS{nGjo(Jw8bds}W;UP(V=3YUoG7aNU-Bmx7)zUY@U z$U=1mCY(Dm2rIE+`W-9QYP9z8*AGCZ%mzx`9}Va#H0`}L;Xl2}Kf?4iAhp@?9r6$P z3{?y4WcxKW(5P(Qg+aIVvwfyR78!ph6_zY`ThC>BZ;GzsIvMcFBW7rNSfSAuhPq}; z8KgI&(C)UN!Q{?%(nH`-VfapjC{YZsFCnCqpybM(*ydq`akDYg_|NJ12W_7{TDjhB zy>KTPI9`pU&Yb3j$t-NDRc&0h(WkzfEW-q*udQ5WogZ~|iwpg_etq229`L|^-6n~| z?l{%ec(UEJ+8aK)yG!1tuh^Y?805`MN()6M>n$XajSF z724Iv50y|C58@9?8s7sWM=PzQfz%~6wWA_t)bz0H^DRv(tfuF;d@nj^-REXK*4bO` zr3Rowwgy~Q1~NjhTWGGHbH^O=$?FN}`yP#OXwY=Tb#vpX44OCv*O5W+xb;l?75yZJ~RBe`)dcN4$C^zy<+FT;o5L zleF6)RLG*+;h2VdE5}I>CdEbfTl!8WOU^UEu~!SxuC?kre>I^Au?RlOA|CW!sDfCg z4?bs$V{&J>{fW^#r`tgGR{4WiHs7Cf(>pwmZobqB(H7J5US2wHc_B8f!+Vc)ierB^ zfU#(s2tRnfrwMhu%8=zC;XFcz1lu`_Pc`m%F+;IzaNCWetPZ6L$BVg8Os~H+HFdM1;?fhX8PC&*$>c*0*zzGq9oB_K$P*$Fg+T_*q`JSHOD8 zW0~^p=%KS7+9rupT8?N^*kurnjZ_;dM>sCy0$wJ=ANA$?$>7iQ6TAX;z3kc?-pmFo zgGI^1UGXQ+Jl{*PM7= zTW#G%MUVR&S&G(T*z9W zOk)rp9?>npC5R*5QjgV4oBGi_E#Z^$)A7X}KPe!aGGX8<>Izko$)A=&CfhFer<5q3 zWkTFX*k>}Os1ta9O5bSuda%739ov0Yn8W^A-|B^wxy{`BM!XSo1y>I`*_WCCj-f1x znFiz+pvHfgIncdS`wBIafaTw}l&}t3RSb}TI6xJ+SlON}X!1Wp(ACD$L zx+~kSA|aMNwOMw)__z-i%dUDhDMpQH(ma;#HO<l%c?MV(<4&eq{HJV`Nl zB@ZbnySt5Fis*c<`Ew z<5`7fH>;&`%Wz1yK`I#-j{%|=kF!YSEsYF6^Zs51GF^=mz@;j~A^3GldgVh?eC9@T zwHLp?D|g-Uv)S8x^Vwm|594jtoU8fIUmuEGE{%9`tcm4b*_z*bGjb_;xOPb}1Ke4# z*XIG?@BdU5rTq0?^zt-7G?wCx6oOI=I<~iuMnc7So zNw6igW&jp(_iZYm?n-agu~)b5GW~W(?SyeQBy58lCRQ6`D+j$Ljt>P_()`D-Eh2{I zBA0hxhBr7hU2Wv%s#gMJ26x1{xO7qI%W+t9{Tm1J|LyP}ty0CkddKA}o73gz!+F%v zCVEfs6u8zAp%DCfp3>sl!>`8H`}`2axmdE33^H}X!u7-x5vJ}#%Ms;RMq&rv(nEv? zODjl)+e#rx=)Bd0!W|=iOr-^c-f2FbzD=Jg6+r#9Xn}`osov0SH*;X;TeHDpeZTlZ z(01+oYNp8_sYZ$i>COLJIL>%2MeOiE*I5#UU za}XZ4OV`8p{9W@M>R+5(o3+1~TJ3$_^*n*g^o|@-u5OlN+5l@rmpEFTUQoeooPp;V zwV&g-@kVks*L=v6RgXC(k=?l=iXHTwB5e<)*a=q#7FCXSB|&J$ZO=c9_I}F?(U>ZV z1JDCt7c%_yRZ)~6DE=UjzoH!aR&YfRoptH4@oH*x+4qCgi;~?WHUI<6tz83M%gfGX ze-$ka2s1P!;J!7pr46LS)EC~g`D%d@ig6KZ8~h0~6=zKoAv1;909XCA5MkTRkjkqQ zSLfE0p!lv7KHM%NTW|=lxJ-F z%$P`glhB#7DwIZCTr)x6B=Lp}Q0p}g9Pe<#qNR>1uiVHCUWyTdExn?w z`J&H@2D`46gyl9ZiMy@^SfT19Y8iA5x7PgDe%$YW(YA0$f1&;rHLb902&QKF5|`LH z-7RX`t6RS3VJ_Zc7lU^-1zcMUg5iP0f!eU>G+#YZaK3(KZ0qG~1cFLz^YNJdzix%u z7ylk+$j{HG5U`-@7v0vq;+{DVm=yqIOMqwsPeM+vP|k>Zp|m+IIMs3pa2A+ygXT|# zqy`W}7~EMH>c40e69+s^j9(S0C08#WowW|xJ86&7w7a2Uq2+c*x=xnI0wpaOq$L+U zck9-_AMaeKjBuIi3Pj3$IeUXp=>PVEQ^tXbHB|+jXZ7Yz1IK9oQ3rwejzZx<;>`^Q z%g)L5{%JcdcVgN(pvz`UMjLtMH=!`Mxx7Wd{UFB3G?l;(38HmRSogCottSIe&%p!W zJ@5agM5#%YJAjy6lJVxj5nRiS4g#ucX6D2E$0~*=V;{cdg#3s=2Gsw=E$qVyn|uk% zsm79c?7yRV&*sA~l!kt!ea!b%BJgo+n?O%60@VOHeM}W07XE}5@7rea`%cr9+2D0f zZ!GGqb1d4HdaCui_~)vK8GwzMpXP8wvjU>=+}%rnZReDwhFIePKRKHGI`G*9GOT~# z2a)aeeU(}(DtrX^eN!#KXdk?v*@&=zSiedG^z2ywX8i)ehROw3kf{{zJromIQI@!M z7^95-~!I*C8f%=4jl;$@uOh!ts76`EZW5G}pf_-HWGnuvV3k?8#gQR}H z{v;rN)ujciXvlgruFEP%ET`;N^|0R45!S4t7bb(F99vqghKggMXNomSo=PtbQtSlg zb>+q$ws=0X9oHoUi&EVD-?9Kc1u_%>xbzD7w|o}esyvuMZM6plAfM3Nws7NKY##*Xd@Z)touw6Nn&4XDl;vk8XvDl06Un9Z|XbBdLo&x8q z$cVMBQp&gl`c+8uZ&zvL(*{W5Z4(=$-hwzkiK5v|@h835?vP+qi z{Vu+`%`^Vpc9oH5&ND74I{^@_Tw;gzI_$d5@#(tkJjFRN5oW*&UJMoz+G<*Vqu&fS z!I29e*R$`tkwZ36eLcO4pU(AMX9FHwdEE9mSRE?*)JS*VoUiRkTgv?}QthIybN&WC zS133nzra}LFqp|7L+&G5hUh_~>w5p;is-C|nLZU!G zr-Se^5f9Gm00@EX${2Hu2Gi3OC49L``P1h_EYzE>j@j>j^N9$gXmBF2WU{rD2R5_CwMiOSx8@XI#S^GeCA!Z{Y?$~1?eiQBLlm&IU4CkPnr3}+B;>2 zorJ(Sum1M<=-298tvFK#Gv!XlCt88i-#Bnw_r*SbyWLLm#dQ7);yIs7cIfN;i>Bo; zKN}H9NKJ9*Yu5bM)2ZaTrQh-b64L$$GlH6szeE$t|6~xMkhOOwu@G5_LX-Rq(n>dJ zxoB~+HIQkYM_&vpbUbrPy$^`6edpck>BAZL$WZAM$t_;`Q2c_cTzzTn^c}&*eYN9k zy(NGt7ym;P424+A0Ogk)ENF2-5CSit&N+g2U1NCqNFy5tmoRt@g(8k+r$2{A*Ab1X zDw~#May&lNdz%dup2}jOIoCONezR+C9Vt12KU-jU_okWyf;EOg*1u^7_s_UnKyNW; z&*wbd7RUHCx46=H9ab2f%b@oXv)?c%Vme2TX!dBn?R>NLG>p$V zt*+fR6b?(|+TwP&!_C2kANU+=OX_(MUzsLlt6&;&+~^Q8HRt_j7GHV9#863!Uco@9}5hi^1YL>(FTGO{Uaki@NVGf~Xri)}=SCcCVWXapfpdT(^c@W;aV zm*i0CBm~DB zcu@L_J^B=3&2RPFB8P7$P^vq+ifHN83dmRoi@TlYbG7h;`XEQ;hZ-NE4~XF8dFFQj z&4liWI@|)4bDLM@X_V3MC)t#rfG+e9BM7qtn)yiUaMe)0=83B!hl9&9-L;Zf=whhh z8~zS;qnED_^Gz5H<+$E1Z=~<+S$hv=zT}@d-`3dO(KA@=BVRE_wfp~gt%6e9eD_*9 zM?*@EMkE^vkwwSIyfH#A<);Wx9<0&PY)HzIX=M1l=lL=KObluXJia~_Q)km^3z42; z6y@;{uD9gm{3Q;c)@ML>>8Xx~aWvh3 zDMtN~{$=!0R+Ka{o$>P1W7|4dl-3GIsv@yQ(nlRBHJrf>Y)w*ZO)r*IZtamb)@l{m)N4M4uiw*I^Ph6t;YCSu;;vKD2{S#@8f6_ivD9B zw9Iq`ZF>hlxN^8Hey`A=L)lHRDPG2rY~J|uUf(79V{^lfm` zt>V(c$?Gj!3r!Q2vgd1ODY?Q9$N8;{NOd6fdCCCcW&Azw=PyD*&&LrbETrovWDZq< zmfKE?qT?k$Vdy32%eD=Wzls0|Xv*nV}!4t zF_sYIH>q2>h9Z^YGy;cvp(G4sPqra6-0j?-E1qCdW>WqTPaG&smhWo2q@|5-E^B}dU$igo$qC`NOyn*c=Z-q+l=*4LUCLzy2Ig`49 z2fW1i)ITFID>WASd}4-<(rkB)YS%P*9mySraq3b706%t$yI?&;{aOStZ)=5NFj$I0 z1|o!2NymHdr|dg|U;UMoUDQ#FE4*U4R*jKI-7(6wO;u-(y}J|~+ifrJ!J?DeWeIN- zFyIWx_?P{AM#Fj|D<60Q-544OMB60ip>N3X`ix^gDmId6@$gvn{4H1WY^oFp1zhN0 zf2gLh-%@Qm*K$PTgw?>QO9TcJ$V!|di|s`wS+QezPgxc3G=Be3$8Mi=Vdz_$JuuW^ z3Ujc*39pQR%tc4;Rh`vOAz4@%F9mLE=(-E~n&oy0Jz-<+T>%jk&sLjukX6}EryZ{s z6qf#8k40MpYJ=%Qdq6ex>kSaec@Xa~$1KtQIs0-)RjDdTsrf=oq}5e=EvBQz>V;aY~4k7h1MC{Q|yf2n&k}TpBvtiFkkSf^T$ zVi{17NzFTZSB597lo~Qe0ygjo5iUkcu7sN9%Hu#jTBc!ZO;^0_vou*2bAInQ5?byx ztKT%~%ID#d`0<%RX&3Bn2+%;0(aQ*=22D2w)h5(q)yUN!y`bjr%lRUw*P-r=7q790 zH1=`C^UQ1dNSC5DXcbuH!Bw0lQ;0f~Oe-SCEJ%X_Lw<^wRW|+n(LZv85|M>d+kvQ@ zhM^V$dWd!}Swc@QGdJlIcIJrbY6Pk54?(zp#SFJ5a%?^4ecr&~UPY4}>M~X4fprO~ z)`C`(csINkp=J2E=;~b%lA@UtJG*t}15H7ycj4SS47Q*CGfvuml{nAUldT?5ZN%Jb z*MAkQ=35&6CR?oZJ22xXOeoisFYTaxNX(4~9XnyK!unUL&iOx)9gwP%op?%Z+kns6 zvT6h{e8*NG@Y5GzbCTffUJ+)ijwpJ3GzRwnEud(rZSm1Q1l9?tjuqIa$mLMDQ!$)E z?sJ1!>^_2bs8BGwB^Yvij*yM9`*k?6Ywof=MLjKfVJwZD?`vZt8}j+}bNSVUNbxBv zbk=cObn4l8sgRlcrHM51QrQiaqjBPsSUSrGG=3V#zFDuM_u+^e$s6)L`8Z=Uv2 zDxrbaTkU$B5M29Ss2XIKoIEuur`-Rd>nj7G*eMCi+E`jpMO{DRxRJJqNp_^rqj6Ay zGaXTY&9G0Bu^-oc{RDpwW{w0eKjUzoq^hHgav4R>`2ITL>`f7?{HLsi2^^@_s*M!B zAR5}{Yr-p!HiO-j){DgSyK8B7WRK3JD#-K(cnv#UpI(|vBLRKud-a5FPuJBcdD@E5 zKf@mXomu=>Qw!tAF+!Rq%27&)a`JtvTi$KC7WfgNa8G9#w2rrlq&>ILW8shC znj(&zJ|}z+iCd;WIrk9IJ>es+A~LP+#0q!k_J*-Hr!Fl5zQQgQgasT4pgNbXXnI@ z9>-yIg^m=!Ep>+7UhUs-bisLjSaeSz+T={ja;Va%RYF< zRR|EMVkJ#hr|Ad}S)kZI#JUg?B=KxFR!&gs-F#Z0z4ZQ@>aAy@#{8_s%2$HcthF!vHyaQ@bVlqZ9#BC22WH^IOIR zLvaNuG2wpWo=hcx-GIieA8R0nF<;)3mVqz;qw3xBdbadHYAbBX$51Gp_jbWe%jw%T zWt;d;>BU$gEB4BX^kv32Wmb88!xVH>m^@l$X7;A?$FH0IOQb?}f>(6=aBJ)_^_1(^oq?dI zXD@hH?DA+39!O=;-}&=r@n~ta>n$MUo9=x^Iq~G6NOGXisTXj5{eUM7T=Z21R4j1R z^%Gb$qgL)o#IAT68Tfc}x@$mTbiC z{FvN5xdL zaQC9qi&okD`Cc+?hOzF!|7?iFNkyC~sv|`9)e*WwC!uh&N<6{^dx~otIx$c2TQMzj zAK9(5?KhN&hKU@M67+hz#Kg*$b}(pjQ0GIWCEvxg z(1I*1dMi7D_?c#-6+2m<-lwt*E<_Tv+E`nE?eNNODy^A-=lK)T>Gql@Z{2U*sm0iv z_igA;Wq9_4(C_ixa=R@_j1rA>$KgEGEpH{UDUR5vPdOV^?=~ju@3CqWE%ALq+$(7P zyUgB%2R1vp7n#a|+kDxQa>rVMOudMHd;B5irGhME;VeE)X3ZnR++K+9rqtSiQ25Qw zxKROy#eUPgWMIn+MTqVu0<(n#JTfv&NJP?Z(|4w}Zol_Kw%>oK{-B0L-UhFl=RhGY zJu%Av9N*}$U`(^w?78nm#(Ad}fMLrW&)>w6X>jO-tX>X@_+duvDo;BAYzDVMan*yp zpY?nBs`1aV_jkpKHzyl|rpf-^1pi$_>&Fa?ggz_d@<2>|rqB=icnl=pPc2GJ8l9dNzd@N0INy#L!S}BZ;rSFM(byTqk!Ek(N_0 zyhs{_J1o`TGKzc8_g-#RtEonYIvl5|5Pe`_WieDn)z}s;95vI>Z;rCt<}^l-Nj&VQ zFHpU-9@Ai4YRHUKS}iP_h>e3jn8@6MgY)~d8=}x(za!-bsM+KS(gVIrzDB5Sz60Fu zrB};YYy%OX9Gr6>C7oSQ6v|||hV8w%ih6Fws??Arv2cn&T%>JK>C5<<#ob}Yk(*{L z60>*<&Vv2d16+|u9WlzK;p1{|g6kew)vhImBc-Ry4a8e;72`DiVB*aBe=C!KqW4z^ z=vgwGDH%++IZm}PHLs?W&Qws2D6Q6M2)sV@um1xBM^kZ7|N;Fg4^Ys;|-rU&pzMhFmmUqmo zEF;KxmEQJE%jp9%rBhWUU-rZ75m9X|wY4h5f#-k4Bd>f*ee=DxNWj)z*F|GY(A-_| z!UG_|S9-35D5_G+YO14>u9x-_yUZ!h^x_n)RMS+`$=;G__->s9)BNHki1J<&It()x z_dFeKU7L*BVqh_FOuu~erw{Cg$p0ti#C!rmZ3n|M%csJf9;_EZWU2EB&v46pn4xiS z%`%fK8jV{R8OPtM1Ou9+q0dCxZ9k&FvrKValXI-+dF~rdYw+Pyf^oBO$|jA{Ho5YuoxXB z#HZelV#?B|{4*Zl#>U6?XegG>AlCvyOq_&&wKrY@{ckdON;dgNmcR|gmAs|!1oIhZ#m-X&c=uPLSTCFO~xcOHbWb0d`x;FRit(rW|>YsTa09?Wk`uVajd!X+FO05^S{Npr4aA3AoX7{MnqJKAvamy4q9nBE!gn!1Xl?4Arc^f zbX68We%AXOK=>h)0lNW^UvQj7wx>k#Odx)tc!S!hqOycb6~q!LIH)@m{y%P;|3VjY zq43ezmzJ!LHM5GC4{d-C5`#6OlFo?wO(oG)oL{Ggs9FI5YJ!aHp2e+Y5Z)YVFXCjubt=srM}|)RV|D z%;j6={S3yO1_2H4dTyHHN9N}2&h_tmo@&0g|Ie`fb=10nN2!jq9L|{f@HY&59iVkf zxjLr+4^`uo<9E#;L10zH?ktPwt)eq7w7NEb^tlN{*=gT-#_F6mJ)WSZqw@QnO#}|I zquw|=#ACq6Y;!WIcCm0Te-nDTdRqB?xsK{hY$@UY+Ja)5h?PFgz>A)F_tS4_a~_|S zbkxw4XIemZg#)mlEnhV_?{abQ?G?b;pje%j+xCO*=yz^%2;KUPTl$-##Co);!L~sq z5(h09`XNMWYI)4gQSjdiqoTQK03X--F}?3^oe+aj&_qKhgDD}fSQZxWuVX3-+9i&9 zFf|*_Q}-$$T3<>bmTXcUc0xePeTS_Gm{XyYq=$@VkOnN6H+Jr0KENs8Dxo5UOjul_ zI6TC1+7uux6}f&8*FPCBb09fJr6!llfqGG#(Ip*9#1`(+$wld9o z1?F0=|3{T&@)$c$&P(r_HCU@;78o-}x>J)g{ctLTSP~%RpPgf2q}EiYlwAAUs! zKAd=bRV>d6eFU&8j##FY98z!}rP-w#wWwlO{jyNkP`q;K_8^{jj#>?i=3$7{X8JF? z2rGSmXt^e)Rl=d;ed`~qJ)HzR#t8*8?bsA($!%fiwg4bK5LH-)Hw8cuZgC5`ih zGq_&=or?w(kPjWWw&oO5ynFmN0-h*%E^z|0l6{JD_^DR%kXZ!^_HONs^a0~x|@rb z!2pI%Z4*sO^P7jCcrY4%%iRFjH=<20(k`di2I?M$Gz6O61^}H} zKY;s>OwD~GQ@aQ4yX7DOmHLfI`PT^r!E$}~$BDOVIm{7|{74wk4r*G^?E0axAKYj4 zjy0S6%;ocAaZZl{fbrk3=RPUL+UooNpS4X7J*$h#=iBgK8*42_skf4Xja|OmeO?7} z*t)NQ43wd@wA{Tt8Ni7~lhfOC+xpVT!-3Hg%1#yc;}m!bde)ij3t3r|^CMG>dy(R- zJ*+e2W}8gjHL;&E=hl2tj`{uhz()3#)HpZipZUVy;WO~hG6>LPkL2S8nqRitB*c!ZiE^M zi*C&^Uk3Gb7hTx>7ZT?S_s>2m4pH577e$l-CW~pNL-FnJs8{%Mat<;qvT%GMXfhG| zD@5-^XS*4IL$n12MYB$MAEFySCW%)~m4)Ku2=N0j>UMGafzUfuAB<6HEj zEHNmN#LOc!&2pJq`F#g;gS{Px+W$2vAx#^`%?JwYx?K*bANJ*68P8q4J1WyfrFHQEai3o=Gz51W! zHcSa>YWKp~$?u>s9^qwpgdeYb^!)Yt z2X+5bA_L4%Cz2E*>4t}Hkp_|OQo4~=Iv%>aTR^(IL8N@>l6)t)&OU4Hea?A*|9~HX`@ZKj$2G=xfY~A` zJ`eAC7bZDiwphlgjFlOgb4kX34h>7VQag~_s_snN?FLGlX_XrT*#QT@SW5Dl@ ziUE!^t~d4(k)1a=4*exFwq%CdeP4}YsZA4dw-pu4sB`&bqZNOi49LxlfFQMSj}iHw zSjGQ%{UK@H9{MDtR%QkNvp?S7qILFjMD%^lT;!Own7P2U62)#I!PyLp*`D{`2Yb3& z-Qmzmct19~jV|5a;s6SZ6WEqwr5wN*Zu@d@g;jQbczu7FLcXPi4n4!(UM(vRRC>kky$mbmTP2=9jfJWr|G%xRzyj~p|p zR8xqS_ZgGP4Y;8sx`kx`aL}R<{L@Gp{A&wEuipF_5;JUwG|f|Flr?T=SY0J2ZU!<* zK`>>nSD*Z`^vkXQAm~zatJ`U$bNm#3(HVmDtz7OhWYV?2qpa4|ofa~|pby&A+l1On zord3mkh33#cC@s$FG!EQM_mkaE??K{zNDOLHW!eJv6J2W+CR+i%(CGe(IYjfC~EV> z!DqK6vO|g!%?>PaB|QEJxvc;Blma2Q`^sVrS^d6JLVj&Z7k|lug6HRKZ@8m%bMMXk zg0Ks*&6-!3#R1Xx?Ny+2&jxpw-7+8k&QJ%B@k%UJG^xHe-ipyTQWqVhlMg{6-%dD% ze+6{ySUmZK!uqyrLd^$`NNgi3*Ca_fe z83=-zSar^co#v2rVu-Auo&)nbn`x!7Mp~JUt1wK9tI|^JjEQ{*XOVmTOAJOYW3v0yxM;HTFO0(7waoXkDotQ;&7XnHnWpE zGhoC(EpBS>Ca1a||GEMK@@U4W#}KQP;B%$%Dv3v5_79Qlwr4_lSVTjK9(xbBT+C}} zX-7ozK(N2OjW=KUs$(k39Z9cVaTF_#tNjtAZh(nvFGwBJ_n0T`RRjy3<*~NUdh(Yr zg?5hBy=#h{mq&o(xn9w!(GI^4e7W?A_0I-R-9YzxbytaAyPA{L|DvV0(*c9!PP zys;`cgHkv^d$;;XC3Ai&-phIMkotKp2A|*xmJXIVxPB6;x6C z@HbwRNK52zK==d$W$x@TcaQ?tiw|xG!&CrL;F?QJrJYm0h?ygFRoZ`3MfFx7_48yV0D9@`!DK!z(>L%>Ra2q!-y_I>v)1#?BZD1v%wtg( zos4yMF>hyH+#@&1*{tnNrz2~(!()z(GZQf)D`wY$_p(KIFCod>`U7>w$W$jcHoIWt6!ew#QO5^y2utdJj5v&`h{Otx-a1Vzh9(+$H`{jw zMf<#(4rwTy4){%pvfVW8JDgI`A@&e!IqEc4|15i(`Jh||$d-6W=Zg@xv!4&b!WT3) zAZ~k|4;scT*%vkiurvIM+p8G5ekYEn?^vR? ze8W2UsGWA4J%4fkj2I3hw_XZb&{v)2WXT_iMe%la%KOXtw9)tn##Vn?epQQ&@1zNP zYpKULmpb>Vy)|67YC`Q^JUL1U=C~N>{EQl_*U{GaUA%AfR6JV`ynTcs7=x-&q%@;< z$g-l6>ddXhaTR<($ZHlgFP?ORxn%NIWOS1!)~a~^9|AJgBllJ)(+a2)W_JA6Fb5zA zGqz88U+OI1QV>KaFZ#X$p3T{%Vx=Xvc1ZaEe{i#%;`(Pgke`G;4Hf~hA{=adXBF;t z$%j<5zxnzB^$FZrfuY_GbbFdZ)Ux^(B9NsVu9(g;DX|LF>QI@QUlPhy5eG-46bm2e zU{KBGWDH@GWnQ`TNOrg7Sc)yrm=~=LU~fT79j9JlZz)|^AB0y*gfq(7wZ?{Os=Xi; zPqePkO-7Q0JOgfpFPtC9KGf*UR?8;w+_q}EDgdI;L;E3tlN$D%e6X0?8-=C--8-i- zXAAN6wae%!pk&JRxI-PdDlJyCTbS|0Ykxv#J!$or1m?R{v2f#vWCfU@&}JCAQY;JN z4Lutc86RhoYKHW`l6!{Jk?9~T`YI>!kwiY}CsL=n;8Xf8&;aR|zd)!;$u!SKAVwpG=?| zM!(0qUb7-9q55;;A&#-C1q=Q=5JX}f+NRY?_j)E1QS9oq4kFfgg?mY?x`JW;%IcU_ z0|>$U6jON0FGL>1l`d!NSe{kyJfktsGk+^pno7-!&CI%5Vq!&oJ*m%5)oe* z5E0QD5lBFH*jS7Ku%Bp+ior>N`fGMRjN_tV?$*gXm!$JC5Pn{i`xkuAG9q$8QG$yG zy(sqsM9|(l!=+tQ&r*sZ?>f^xqbj3T{&zze*%}!}bvD>$Sj_=a^)nm6`Fo{f}VAL|e&X#b(D>9#tg;h0PxE^irK8_N7yfT$Wp;H>+BZ`hJXYT)N zQYqqV%52j4<;1Csb*Xe;Q`a1pJy1R(N?PH7K?ykqgOP;CI2d?S~rHPir52Dv; zZU$lo{}@}Mtjcr(kfRfB|pFroug-Hz9Pd6w^^cTY+#ca0tnVbu|~K*@z@CrI4p`} z7HZyzn>^*_&!RM9Z2n~va&Gk8X5{!s+Cuw>Kut7%ZO&1C<7pJmc-1}ZPCHbB@j81f5hrnRB zPt?PJUvfoC{%b~WP*8>#G7hg5!p@bdr=9cB!@k5iJT6n`8G~n-<2u-g+dN5e2$KHFh1jIVNq znoq!;{RgXT-G7~N$I>JJnNc5Uw6nSqEI?56t)!bEGxL0Y`V}G&S8W^hyuu6HA^|#3 zgJJ!20WZXUdqQuwsZooVGZ@&F5%`R8vC+G@BqM3KCh(p$)957JW3L!+J#My*1MQl$ zVjfoPD@I-Vy)_TN@X|jeZYqB!=ZF``^TC6Vqk1R!THj7Advs*J;PgQteJcxZnLPq~!GjOxgBEH&|BbE%&GOqIyN|~iM8K$k} zH&h}|@q+T8)cOhc6CyO4%-GP$ zH&oy2mWnLd?rQ(SN=6_gfbe>k2*c_|dv`fY#eFZuv(bBDzEdyN>|rhg;pGC0zlA}R zkUL6cMn%t|ai05&2%ELG#ymcrHrVC_vY4!SR8>Ld2^(JPRwW^6=~O){+Jys^-YE)s zRlYH@nHbkm)ri8>ECj}vJu?CmEX_j}2rJA(cJ$PA!AVdR(_8HkS1xJ~Scq$hS~i1a zv%^1>d!w6H)Fr9WQAtp`rGqlp5I8&P?SGPgp6anPg_+}?cK(n34C(&_ok$O6YD*cI z0E^+8brMG$v?jpp`kpOhHSCLRsym{)6TZ@SZ>*{1 zA%Wj8=XlS-Z&xr>nWtpT8MZOyN}J=o;K8>a6ulVIR;lXxL8NCu&hBIplZFQ@4ZMcX z(@@S?^+bB`XXn&rhkqeNe06(asIxP&@A0F9%uu$g6lz-AWC8Z3qH=p=?yJEdbRl>fhcyzumxPa95k8W^ z`25pRSC2XPTh`*LS_!KKyx{e-^Vk_RT}w+@Twdl_7@LUn3=w9lKpVDbWfvf2t(ZCm^dg&oTke#J`I%G|pG%}Nx@#(k_sV_o+v%rFA3bXWxjz&Juv z*nXRf6Z8`8fZL)tEuw-kKQ~{C9D)rX1&5Vc&aSB5ep@?>6)6c6f0!6Yn;%qwY3?_P2)eNA3LTU_i6%R86t?W=verB4)|d24~6G z0%yta&EJyYJXG!b?uC5g&FE=t{-C;lG5>r36TgP{r(Jr!%B0b%#Y-nS7;9mj1hd-` z!h0>uK(7MstI4f}LaF$=;uqI1RVs1iQdM`?OWyx}qoh(h(X5Ld$-r&*EMkP8`fn)I zvo}zvxyyKuvQzC%@5V?jqMV8QkEMti_D~;5F^lAEFIx_?dJqZW{b_QB-CoP!4!r24 zTvn{atj4MOOK1_1UNd55bVB;hBX;p2A73bOJjpq+@O`0l@a@qNeA^fkvNK> zpku!v@lYpQsWx8uNZb2^rgx}m9zp`<@`(UbE`YWmw!#s|&?unUNVz1TzeOw;BXp)M+0yA$I+ZF7v(akCb_# zW3%0h@8!l_&yIa@IN#C$^14Q4CjLvU%G{JD{Ew&$YB`o&?iMtUV>T-nSk;M=INW(c zsPLp)G>q+K^7B|#9@7godo&K!N{NNwUNAr)GUxe4wg(1Clf<_w*>6`1ke9wypN9_q zjj-wB!tIAl>_=DB;KjqK2wyca1*dL?q zLgWR@M+<}fO(Wp5e!tj}UQ?#Y#i*2hcW`Bh~|eTU!M5nopZLq8fw5X z^HNFA{6=k0zv!_IJ99o5^dN^kDMJ16gLYhDtW{P5e#^w+F&^)p^r>+TYy39_^d3)D z=$Q8-iQwtBGJ`*=C}8=L{*guHV>O>h)t=O4G&?>A5ca<)9CAd0NvF{T=_se2B|kMH zFq1njKG!lT@^P9rFA~?HHl8CUS#tmHI1Vv% zx{Wg(m+nlQ^J#es{3F239$FN>GJAA9D|=;4`=M#mh~G$#?@Fm~V+8;boP?njVo7ck zg>NLBC3{ryu<_Lkj-tiY2~-tWKB=8iK(^%C-@{yJ%bZnTgl= zSGw)L*OQ88a_RQRRdw@S_mmcM>c+r}M`6h%`h4{w<`UBZ&C@|qH8c)b|830U!OHQtWAc#*0M z+!6Vwby83^V~x+a@!hlC@DR_8?7cTtk26N2G_-9d2_4@J6qk=%D_bz6ZC(1oGRp5K za0_gG`Du)$H-F$w&*b3t7HKB$q++yvm7q%MB=sb4=Cv!&#s;4Zyd}6-Xcg5r3r&V$ z?8C6lOD)CRV9X`4Y8B(jn>I@QIz~2FqMkfY3N^L6EM6DuG?rQ}dcbP7In9_n>9*d$ z5Z%|&|M(aFh-3l(43P;#?I5=S-M#@F+1F*_T#JuJ2`~nwy8uF(C{5?oOI+ePA=8_Y zG721He1)p!#6e9x3DQnEqF_%gM@&a6-V13}A2|Os(cQQ#+tT~ocb|0!$S(1{Iwc7JEh770*Diwv|Ue2>Jw_1-Yom#k1^=PQ%(TBg)cKAAHsO z`m&GZHYWTz@5)2>hYs(}itSlD@y$o`qS^8U4is&Lw2K`MSb|DSl_^9$n(!(#Cg3dTTe5c~LSv%%!Qd3mq+ z^lMPnsk;w;G0eB#()}Tm9e!vlF@1+>N?}Kd;1(Y-+|pqKB}c_ zo+qjO0xqkq^$S8b>r~6-sIi-~>N=JeMV(Z;^gjWjBs**|Xgv5-fBU?h;FEcJi6Kny zJ!+7U(_17X+4+DE+6$e&p0$rvbv>ALJe_e=7#2et!D;R)_TY`TEQvwy{$glhLO7{a zJK7^SqW02u+>*`tV$JFgt*hYzoyR`6e@y@-E20A|@lADLjSE)D5L=FvVp<-Ax)IBi zSXhoyJO0S&KUA7Jw0;$f_g<$N7e+LkEKt<+(@greY0&5`ghVbldMs?F>t-k@I?Fka zA<6tf;gK{MW%yNE;DmC$lts0xup}$X8@7lt5(>GiHy7^TnqJy@NJdTWo}Ct|aax9P z2cEyiZ&SeEl-`)?DVS;|ClUx-Xv=4dlIPm<4BoC3Noj0G(sgTyT)pD>xzvr)ZZ&&+ zWwmYZKAhQ(?R3-6b#^fN>da;j59unj%5H;-?u>8jXVYO1)5h5l$=ukv$ei9T*q(ehFYLC?5VCG6uz0F*ODt8_5$ugH!AEL*-Amd=cUK3d>nJH*6 z%e+0IKmfsB722m3cWd?}HxvP!leOGiQqS$n2>tl2A_36}Dc)N7O}9YwI2x9(Z#cvUv$KoGhV>)t=R8tD=LgSEu}${OeD6FJC)K+B<8+j-e~-;h3@dB{(%DpPSEc$i&-MC zfuxirm%BVh!i>sf4688os6m_yl%RrJw*GV>kAqL1{(HH!GjS-?O>3x=gm-@mqe1tk zVLyewXo$-e+)x+PA|huMH6m;(86kNj5s@BUtDYP79Kq2im^8N4KOcz9?6#A=8QP?Q zdDI?;dFn3|aOF_HGH05|IowPQeQ&0em+DZFm5v^ZjyNLC6)>m&&0##u&yvfJu$5}7 zS}ct@U@plghp$_$p7%jxs}+E! z3SV0aFtf#GdfHY#zwnI`d%=f&@&-Gl;il1S8^t=o-O8A(`jh=>Ys?e|QScSo{#4WP zBdo!hKr|s0{f}nb3mdG6yGJP*Gb7G(VIF&(WXH|MtF?^!dqeXnIVVSac4nuPz@&ss z+*BDbJBqrXwNV0lkF(pvPF$Qn=$Wxg?{?V1{cHYf`qsl7mkFP6f#0ty#s0PQ1x}J< zg@4fEZ}~5F5eI|UG!Px@+80<&C+Fq>-)ZC1aS&3}*&!+`iKl|csnES^nl3DHo3+zc zC=86wkBq3HM*B%^OBiAEpy+1(c&>Kha5{Mk3!(}=`ryw+S&t78iFb&XqmAiyce1s9 z4qIc{NvbP(Xu~Gf+qm~oa{~#ucuJ`1=MO1`(Hl*-8Lg19nW;2yd-N6qma92g82ap<>sVH^TVi(?0ntC zZ8GH47oevGRP$1zQ=ss=65j#J#f;2wDtB`83V2KvCo_RTtgcyUd$B5dH5*qTt-s&m ztnPLc3lHLreaBir87rDGlYZD!8O`P+#Gxe3aAY(nGS=V4reEkSEFH=l0y zn9Z}d(#G%S%NtfvjhYkeh}ov6k0Desj+G9G_v!y$9oK2Tr9Iy^MuDNyiFbMjuDWX# zHLQc&(cPbl2(K&OiYR!(AT=Q1rJZvb#w5n=6Ei(lRacY<64S;alC;|(SaUWvTzTOZ zzRo44qceZguQ8;)C3GT(?hPzZ6s>KOQ?GycJv_0WY2h4IDF{)jZZQ z6PhQ5Xs|E<599#WF^BWaZndAK2vy@ia>V8#=La)wqkn-wBb{RM?JQ-7Q z$SY*)zyk}yPkB3y^7D$G9bLqpImr((j#Lw5mFy_aPqs65Rt5GcD)pQ2L+9+SzKq|S zfOK7qB);}_V1TF#aS*PJGxG59qFC&zSR|Pp5>)yYJ*gaq?ffQ!DZ5@7HFHgsk@~4R z$;!xlYY`;QI}!i8Uxoiaq#$nr{E+5rSn;J{&0_GCmDQl2ECd~R&oSO%ymU&PsYa}D z-<~aI^2Bv~90zPy)R}+eW+ZbYdIg9WZD7oP!YW$zD_JS|nZ?CD)-4|%5lN|NhhGT6 zh|ET9I9krfF>Z6>^hk&Z505-dErWf>;LV$pSrl3JK_ZotM)u-lY*bF~0)?S_Oe;sx zVg(vFH~r?00fSaU`fS_?_9lj&_S=bFbey->x}~k0bz;YOVO(B>qFNHZuIwlnV|kPE$tZV(hpK zio!>=3bo!#yudr}6}l3gnzgjGa^NFA?(2Qm%NX6rL`mnn?u+YxBK0W&FOqwm7u z_?4>u+?n+p*CGzM3>F}(=aG(jG_Nla@m@5<`qTnS74t!F#CP!t1}S2sqddS_MV%ff zqlV!l&t(X@MZdRt>_W6TUXShhQv_Sb2P9CF@usrkHUHf7vK=hH>F9ilI)UwCn|YI& zv?TwZJPhm3!06{L11yXbVQUfts*r+LBp!;qh_MuYL#`O7H4@Menx73&>oBxTSE)){ zWz){FuZq^A3>?dH#y9YMb@jSXdOB*wG>K?sqvO^Xv-Yi~pE+jF?58pZv?sGGu9p%l zYwwq@U#B;(5SULEws;cN1Pf%t$ZtA2E6tBo?eKPlY`uFj6ytR}={|(v*P;ccDzCjpF6#;d_oH8J2MaSIImb7lnt(IPkz_XXG@&W*-ni&S76 z2=15RW}2qb&k$n(u*3N~G9AR|OGN1_@7a-G{nHko1gE;!-{^M*qvqP6I3N3>w^LIrM&wINoA6ReifMp z-|n!rv?R+i7};AM|NXo}{x|K$%#8L43SNNiAWvK~(kW;`K>5XgFC{42B|DL3!siol zo;#1&EC*f-F)n6qjqP*UWQH~`dS77c=D_gnm0nTZ*9MDM{F`9 zexkT|`H+Hfv%4fXx)!&V{+YJ3Z!Ib@ih7F}{tV0nNl?(gCU zs1Y`rd+fa9BKYqm{xG#SN2l2VQ+t?ph z^^AzXugJySC3vP;*LXmFvUZ_*;}M4=IRQP`9da%v;8~M}9 zRd)E9cf4IZaUz&b3EF7vRx71`e&yo+(Y(0fA;};|hOd;mClIB+&%eGWtj1j@U)*k1;URsso7q*eSTCdBpIun0> ze!T51kYtOck*m*saxwgUaExk2St(XEv5L4KIo>XL{LSNKa5bajd9#>=PCau z?KI}8i~+~7WC{Yl&weE>CP=uTy|XTp5KO-gyf<^RYIP=bVER>pnG&IhWpTgf!S77F z3Y?22lD9r^dP46~$G7mzA8-}oQk-vPe1J!}<0WkU6Oa-B5`5R_GkNxOT5kItP8a>v zXqCF|Xj%LNcxR$eXhYPD!#g*!CZdy9023J+%-9sryf=dFp=t%n7XLkpZA@D%X^Kq) z3zUXi#)`1Xd;y6kf2CKNQ}Hw^Tc(qtdcG~+xoK)!L{<&5PP;tdW2opAIqy*VlI|5E zMyG7cEt)t9Z_HW9n-72x!m?yiIv>8tiQ1{%86>562~~N1P{k=J`0jZ`+*kix0oe}1 zn~76c?fSDO8L0r!Y-p3(`e8nK(@!Af#(2(sef|rxeJ4#sSsNUg;qTn@h;MBl=B?(D&wl1x zetsu8tfLecRo$yuPnJo`UI@KD&j+|_$-v6pri(BPng8Of{cS4#^R2z*tmU~z?TEOf zGzUgkFWAr#?-prasX;5?KtY>sEqScrAKwwUQs~I^CXpF}R!KRBxEfA0!;9V;fykXx zn$fJ(ei;k4hy(S(z-SqGS6!N8IK`%t?I>;aA88kfJLdo770#2xO3bG70IyI^c0)2f zxN@wmEEo%`l84$R@I(aaFo_-2+;o7sesTwS3= z!P^?ukkg2~xQnAV1_rCE6^Xtx*m(juI)6p7?j+5e;D1V*8@-&Ao=+NB?-ieTVvc&o zqqIvrbo>B;qqG0awe|i$NuEk2)E7y%QtRJ1kQ1h{BB3I$sk0$FX-`V8G7w@v@hnAJ19lZ zNEFPQWv?}R&pdE^x%AZFzc@nMs>NMY#GY;4SKW#)Y`Y@q`xk+~&c+1v7*-a5|$8yLc^gh-xva)03o&B6H0ZF+l6B;ay;q%RsSxQKnRG8!(> z5sR!TpP0Y(URmz!-MALfQrcvA#05FC!;xB1hMyb%BfuA*+uuQ;l1u!Cy6XA%>+UKl zf2ZF#KAW|YMbykgv5Ozn;-4#2)buLVoRxck|MunOyVw6M%vRs0P~<*$b+vNN{hp`I ziSGOeqf>5)J%D??`jN$Q*l}m7fss*;3;!2=;h8NqB}#L2vo|geJmRl0&H)k>_4@R_ z3X^UqsEsKM*~0Caeb|^|k<9|p^aQa~>91^$i<{1A`LBfuZ$j}oyH^kb;RC;%9I`cx z++a3b4Aft`#U{n~&qCMPbz|aoh$WX~Q@9C>n@_l@vQ&!I`vW;|GZ6m<8u@G04+6nG zF%9Q4`M0WZ%jqh>yF@%sfi|iEARY34c)G;kVhnSr->c2WRz=kG*sPW zYb@mGKPg%&hMexk2-eVszqVitITACTGw^PsFGJk%c7FDRmFYzj{lRoYo-h%LdGu9um zBv*_pMva+SnBv|fK)d4+D({;K!7NOZPAa7N%EOh{cSoeI2QE&u!izXZYRLEVkeeNkZ_u{~NDF;v1e{?1l5(IWSenikAeQ>F z6R*^9P=|=~nPtGb>;qM+)1YX2Nr>2%hP!B8?F$P)!r){ul^`qxso4y~&ovZioztQ} zV0}U|>c|^6Beo;AOdX#O@FHVP)XCkU+&u2~vd*)VnAF`&g6X7a7pJhUqWSt73}0@M zJ5*4VVySVq%EI@?i0{$^N5Kb>E{8~Fc(_yIZ=g}oYUKxf1P_R&M#d%hZwL)PMMmh@ zfz?MmOK9oF&&$Z^w^a&vV#(2gatC>gNVbZlhu@Yj1cX42jg4MV;cBIoq&^c%4eoPuS3I8?azeU}g!02YY&oyjlx8;&dkU=DNx?&B98;2v@=YL2+vV)za z?Oi42>x=|Jyx-_-6?sN**j`ctY?7b)6ACzrj5+y%W~O4suI{O*r-&#*!fKCJ!n-mk ziob2L)Y0XHRA`%dZgB0K4X@7a4A}~sY0y&1Xr)1G9#MrQFWr}COK_Vj<@As}^gkHc z8l(zO`nIE8&&4Gg^+6tT*Twk1HUK;^9<`Ua5P5iLnwfF8nE@>!RAC7Le{E&_@3t~d zn$QC62%F#+kb`feb^TIhAe^po!~0c;Ob-oRR_mR6F@s z+f~*z3CNa@Hd(kXFx6RZx@3a)aKl5r#!9d74`h9_Epv`TvIhL?__6QGXTp>T+Z7co zxW*@4&)z38ETnmxko^*5;`As>;{Ut*l|Npq3=fLWSp9M z5Bt$+%xTTf)YNSYbHs~Yg9zadpC4}rhPY}nVqU-&okl~yFk67}3G#~Tme}QWU-cx&)#Ct@|WDl?xu01Jv`Gg0oz zrey;F|L|pQLEXV}UkHXcez)7dTQ-1U2KgUiXsj@@ytaBMtrVssG;(Me_QRl^q}HcR z>%FM5$f}KytyMKJ(j;YVuj*;N|1qtNOoz<7O4q#3K_Q6%2vR|2x4Xig_~)@S&L@+J zin=G8$310|Sjd+vi9hpg^G-5)wiaw9<&AA&uYMV?6&6Gd0h6C0L{U3qyn8K%x5g_{ zE^G^>_;G(*F6gRM2MK6ABzLiSpL&qkzyHTMi%G^u@$b&rw&BG|KOLRC1c1Y_O>Y2h z*)m51TOKJGLuLKg!ZW1-T3gEZne2-xikOv#^vZ^Iwu+jrvRAncV-s6zg}Ec;wST5M ze$oG!>N0PFqmOFs+=uqf=JQ^mX2~&UeT0L#TD^4F*NeLbJwy9|YO<7Ezq8CT+#_*f z-izD72!S+#KV#yND91`|1jyMZrE-woug6i6%^zFNn$2Es#B~ zx^mvH1+vhfvFl1P_9dp{qAiC}fBZOog#Q+5 zUAQ=w(@H5-iBU&9AO`hltyzJ}fM~e23uvTpPdk0J7TD+WmL%`gX9a;L_>ZUuBIJ=h zl7ng0iyl1J*VWA#yTR_xZ&Y0qM3190T)rtPXx_xD&d8O&9pv`>?R?x!^vmLQ{sWK<^)vK z=8KCqw0z{bK2bT#OHQ}A#lyiM zZ-wF&#klB;^A1f(;K%)CMH}bilVaxD#?ph4Ut6F^{rhxMI|kMd!X6=OH|F>1n3eGCeoq{8VHX8S zg_@$}wuYAscs$u`Pjl7R$7B>Vb;cKF##qD1;}v!O3{$GZ|7cJBS6{U!Cg6&UGCK}v z-J%+uEL&XFnw+@GAmwV;C8vB`Ti6Fmd$by6vmMSIx4|zaKabXjQB-oteWxYmPRdZ| zkZr6rsWrVEo(gUSU@W(#wk%hlqLB;sZjmPiCxyWR9*N>zs zB6)E}953!(4uEc}o?~)mnxTTC20PutGfiyZ4mAR}`FW`bl=YxJj_N*s^Gv{UF>+V$ zl}@LsuBWes-Yh4vs0DJ8;_Z(mIH(6C;}4c2Q6r@W%jgX^3l~niSEnTgn~_E_G0Bg! zVzzGso0B2cRFtWy79&-NWe6qKFj1syyO#?d7Z!bIhN9)d!~a(@ zN@6VMhSpr(Vq7(hHh0g(rc7@y_41Mh3q8+bNBc!@;eR_gq0^fWLS8a*ka=kH-X!yA znLeq1;<*l>#Oq-L35DPN4k~PZh^>)>dEzT*h;}K!q2`GhuZX!&qpwI&w6}OwMMh~q z$A+yD7!>xnTY^Girg*um?IvXn^U-pjm&##&Zt6H z+bk;GTw|~;ZJ-d%qwb{>k`RRtLJv|)rTEHXuTOi^cZ)@gvfH$>@8PC{93UjhM#s%y zyYQF&)KGXwEqGEy0ENpUcQi(!Ros?7lb@DW9}CrEA}{yU# z;t6tDv!5FS?YF>i?L_#Wi6TJxi;asR=VvG4sfK;qv8YG$zDR)yf~K`-p=p1 zC^ADKvyUu7xGd}jBpwdDDE$IH0hMS0Q&^u?yTZt+$o<1j=M$5vy{g34M<51*vMwmH z1U#RBUuF6GFu7YZ#C?gLOPwo#8^;%xHj7rvfesAK4TQ8QjW@HJdW=eIbSi70PW3zE zYb|L4P=?)NFHmW!G+6()$GuK;6f#ocdFt`&>HMb*fA9r%X)5yEG@d_?D@yo9uzp(^ zuLIC8f)=^_*HrwV4==y6icQb(L0Od{sf8RKd%t7&t>2N%QIiqkH5Q1kc{Lr~*Oj5@|8o2Md&KYaoL>2in#R%E(oLP-BC71` zuXOU-Yy9{v`ec+6k!Z9g4!5KW29{Q~#YgQ3-c_`j+Q1NNe+`feWhfNwShwR!-gBIF z+=vFQ$F-%-)B{W+rls7;C@LEVMq@gfW&+|f*6UoE1{Q`Ql=PH!IjeA!FQs_wdo?#d zWB|SuYhYdvty*v8qi2)Id10J|3wt(G+mcebzT5I*HhQ*c+~HgNSS0gGIxe!MUl{16 zu)YR;#)^J2%8PM}r?I7mGpsc%xrR{~KcHVm&8eKqEsYGFR?sE8l}^=PK?Xs#Qr) zzEUzGpYeKIdBe{cq`Qky$v?B}o()bFl}bflcv9fj{=pQ5UccXRt- zm=D08op{3)*UTUNkp=GH)c@WS4}47@(Eo<}dw?NrD-Bwh!N}+0<1#MEyIU>-gd@9cFZe!uF#2a(68~yJ34}-*!mCDi0Wf3G1;78k7!&MM`$AZTFKh|d zzrZZA4r#bwfm3d1W5F=9gBMl@ks@xPrX^A^5 z10@HvibKJQ{8mT=MMs9(fKX%;U%d5VCaZo+*K1)N1N3K(1mG0%A&2_v#oW)TeL=wh z{s)bwQ#DrPF8r`MarI=Cup@@eTjQUF3|@hsA|2nzH8mbOLH}l7gZe0Y_C${ zyHNg`?{@Jku2{R6O{J(*0zTfQ@EYsyyRLt$E(29h^VOh&AyTjOWx==+G-E(XCxV4D zj0Y5Ajw^!ti1C(p^kO?dr3n%o&=L8OTU+9=Ry>RQ+e1>`SRYs+ykApE^)hleY+6!q zwFnfadHMzsSQ@)7mZZN(_06SO(3GbRsRi6=XCOEmc^90mD)@5?|8RDCa%Cj~EugJP zJ9f|pgN9yTJE^QM>u!xglyJg=?w`8H$ANK!(t6bAoiVF>}m zyOx1lM=M3KtSBh3jy8%bdoQuPv0K|k|9o&9o(1p@Zm*vqv*=wIO^_xQ`!1Vf}TA zbR0M8(`_f``rt36br4Lzobc`i;f;ichBf8anfgnL5fC5}f>i+{i;Zg>VPt59w&@g` zC58+CG{*XMH~64O8Va7AyubbxjZE{MCqA1{peK+$^6*Gy%>0gZbWks$2?#j;T}S@{ z?SRpY&F>!yKUxrlZ0b`-#;xM9&4c^s**Q-|7Pk(Gk=InQu z)i0!QAwW{7E{{3i%FsbpHgd&vj@5;$SxbZr%xXN0N1mJv9B?Ve<7vq}_%rFUVf(gMdg@~iAZ@57s8yaomg9|MxT_1C>q;JK5Z*7?F@CY^RBN%_BF;aQJF7`)jZI*7`?+Ut zv6vB8MZ@H0z^JLDb78H@kDWfjmGnp77}!W(yu>Vxm=+IUdhOuCEIGbz%agxS;eKj0 zMwe0h+wi@({(7{}TiqAj=76c9srp0h&%NI<;~!Mjf0fw-%Qy4ZQ)=S|ano=sOkf&v zHfOQ%wuz|WMQirBq}!=q=5KmI^#0=QEt-hEph~6u-n;(lwn)oQwJjG{>7kEPq&Nb3 z@4Vw97r6~5w*z61pAF~>(fISRV7+BVYvQ59t*VfhRU47pYQOO6e3CZV-e|R;zP=nk zF}XCWu*ePw2e}2y-8*y$w3lTJg%;+3Hpu>eo%vhhCDH2g(W*XP1rMIDJ^wA@k0};W zbspXEep&WoPoCtp%`O5!m`FtaGm?a(f>Qya9Aug2o3asQfba}a$!@7=@fk~f{saF| zUPH<208)53xLkT-G{mJWAn`tzFFU3vMe*Dy1g5*>y&!1%6qr2^*4gBLxip|^IR zGU=4{5PZUKe9?UkMGUF?7=KWAE85$(bGOgx6=wt~6J{nq%<)d)j@+zmQKq%9?@3s@ zJ~-gdcZo?x6VH;KjsMS^!sK<5j!t~zxR#OMczR5AULD%({QW`kmMB||bdDP%-aQLG zKmU`kdw>Mk-Rv^gCR4ks!PK0TsY7qi<7p?)<1XKTn$+Mg0XPE^FMKIUnO>qwAV$7C zoc~%=47|uy=2_y?_aadX3{}1$_Gh2N+;DCIOlp!0^n!H=$Kfi`rU(8RFlU||x973% z5NQj;s3vUT{`#{5(N!5Uegh_V90g`b?kD`9!Sy|A=#_%rQ;rhxua#ton$6QeI;cl& z&Yoic0NxKAA#b7*M#|CTrnaaoXneJyb|OKP8|t5445wE04xcm-R<0?YqQEB?1*QMj zu=+pG8et*dy(84BOmMOK)IY*VXF(4_E8V$rtg1_6drXxvU1h6^(dwsj{}bZ29!$Dg z?`ZCi)ce0~pQpdD;e^fnL|MKsuy{=jdum9)9^a7J@cZ27z^UodfHOm$i9YR5<8*QQ zr#nxfRN4CoDOF{XDR$Ba$IvR7m5zw-~x&#CiP zQAHIWs9oKAtu@!2WBi5{^)s~!TUPxOz-kG-2whRv$C#Fur*f#E{wGnAd6bhBC>g$^ zrZFln4$B^kgwnh*A~DjXs$8ogVyV_P^HC`pP#L52d=~>I|1i-ZL1Ml`S*BU{+Sm8c z;eJoacB?4;YMUpYwE!?%d(OMi>(yWZI>Fv^%zmCgEg62lfk85u#nYVG~f~~BYa$Jqyq@WzY@kkc%i}Z^@=I8p^IK*nPVcvX$mu= zzyybG4t+`wB~ zcvpX@)A+x~#tBbriNQe#ooU@^o8m&h3tBFbzq;o=)^Gw9@VT0Yf8Yz`Gu7=ZrBe>% z(~Ow}Zn_lCbaL3#^V&birlJgoTH_|R&dp{VJPF7od?JeAl!{n2&K<5A);p!mS~8}0 z9NE5Cn?I6Xp3J239T!dc@j3wWP%-s#-GFv)SdQM33jjx81{$#2ksAL&G+O<6^y)P| z;%`}RgUy$WqJqRf2L`16^}-%4HKO^!qlzp^E^g)vGF8h-PZG{x#!ox_Ye4!JRy`fK z!soIzr69P?8_L@_SFY2a{!sCr5IbTl$ZOOY62=4$&PPfl6{5>*ls@*&_5MzIME5XB z>kqIy6w|S3&@vd6A%+AA!|GWNb!3>tVsN{#XGe(YRr-;F*wnY3~RQX zzx9c?s$C8o^$+}d!*ECdj{1@oEm^%c3Un#1(=_j!Lj4tag%8I)9sle(i+s_<4?Y>% zrdJfc)FD&n6OnE}m`^f~HziVd-4_qr3m5nO+xVz@OS>pZ;(k}Mj&95-AzvZ^4|`?* z*#B8dBys*p`MJI(2WWS7q7QjHmM12#C)VUuCZJU#`CQt|y_?a<(@pj_Si75XB&8rE zElo7IzG}|PJbCXf-(7H3zSKBlf4BOUkr>!};#GwRlZG@9{#CL+@8yV~QV>JMT*swg z=5s1ZgJb~92rx&ctF5|PD&M;@fL2Ipp;=m8Ixx2LW;!v_a8vC9_}guZBD0PX2<@8S z-F^;rdDGx`GdNvZ1H%86Li^_8B0KXUNeTA-VMw;}IZCBoTEtG)wJs;NEsmCi@nt63 zBf&E!X!J1-Hu*3#yv+0WojxWFO&5F2WYfUgsaKfDbSS|~fW)zew-`TvBR@C|Bg9t$ zrN?wvFHk7$3gObN9ibt>cIvCd8^plK$hN{9+esce3Z&c)!U&M=9omUkc296ycf~V* zQ=Z$;HEWjMtSn4{To?0~Q zIMgwSIydRFiY3WjgtNpYIMz_{!_cOeNlaEUrx%0GI@y}VsZ)~djssB!32~n+ILMh_ zD+m=+#1)x%cl96wa&fGZuo5NrI*ea*Y}NNu+ipiVHd(7e6;B9g$is588U*S=b2C8T z1bsgSwlFZb_Yho)gFZ7+cD(;Z`pJw{iZ^^tL1y_6&<`vNOdpAHFnzMrjOOiG>dkj( zO;jX>h>ib0Q+`ab&`7bLg^OJV;xa8bNZ*m7Q=&svRcN8a5W{QI+PS-wBO}J?2Ay~V ze{dRq1wyE7sJlUWubr5T0PzCgb7{N+?g{se#~}17TH&?B9VHb#zsVfsuX$hXGfA%E zCdGa}+B3?&lZnnu9lPE5+4YKu{mWcOZ9LF#r(*~kPKrtHe7FM>692=)1_0+!CEG~L z+IJ8oTgF}3=zr$$0LJwP9c9%`=oOOyrdHV~@N)YAQ!BrAlrAJR@DIl)d&UY|XO4D4 zfKevh{3k7(AP-L^IwLyr=g6z$WLQ%<$zU~eW%N=C_@2LAqsYf8@txHhNX-Qx2HY?c z!j~1zJU%>3P`{%eqT7UQ?`-Gf)%hP1{;wE(sV`r8e}9H^IC0;FByFrRUG@R+G&e>5 zjb}QK5Jzvy*aC;Fb8$f@KooO;6q1~uLu3qKA&eLie|GfJ?+IM`05~1YchTl+)qV|jN%}4{5>XTI zYU}|iUHGa*6=Ho5WO_Hy%ab;lkJgvFNKfHL-9aQkHi&1gr4Km$tQ4DOCJKOOo~h#6 zR8df9Q!hj(B^9=<%2Dr6=bE8Cj&$7LGJ#=^|5y%jw;5)JOXZJ&F~WFmYlB_9^}Y3g zS(?*7iAJB#-W>eO>-}#a_q@jvfMa<=mku};yMA`L2@725DT6W^?^3CWWlD`>N8HWV zNJi2HaN{VyY;K9|u4>(X$&*9J zBj{n80(CYiZB>0=kQqH+jH220A$-y>SUDqXR&G#7vm(6MR|;Xn!%=bLhh!-c731uUZa- z1-hDQ(;ghBj#j?Yxd08_V5W{+j0K(rSOynb+=RcWY~uM%>@#QNZ@Ux@N^$x5brXZ7 z)t-C!Vrp6)0U$}}9#aABc>biE&c7Sf+WX+}&~nB9@^mZ?)HiyTp2k!NSjvPiEniQxxBUOJ<1TySI_9rEKOjH}%CI}VNR?-`{*yK{<0Bm< zNKg(q6M(-nGIz2AM7(!EoGHLf-B1Ti$xRW1Za<2=(pIE=yWTwHQG-ria<3^T(&v{ztvLdPId8(CR411-Z3l>oi)s)g2@FVwSJzp<(G3 zX3${$VQmd?6AthENxf}v+1}9^KkfCIuQ5Uj6LI?E#&8(E zBbUybpn>Fw`|m3yC@j8CoADTl(4=Hn&iQ-Nz@x=^~{+DPMo~fIs`epF8e6qe#Px*)%8f ztO6ma&jOdut-|RBnjis3m>mD=xx?d$7!tao-tPYzv$(&%4-TEg*3j=HB&h6w@RC9$ zEYppj`76^Tl5kfR96|^0v*h2V&`e{48I=1fqkI%CfCaxz`8LT1CxB5lI77Xn z9$J){dv;r!nR_K(?$Bw#B%Imq{T`ht+c}vIERd>WLsj|{=$3wt>Jd4(Ib5ivayeOk zb_G4>`T`J@?Qdz`vkQALRSoNvy7~<2zvdnM9{`)%ASR`w8J9D=z{-_{e_((m6Tpx$ z&Pp(+VUZymW{>v&ws46X!)ovSsm1A_%NfhLN=5l6FF;L%N8_J*_;3<#>uBLQkT?Dzs}xa5&usrahRg!grg?ViFv?fi-nsru!RTb%h!p>(BmR#(VE6kT2QciQ z6l{Qdzx@jN@hfxeW?UU^Y1`V`*Reyzj^iky`vJ_JiS`K&91Q%Nw!PO*1~+k1zwlCm z(-?T9fYzxy-0ns-NFZ?d(dWY)#dS+z^SC$5W6*gMET!}|c|yzu@Ii2V{=9Jv&TA7& zhU7d)anI*!3w*{r{BkQDx1Z*Fg4=@o-gw+3IY`G5Wp^AH_Sk<3<>NkO}IK< zNrE0$g6`-7eORW;y>rQx7njl-N*>D-*t)tb-wcnY^UcJtaXtW~s;B%URZpi^3G`+o zy8pHa1G*@F(B>CJAUq@( zJt*Of02Wb2NK9uZ&>7lq$K!JW$bttlk8=1_)dF8VZBlnipX0eOM)_RE$r*>#CaUuQ zr5)Z#D}!9E8uax4$*ri?A*>s zW$vh3^)u&x+gL(h+l0t6?RpCcbZ49gblT|5AYI@+S3b^7* z@TdRI{l+&D7cqRbFj|bumtT%X6joXXeTRTFOAdQesGi_%&1R~{^u-s%kUnU z3Ij`@HjZ3oawv|%f&%=`6fpF%iU4WKR7e0C?q4w#K$tQ_yAIL<5X6(M6w}osa^S@0 z1zfo#z`U=<1TG0yJsufekDv(adk{6&vJ3Yw4GR8q&3<+!08pC$qozajp2K~Z;o+S*!%>E{GY|ofN!2EBGVs;N=}59cjq1uAx{8-o>_NYyMRPun{GzI zqzRpdgoe3-&3M?K0dE0BzUmGm4FAZA8q-R4^?aZjC<=VOA}6c`aCj1$ipd7S@#GLE z^TL@_RiQ5#{0sY!b}a@tjM~9VwsY#|;N1$(D(eZ>j`uWZ#{&Mi25vFXu!9nkOf-iQ zJ5|@O_@)mn&Tcmy(2LzCXuD?>g+1Gopy~={)Sj_Q|FuoE9xj43*oj=3v64)=9r7K7 zXQJ>*jdLXPimu`*h;qICYH?VK^Mj&EEf8BO-2|(VwNHdS+?_t66$5b929Nujb3qK6 zdgzqT;?`h4AAo^m*lqRnPkyc{`a3=Xc(`Si_w^;V# zqU=`}K)>^GAF;RkB6r5JTD*QQ+4+7A100D2LQm}Z8BLqAF*Tr$_Fy4*UA;q2`ZPYI zC+qT=;mVGCjM(t=1MTX~q|CyihxTyj)5=RbGOdK5A&$?4A1lM+T~`8rVAHY3m^}&d zuZUw(p0-}Byo~na{-xSJO>SnERS}uaRq5{3#d^)_5sA364t$n2e>e zr7lUB93g_2HR6C^qYd7x5f%e)8SF^n$exQ84kJk9l5OV@_Dip*qm{-nGg8yT*#pmd z+PU@5a51R~zA}y(EK0ZR*!s)E8VX4IWn5v=X)^+TqhVykr#-TZ@nVlQCHwu)_i z*t@Y&hORt7SO0W?TBf#wmpdfeTHz1X->gUKaFEpX*N&~*J7Edo(krix0pD&0s2!$X zzF5U`aEqvhebB0ctnVqEs<_p6s11Y`He1foIY5eFC-b>{Pmk9+wj#f4@{1;-B7okl z>za2`2U22E=@yF-KV!#@NLp^g`M%^S85130;v{MKtt~ktczvvCeOYLulqoPP?~{f& zk%Z3!_Hcs6eV`PNO$wz1KFYbC#Jj?AIkSI!IZMuFb=;!_JwZK>inUSlE^k zu%MR%N>X2gJ_}L(qZ6$A1b|w8NJ(c?QEDY3M^5?w*C8VK`i{^+N+3COL~2e<=A=h; zx2&KHzE`@JyXBmEHcCJ02NT@;YUWoKR8xiw^QjWr#G~g5dWpiAAf}& zGx-O|&xpY>bvLCRr2ecVf(}95B_ZR7EB>gWRrZenQbVmtGVkwh+&kwXh>cW~On>A8 z-+N~M7l-}j{S)iC)T6XJE)6-$tz+_7|JwPUA0G5ybtSM0rsvl3e}pq1#=vh*?M{@4 zi3)uT{VG~>or4BTJ(?u`HwMl&7bUI0GX_2fIMHwcM9KkrBLnLB(iS={M$q05b1}I{ z;hQFVF@`V^d9TR!xj%jnqD&5YRm7}=?VUZ(*Wy5dR<8tq0C3{Q~Nn7vj~2DLuNF#{=42ZAAs!~q(29ifh6 zJV~dGfQKyfFt1<|D+ZE{@YucWlf@EKvqCmsu|}54Y10RK@{}31Cs%HlAvI0Y%e#Lw zr{{?c8r7+6568kBba07*80+N`tQV4Q1GVo^gLdoGhLI2sjnL!U-Ha;)T?n+pco`3a zM%Fn7@?{ljRna7eOT+L;qTD`R2}EOxk(!oT-8@uwETawfajP|Jo)1qCHeH9x0@*`+ zkCe)mU8CNvkEQ4_5T7GJ?sUuue9XEccQU8@6Kz2)kEC82&pEmlVEAp~;xea^Z}&OU zN~6E8Dun&VT$*!Xl$AHkUe{uIxrq zSW5phHCOz&iD5>uCo{OepV%wB@Mbw&2ALNuS=W z300S1APpbK$J~yO5$badn=Quy`b_pfzIzI}aziuvg>;_kReFfnkYL*lZ_|F@EUNer z=q_98mj<1(d~tc@@#ib2a;aay)`@!?Ce=*z)V$01_%M}DWc5jTwWs5BqAis;EZ*CV z*baO`tjQ0G1mfg+2qGjZcQZED)_i0?0<{|mZ;W(1bDHyrG(SOX$WA(spBTggwZ@^5 zO{G&V*_B&-I-GH3_82Y0rZE^-?{0e}o?EAS7Q&s8sjiU!pWx~@4wS+18oEAjTshN} zfVf1LqF_aIoMA|wLkv2An*?UNZfY`(A@R;d#buwRqF|u^) ze%rwY6Fl?loZUFFXev8SJWizcCu)O${HKGFeOMlGXgt?G>Gl+W!xWLttB`VEDsQ|^ zkZN{+_~zuEgEU5ZU<iR{7_we%ULI@>*1 z@v&)iS^+!Jco`{m+gNB>m;-S(}u*@DKtlOiKEpv2o>l zYNF%8Y(gc6**RAX>o-qid5JW!kw`o|zi=tNU!lv`&D0A@uXI|hHWBm?R0`yF9@R%5 z1Y}90T8OWr@_o#auC_dAjvm&EiZ&kCD-;C&%-xnZuI7aBV~>XLiwXb!zWnr~fz_l{ za?$t-qE_!+!!c_abhlAlm9UR%g<^kW$PR!)In)%=qe?4UFvuh#6_1lHjOnkkbf%x^ z%FDh?v2~Ih+z3GVAANc`_8=pUH$vB=ub4|rkh;CG7nQlp!>}ytrV|nynp#`2=os>w zT6OGQU6cHUUn9PJMFNjVa&ppwal4k*+-iiF%1J`r8hs%!y5v;T?0S^J=DPFfI-1_` zX^N15aH7PU3Vc7?x;I`=l~ys~;ld~%!hOAk7J62be%8UrWjlZXMGJ-9LBhsN`J4_W zQRbFyNom-Sbf82%b3zZHTy$#P24wwRQ%rBqEojS@n@}>n9^h7ePT}M-1TiPN#tI6^ zO*-%0i!?VFz!1oAGOIi5rg)ire6>dj)>kma{VBNFvDZ~4&))vBNQv6u(Onhi(Y5#c zcJ^)7C-hSBBRZ=4BLq@To7Fl*EmF?aY)uB^ZI#WIr=!}Gc`9RPTX{}fPj{p7-!C`s z6n1atA(d*v{TUtIvm3ONVq8^iPS^~5F4$M+k@t1z`^7m=gn~vP#FjI$pegN}*hBIW z^hYQ)B8@md>AAriqn`a?LC{%;)_lDqm0+=w=*?Q;xIvG!kb!}@I7p9aQ^}d9fF>xr z>nSiWklOflsH9y-1a1H^A=ABG_!*zHG^x4@zsE6$1)F$g7H?=+RDU?nh2rf4{>@F8 zU6sd*2aC&K^i~!NCS|Tj!odwBD6`6D*H(+TUC?#>6xz&>Ha#+7b@Gfhp=^7K-KPYgf;||kIPfhjf4no~b zt!$6&KOHYds%U83*fYwG~zU`6dvV#U&*8ZNC(H zZ3b?2MG4+kxqh2WIHKy?PbAw}zBOxJUc!~NAxg=HH{<5Av-=8JtzJ)N2uP_!_t9#Wu4flxX zPbF0%GWbdKdM&DvwbZt*)@w=?=-a?IhQNVyAv1&=5KsQqZlX+l6-E}}Z0@CoRfIYs zBjx_NM+IH7jS#$HwHp>~LK3`69PxK*Q1P}Xny%t*(|#wM1D`|Lzu&<7oT9;cp8kMC z?>7I8qt94GS}VicVEyzsD5hINhGlA)sl)%dAFp^bnX`Ft@bg5VW$V}Xv4O*|p0Mf- z-t&&PE0<--)!_K}37(LqU`?QB{o+*3TOqtNQ)13mk7$pF;b)65Z-O{<&3}y04*Ag} zI(#Ofr){#vR5pgDPd=Mu)5mbYz)gKQt_`ZsQKnfd-zSWgDU6IydvKRdd-FKwG4H-k z9jmrr|NTM?>H~V)p^SKy!rs5n7HS9?GL>Y8H52~)S?P|@#5~UP#sPa8BR9% zd)ssyZS?{`Uat&5SNTnIeAHWSd*d2x;#cr!Mis#%)Q^e;IhU}jgN?M4W(H4|1`EnQ zZuZ&z@mwZ?ie^&rI6pWlv9yx4*OlsJ;V=Dye(Nk_nufvVn?;xT05f%_hjP#0nmG89 zhFd)@y5@a#icbN1sM;lAsNN;lWh2(vbQA>L~>k44yYK#MR%TN_{@?yJ1M3z3uvDf{Iri-1XKDlqFMFY$YJnpcyQ<5Ip#LM zsJMecv-!p=K-RL;djQ!KY?DbC(yad;fVUN5Mazy%))(HdlTf-*s@-8YRpBi)GTqfX zh2V|w6rr(J5q@g*6!>S!MOdxJt%n&|Mfq*VP%=^rrx9MD5nciE=eRAC{>WZ{T|{l? z=IM0!a+hw@Jx-zkOBsF@u_rmk~Px=H=;u!>7HaKQOo)-l?dX zV|`P|WN`hE&zUdo`OuAm_Kx8_y;jR>wG#E1erwGR68TL2h%~u;GE=kolT^dO%Pu7h zW$lqh;e;WX)xGSeyTI!#fO0j?FBbh9HhjmG&)a3};Q7O9AOk2Gsr+?Y z`BmAB7Q02>-w2|kTrSNixM*<-Dh7lPqaURBQ-b|f5TzVsKTD)Bj)uBf(N|Jf1d0qH zx!~q$#t%uMo%~7TsGSG)tth9H9QrIUY^W4^^8QnojfK#BA{DT!?w53{IRT+>6e>?I z$ONkTnUTAhkxkz9BYFv!PRp|JU34 zeEjA)M_)I+0wmu(-kK-8SR{toGCg0Ykm76?Z=Drfp=@N!+QIm@gp3dC_9q0|oO8#) z>RaB{i(vUYe3>^${Szh#=iN~T!&~>TVFhmYOKR+U#y*IW^0#R3)6~XsfN0Q_DHPI3 z3l7w(EhJ&kYrIx`U37O>>T5OlV{9eg!_94_bG|oq+;CkiT(jxr$*K?Q$`scN6EP3( z7(w?d+t*^z?(w2IIIRdELXsY{Ix(*524jy_weB zy8(=GiMi+N#dwX6)bj4V8^+1-VUccHTJPl&Clx%2!UC7opszhDf1i!;d@nq~as$_291A{An$r+4Jv-&G~&CG|lXzzX# zKimz0RlN&I8_Epmydr@*13X@9MfFR6>%nrq!!u~4b&SFF^0*)`uI+q3s_5cQ6IsXk z{au=<0}m~NX9c|B`2C+6L3o{`-!B`bxFx?uhm;DzObEhZq+5^{V@jo za>kh(K2EhI<&ixh7w3~vf}OUtkPV!oM(6hha?mLiZ>B0wGyjcx8T<|@vDJq`iyO@j z3?UtQI7JNq$UzWNtu!EoQ|)o^6@0VI=C-j=$l|o`^f{F;DMA#6~P> z!xW-o8AE=5mEuh`aa3usC*Y2FRI!HT{@Tl~Ia?zMm6a*5RaZ%$W-MObG^OrxY+5&THO~$40}_BRW4E+issE`(N#bcS>H6@)}WH9dy?Up6ck>EMDeD zLK-^*FvFjxG$G?M<7fv`xCPvv-{M^UH1xM7G!7?V6nu^fs$tkRE=RS=AC)+Yq;nUNaWszlvfK`}z&quld`SCvwej`ERE&i*$=gasFfm zP2Y&E*uBwKit2+XlNNaXkd97__U}c)4Zdq}?U_GCbUIu`M|Ko4_X6^p+fvbuy_bmd` z;?jC!W`b4W=X8U=kxj3UqwiKUGKZZ)XKY91NjVULpr@bzLc!m zrjauoE?IB#Z=M&&caJnr6QiJUorX-(+Qy` zGm8FUt{0GmUNT#s$n zzYehLy+C1uQ{!GsR@kpeYV`@%4D7kL4=4{diL`_ho0?>g_~iZUOzjZb#}s~ImzRT8 z*9zVKe+tLV&#;_+HDOteHmxg*;h?sP8xGX8)l?$uRH53sVr|q;iSw}+7cS%C_gRK+ z5H2;u0u6&)N6BR;wG6E~ewWtWs&n#~O8qxZNLVLyP+a z!$0yNaKHq7J9(kW3gTqnr*W@NImpey+Zq&%&j_zWo?t>tm$>LC$XS{1)wFddL`Byo zxC-tX$G%fC==u9nC8-PY6O;Wf0#FW&yx7>nbNoC<`Ft*Q$CGq*buoXRmWuamNCV#< z0a+mx2JMWvG>CC;X`CGcU%I|x-poX&hK9#-0mm$1OsF4?OI{w=GRrkf!CH*+TxO0)4ztM+GWa@_HCqCWi+|u% z9Z8*V)qpWNZF{*~SM;ZWgQkSEEGjAHuk;2K79J#~x?j_<-tPzGURJ$2mg{GhTiBc~ zRw;T2V`Wk3>Y@lsOb&>S_1~dF%@jV?mRVhOho*yrnnV4{(-{utDw(2gXH)HrPDYx8 zBgWg_=-l)N(dJ=Qf5}(=oKPVW3&QB4?_O{0MEmUd^ZhOcl>t#!kbk_HeER2y7T|xm zU7(Dl3Z44;$1jR8U@%dUdKqw&Mv5hmMaFM#f&aL(+m-NJ1HxFQVFbagt*|rpc=SDA z(M9o;NUg_P2t*vW)2DNYNxX^S7-7l$+<+7|l@O*e-X0OlUn)Km@eRR@YP;78?C zAGs;KUd#vJOTqYeML?fNUy|^KgaT2>oU8oB;R`W>P~ooZ*Li#eWPIw4!5VmvYEoKi z*R}o1Qtt8o@oNKHe-UX=&`juwDNNyaIcW3b=vty<^}EeJB3QqBAuskqspXJ_pM_AT zGQen=#-OYNBQVR&v|WIl1@mKNpi!CB+IGy3*S`u02o~C~4SaHf*MVzSKiW|rS0=T{ z5Y&pJYk+XKY64et`;A;ZQa`t>!ASf2fP|A@nvSwz3z3L;rXPO%;(Te3tl_UwT#cU0 zA*zqH2Rx%%)0Diq^stmMe;XX+C!7;eC{$_QyouPKYho>%^6za;f~|+G7|n1bY-E)R?Zj<$g&2XyIP_{{wfcWiZ#m!xnDpD50J8P~`7}_qO#5#sC`6-}(KLCZm5Hl$~Ua<3o5! zObhg-v?h|HzbE)cLzrl+J?{R@UHHe70A=LRZ9shJ`sJ2oLbYQz?ZP+mEM5ny513*; z{hA0So%QkHcGea7W|wa~J;v!#dvqDvm$ma@jY1FlCwnv5Uu1R7Nb>y;elPpSV<`;Y zTR*(_pvS64Fplxy#9n;Cp!Y6-5=2jNf~g$J(mLoLujT3&L7GQ!9vu*GXEFiqmz9#1 zbdrDR@*2)l?COSY@a@G_*BTsbz%36~`CBpTdqRBw7!c}jHqwV;Tz&D2Y_{rY8lsQl z^!oM;YBzjBa)KXUhgnHaD$qMf_rG4U4;S1Iv*F6QFuv+#D;!_P-NvT@M?;W)RlKFC z44J+c8A5&Yj^>{;rOuM3M9oXD05ntfv4Fs}|62?cJA)_L_+#h<6_^E*oOGXNjTyep zRr~kUOmn3V?HD%L;kjFnTm5}P7kvtXM6<^HUKy5SaGC+R3lxz#1z{?4w$kP0xrySv zqp<=(u}6u7sHe8Xx{qDLdhs@r`j`BT&Lf<()-KXxS$3S5DYb9AhM3e^W^|o-Im>l_ zTAtF5fv%3-E2ANwjjzJS67F0{^0_6#xo7uC{1oL0?gk-DxeL+zn%_C(BbRXW6?)z1 z^b8!7VMEGE$Z~ZN(cY$Ej_jmP6>}4_?;?CujBAX_)cxaSM!49;rKEpKuVW$72r>PP zRt~Sc6d77;b@pHTvaO|X`ql84D78d7q{a!&9>Xx_ z6b#kph*^;+cav_r+N)EKWcw|8={DYJeNvU%D0@-tRz4D?(oP-QBc2UKA72utsW-jj zpWozs`GV@aue*8JwVIkpxvc|@k}k*%Kd1lk#;NZ8npI$IrcU&J>;Z1|y z>sB@oAx!NvPLYeqq!?lBqC!x*rlnQ3ZG9@iuy^n%_~f{gVSY6J@smfVzoGt2_pW!c zEct6BLN{sWzGH8Q{dt6RNlYUt5e72`-wI&Rj$zwkM*jWrRopr@TjZO}KBJ&43B?uF zXD3Z|dK}HHK1mM6#{kIQWPO;|d@lDm+y|@KvKX|iaX1tkoyLG;izQDNUADx^Sayt> z_vcFIn)TM$?KekA6m~XCo69_=zQIPxHE;q)vn6VDx6uCndcB8P|N3gP+$~CAY3qQ!pwa?|{kdeI8{ZgFa=zHpT`qTO5%-K~Ay+ zsSGSn6W8b?iEA!055Z3$nOG`JTC_o%hKXT0gU=I{aLHNh7P5VA@ke3XFY>bLtCCWG z3A!T*ye}Udy3;4A6Q5s1sTDn1D(`4!er&l*UhXZ53WBdUB#DRgxc0OAHigl)C*UH< zN6N1CIYyFs;j&-0h$}0##=eTcOyPYh|DPBz38C9Ee{PZ)< z8hx!CTOS-M$2cB~F{4=eV<4E^da(*g=ur`OEYTCY<8c{JhwDhR$RLehLCi2`!Ye*L z;HH;UkQA=k$fByT{ips4{6<33s>HSovGMZ!{u!8JGktGzzjz@yQiesFvu2)JsSj`a zqQ#DMmbcI3{ueiWYuf8VMeNw=<}=)W9t=c!D8hBXOMRM6{E5F^I$lKYpT6w(Z@o;R zkslf9JAn&2)5+a&Yg2Z1I))-JHZP0i(0)XS$`tXV{Btgo4^^sl23;<>e5kd1B^#il zNmN8&mW|6iMe%Vey*_yZegq27`7X)+OWn)zg4h1yMmx)IZqCB&&R3}nj1R!QZ^LDT z?d3=dm~=xAI6C?y4Sb^9hi5<03u3n$vEXreGtz=oF*!P(J`8hOi^reQHQtM|I4yq# z>$SnRSLoq>bUBgKZNXt|d|)x$qXw&8iQcPr(6|us`o318q~cPzyxUR$t8II$KIKz* zRMzw;6B|0et`hzm1L0d@zzypiQ;potk&}J(!(wiFuj=f5$>Z`XJN1gl)d%>li3P$C zOY}?y?X5;ihI5H+=!DssBDcF6nIIX`(lv1}nlpZOmnX5&S+IjW6j97N1>UOhxKl%D zrjzd!^b;MkaKlCMHEF<_HuxK+;B5g;iPt?3<*4?Hj$lpy9!itzO>DQPT06yuDJB;8 zKIS&{`n=z6DPbPy%iM*khi4{wvTjP?w@N6<{xY{(7poVm&dRO(9z%HMP( zY?{IHo(h~ok42+yvt~~*&$X|{&bD2JjJI1NCZ9YIBn_wke&~Ag`N`ySk8Ra(61(dF zMd`{U>mQz%dh{4x?Pev?YjsEPej=c}xU<^AnfF|l=|c~t((@rEAC`0o-275ggcd4< z6+}(MVX;Casi5`QNtP#&C*c!>`oOuN$e_ebYpu&}40~IP0phLyTEb(JgqMfVip#dM zvHozK0iE~>PY}n}_0>t(O!pIo`rU5tK?19KoYHau`_lmT?Z4aTPT_nxwv=+|z9qyy zKN~G)MRHl_m``+)4-mdTUx|U{d3%n7daot1$@p6L z`jLxDB$I8J*Ab4%usCT48%+mS4YTOHSB!zB-}bbDSYUDGy<-=e&{Zn^-O%pZ{f}*{ zSu#=*1}61ktAizN%{r$yA|@EZ3Zl9=b4MQM&LkF^^|*Ik2tL8s|)VN6UmaY1h7MN z+vNuD@dFIaibS{AN>_FGee~q3_J7d!pV^VCU-=96V^VJT(wmN zR`&k=7E0mAWG=IeOC^)OaNqLsaxdl*ZZ~&#qm7>dlT=S^e~Ft9^RXgB9e2L&;Qg6` z)2YGZ%QGFCPsGm%<(}gfTa+Z7WW<=z$U#3vkE;94{+E1r;Ef5I1{%Odi)JzJk($6M zR1k``SH;d1xokFnZH*+nzAD_K8^%%`t(;zxXhNl(cl{k2yQ$_O49NtEJ!EqG)?Kpe zjS?e!WPJxBO!pSrs2=go5U!7xgOaQ8+~x5b6R!PiV35hHt*w2(89MdhAowSI2reqI zAU*M{$@9%RkmXIwb_1(##Q;$IenUi}(UKYzk-5NCp465;6&yOz9}~DEkU$|0Ff{NW z*NrxLMUt=1!|}(2QLhdwVYQt6LcLO7fX7T*^|_+jT@P9>?S#CkoYg5~0S$N|TX7+W z1=9cQMnxcRp(3RUrPbH?@$`w04&n17BDdoZ(lAbj1T?btY!JalX}=t1el~0<{PpjQ@FD?hKp<$-DX4^D8AE#dL z>{U{g&vx@Gn_+E)+qNsZqw}%#gqz3V6Sv(zEjRnAt9XKL5!6Wx4P`FBTk@|ZE|ioT zzEzh~uZdghI=p0~JQBZv?^en;7WKN+M{+u3Mv>jg?23%gsIuucwbnERTYvr7d)iQ@ zF1X83*PDenyT?8YFPf;=@6pOU?{DtF`Eni;p)3l%LGmRP*^4D0b+%dbo-2Rqbl)8+! zt(%uBwttN`IT*gVzZw?xWvKprF1D@<=7y$|kF0`-(hDm-_Yan`9IjKOL1Zx#BNu`Q zCMX2cGV5h}2cgwbn;{vbeN3(A{;Gfc+YyG7j?)X3QE{X6feXu+ z-38K2%ry4ojSButg{x{-f@D_&Jdj$%OV5=FmmSnP91x$!gVK?6Nc2^szHZP1?s5En zE@wuU57gYfLaiZVkIk-hr2EFk%zjTDJdg@6tI(Rgd-TPe%ZP{aw5GdyNjis~mY&z0 zP_M93GDw<^#pFp`X-8EPW@dO2Gn^w>l%a0aN90M+k^aJSLS9mg&j!PNnH=JyS(p9A z4Yf?%2O0vge!s6{A|?=anuaNK>WtdEhthAVPyPSaQmuAkhVv=8HOB}H3t@jEU$T0q zp7DXoxv8gu%J}_{Bh!BeFO`)+* zM>=+UHzbFl|M!rt&`S)N*Z!rRn87}?1@<>0``^-Q>LQrFD6Mehp|{6H>{mS?-G4MWBKGdfuoCFB))ypY0(9I z*~t|eU1I|jPV9mC=liL4G_BU0c8dhK)=YnU**)~TG)L%Y*sy@rZoXoW;9!+Mlu%_k zLwl<$sRYajs7NS!$Ft}@L%DOwxfSYIKj0(+!|s@dCu zh&77Ezp(@eiXXxgBCP$Y{*WfdGGK1`qN|K$9qzI1@$IrEY6fmO877|nkxp(OTm3}D zGwkLxqLX*pAQ}`hJYfm(xLnu_X2Dfq8I}$4Wi1F+z<_?SpEM3dsuI5GsP95M!EQe( zJ7c@ogdKN`qkiliu^Bu)@`+$L4$CjHeX`2Gs&PER!zZFzA4jcNyhV`*j)@ zj3F<%UKLgkN5nEY7Sll{Bz^wl{?n}}B#chJHD~N7!kop0r-i<;M`#v3nbvEWbfZ!u z3AJAx72|4pc-?#;70Un z+;YVDzWOT{XGRAKA3B1Kn7|3{W6CB{Z%T26jJE>xMPjf{Tm&g65&Bv+<{A%Xa!5XD zN1K>j!F~9WrQVU|7YQL1{9alZYA++~BTR?4&tXD?I>R-uoz+6X!>*kiCKF1)#DMAG z4{4`GPF7JgkjC&9?}3#kd9i%$eFV2m^jntHDt%NKx@_??%UaE3`Uw!Yver5>aWMHsf;78jgKjw?;v*E2gI%0zSer ziB-!{7{T7kmmXb6b`p?%M^&7un31HojCCcnOo_juo|)jC-yiO*=>x?+J&kd2E^xinUMhF z_yX~8hY$Z{crZ=Naqo3+zj^{*?j2iMAap=?fV_0Z(2Tzpq4B#Lv z5~E&h*kRp`K7ov$l(dA146LpLj`$<7xT5Ltm`D&~5WlJJFOdPW>Cd8A51oBt*{r zIue(_VHQ%h{EL>ATRHScFIs+W zhx7`b!JzxS?B75$DP;9~#~U3howP!5l7e*C(A`~9(xB2^Lw8Ge!|>3hG}0gg0}M!mz);_f&%5`2-(&CZ9|u2X4sP!2 zyw0`GwbpsQHy6Mh1Oc``hjrv-dX)ETa#v2{9(EjK68kT_%8;@b8_IiSz05rn&bGW< zBb6A8{?UWo>&&E~tm{c*S9%162nBuR0oJrc`cr|odf`gnwekWle@(bamZsfxoGsMd zQO#T#ZtmCDe!V@H;J$UwzERr7FF%E<#Z3a;1mu3po#5x36Mf`KbidP@qG)6rx<%U^ z;nk^1{tx?L7ef;VVph>x3ai}YuNOH`(pafRs{(^WiyaBL-SHuudT&Pm%6{cT+U1g@ zwTcIk0G3eLTo>Z5?}0Sfc!lVoq}T1Zd~tjnUUntk!JTp`;Br9tLF z2?dX^!OZgEnhzSoXOg^}?ALV(!sp=E#fIO9mi(+ix1DR9?JvhVOMg`~h>->a3pugk zx0iB^gPwA+(#m9buwck9^Gxkn`5|xi15{2KX zZt{z7smdzHdu7s|w5e>SO_jcMt)dA(X3UMG1AU!83w2Y=nz6 z14gl-EDl^_fdxI6za5? zN4U^4ol}naH4D#hj(hBZP95KcbApk-MrE~W?y{!{TEDXE{m79a7%aHgoZ^CCKm;xi zp`6NVS`xyC2qqdgjvc1aQ{;=rTBzf}Z@gVp^^|GW0h8Te?JHS$fq*7b8tR{zQgwz! zd+XT6QGr#I1KQ5FGYw|#!p~o|B0(^P2!fmZ|0V+!#kq9*@+&1dLv_$kvBB9w(cbR6 z!@iTASI)1%;FlO({Pbfb*RP^;%c{2oahP`oKB0@cx5ZFrM7A9maIX$jx&LSpEXEf;~{E%o=TsHk%2A_vdJnG2k zxDm*mZIA;4e{^kxkNso`X30h|jtk-v~QMq(PhzuF+-SAymb&AjiT<6?r4dhvq4Ei3?j5q-UO4g2`{ScYJ3=NfhiQ95VXQ=8eNI_8??n&s{Hj6l>uhjY-o zs$y#)A_6fcenOrVP{EIsTv4JSeir^5$dMPvWV=jqQM2c0fo-b03ZOVWaYm21S57KRxS(4->P?9^UDew}=*^14k&Gnz&2Na4&}rs^RAU~od> zy=UDdpvS76u=8z3n2}ob60}~hqn20|k45)R!Nv7}rJAwT?a4mwnmQ1A%)$SLXx*w8 zyXM_rqNrb9xf);#*z&Z^ZkXoBs8J74N<}aPVm+n)!Hj%!PgTgs(|8gEWQyKfdcpDhn0X@!`2PX4SZ<>Z7LWgPSiHqnSm<4hEWff*^u74* zq0Q}t-SfB?(kkbG07mh%tuEgohU86VqnO;b^D@aP$RH?d^mqByQ{oFU3{w_zDm{v?A#;UnRe!C zYtk*iA&+|{j{PgC7%{$7d9Hi5-|-a1=a)2UO?UMxlP863xA$f!za z8qIjqBb`M$B_wjPzW>EbB7a=2-$>)MjAxNfWgPorGy_>D{kR#gm|K#+Se#AVoU1F; zV)Sdv5#*qQZi_XAY1kz32uuqJ9c#;hgW%eBa?~Z0a|nsj;N{Wwk&l$r!eK`!ycy6z zn*Q!*sBjyH01s^?ed?BdLkt&xy7%HtI(sn80+Y059Pz1&_B9n_0 zwz)RNu_Nm9nul1PzMH0K9M`KzXxq=oBQqQjT{4|;|At@{F9n+-qSgOvs7-g5u^T9y zB2Y4P20vi@)><)x8vato?foDPB}!J{6e9CtCUGcHIBQH10g?6-fE(l}S!j#^nHqk} ziY3U2q;Vb`F183y2Y4u22@3llhoOJUn4-Ia`#aT{R^hBZ(vb7QYAhtwd<#5?=hWMyYB;Uj*QJw-SO=5&BS zJ(yV?xR%b_+ptV-k(y$kRXQV>q%U3!F%GX%RxwIrokFCi!(T$2(0j@|(lh!henU0W^bgx-H?g&;T41TYFSzpcT=nos zi|g}!`oT}!N=xld)uhU}Ps>p1UxOnE<;HR2Q%^RV60KJ%8o$lCDhr2-x}&a-+%U=T zXMOqg>6aKf$jL_v5E^k&RaJn=NoN2KY-6uokfA4TI>cf8*S zadh~>cvXCOCx3NTtL`uD+w59EyYs^w?b#V%k1nt3g}J;)_SrW5tXF&{{q!nHCk0kB zLo0q6$XxLO@9x+)=*%N={8=|lH{lEiBCM7ojId|lGEkhlqCD@s(;^L>Iy~;8!Y4wm zrS;6IdHdgA8`Tc8KU+Dk=jhokGLAkPwhJ0J^rR(E9DibRhUOF{v_&fdDrRdT4V^XB z7hTh%8NlW`fkDESV4hdEzfi7>43Gi+)W}X@I<<;4<^jIV6s!4AvsU@Gio^c7#Qu4D z?P6s-L}aFH_6vgYL$Y?l;%SbTd&b2(O!DUBl19!2P^tkNBotP- z$3%7OXoS|bq}Asvqo2{`_;N1+Mx6%rMfw|S9|Y|2O6^fsFT-wPoLxx8HsV_`Ike$< zul>YkLU$-|l&;ObB#CSpMs(Yzu`Yh+H!{K=Y-Q8jLk0!!Tu+Z9cE!)SC6&VKgpAh0;+om3$CR2PDgd*k-c-HogwhH*@--8W~W!+Yve)t@tUqVX{iY z9D-#w5d>NYGzL=^^^$oSog|AS#&H@;|Mj@}8PzU?s?e>@ttU*BT4g=j^aZHiC5}8H zCUuk}tS0jPuW0SUpdy*i;kX_XV+K;Yoix!;1ZRpNsAek%qDwQ@6h9av9~b}Xt?M|> z?-*Uz#%O0X35SXF8?qkuUzL4+A` z0*+F%n>i6}-pn-*(h2T%>ZEx5@!6Y2kS@})zzY|*v18GkCBc!Fa!UBMDnUWq] zeT703k?2wIY8u6+(y;n7S++s;0dYIEcnK#Q*66n^Lnk9li;%vo0nfM-&OaSKF2Rb6 zUfO#tbAa-!4+@4HIHcU4@?Dm0xU>~gr28Rm!R|MGf+gaAq6y^fvRJ>ImPHh%+_>Veq zf^nqvYfWao?Df5{+usV9947D0*WPiytrUuNmv^YuoNu!*|5#+@wo3c<#PxI+eZKyj z7<1pb_w!2Cd?TE(siHkh(QXXA{nK~5`<1r{;bx}~ec9jV%&$H=wtV?s&Kl;57@;4v zrlFvgD^(&AH&(^TOxk#u+x-; zeL=_OpWobx^gn%DaQ6vqN6?P!tx-py>-xgHw`}3~0>d?g?Zm$EEN2M z_ovvW9QNlXzg)vV`Dx?-SoFyo&-`x9??O4?AP}BtSlfb|*UwnKx5GX5IQlO2i}POW zPly&NYluzF*`-ATMdx49_n8sLuor2jN$sJ)c zvShvXZdUckUS2Z}%MIDI!By9{Cec|X->xa+t9;iUHwI9|QnX=+ulR2qZTD2p`}Jd` z6u8uEmx(+Z)7rK9xg0(3zMxfTWi~ha*NsW7{ExwjB-Gk%sg{Z^fSod8 z3Nof#^wJe!$W0+k5x}w(nx)k3aXms+RDDyw`|5W@S2NalPn&D=q{jh%17Yi5X1v*d zqm2_TXldqrl-fRyoIHvhCTu^b*!kAyaG48!BSSx#rB^L>>!Hm|lIxXCXM8U49Z!(EV?~np_=fT+@%aK#%;U9au@w zVV*O;M}zG9!ouk{@uhP9@HOi+fxSqCGW1q1`EXXjVqm&!4PXM9LTT?R2Ml^AFeO8E^YrgCzJ;=P;VyTo@-Cuff&XM)3LQ zzD1@;&GggI-)&}Vm{#^nI}C$pk`YBcO;vS+F|)t_6HYE^dFm9md*MvPs; zpt-b~;H(;B!=Sn5{k&H9M#1Jx@ZZQtXJ!F9vi8t0lk)MF5va=)7jeNHDelJC;T=vB zv>)2-fFWw;-Q!-ZU-4dtZ@q$d^bX`!!kUUxGek7iD2Q7RQY$iyu9bVLQRs%f$yi`V z{&O-#*?ojHYhN5-P6Wo6>C~KAyMYgL+Bz4Nbf~m$Mn@!Lbahe-Wxr1uW`1)z$-4=11vJb|{0` z{~0Z~eem~TY7SN5GJY7tD1(GibVywHGa{V(Abgj?9+L7d*F)=HK! z`W-WD`spLmEA`YCA;-;K_@mN@QF*L)MOMSXY64EODOv{|72sh5%EX(*SC&L{YlTYf zQUiR0Lx<)BxsGhMUb3Ikv9l;Wpb9T!#B3$XDwGokbSI0|2OFr1d|d;CBW8; zi#j~ReH;Dv3~Yboeo>$amgXchH%Uw23CU|e3_tO~>0NQ)@<~A0f&W?f^Ya#*PdgLV zS1{&uL7sjA+VAd&@eIO@u&-eZ5)u+`C^&G@bYg*CBLx@eg?+ZU zm=TRn{7G(e+w#R6eb={MNfLa~Et0JJT0OsmD*+^AQ!zYRf8_l9JXZ3nQB=dL6)PL! zEUrFYUG0}WfiP^G_^8VkH<~`HuyO9b{z9szSNH_wgtw$PX;DM3ihNBh)uHV1IXg!* zeS^h_k(BI|?R>&n*-UF`q=K^6)&!T!Co`*G6AcdZ9s27A+_nptCH8D@Boop1PGhWp zC>3^{v+8;rU@plkao+CO=*`&uAg+cQJT*YR{M#ZWLq-#=%Vm?szibXz8huTUyuHHG z@9>RF1uDk6%nRyn=T{B$KsAAU#o81l+n8&{N{e@QxR@ zgoq=&3y?eWryhLz9^!QZsHjSr18f)>>x~{|`4_pAEnCidGofM9*Rem_jds_+kIWtq z)=VC~y5kTw2yE2zSdXNzEr9t@m|k9jjxb+HySY5WuU`EW+jWDPBz*Y<$7)Rcy##X) zEP=ykl2iS96WyksC3%z^xe`R=s)D$UJ&ym{+h6`;)NG7jp5I*TBj zAF&KU9YKeOpGbKXc7U@%hw9H5(USnS(xR{qoTi-pj{dq&M^zfIb@wviF%@Xg7gejQ zkcybaK4V!NIaBIHt|!#D3ynd|lE1FxmJ0djh}?yoRtn0z-d<_Ccmut?;_Wzg)Od-1 z)drXMlZ@uc@c3DOXgmCyl*5Ga;ED7bMi3c(zAuH}F$-hBB^uqh;itcc_<{yYjMH{d za{|}~o{vKrmQDi3yP}-z=91hM0=7wrgC`TMe(u{+$Ts~p2jW9I%zN+n@EOpsqNy_ zbgErJI>u+%>)0nmWW-!5X%Ub8)*h7Ly}ebz-7f940*wbjF5^kPd*fX(T_m^B<>*yZ z3j##^-3=;bOnm{lvzC!Q*hyzAs@PP?t2lNLPqPSZ@#4O8Yiv7Hi_BP`PukQQk*wJR z65+>U*7-Xn#}`TCG{@?Fs*DuTdQ_57SiYLY)oWO(uZ`5`snbW^r! zdP(xXLvb7${MJk+lk*)V41F+e)V4aCtfz0=+J-60OMj`OLRRg58r3;wdww}!%1Jn1 zJghcpfqBdOZ`Z(eW^AUwcMcc+fgfh~lYjAY8|FLXoRj?9oKf;PZNR|rQJxEFlkctN z%;m1&q?2&pd?^>)lLZ{Lv=w>0be-e>xh&sEA;;>o3I`M&d!xg~v_pDBn0RB7FK!?Z zsjX-xCIV6J%Sl zXKK+HTZfEag(rgwA<$l7D`?~rfvK26?+#`J!=%H12Jev3Q#Cg)Xn%r zJer1bj5Xv8Ssy*}t}Kx=5g|O`M4Nff9W)tsF1NtKoa;++ulEuMkK|bZ{Pf2*Xhu8z zU>oGkswp*DF>>x-?@$n7f!^<@(_E@!7+$?v01KY1Fv zTC>w<>g8um3Ka9rykaQ6@#PvO-y@1V0mI8tp$x^{MGoNq3>0|x6n%TF$Br#&&{g>! zD{(MZR8EX}Dgnmf0P}>fUEmset@D4&;K$f)Fz=HF6p}Sv#|?Z^!E;945wwwU1!M0$ zRsOo$S<}j{9}&c>vAESuBe)kd+*v3}RJgwc_J;;sV5WI=EoBp4`Z%RIT7M^=4c+h( z)HxU>7|UC&mRl~p9##IpXT>z_P@%Lw=mcq5>~KbD(Ql^$yE@-YJo3F8mgekKYyWWs zMbIVG*Z5rH`yP>p6K4PYVC|hhj5(6Fwb$-^ox}(YzYjm740!ooU7Nj59id(Kfqd2T zN)mFthC|3}%lF!>ATFNS^n6Cv^SITjaB>_X1*{%^u*jY`?KmL_-5xsgj4{#eeAlT~ z5Eg`B1P2~{A_FkTY63~0N5m}h>r{zAStAM-^j}%ODlug3hV4cGzFo1)JT`OhNIHyl z?<`YIOu#G|Id1FAFx}qZ6e-v&B;kVp(P1g-qFg-zaqE5YKCCOY1%F1n%a(t&@+jmN z)BVjAYJRVH?swc;?{58$yD4-A`Vm2sZ!$FflHy6}%-5o{$9@7at2-?uKPn&r(tgoM zQnFa&n{&zC#2Mbw*r|)saqwD^zqnr-lDp{74F}JKD$o5@G|eMA_e^x|g#j1jZb@0> zXa0&#nt!*_j2amb@1nrU@NlWE27W+o-POOMQcxQNBfpK{3XeQjuj(04LuTusgY?`J zHu7JvY5hHfNxj~-fJcG^O{6Kt(1cm=zV@mC^DP^4oQP@k29-~0yDAeF;zq!U{#a4BW z_{rt6)Zu}FIZ_(%8Lw6C!x$Eh+&n}>-?CUBQ+Vut_QeNMy(1XYBAsjyeV1maQsH>C z#CFlQdk9797dJcpcyjP>{#oIF{IgDS4{#E2oLYE`)_Tv!bx#FYMz)CEsUKFKOZlhV zuw9v)4B`YE`5%!UEf) za3D&~fiTphK$vWKakRO>15OMwsi*>8(08?MKbnn3&+-R$q3_x#C+76RXY-f)qi5B5 zpZ4$O1)`3aseNOztq}hBA%|H_v^IT8u*rdT8l%!@8?yyoCRA_j^@|;P_X{U&waJ2O z*`=Kl?7Hb0KA;Fu+F&47rqp8^)Z!k?cO&+gykydg>eXazjN9NO&Y9$k9^{Gp^hLo#^hwx*&MhHIt|+7ydd_+aAkUU6P$ z=(h$1{$g1)dC^QeQmTDwY!iad)`Ql4K7G`*>`!-T8tXpjyxdtA^tOXCs?m<8#hcQI z6xk!&EFV4wfRRy%{{ub=iviy~HT<}a>zlMpS~-vKxWu`p_BUM_eh&Z~i7mvRT(flz zHqkD{xiO)=QiM2jp`h`;j@!+7;SiyzMT{bWhpUajyji65TcI!X)p)_T^XRT7_pg@+_w7PfvmQ*Qqalqap94UJzsjD2?0i*# z&=Z8u5>BB1AvN$4c@;csaWlkjjOQ!3b858k?lkg;GL7;1y%6!gPCB_AzyKVWn2CHF zW@)Rfek99K1Z#q9W-T5?hn8edJE?DWy6iR z-g%&57^s>qfQmwH6bCGP9(41dtpajI)cc6sFa-#*636_sGF2tF9xCSka#gg#Kh}{5 zzJfg9N3{jCGW0SWxe|dXbkMkVb|02Nyv-tZU&T6=sl&rJdgLm^-(TlWm(wVnHCyBnWP`D(F%WxbA3|^Z- zw|%IDNwAlbDW%G40_V=Vd+M0G*B-%;9D+B6H`oMjVk4*hc;E!1F?&j^Fir-ofhXzt?j1en$c=N<>N}PKH`Z*lKzZP z7Va6#4C$+&Vc)o~bx$Y($s)F-%+-rzHp4LH*WeHaF}ag28os3l$LN+AJW7k40|Sek z`xc~+R4~NI@AoiZW)1PCEIQU+$yM^FETS@}7lCj2Di-brK_~z<7um}o2#IQEgp!QT z`wH^65?+W$;dethySI(2Lx%}K6JGHgBrWSqdWG-_g7m~DiUeF#@)icrizwe^-w2b1 z#xMm#s905ebNNIOxV(TN_pKfC^q+K}muBu+i_h5J0bTD855UL$s8+Y{omp+0)3{GC z1dFu1gt1mYStdCDJ=7(;}{_mtsv=Q z%n4iGcx6KIxkk+-3`!W?kjuSkiC6tMSo`bL&gHi2E71l^ON@9#Tv+<~6x&>1@e9TfsMO#iRBEX&145QkXmh55|`t7&PtXLrQ zT&<)uPVw}bHmC?*+R<_feO=U;e|LjG`g3Wvpsc^_a@DfLvmOmANG#yQ;@lPn9HRpr!-?Lmi+7StVe zF(tF5)C$N9>~>Q<6Ar|Z00MDraOx!NJE+av+18bFaD{8=eurykB_^_%mZT6$zf4#w zaY0mSj3z%Q`8vjkdF|=Ol%2FbSn4eXphZs9#IC z?Jr6Wbp86p{A;mQt*?OCQ|fZbb({@>2*uuz+rXL{ z6^>`vwTnHEog$sdl00C2XkmD@0+M}nyQs5eAVDic<-j1tD94Lv11hQ>i<|Rfg=V@A5P8k|!EmA?%^a-Q3au>Dt6bi*OS*}S`)!L-a~k`Qu21rAOR64x>~6&Os!vy=9Aevj4bK^!dXcTJ}JPvVmz3y ze!~D&Ax zKkwU27&>wLi9{e0;Kb0^{H|GI$lfvTo$bytS?9HTFf(ZKIxg>IYu~C>>T+h?%wSkq zA(}DCg+5jHb><3d`gnOiw1o#7u_6h_xw+c!d@nyBL`wBHm4~aRj{m1G+)Od@zoJEy z3>zh`Vd7lqZVnFTbZIq~{a0T|f3NIp9NOYh(DMHHGwjKgbOZ@5pw7WnUTjj82*R~e z(gXE?dw~+~?`KdkmUO(EvKaAq9YZbB#`%6$d70@d!bdM61B+TyZG{lfK|+xf&PIxZ z#i=EIwu*)o#n(*%k+ag66v-A~)5f~fLLl?(j1}e|H+3!*uvBiP+|t@Ma+t~Itlvis zmt-arXdt-A9ng^Ttz7`JP(7x8xnXEG(+Z^gVAx z#O{LlJPt@7!ceAxkl+`nS$rEaK!S=!dGZ<4{$gWqGzo9v{kso8KSi-^mIF>RG&VLi zqSz*fB{~Z0xk1~2fPgFGc45sFHlsVJ_U-Q_r+d4KY+k4AN$pP4{s`dGKJg0yoPYH2 zXs9xLmIMtOxM%+%zD#;Uo{7oX%~Toa{Xp&Kh_IT`#9d<;i&rjbkv@)vVfTwiMB?UL zUmRi?b;g1Flp_joV;QQzzD0cU$H_3(exr!qO^-V!&90l1(R-qDM5fzAEiC$4oBjZd z3**2uXtRoT8z1yerH?) zlK7l|*JlQ`lntCy2rWHCov|uC1b5{@75vgqEWL$A3a|r z36hAF*tCAZTO7x>Rg_XhGGlg!WKnFV{~`1wFK?lrnMX zYHRNGBVs#}RV)>ud=CET?-+-uLllIoSaDr%x01)?N88{FnIsOTQlp=88=DGdR z9f*27t7Qc5w^ddi@6a|VkisCs0$L~TAN2RKaH;?~|D>^U-jnvtfMKje5xOpg3-IQj zGDCN-=%0DRzY-Y#U(MWAfB@@CyQ+Tf<$Hk6#D1VN)iERJ-LPb~i}=KkL)fEc-LPbj z!*^q0cq?XLKU`W(HUFLLGl0<{Uj$MRARdh-`66L-(e2hZ zw80Q2tr2IU=yB^zsSO_D=1G0@=nZQj=?wv5Kwuj?;KO}T&`L~KU}}5Ubz7VrHamy4o210hITkhC1>I%aHZ;KaO5BT zP)N5&C)<~cr_>8vI>Tnp>m7Fr?}DXlXO_NR7FW8_*9i7Zx6> zd7TeDObfRNtZL%GwV{ZBQcQ9@N_a=nXo=@#AXLd)kM!3RC24T?N4qq2cQ2RTzz5VOKCw}8%Fu7hnuhpy@>Hy49b2UQ`Y z2ZtKBgo5kkskQNpv_)h9(LzKuFhk6DO^D4Ow@-@a#eYuTCr!jsee`)DZJ`JNUuo$e z`oA?0j5JsDlxOM;H*YT~%>$l;JJj6Lm;|0C#XqSl*1s%p-R-Z{NjtOreC=iJz?dNO z#tt8jcdVJA`qMf&Bw}==pb*sryKM046r6k`MiBO8X}la$v(yR$EkTL{5Xrq^e)P zkG_BOq-e>Ex z9(_XM3!`{2E_@rTFZEIO$nwxSUs_Sz=KO3@QX^LX2iG0_rvKf>|L5AYMD&5k(J1fDlt6w1YI% zJi_PxIjW1r7pkB%7t;5M``ook8(&}vMc>7wiTjllW#8!h$i^hE|67#$bn>w^>a|~3s50$+!4g^NQzBBqv&g)3{?PGN-^RoF^zv=3JHG7@-Kb!QpicE z+Ki=|@M}gq&D_&B|2i|=0hC4jNF&`YN>O9yTejJ5Y9Kz8m48~}GCk|_bAG}v=Huo7 zC_K1&6~WWsU#d8lu2q438!=$tPwVtc8ZNJqF*vVGILp<4negSGWBtz=d?EH9XYhIY z&>iG#}za|JHeo5K_kOM6;aImlQtCx@>6HG;X!S@22QZPV;mRC?#W42C4XGh^yeqg z{b;k$Sd+nIvYOgD(Hf_kO#QbyUTR4v2{i+wz33%M*$G&cK!^_m{QzMINKYb2`tRQr zm1nBbSmgaIb9&$-Md65>0uB*yf|P$eAjAy04E=lc2RV>Poq~?$f9LNq)y^j&ExD1o z&rcK>^GoD0mK7EPOTMwAErla)h?SQ4X)SAQSVOGDOe;g*T9|a15u>`Q+&iHm5_KCP z=k?{MzZRR&Lw}Qh+2hdym2vB2(3PLylT&}8{`q0`5$bP>I4tl~AUXG2228YLv=+DH z*B_nMqEXth{=SN+CoNI+LUatAs3%Bms0pz3FMXY0;4^fCY(#9($802Tvl!kOKVbU- z^Z(!YhaVXK#AKdMip)6LD}AMj9+P!#f}j$5C1>wORn$zl9}n%zq&?}=8SHi{py;XW zi6;W>!bB(DkEx}%u^%PKsp#=*{KhW) zC;#%sa}j{I1~YG*9`!WjU(zF2fp_uSGtNvdApG~Q?&~k`QG(TE=Eq*6&$Ex{bQ4%qK{%i&Co=uXQL~COwYX;WKJuDO99ia=_#wW@YC`5eYH3{ijm3k`dWV*n1o* z?&IkKX{?#sO4flGV)PPuC9tt5oG18iYUw2E@hrl`us|QIe64XqmjsuapyLnD&Xa$V z79J}KPfL8rTHDRlx-jJHxUa!YPRw}|VDc>%QV72q+HVEdq$udf={i#UQ=~-W6ud zoMN0WZt1ket&go2XKcP2RSOHa?*x%$c)VeyVy%wCy^h){4T>@q?AM7nKNvem6}Au{ z=CKcAHE17t`j(gk2uyyG&gFwi{MI_`qr;-fberNyKgmfRr}ORA^XVMhs|Bx2#2&evO8{7bS5dfizH|v6JlK9Ef%M1DG65lDXjmct7 zPy3q23|wLcs5=G2<6nb!Jqi_`JwThT1q$pE>Nsq}OThE>*XOMlHj86FX-kT&@>{7msIv7fc?_&w!U8Ju9BYXiILJQ}!wdF-q^T%nin6G>RX!e)6y2#Mn=9Qxo^Q zhWU)QeP%xc5bd#>F%Z_CIeT=8qeqf5Iy(eyQ*+k#G^QAx#$xvs$+BkA?2EVBTTB!) z!jd-1y|omTvD1rmoz^)cRuL($hfX6-hPTri21Zp2Pw@Ww*D3jPx8epJwf;LAbqnM- z>3Py|H?ZddD^RW$ZgD;j;ap&3aF`@h{I$FG8*c|E?AUBz<9yC=aC%&bg&@$zZqRcZvP@ZFACg>KUeS&lZc zdpOvSdpG|9=lBmSLfW|P<)o8rx~_~8iD=3=#u6#VV)|B z`GVewUx9&&$JYn7+GW~@hp>;Gc$L;qX$3rY#xq@_Sll0XCLSr@9HOavH@^k~!YiMn zqXB_v22!9HTJBGQohSkgd!O##9gDOMae5r+x6Z4O?$G|V;^USQ`4Mlm>=UqWk$Of% z{i+CUgekZnXh-?%B#7L==O>~hI~i+RF-<^{RgB}${hs=m;~6X0f463T8eibXr8VFZ zy%YTag+-BQIlehk%Jsb>4S#k<6*tALQ70F%v6F`!nvhxk-GKo(b<++Xl1Qr1u?z@e zgW^t_7x{c)H3>F6aUZEMOz3-F`LPn0LQ5xTY~m`%q#S>Dp`)2fc&#|Kz{+~@JbgSV zIRwach+s}!^|^{#KmkK4tCf^VED;1`$8Rx~vLLvG8Oi;H{p$kOj#~pSgFF}RBjv>O z9}B$`g6nUxr0BV@YG+HI%}r&K&=%MQF@xTsefIxcSM9y>JK;9wX0i8Qw|O zN>T#telNx@rD~X@aXsw%S|p+j{n z59k>59PMSdVr)hW+zTBW8+%F_H|eaw?eh9^0}0b~~Vjq2{r?mRg12H41 zq>or*uzXEfsfv62`X$k*mTbBqpPb+a*3|mH@R%69 z3c}_0xJJxzfdM3{Zrs;fc?Sflj9at$A0A0esN)o z{*7~2dd@Q7?Zj2}M`NMWjw3~+pgiAgZo zkXAQ;G8GBu@amzFcqYx*#a$E!_MI5TN$1rdZz>GN*R>Ce)xvk8z}0oLU4wQCSzc|A zntdDMc>Wt*(_hwUj%EJ@P*gG@arVm=AJS-b?MVe4EA-v|WYGeNIi?C=%l{;-Z0^KH zz78<}OgFlC=*#}ECIufn?@juG`eisMDi0yQeQ_$YCIxhDeHq6VGJB&CT$4a7K^-$1l``&#*4qZyUB6r zqD1f4u8qHsz@ML-&)!N&Yc@IK_+c*aN7&RCT_zYtr6{$hqjCMvytkkk%Jr9UEzWiX zX3VBMAuoxjs#RZO$5Al9aO>nV@)+pgKV`T6`weqy`}?Y*Q67g$D}~x*CARURm60#L zfi-@k9}xvR{lhfAg{i-5jQ^qLY*xkO8s#wbNc0ra+0g<~F$Na#?AHCJ1=)(@MYw@N zI8u0zw_U`OsaMrpW82Tze?OKUE}z0W+{FAs9Tg}Jb#k=d(nL_5kK0m4B97v=azX$q zh)5cgO}C=(g;DqNFkm5TYHf{VG?}&M(9g#N39JkhgKF5RSPj6wt^z)@o6LeKxkq%3 z!+{5uvK#Egv6EHn4R>C~hmWGjd58<7q7%Ldo^(kD55#1=bp*5x_dP9>fXQbbL?b~z zBy1L8i}BK-p^fx`Hd(wEhYb!Rc?;lG~B z`DDd7hD1bZ)pJVGteU)YbIC}NvGAMfn4%zf>d7J#Ti2KScUK}_iAua1{oTyL5CTUa z1oY6$2=Fvf9$Qm!DqB%qCtC-h&f_w3aEL;j@mI@o!|?RD?H*rqeRP?OV-Jr5^LEJ# zf)jGLRyse9u`%=t|a;>!ldimIk`U*(;7+kO&%wN znT()BJ3)^biK0!P4rSciw!Xt-li{+Z=?HVXks1PTk%WB-Q+YfQ&6k|dSS zkxJ}F9AXslL2nWMy^GqSd2Hpq;D;&L$mVb&9L47!j9L6Xs(a++|5A3z-Wp#Xt=F-x zRo@9)DrJSM+^fKgtmsn3XR7liF#|~E9Q&}=Za--m`QT->yM^@9!n;rNkA|Lu0&hxq)L%lycc|f9gc1N zz0GE$7>ESr<1QT`wXlg4DO3qhe&6p7bM8eEe}>h)gts;zO%V{}b-F#=coh2dUmemZ zJ{YV60(N7}8Tl9p?}@YJ6gE;tloZ`o#ID{a7&VC4#VU~r4DX$XQUt`gOF3x%V^y($ z%cZ`vdTYAz@}~Oh{kN|Qefm+N6VNw7Sue#$vT!Z5MjrD8bdx(QHof-W^P0IU#RrfU zX!p$V8z;W>c`|`~u+5Zp=pZb*VAEBvA(I&v-PPQsPvBd<>+@B=sLmJ4eBV;VKGBkN z^CCLQ&R-WNl7Ly$Fkdv)xKsaLLD}AuIa!CfmmtTF@>J>q)bH2bMfoow9*`UcynCW5+9fF(YoT!(4GHyE>9fw>UTGQQ>3{UPMo7-k7p8<^DIu20i~Fx z(gaQs3n?uSe#C0jLCPasMMt~dhe2{`ZWIuCEx*k=92#gnS-9&1 zpb8Y0x45VTS_EgiEAp&clcTSSmD0k~%aR(!M9Fa0Fw$ZNvP&H08V1?!?6Qq*AR}X_ZGC zcc;yhCJ54aNC19q^VtSSEdGD2y=7RH>)JLt1*E&XYrp`crAxX8-2zeqQqrY#cXu}; z-Jo@+u((8BEnuNOTsFV zjlX~6Nn>ueo=i(l>4Flz=lE@Sh;HSOH29ASmj!#&&+}7%^q6>C z)PI1X0+XvBW#r@en~zV8<`sv$8{NT8seVCJ%3gF=I{vimRY<8`ri*Hw@Zm!x{K0HB zQRei$D(UtM^5<)GBKY*d? zH?n5~bgp=l+r{O(Nkb_du~7D&a*T)Dq8kcHP^Y0akJs}oAy4^&lIxo}h#G+S3q)Ze zNYP9y?Mr`0e{KCsY&3(MdQqmyMp9<1H98{!$vacCOs^GB$ZPpc+=a>H^)JaE8FiS< z8uu73d@t%3DZ_e{TgR@_NFG*?u!Dq;=~*+RF%BydD&qvhy9d45-5NiWdfOP>^O%3N zg02Gc-r)@hv+k@{8&}uBUy#ICBv@#ZxLi}Df2yNo8m6#1!s>g9yDEDQCNOP2%>3E6 z{@;5)pk7S?3VxCY3|pQ*>W7y)b~y_xy*Av+2$I?FDM9b!y(P+R zbd0;#n1T>O)gJY0EG!bEf1_@{F&zH?L>Pk-m0?jlKb+Ut+V?+YdHW*>XvI(fWhlT_ zJCsqal~GIP-ZQRqsvBSl{R5aVs-oDYgm5U}#8#?%BoRSp$5P4pLjgaBqz-1c{Q7gz zay-wpu1h3rJnr@BIPOhg0#IjyW@8oJF2$)N@vP#ThVdCq7K96gA#`5K>hNB13}>Fa zk#0z=syQZ@&fetS9QgtEu@&<@!|)U5Z)mtxwW{`x{Pf)xEx>?Cs8^Ni&3crKG$ z(n5wJKQtDp$$6Lg>|#xp#i*kT0nPD4-0$C(BL4;nga_9A6k?+h*PFLZz4LPZHpvwD zkqc?d$%@iz1nttCraUgj=eDRS3PMsLkta{>@$;6_+!-A(;c#qklyx!3EQ?s~xF<;1 znRn#zy4_OrP_2dby0<64buLN zy1VBN+hv2ro7NT15u>c~t&UDrZt!oNoRmA5wIfZ}9ui3>>v_A@SKeT^iCV>8E9~^e zT%~rbi3OC4p!mBPGaO(8@cQy7NC-iGY;U;ByeIr8OPntb+5_mQ_^ zo7titteEY^)4<3X+`g(ea}FZVo!6ty!W_A8Bjp(%YnI`sQk#p7xb>`@ZbH1RAEue5{;l11OmqG z>A&Eb8Yd}juYZY5mgK{`dX+ygq8{?aaZ5^{9roO+N+)AOAQ(U+;xvTPesx1KB6QV) z4Utb3l)ugUXBz?}3sMfApQ|Y58aGGShf9%7)L0Z?LFP1Pvs@>(*p4$jZi9*Ul~QqD zmiS6)OA(Yx)Od2-*WXfYD8a|r&@n#F|DhOZ3r?~2uM1D;*VI0~YcxL{gyO(Ci8G?^ zmZ3>_bmzCeo`AZ)JS48c=+%1lK+XRp)GkrtDk_3&zfJSTK;lG0G0Nr-z-jcehQ1d9 zOPeZbr{q1=7tX~&mXJ?@fkbYXdlU~q&YRjR=V{%W`=~CM{oY$MH=_=t4R*Qbu)*6T z0JW3KJpb3A0x0=_zTF31F!BG09tqZz(IM(Cr9NrsF1r44HRdwgZO=}#kF1zBYA#5M zf+y4|p0mh-J*1-N+2-ap@1tYON|T<*nbiEn+$(Zz5>d>xoLNofUn6oC3coXZ|LMSL ztbifc(EuWWl>r1+Of8iL6lQoK9`eg*sw*9BpEm^zL|#5LeZZ_6D|%Qm zp>nIyVLZ%y!S~fP7-d+?eXYPD>g(GE-OIr0*NVzN7D4!eq^xVlVwKjNb~WbX&^9f% zFWMe+NVk6Iq9pFWfJcz|+^)#~!PRdyq1o=N87uPZ%EyI|Xx$w*rN5-ZN8Y7RmEI9W zkO}$_$Fq$nI4qrG&W~3S$A1`8vz_RMhW0v+JRM0)gc3_3GI^d`pP1CEqfSq-Ak097 zW*y1JV#MK)tki6d783uBkjXWgX%(Ow~s&M$W zvNS(h@||+Bf)mHFjVw~4T~4eJS9VmfOFASUTP3_(*j@e;H)6yTfZ;& ziW}!2K<6_!a$kAc{$o?-j}Oh_9rFT+({x{qY@beww0C7yy{;UP_k?iG$}1>Fg%CtK zT0ThNhv&Xq%20+!k@0P}fx0}d#q`RedA!cVekzzudUy)J5YERg3Wy^`tJ(RIVj zSvO?$#wZE3dt%?rh!%|av-xyDSTX>;717U<&~YswpV1g_N1jEnVUD>hYs@?)~7ViR-?XD&AedvDn6v zl=)&!pEN^S6dMfn|BhCZt<@ID?7>9>E7jVo zCD+5E5N!yYcL3o=-v`AJD|x2`FnaH{j$23k>5A7ONnc$|kaLGX7i@%xAeK43H>v8@?+BJX_T?L|MMF`#KjV&_ zBhgp1-V)M=rQ2S8=ThDpXSMkMo)Eoo;S2An_1x45yuSG7T0 z)(03rQf#3ndP%yMAGmW|A5N~1s=bO-_Q~#-tO*Jt_`(_|z^v}4(m#@HYlKtTrw~I; z=M0;!8y%~&U3R+>tAM}L-`8sn zJ>w|}dC$Mx?*Np&*PP=}6Hy=85$rV9(H*4E#UrWT(J}1174I6|Z<7R0(0wqPo+L3> z+IDWaI$|k$@WhkDZ-j+&*6-fUi(ic?LoV4Q<6-rXTT&hin(Xd6+hIK#)6m4&qlM&1z`!V?Xw4RdEjqw z==Zz}Na!ud|A7#_(bP<8`3}Ca;&EkP$XCJBZTBFd#ewW`ApboYECoB~IU20-Sc=DE z)VAeuYrm>RUeLzJ*BF&@PZ+y~)PFge4a7=-8>$WjGA;wLhsz>`PVMVH$lC)SGzYSv}=mt*IXI$#{PY|8k7nr!rS~XpOvnBN_e!FX!_dg-0 zm&47+8%#^sa5{YRE&j>%OCCrLX2arEejiRoCq-psEhnwB_-(I$V2!*r_szD|I|?~> z*cG3PKHXC@`?$;h$B5t=bBV?S?wKhw7OO%%QVzmE5-_`xZCelLx~gm%%4(ZucL!Ww zyKG*e!87sJ+AQmn#x8wOU}^dd#ezDlixau!HT0E#YB1}I+;Pb)nOE8Y!C>}d{=c!< z9_%1i>12`rvGw^2i3oiUbc_lST#_N6PDOtzTW9i|5pgjb$7A1KgcIqtCQaJ=0Xmnu zg){Tz0;+?u5s~y9@h^l@p(DaZA48O7L!|wA)o6y688LOH(>vbx2qaaQ|<;H13asf1Y$bbvaJ9P=FPQ@k}O| zyiCXDN~@aHERt3u;_7u>CI5vo0=PaCV@cFFx*Lzk`HeZuA%f_2|Es*)JWB4nqm*|M z@E|%x&1~h|f*hgv&YuQefheWi6oPEhb%ip(qQOqpM)e7s%BT~|&~@e|72L5ztO0KJ zu(QLa*(1J&swM53nTjVrlPK6)%Z6Z%OFMKLMLm03<7uUP`+Z+snbsL}nPw9{!dMgD z3F2vQa}1Y2a0^xbxz6*4m~WUmwhTP%z(RTh;~yOqB_$=4N})nLQbR?{JtFKS5j-9p zo;ZAhB_#z-IbW~?+zqVZKop2tnIakDcM6N za=vQ*ZgD_VK?X2zk*;}b@fl6fg$!_;2S0CUk?QdBKKVUkqV)xMB)H+?XLzf*XhIzh zBSLg^x5O-b4DBAm=4(N;67Rj#RTP3onRPi>Z5q~7o)>u0QT{=ZA-x0nj3-({BXKRY z7P0l@&&A_2E*L;~DDd#xuJ4h`^cpX}L_v(_NI>O^+JpXbr^*H%H~zYPdBIz2!blj@ zO}WNikaa9@wdTLVkYuhX=sW%A9qP76i)HEfpE}NpF5Nig-U}fdl`cj*F{8{<%=+U& zc=g1A)azdh4kH8Hal@$sibqXgj6(Kgpe)e!o<#sl^i1c6wT7}EnMkk30SQ^?#&Ua z7RMhoW`8_rhW5P+IzFF+WIfC}(*;~E(?w3KB(4@+_c*)k`j?n%)(faJExt7C{bRu? zG+=*<{I%XW6Q_gId0---ty>K0dQ6`-pRMsde{rl{5;W^z3g52VlDJ3kS6uLTi+|il zJxxu`zSnZSR`VrH%yXf<(=6F>rw$YW`*vpFkt{p0&8DmqXatR-p%AF4@9TheimnL5 z0t;dJqV1WTNzoh}2bZ8n1?zy24i2Z~eHcP6-pW0J^MX@&$I!lE1MR6b z_$b~_56kJy?RCqdk?rstir5ozVEs}Vy+=lnN^4Uv>m`a_I4)TT%ZAY3hjm(^ej=TEIdP0oiAYJ=+Ee-3KQ4k%8cb~RdDbqMfXzFqnB`4rak zu&LIbt@t%RqUeD0k{1BE=y=RR1@;h&PCn8NJr6zR@YAh!(9n6M& znyZPb%q5x2i{>D>y)pfd)WhlM@t<sRACL2R zb4LaOJWz}yu%Ts@gM$Yc#bwqOYF4~}?G5siSwmV;O8eWkv{7UH0xJ=${%W(K1REcc z%d1zKB=w>)x*^yL$wohQL822ky+j%|Z-;;Ij7x?Sb3z1@B(aWxZcTk_Bo#o_`3(D3 zD4r2}Ok>PV9uO)1po(-#TMITGzplXB$}XryccrP%jdfjkVQj_amu{zVXFJ2W3r)TJJ$^}MiHvaxY@48%_yXD#n#Y44K zFyN^bz86)mSKQruCjBHJ{>o6xo@u0MSPB z`Vj!F+Q3d{633cw@$80jAgiShj%kFD|L0cR_0IRT?^-onkC`;V8BA+qovB1LmEwy{ z;JitpxMv#u^A;u9AkB~g$j-a@x$L4xTK&9+v7x%nWG+YsGCyy-EbcEu*&bcC7o9g; z8jCt`N_=}Ip0SIKWU1@23m{{2WB%&y{XTm_(Z$47RT(h6`-EHm4B>5M6yyYUB>`Z> zI-a#VLciJ=HlCH)}2BEDD(|790^VTA59twryw~OO`SNlkg)Fvn+|);p;qYv>IzRXyd0P zUxM`r8g#_d z%&ba4s%y(=8-D^MDXIz#EZx3IefTa5r{8Wux9yIud35LPQJkk2MY^Kl^X~HYWqvJ3 zu{hhcy(q;hd~0b9Z4)^UA+{W63#p6+8^1wb&|5TF$cAI_74yj+8ZS=~A_w(@Abv77 z=yla~oz_?L_GuCq?*~pHEi^Co%^0{Rw>tMfm@Easa@KWdOlnz z(^-xWYS){otS%QXyKUN^L~y`WNsRYw$A3CxCD2ghcN-zi_Uv_v@^tGCFI|=3EuB?@++$XK_V`?esLxEI5`Ko=zq$BqV4I#6GqV8TpO0Qy)Jjn zW*VGwJ(k{3mJDmA6KQV=RB{})U29^?hAT=kb0+S{)-N8fWKwjVoQ75exfdnAde^7K zDtNATO4BzyJQSLK!_@osr##uQ6j;xe5O5+-s64N?F$L_)aV3oPEHq1R8O8baLVIer zS6b_Ln>-ZajOZ+`d2)Y!0w$~R$?|{TTXLt|v|A>ZCaMPNLhL#*{HnRblCcQHBDcjCDZFrVzF|$)* z*=eky8wYs+9b0DXj4H*SqW!o(` zoxZd~C;ExQdP?;QdUB*f1Rs7b=0!!{+gP;2$4qQ$R z#rGkE({wExUk1c(k8n;Lnu9ELy6L*dhpxJtV`|IsPw$wK58Lq`n!a?7EMN9ovUmk> z8{EOC^CEY(Et;)3vLm)Fo!B}fuoLo~&4*ixuqEi@InA?UGAxFkdOmmn$IRgLG4}6x zBJw|nL5f86_S0NtTGb1*!tKmYj3~MFCDH#QU71A!!G(9s<19%unhj)oK3u-8zC?yp zm>D9&qaec8qI?$lFY_qIn3O|6{cIlWf8gvgRoV4!qehVM1f=UY zbqvp;8on@;0ccPK*he&N9Lq)0DinMwzQpmDpHWh*Oso}BeT%-rr`6YY9trRnS~x<9 ze1DY54v~_HLFBga>pY6vAqrfuJIxCHO7z<98v$Jx-Gi>SDS#5x74icO94%LcU}&4( z_FDB-^ZI(p;#kgi>@dPABz9Wj-Wt2!R~C~LhHy_4Jt*^$7{1QN_rIWL8gCdn#IP@V z8_&4R7xJ>tv7S)^6GP+L?AN~jalk4g&h^)MN3^fxSBHItnas*(Q#KE-Jo?8gLxS{3 zBT#D;I|n)T_Q9~>a+_yWYcXo^hrb4|A7dNBu~{N+QoJz&u^8^+TB&kQiV`1UsuFMr zNDu&?*}+T|bT1lNuXW`7KvSmqU`LRVw48M2p6VDXL3e2WyP5*|goLCpqBTMRm$#Yt z><7N7cPL|5A1V7(_aT0`<;%m|c?68~?^k3KPBlbL2 zlL~pW!%r>m1{t)I1qj`ibxu}Fit`J626o9r;psQl9YMbMH$O=+0C{G$AO@mL7`M-PVCxMrZvY(LcqnGuOCsZpY#n~Ra77R?Pj#TwsyWBJ)f z#4h%UJgGU#e&bVg(Y1uQtMPKV1GjEnBQkYR7##Eo-a3W}k$+yQ^k@M-9?DMk;x~KF z9C>!})B=K zIY$a|`<+=_x>tggXyumBzi5@N3&P+O-68BW=)jzdM;GI zfy5$I0Z&}C6G1#0;%2U+oL{ae{)z0|Unj?;lubtu5h^BIzG75>lR3qSgY zKQrk4mR0rA0y3tl9b%>86opS&GAbhFkimWbH$JKc;+u8=={@^KBt;TPn+o`*_c=(A z48<|*6tYm32F@$Y8?4LI6OiYzp_l)eaPFhw2oT`I%?A&_8ykelQeYUZ6!5zss&P7}s_ae6(sK7V_qSZuep*lK0m01Nxo)A2~|XJB2T= zH}_}F@6SZ#NZgU@7qD5Qe0>E{0I!aR-?9&_8BJ^YVZh&_9}%?62WYk|f-Sc8y+H{f zmb)VNEb+&77!bl3g5+R;L_#3jsTe>tBqZOq5dWB%938yT@NPEa+&=Jbubv}(4uUUm zCTh$Tu1!@Txwnqy!*;0>YM;MvWKlBqT7v;M6Sllh_6sjkrD5>vs$K+NcN>Xx8?#Us zUaW90eeyNqF(Xj-@^V|cFYoeD_a{;vL(g%YFriOhzmkU$Uql8;{)K@4=3+Up{IAL1 zFHOBX9xIun`2A_Hn&GX-s9##Z5^u`GTP(YtSC$l;!It>5-qZ{&>MuqFo`~Jhc2E*^ zm}Po8KliOi!_Sarf#rG#mdj(a5Td}2xT?hrJe3v-)+y0tK~AKmsWA<{xUWoH9k&O( zA0gbHt^0$i7wCX-+Yc&9Cv~n#0$5SLMamqI{4wKhHu-7xhAbKG##!H0G#W?gX^4kX zS=K3}jKi-h4%+}U96*d1ZR5+dV}c6P&B{O(uC_CC3m9rGsz|V+_8Ii7kqQz4;Ll~FdxgBeF2>=c*%a*r2^!ywrb5h-eMW-}~j% zkuCNhtgb# zHCX%yvc;sN{s@S~XIR7dFSivs0GqQ=Yz942VN;mgY>#e73fi98sj!jKJ|3dQ#unEw z=JRIw$GL9&MuyJ<{NsAglu`;tBt>%gr2)%4-Wbn%VXJ*-|I!-+B%}*A)t^?qc|Z!y!l2X60jF z4WW1Go&lGEj-K~ocCbhwSrI8|3fTr2c<1|u8-v@Ub^1J2@zRY!c>08xs2F{SEm{Yh znb|v8W$;v~^c*C~O4hIj_3D*Ao5=nbo;j;`p|4HBZSi%=#Q82Vd@2%wH0uSHFvKh{ zD=p=bLHYIK9+SP}9o;IoM+AeZ<7vduQE|d}v@6@kj6K8N{da#7Vg4emu)g`{c=B6M zWdD||!3`9P2|tDa;=ZOF5JXI&UPMg5Foy!f$~|Yw?+YrNpCvg|09EINKdxZ+j6&Qw zrv;l4MY+2K-IWW12=cvzp_m=)n>RptOR>4G2Y5UJH+mAB_`ka|0AzQWM!{qYiT&7M z=WRK%o~B{o^T^|pJ&_jKsZ@foLK6n)A*!qcVttKk-u9>qS)}q7S3gJu{cet}thpw4 z3vg-{jGIo!E^;w`D%b5)@T@f@NQlN8B~c_KBot{>WE?R5v%LW4VJBKGIbcR+Kjrhf z5ChDOREIddxUhV~-p;7K#1suh?eNPCw;u-W`4(|-Y$2T5@s|b$!aDe;6`Uze9;uA1v?zgv=yjAas2i_oo3i~cv>0Fw0uI6FM3gOqhWrLX2MUQJ2?8K-sXwE?Po2oMwIt$R zRHmBI7y$`Hb_Ga)pTWTYoKPnvWx>pHB-6rYCgUTF3t2*|)iQlUyOy?wZh;j%Ggv8g zAuVqDj+Skm{dkywmT0mx_#mUP(+JTO9+W5dY=}{?>x7WYA5TqPSEQ5ZA9ovgTHNCH z8=p7}W!ID{p6NVq2iR$VxwU>u#!fVScigiZ60pA|Fw7Y?QtOjLKZ)BLmooxsNh5&d z7Y%mru@yl=jan}&5bzS`>s#}m{!$J6NyfSV$A?@dV)>aPuy|Np-3?c@pCML3cdP@A zAeawiaFb2sQ3bcEj0w5CZN>Z0u&l(E@glDwzb|;Qq0~;QEgej!ViChB>HcK&;e!*Z zJ%?7`@T72TK}@W7)d%^SuXJ~@UYHQemc!?ss7Vkx{JIa_Gs*9J)7jRDtVI&rZVD}s zKf>BJqhIzcu{IY;qz&3a`KC@;pP%1svH$%9NPGz7OwG#7*2AF0W->AM3iY7t1huRRpBq z7H>9&ZD)6d}0$Cb|$K^L;6qm{BpGz){zX)$-}tRkkrBu z0a@Cq^?EaHqC1gFOUEEQTp5eC{e^~|1_46q{yeJDPwH@WIc=~a?Sb{?WO6OPS}zF|b5ZyOcB`+Keb->_E70mQ5% zIw2_l2szK|DNO11i?7*0E*e)GeP445%TLD*CqcHu0u>n(Rb_UZVLv{AKIa+J6$`L# zH{LNEDiuexYS_wPk#j^RN2h`2*qIc;#x-AL2T$vZwL{Aez&z37H%zF&IKpgJh1Q#_ zOol5|I<7ie#A)BLXBd}gm?RkqwocGJkO@|d_6mV{dvy~&&XpdS<1vADxiJKJE9g(i8We<>a8myB!i6 zLUKBGxRl9ev}vMrBnHFWvvJvGbCUgKeL)RPQa+0O=o}zG$;JECGhw%b4Jw%;SvY|$ z(Is-{m4RMj15Lmuew08H4X0)HDQ3%#2w+=AYs; zhDrQOTeT%BBf6cr^D=4fBa>=B?YSb_?9;e28RPTIO(5x0DM)@ZD@XIWf~DG2N3>`6 zM;qyn->+A#pbh0*YnjZT4v9Ce^H93O&KEGbk=7~gk7rrUugrYpzZuaZEMOrE7qUoH zGR7|Uc=>;xuYXrMy)l)b1yFCh>u-h!^NnZ#>?Nvd9=9+SNvmx!-Zzs6k=6pd5bTdQ zn1J=!s#7NlT}0-$=v>JS7*zQ(>_ABwso7GxFMI|+H}9FHxnt1*3ksme-ux1`cMUij zY5`fQu(T_C{(q#^w?R7>qIdbxipGmNmNHy$(d0@i?I}{XHEenzCD1W#zC#f}7mXzY zl;1u_F3aEhjp-`g?>@|E%~N!-ykRynHCfR60eJ2$sus6UQ#N^?aVh9zBw#rw60`L8 zvjBQs+-QvGul2Qwf_q)7SikJgV%9`Ym9fu$C)S>Z+Ow*81i<*Mv^=;H^SZ#B4QE7S z{ovTuBQ}4r;`8wGV73yj7nQVgh=@C1h{Mr!3iRIZrT^;MP3SmXW}P4t9=Lm|%u}~st zKx&g}A`cI&${^#-1pn8{=~+gO&a}ZBUF?CCer9-M;M1mo3#=OJkrPD+zCs%+-7M%W zQ`%*=>C2C0+-g#Z-$~G0=@5qJ|2Au|==DO7@y^GqBOEkRzTIp8M>Y93>7C1mr5b|6 z`C7?p+M!q8!yYbxrM%5#o74gtXh1y2JqO4zSqTMZbn}=aJ?g<9qaCYhWMI=-qk*E>nc5Qu4;yjO)GxS) zGL)g?I4(-5dv_`x@Axl|7D7oE-Lvdsdy)i=o6=SoP)p=+o(({jTHSI*T4C{pO!Dw4>6Wf_@W+CK!?2ymGMPv?t2| zBf}&aU}G5~!XZ|5N2(h4q;ta!zt;w}F&rgDKJWJ!zSqY2vOo zaMiom!$d@izyy!R*y308+pfl5P&0LiW=gVllcmj#d=I~24Xl)LUE0A~H{q?j+ZYtd zd|8i|(T|*AbmMj~>m_?Z5t#PxAk6<3#EqhC`2}PB>PfZfOO?iSiRu#;#Al&D)C(~G zN$+-tj{#U9AQ+1;>3c(u#JR(I-d;w>igm9l<3YxCwqy8X(cP$3LqNvNJyPETDJ+T^ zh!@uV^hthZ?^YnOdM+3?=%WZb6~Jco_t%W$LH6#j4hcEEFFjPtb=4HwYOH_aq9(>6 zG{gDRMwpKu`l1O%^;#|E@eHT&Qgu_qAee=1mQsiNeS<-eOw z!fDb}sahc5e9$Gn<3xsgl2s$_tg7Ji#<^~~&U~sR{)bNO-qZ#ZjQlW>s2%66XiZo( zVA3CbkPN_Ct)ag40#vWu2kbaXtKkLa%fBDVC%z#NPYrpUDjPbTf1eeF-HS;%$v4B7 z^{iX?>`OXI^@94hZVnIcd}kI~QRs|=LA@xb|6gVZI|})W1(4S=K?SXVd`_V0;v2i7 z5EY(gfkHaN|6x_(nCJu!TyYu0!1#{g z>Zm>E5LogKr^Z6NaZB!|;lP#vsHdMEc-&{viZk)zN28Qx(rY_E3&6cKvkv^m_qj?} zYgg-(zvomLBC(xs`6jkR#Oe(=Ej`U{Zv&LZ<1V3JvC&S7``0r#q$4HmxbDykr%qRH zYkMrBTh;!K>yexPHE{%LgzIBs4~iHemiTR8$RHnG9J>} zueRqG6%`8M%zuFLU?Z^f8ymC-d>c9k#u3%k)lZx9Sl=p3%Sri7n9va~dE*1itS`w@umO$5ZCy}kSf>vUQr?c=sRUNyv{_s~ zAiNwvtsz&wOPm`=d$#LH0P7mziVhrvS*5-9fD-x%!7W{Oy-IKCPF)lBv3uzFp<~nL z-^ji_0LM$+A(U|0QLZl~-Aolb+UBK4V{?uf?0Oa-$bdXnU~Xa+ZWk2I0+zCs7EbXd ztit*@thchY73%${9YQ$Olsz2jZ8$XE2`KF}dS1KZ~;WHsvScH8}d?Lp4VKKbsxM?2!Tb ze6iAm^3h^XIxGElf@q59f!mO#^X=4)wBpy?0;AsdzwD3?gp~BnR0`$&0|NtNb}4@~ zAb~dd>6toBpb%al%+yIY`6xl7FrVo$tJa#AWe90v(6>-7g>v40 z<=bZnzSKh_{m#SCXnSCea;F47>qnSp?eAvJg8V94zJWqbLm^+|rj2arL?WxUq9T?X`--}h?b z%c^sP9iQK;2^eQel!ear>&I_J?UMa?E2yL#`08|Jc~LP&@)km8qU*2RTp2f+fYsK% zdWBdoD#=)zn0uLCJ#MtJxIrLQyC{n{z~mrs`*`?SVE(EPFIFY9Uu~0cNK!h*BSR{U zk3uHQ*y%X-Cq^rM(i;3?1wZ)j)V+Taaj<4#~|vMy)>uFc$zQbS_ti&YK%ZOl-S&a-`TP zs=56VDRQ~STpwoer|HV0V~gVw{X~H#Am-Bhau{m3BnM@kAt*e{=TqJb+$}T4knu%w z3i50tI4NgmUmqX*k3Yv37r&l�)z9JR4%)P8*!PU_MxE(m1+%;%V=0+#XI&Wpp?hW22Or53ZNIwUk7~HO zuE-yuoGZB-ymkJ~pMW`xO+G`IKkN99$k)*^p|*pGU`c;_i6ul_h9KaJevp;wPsHu= z@21$Z#}@Szvoo2%(baT%0c6^;BXNG2t#oHe;krXa6S&6CU_4=ly&x&~STI=^&zgB_W}O!DH&r{d9IyZ{KlR|h+j2I|mvl2C z*Gp`o9;#FGDOOZ{O3}$?J{&ldYhQ?+Eri5ZS*#%v6o0*|YX7*VGBMa(sWHmiUe;Pu<9d%;C&zde1b{_6T3;*$>1BPo05frJ51E6^CV9>pcwv?|g5a#*o_SBqnz*Y>%=mFASC|G>e6= zb?*-in>>!#ZZGxS%4VGe8|X87F5!HA&4 zi2S0we|Q+HRFT~9ql0;k5S>upHg_|E z1hS^?q9xxEMjsyL4^>f7ps-K0J2kd7e+3(fAC?n|CsJqC*p0G2=_J7g6dpgBw2sh=E|u=7a|#Y{6-KwIEf zs?}B#Ab8loQhYDcNCyvUv~9NEl~FB)pv+dA{EWTQEnD+*mw96lJWVH@ndX6sw`~CP z1F!7Ed@afa;j~&Cm19&|7it7`YxrA(|%!I|E0jU*au zm#Y>-EZjtB@4A^7^EeWSNZxcwyj_X<>_UA^#XD3*me9?Rsdj_~(Po(rRhVSaXS(t!8;k>~D6LtD>E5j&v8-i+o?dU!^Bf<5$&ztuq9Duow_QVSwc$Xx zfq?aV?Ud)MT=c)*-mIw1WvHO%-AZ;K*|>#$Yvi3MICG2ZjwrrJQN`n!#P~kCUDzuO zpQpoQ6>)zh0S7{Rmj5n#gtF!2lBlU{L6P0G3qLR_MsP|i-AsM-uI>jvsemnZX6?P) zc4)S^Y%W?;NFCNx$sWfHceB%ho2T^@ie>nvfE@o2r^c`@ug$_l=dv+@|L-UF`E()r zkmvbTHTo336~uaedeK|pF(8jXVg|LjH@d=uD`IaindJ4ftw1sXCV`I#6e%Pq;(HYehWA;C{#D=h{9pFF zU_jiyM8yzkr+UxnE(g*P*WOi<33*&Y5LQ`8vLS^fB@u``JL`E^;qchQv=8FZ!*gaQomqNJChcm{6D zg=amMHg9j2Cn}IYREyMkst@mePV1T9+Ziv|-I4UA^KtV!?!{$0o3B!nV@>qbL21an8 z=)@EnYqN~4kQS8d)D!-{+(U1qZkB-usE3Bq)+UQ0@BZR7Wu4^C^=Oh3G65gHI4O%C z-%$e!XNg*G%4VrG9dQ4%Rr|t&UW$ALgyl~_F3p|{HZ!>SkL8oLUzxkducPgIzaJL; zY+$Z3X(#bV6bx7bdV%0Lh0}N~5x=2&=*DPcDftN{CW=_T0%%)i{^DCF-9=Mm7W_vz;ld9SW7h>P9aS;o2 zK0CFZAv*N0HWujrSxdnCquF8?)y4q7t-4O1%6?|~)fECLkwrg328Y2Sn|mI;m)FDe z%QxO%v=lUV^2ux_=Vx2Hy;!}9AAt+-XYcdTvptxHJZ@cQuitL`T4=Q2KVi8e1d0ga z-OD@9Lzz`k|FL>AgDXVPP`(->s4=kEJUWNhrpB&D`oEsNpeLWG7^I>!baV<62&NGx z`o~xBUeyn_w-pmX3)b+szM?*_T&~|@d$ts1=$5MG;!c-o8e>6B5B+%P_{%Tf0rS*g z1`4O;)DI;J8afAlh}2CSD6fnfI9$IZ-bn2N(A?HxFkPlpeAMaqoa}A>%S2p#dw5X& z(L#tD+0O|iEOA7IB|H6h5>GOyi!r^~;mi)W_SP}mPXF6YJ zeIh&zcfO{W3mg8`_zbo6T66)F$M1NK?REP|$8Y-teH-Z38Gv#Td2()Bnl43P#|-si z9?I7B(4>nv>;-eNS<@4LQ~iDfKicNlg?hd{PMLlk;j8WZYHiIv1jSMTJIWfs?(;TI zc`KZbLmP?KqFb9hOViIH;pQuH0$9_>Pb!z9Tk_o@6s<1}aekD&?bCNR$|Toy(dgP; zadent!;`h{h#}bS5ZnnUOGOL_b6Pd}al4t-H%9F;dWi_aVmzL4XgvGDc$UHmux1wd z?bYrvhUh?7(VJFVowl$_DZQ0SDE!y9Ss7`H!81{sKpJ7sreh*TjkrKH`#^a)Eqd zeBVBY=pZ4C$Qgmk*BtBUUPV{XN6qVkdNw!=>fdBC<0B9poDo5;+8S5Gi_!bvftr<8 zBr^zAL!wZELd=04xy9a9_q@KRnNFps>(H9h5zk<6TS z>0Z|5|JW&-Bql|W4*z_KKeR>H2a>tsd)^VGGC+J-n1YZ(#U?lD-(&_$bd15k*ueK3 zJXS03H9~mP7tppPelqI`0AI&rnN}Mt&LB}L(@hRCGZzdD41Q)I1~czenU+dnzdVn} z7$9>o3qxBZ&)ZmQmk^j6+ULqO<)pIFwX&N3Qm+3I{e z3(}^F<&-xP2cnKku{reCyD;h$IMl+IR5>Q_M%8JcqMe6Q{iHIIV=PUUp2+@0+1R;qaI#EW67QMGY zqIXI3Xwk)BB6=I0MDM*%v|%uq!7$@)Ip_TDIrskV@BZU?JmxX`+55BCde{5DYb{H1 zN*c{%SpMOl*x&NK=^X(I91r?%<}Ze*HF0bQ9=EvN1l{k<&Wc=5A51@v( zME^JFtyi(e-iODOK_MKFLAer^q$1oOYV=4spkY<5b8Fqvw}9my5zz?YE~D;+KJ^p zUAP$+OKGINysF~6eDB3rp{mOg{y67#oBZZvJ@dI5j*-2=%px73_)^CnekC91IPz^P zFwF__9lJ-L^XH&Y&3~w$U#I)eli~~i1DV9^qUIc1$d8x2TF|R};N#}HzBf}VO{o30 z4vl z8~i~ax%!i_Qd{A1m?8W9u$cFIPr074*0BD@INO^yAkZka=seO8d&6`SYq-b&YQ-zym7g_l3&T8L6!5jmg zILq&|TCH7I`m+R?!4Luwazf9CS>(BTem=39Gp|V%1Gwh&PW8L-(S(e~njIm<2PHoZ z9^bSYzW2bxkFSnDwebo#5L2Bs{(E(SaQ$o`gEVOQv2#$p@-bVnLEb|+Zk31kOXDpL zJ%y^+USzF~@FqFi5ixQv?y>Z6rJuS(Ufs{OE;_ZQB4WPMBh(muwcTVleOXKEJfj=6 zx8&<3VW@bLfc{zmc)HPoABWj0qQ71j(e~`uxMRkPTdGf{lLazP@njIGv#%D2xME}D zBs7%&=-Q30LtVy7-wJi7ERExJ?mXgSmd@p?!I*2mHo%ZLNw6CCcY~V&V|?5k4d?8Kr{80oX80=G58@-jV^mX*FVGY z**6O{+<6jl@KewG;H}; zw<w4#`cm!td1H7*Ve9U-`Cd-V zFgCKTdfN?Wn{f_%rWO*FBL2`JSN5IRO45`;@MuD&qkkcCG3RQIM8I!}Ntj`L5>yvS zqg0>vm#nkv`q8&B94ab${c$@0OqK&@!6_-x*Uz_k)zcVr6=Dut&Ch;UBuv+tS3_B)Q58S9^V}+|czK)fp8xT5g#)o}x&Al$ zHxlUnXJJRqkC(rwxuMUyd^^bKr)@44bWD;H)(Sv%-n;iaQPTwu2ZaOaN4aG5w3-U9 zo{*K7*GDDLsF@!ZFX+qKR`Vfd$?aDB`sq2Ya^U24`4DS|DHYZmY{p7iI0&xaw)y!- zHu)fh?_N_TyvB9f**J*J5QnT9LUsWibko?x1B{!4(wCbr?fUCiyV6ZM>BlD&sUf@W z|0!f|c$xCm`Vd)t0v?IXoy#Bypx`Y2{I?DE?4_{w(A#;2MUMN@E7tb2UOiU6Zc^Nv zFF(p%MXu3L_+hhn1E{d7>*6bN0@&QVoz?%V01g0=lX?AdEiPgI8Kn*q$k##KiF5G+>XWU zcx}-gO#h280T_woB*d_Fw!iMoQpQOgp=Y|vGogbpswP=^KaI|2j|3c4KWhEpoi6lS z&pdz2!4I~;gMUS6mP4)19_;aD(R|SP>lUd9RvEo^We_P-d2NcBd%CDa5}4@`K-jXD zDYPec*jESPk69y5?2q3<1hAa=p2)B zQkApKJ#+pS3kcjk0MT;)pD{WaVF92@M|=1XGW3Nthm3$x_|K2eJD(M)&3}C3sKa3? z|LR&0<;@PKICeEv$xu=rzQ2xMapiX8QL{3ZZI2olTkAN>XidP)^UPz3;4$(AbnMLW z(-HcQi}&-zSg2V(O#dX~gZ*{uLh{Zk4HZ3Ik+{U`P6o!`R?>7f)7%7UDc(2HXyH5Y zJh0mhO(pZZPVz>xA-N-Zxh_5nmKnH1+QEK_;P;tVBgi5YU*$6?gjzS-fPB6~)V1|# z@0gEqhN-HV%jdEs*j7Bu{ziN{3#_4#rTCM8p6=i1UlW71{$C6&fj~IH*Dj5$j@>=U z#n7i>H$;r2yk10uxZd|6PdWXgba{{ZMw9ss;;^9FDOBQ`1-oaGp~3TSU(|)}=v)}a z4rb0GDs>+VI*!|9$hhv{X7%l_-O076sd2A*lIRYKxTJNG$kCRVkMv^^aT8!!>^B03el)slxkX>@li z%0W_!{4jNhtu=Ia9P0!zY_;g&~J);;GUWeC5VXh5oj> zEs5_x9KZD=Z=#I|b)#SHVGmFQ#$XD1&g-Ligl_-ywf$4PJ}0qsBT{dJJp2dIK@vGQ zZoD2+QZ2bK6QXHFoHg3@mGezzEBgtV?Fzu#bwBuPo}Wdp)}(7qG=;iJmcfM+0X&{c zJCX{~Ugpx?^q}MivM7D3 zkc*pY4%$sP{&syb*ObgvS=+o5ak<(0D~}(G_1gL*k^h#bMdcXwK_OHZn#)@;s_{!xXt>pjqldSYzx?F69su=`oe`0`Ii&!?q@I5 zY<`Ne$bDyTta0f2mDfYXSc>d#;C+g}pGDJUKL!UehV!8YDeDeR$?i16&{y#_FzFPHUS!2Z z)|0;nAKumKD0`Iu*1uD^>pt#{$i4G>R89O00DY;@io9|;=>i1e<4#PIj7>o_f7%TcONe-gc&R{MvJoOgpQ9-U{-5ckKC{4sy?Tq zLDR3-Ecl*r^ox@{H4LWu{^-h-n2EyA3aSudu10kbGxBfBG*+SF817tnZ-NR<-h}sk z`B?G(Q0H1%ExOW!gG3E${>M}xoX-{M`1nP8!T(h#PW$_TdEcq zaHIx-1R;4`B4Q$*Di+^vgZ#3kl`mKNYhUx$HNG2As{9L+zV=o>6U>HxFx4HZxXqv5 zf4dI8k%doB->`&P=*-2AoW{j8y%2y!M#d;Ww6ERKiKrd$Y2?|&`UcmJ#I#dsG6eu* z9+p&}J~yCX{tVdP+Gx5M8$XkBCy}f0*(9|LkZ4j?3MGZtdCTDUq?4XIcKOHU|-PnC&E?`F!$D;rVF> zc7lY&lf#n2n2+agdd*~REu|i58ciX1NyFkD>;8&P#$Ljk)fn%`gQ-E*uL2d#I$hE~ zer_k31>jDix| zjyS04h`#`c307JjUG1f*+q630=SwMTL~A5#WNV%RqG!!7vEG_qu`_;-f?k+0@cWQl z>(LA+FF>%~J|jaUkaExTFl3MG4YfgG@Dl%OVUB`_Gjb$2%|5liYgyCSKEre*+vvc( zc1}7R1<&N=bCGVnDWl?{++e0$c~cSj>-VVLCC68$ek`Vxe1&l1ZNK&^=N}xBK;ogk z5H!+BJ8|WLm81Tmiumx0jZSx6u{SW7zZm<)-RX;A(3Z-n(8&!^xu3jon$N$T-y#af zE?u`i_4}&IJYRNB9mw;5PE+ierzkvdx86GQHn%Ok4g0k6j(l$-#6uEe_-hx+o&UBe zVC$(XN5K{};TCr^{S-i%o_N;Z+_a}Kjk zz^z%@uG*_QtU3<+DAb6(4&23F^;n$aci5rO7 zpYY@;ScBew1y<#FFjhDu4DpC@1D8n^uR&*Y2@mq!f#-Yy+-clyOGx55s#p$c3{A$d z_DT|~JU^Xp2~B(l>2gVakpKInk4iIc7$FolB%y}z9-z|1J~P40eL~a&7pdkue{8eZ zL~;2|ds_az2NT^8K-nRBdb0PQ80?-P2X)0rg|F++H|{P{k%^&gy~LaY=JzZhkD%$B)CoX?V~%BRY| z>I0jONfIS4Du&DHXu`(G#E-FvAQ3t?riM7c_T6f^<9IS7aLkK)z-{54p%OhJ9vqNv zA!H|F!Tab#Yz(r8Eh$rT9kepe#BI=#rMAq%HV6+@l*nm>wJt zo+VnV8Fzi53l}`hMy2A1_;}OYZuPCRwzV^!2^%64cvq6G2 zF=U;+`^y?;impSwZJbCeqA zG;>aqA?w3JBB;S2Y|e17;eTUB=iCci-DY{=7IB-j?LE91JsfyJPy6_@y}=Jgl=IGJT^z zOO9>`WNg$k1vREorr6OMaXE1tb@I-A&cS-I#To0e9>RaeJPF1odnbOaFWUYQVOuPF zWwpLCBJwr7ArLH+v3+$u6Hno8b9Vn4%t&6*MnH*-F(e4-TWCR4c-A+q5fMo?<`C-; z=MeAk(czQBXNLrb#Hq@GAE+M--yc`13THWFIApGw&RMgY%ADOeyJ-eC%QllaqdL1| zwq(w30XY?7H$O{`eI!PGXg$;!Jp{7r3_Q9zSuWHa!kycHEp*WlQ!v$jv{YA|FE-Xr z7udTEyc25^JUP=fr+=>xPDiE%?zOh3omKN2%cz_}TfEF?e-AjK3&vi7dj%|db$P!u zgU>W@TG^rDf==s>9VsQt{o!xS3ULr*;^S1plrCoBe%){ScggdAKU6!_z>P8#$?*#Z zV+*K9o|GQa+q|XiiDwxN2=RQd*HJG3*?-ch^sMz_#}+cu5L(j`O8UDa1Z`1=CgF@@ zZQ~?o-V_6$N1CVNHrPvqI}xpm3&qAj^IMVeSO2e>Uz@)!m_lB{ae2o}Dyz_o;~(hs zc+Yo3aSo5fp2kKK+T0#wjiRgUlqq+;PLMsM^y6smQ=}98Tz+n7y4cx4{js0JpBVq# zGTb)aHf66~IK5`9YN~3kYN-lQwL!!-kcW~+$)IFWa${xmId}Kj3^HbV|&Nq1!Eg>G3r6H_V}>u zxy+|XZ}|JN{6v2RGD1@(9A_58COFQ3$dnA_m%Dg1)FboYQpG3S=Q`u|zP&E|N5p80 zrs7yD7O%6YZKNf+u)gD5*F*Az|}3z7_BSJR9rWIp7L@<6Xo`aSeaZ`ftxiIJ6#+H9x%xe?{;NAf-f8| z{k7TqOfifLyijm|x9^iGF+p1B_ZLFU)bBdH7@jpC#a7^P;jbzm%Ejp8-_2>n-NOIh zSe*R$OZ-LiNmCq58>_H0qn4cn9>qZ*bY9;Z7?*-^-V@c zkjFoBk8o+I6b7X{;COwibrzYpHGi+IqP>hSU&izIz3y23(7WWExsGciHb&d@C>2AI z6V=mPl0vXs3P^N!u4 zCCyUHa1QGLKAvlMF8nuK=EpRELwY?&8MVyjvmZdlJ`O(3b2d7Xj^%$NHs#Q`oELAp zS~pT6XAW$`G83_Vt=9w6sRH)Oq~&Wy_T)4-zJ`{#9Q=*ChPobm1x19qiO@QjC|L5} zZh)Bx5X0c6w^nV6u)6{wJC%imQ0pw-LWJ#99Bcl^h5d7E%|Ykm?bHGiOX)!D1?EwxjW9*TaGeUpMUL*nc1r zMltS@@EJz<;X#_|NlBAxtn#jWMGT-SPmnV^B-neoSh2ZUWoA)5}lgLsqi@JYhOL2j8yD;_GvD9L= zXH?@65?P44ID~ZEp~Rwvq0jzeKuG?2JHp5Sf^w`$fK&`(D1g^3VPQK=lRwSw5Xx{Q%ED9a<5WtoFV9b4tJ% zBge?NEqSQ=VQS-*WJ>y?N2a04b4J$iB)RbYu@o(h-OLZ(7ZY+^7rRfi38oPnp`!|~ zACP}o!!1|CkxL3Ar#)%<&A+bzwfUA9GenYBZ;-g2`^IXo?s0zJCFgw{9DjuIU=nGcH1?xnOn?7Pcw;%x2_AgL2Dz0DnZ4~t$@)}Zn>Qf) zKs+mOOGoC!*#ttEsFiR%rwzKFEAZ|d=8a6-K)+r*KglsB&XDuEsu!FHV-sR|mcYCR)5G&M1#(^4PW* ztI3SeuCZL7C-O#QYSxKcJBrxPvNU4Z6}?}v5KjDAWHm6;B62JYf<$Mxk z5MS|2sDcPf~A3dDJ*>xhCSim%`yz&G8jJXM78~g+p24Q%eErwMj|?Z%mIrDBRM+ zS{;D3(Cs|1l@IgrUp>-cE+;21LbwcNu~SR0SL^9NN~_C3j>m>1e6TX*p&=H-`=7WD z@=MaM5sg$BQf4%I6X{)3aF^ynLq;pqe(4dyU1$QQmMjb{(kwtI2b;3Knv5&EWCg3tpWBchJ ztQsznsiJ`#i@tl;=M#kKOD0Q$Eupa$alwkn@_zq2VxaZGk8e>AGq+t>VDm`%-r%z# zi`RQy#TuRxFS)^Q)&~fK{%MWDG@!IEuPes?N(^Xb?}f`=$qp2Hk^S9g^7w}%j||Cq zNedA3pS2wo^eZeUy$*4G^%C?l5XaA+Hfwq^%9XBv)JhG8pfO&vGP3N=eCvLN3ik+PnJ&Smo;ks`% zEmfpdlvOlU^i|AN?8&gg%<41b%ZYan(Gz<~e0i{<%-J6nwOFq)tAQ>%r{?Yb2|o%p z&lBWl{tHS;_TGeC7y<6!=KwO`n;rYyYchx8Me(8dQ35DIlE z8F=6%9%Ng%-R*02?l^Y0tiu0T&VL{yG#c&sZ4}ptVG?V@%AUD5u6Rcx3eQ|+^LsQ{ z)GHR80UqTp{$Y2#idL(<Iq%8bgHJy1G{!!>U`ZmW}62Omm0sM$esIc(ha&H{Ztrn(BeuP@n>e>Go=>bBd zk7FN~@$DA3lbVr{40ca8oSQ}*sm0xREoju(?=RqT#B`3IQ+kE>ry*zrUg%nS>7CfE-eh$TAWR2s1v&uq#~54T zMLpfvL#NR|dj7+%WCZoJrzCZty;PL?*?G`$IzFUup~tj0?EbVX_tij$gRY|H?yVDD z|G=*CGEVpN{A%Kny1wUhfWiN!YI~`1z%)dVqJ?)U7>5d94qiv?qxM?_122f|8>pg3 zqHA-o>UgwSG}cDi^Wj}~co6wUo}l}#lJ-S8Wp5QSLXBp_BF*Wq$*fq#i!G?e+BO^4 zg%ABv>W>>d>S5*+-vo}%$B60Rn^C=B59OtAJQLN_`T;VxG6N0Y|0t8rovm#a^v3#@ zg6Lx(D3`Bppey$^`mn_q3s8(v9T79$qGGxA83c5|o=7;d9zXLD=;og*IFt))`GG)K zA-BQh6HNS*Hj&42r&qHv7vvZAlI4B)p=27Hk-6fcyUjg_y@3)6{w_p3CLH~uR--{q zj(wlmYDXdu!C5{V9xqLyg&DcKM0Q5wc8r7KB08oEq+i!AJkenXA$mr}DmI5LlWG~r zvRg<*12>@u!&g}YGJ^}0VP=_N+M9z$(IrbqiuFTMIotWq^j+>TK-I&6`nXS{LRF9T z#HkxCY@)SWIf#=!=NmJmT7%xG4`q~eV)pk z!RDl6jaK0L;BaH!nhJg0uF8ZL&Ryf_>3Exevg!H0&dK2vc^JP=TUvZy$;ZwVwZ&5Z zufptU$gdD|6-aDbz-w2obcy+WX3B?WN76Lwsf1$sn*(o(&Z$vOS7tAS49`-&fQ~vM zh>}4KA?!-3I)viP1La_W!Jd6(H*7|So#vy|wMk@*wDwM^8{UP`O@sWhsbsYt)_d(~(} zI2@c+8#5Vm919qW8_SwHfye&~Z#M;I)?7~lO_v`&yLbX>J0Eo#4jhgj&V~qn#2#~^ ztT&xLpCQ%#9$+R^8{;Q2W~c88-Gspd==S)$BD0k?6>-dj4t&?=I(T7V9jf5C4BbFh z8KjiskR&|E$RCBj0m2(I%-!Hf*Cq{y~4FDUnZjDU}Ge zKg7foIY_*}jRATFGbQ)!BUeu>04a#*={{tfLGOP9aPhWCm2#r)l0c;g_r&Ins>ocI zaxG6pJ)G#ccE@3j?$y%CQ`K=$wVee~ud|0mQ0cVfJi5VIwpV(~ zUIhYZc99i>*~V|OzlAxZIy`uTkBkrwX7U5h2Dj(nc5_CVztef+=ypdFJXPbCTU zgm>RxwYd=_M#N!bM+LO0QB!~2eFjnq+y**a7Cx02TNA(%19QI-o!-f@rVNgKn_v@;_rB|~va zXG8Fq$Hlfn4Q&ty&>zGJ;r51L;Zh8YF+>R z#W-J0AM-_b*>3=KjY`QHw=^}-f0!I=>0U*rgZ=Fg8fc*RYc8rhec=~UX+dvlAd41h z*xd-!=ZWMWn^>E~yd5VV8^h$x#g-X#ZC3vKI5p_`h?gNlfGkVADE$YXk;12O@d|+6 zRQdN?Kp4}E4dpYr)s41Dakg3VLDlZSdF$i*dY69&AMAx=Gdl#=_E_OZ#coS!G8y~L zrCqs2>Ly)bqCEnTR-r0;360ayPsN5l<1P3v(m@9WvBK>sCGJeRY>{C)N;x`xEh9ej zd@<8ZQ6tK$BTjxFSK_PiI-Xr-f#i+mwJn~&5AiT#4XobG^;x1>;#m?0y&de7mEPys zrGD(hNP`hCF|5eHAhV!(&4agAEAZ{9!x+2d4s0zxvDwQpP-|bgH~Z){rp=YJy2bWr z;t`(Kzq%}oH?Y$?x#K>h`jOV>h8K&v{S9U^b9cA9nYK4wp$A)7vufta@P@D{soB^D z&K}kN;O2!*Pt(aBjHlZIB{RmXiaA+!iym*f0_<@9twSOKH;rM^rgN1uVWdlDAto#K1^0hQLGs?EBX^L9p>}1VIDr$ zwS9the6;lK)YYZ$0s-iQ7Bd};5FH_IADX^HyY~VJJ?Ihb9(;P$G*{7@j+lU)&|(>L zuDeeX2Z}5zTj_fBtp&jMj0#`b958+EYty3ID=JQRXk> zy$gGn7N3*tyz>FfbwIQkjs6e!bG_joPQvLHcb<9maQn=;dNOhPl~8^Ql^FGfANf(mmuZKkBMsEu$Mf9$I-DNDw;<&gsd3s(8-C1up~Jto*Nl%##QPz!K)C%>i{{CZ^oUVKh46>$`0b>L)ee{Vd(;7YsM zB7V2ICNyUVd+SKg<@#}F?!~SR{zCWs{eqxU6K+0gukro?7~NbOdcgxf#_k7p9nUcq zAl%Q@=vp?G&_ETf8MWbcvJlt>_1ia*GFg~=lpwokqU#5Nm6^p2TZcC&O$2+yHp`WI z`}yztLLu0b-uFJy;?uAxj{s*Ied zLzltAk*B7(+T2T~?QQ~ZT6x|)t0D!$)x{R}OUdjYgJ+=)432&^aIrs}K7I-4w`KQ7gmdY8(j)}scg4+-Dax2+Sh4DMcwZT)2e$B6QY zsqwqI<%%?WcSR9Xav=fxa!TfYKM@5x?UX#3Ca&qGXv?P*a5e}wJu*xRx4Wb~bhu`i!%!za_62cNAX zRx#JO`xt#EDtnn3nQRmF)-+PYV?7O0G-i&!KhaU5^eK!(YehWDW14fhZ>kiV4VV>U z4t$4&2mUA8?g+wB5H=qNm=V=HE4EyJL|##9y(f*>`CsqRTH~^L}B}F_!r-4xcOF7FoUSGD9*#6v|avaTZ-Mkv0v{(H)lJjloT1@FFiDN%rl-(UT&QdU7W~T_jX)hb^^pJM~y@anJYjJ4#is*5W2^K@#MV_#8!a9E& zr&KJvvnZ9xei%|3Qot`Jpbx=z=nc4CYT%>09eS(^ebkjBU-xL;ztm7)u-s~IN)Tjd z+M)VFnfW0MPf)BiFcic)bFH()%U^Vz4ZH7)h_LO8`wq-SrYUy_ZtLIh9a<_t$T5o0 z;qRg*rUoVMgzZ+=Zi##$PmB8?M=W@(C{oBqrnIq@zF*N`9lcU1;J&7jnbM-2HG9!a zfjK+>FqyY*p5H5cIOx3|>r*;vM)s(dJ+?tMu!k~1*K}1Z(AbfH_soswI~GUo-N0Os zYM*=SfWdL#e1s1^KQqPsi^U`q-JW{dAUBJzzo~^an($$$n58dM8hm;^DLhU~e0dN5 zp+PQ;7Zjr&J}~aHD`X*D$a;IklJWc7mhyNd{DpkH?u=Kn3rwr2HTx-?>@cDje*0>9UXK8uT1GMsT{0>>DC zUFAeKNxA09Xj7TswDB}@f&}|r(uhJ;f)^>`-9ii&%6xZoB5}h z?F@S`fXuHeQ5pp2;2~9%9$hI1vxIl{tpetqZ_b-{$nLVnca7iA*_2W~%_9_o-uNyr zVN(b;UDW+ro}t*<4$wC2EKjsY5IhqMO2j)zTZ$sN{S^MQ5G%wtr@v|1~6%l zK;nlJy!0sBzE73af1pOeLIJ*jwNSRu*fIaSW-Z>P)_}bVQ5wH47T9@aK!^xvH4D@s z@CGWjw4GP79C0S&CkW3^nUkd>VTJhW(|3J@1|;+}Lugd5(oyqZLU&<)To2mRh=el2 z=8~f}^uwRlUKi!VSsc^(59o)3zJz2G^esD~B9bQN(rXwNWk9{~UA?TH*3%GtaV`4E zPfJ+8vwvT3&gjN1*To{ltOcM9_O)|tIo|xDjPdwJ1W2rYe)d!g#{b=UW$rE(c z^E|CDini$ey@WXr|5G`={g29tzO4)I?}s(p?XOQI9J|}UX(UNG=i8#en|D|&9IQ+E z?|s+50XRMvjr}T|+vNMxL6nW2sL^QHRvU9j;-vXm`!irl70$iXu##d!J$s51a*(DJ z`W8RuZy_IxrF9j(5sqbT|JiU+)}=KjOogjFDw1(P^Q=(i2H}@cenLFT)+RYLEV>s8SSlb-X_WJ6%9%yj?(zt zA!YIWaqU55qIf7S`qtpWvwM&J*2Ks5u2Ox=y1x<19aW$yj_*|B{A<&d_FO$|d3*&b z(J;hL?3LZsW^Ao35v_n+GNAKrxh_4l>1^Ku8qB0nYE5D=YGeu~-B55rcIp6VKELA^ zB1MZH!jI?_^k~NUt$apOA{lRXUa8cxe^TB3SmSGFbn*OG#`Ut<5S34n`c;n1C8F;l z&%3~iD64FXtwLj|fWjfEMCihE@Y3et-gm1h4kPVI)wGS^W9azPHfs~5=shXZzBZbD z%GjrZQ_=%HZd6@bg(Ay)h-jrbq7C+&JV&V&d7HRH)HUu z50(g2U0{D=V_@xw#>JBp6EgW@4vW{T)yMnu=>;QR<2N#z?t}#g=M~z7VsIolX?7@ zSbcRnPS%61a$_)l&TakKB4?H|J{fF=4r8q=?QMRGP#XtW&yslh*Lg4OcuiVZQ|{dn zRGt8L`DSn8Y=)6`rL%Bw7w2;JGaiiwUw;|wk%|pgRoZ^ui;TW~b^okC)VoohoHk%r z2QpI5?8zbFXByQOYaaHz;Ll{9R37y!8Gg-L^gU08{+BmgE4s4RXYj>+VT>MM_@{e5@Zg zI)VIl1Xen93hXY#!%NCKS85qa_dQRMT=@rM>l?e%K0CaFM9LON>|^MbQ96yC&?&UV zF=5&ZcTbI2(RBFa7uV^aazj%laZKx{!>c96AxO{G?Y#j#+FIF<#K#-_VYS)~7KQzt zwmR(gcJ^-ee)hrk(e?@U>GpZ{#P*f;jrJY(efDGabM^@PZF})c>AsW_OM)fGQe)|` zj96AI2lhdCrBg*b8t3~M-WgTq=h3WY+;p3L;zYlzB}6K_rY)#?jw*5V4x6!d6^?&g zI3&?UV{mHG2wl{)t4pW3NUQTKQUg5;V}y%r<82cU3XY0gxFgJsA3UvI7;a@Sl3@-L zR))2CT|8TxI)4TBT?Z5RDm$K{RMZjsY@iG*d23Sir(0wZU2DNrFrpMN6WpB(0| z&4?g;2|es3I7&m9vhm|YL`fjuTJy)RzBKF4En)oPRufehWIBnQ%IBocC#BD%8 zPO1J9kld-40vpo@!~8LW!LyEd$v{TAVxavLD$XvkyFcNS1TDn3>9P7sU?xBqhOelN zG`ml;S)=8n@X^81#nIhS!YIWU+ZZ3H03wMJL5ZToP~s>F)G3r*dl;MPnT5^r%*JL1 zn64h3UdltmfOB^EpUk4j`Hmq9y!j7i5gBXv06md}6rTX7MP&51eZWf#S*+oyfo`M= z!le|aQ~}plxFgym6$5DbDJ{%x+8Yf{;ntcFb@ zENtM-@*s;>jv{(B^{DZ`VHfG2a$KPw*d8wr1}0dTJ;}Z*(Qv^9>;}Rxn^wMA&Y|b3 zp8_w;UBrL_&ep`op@x(?CAw|P9KkLJ<1g+bY&(HOY4kH&&O(Yw)G_2>tuN0o#qrHP z6?*2g%=DAkIB7r)XXiEChIQ-4C*Y;CK6wEwg~>~)?!y2 zN_v>EfxVoUB65*0xjvW*edgsG{P73E%*h$e16Ny}7_>Alx(Z4=L9$vRjlHYuv)u`+oUp)inS+f!w#opE-&BRuYIJuV3lY zsY8FahGlVqb7YtT=BWZz38Z>>7?Y*81y`JP#@d6*Af4`$pWc`AhnpLYN16HRUwlq~ zSkECMdxFCu2Xg6nv=y6YG5cq*7R2gIG%ky!*3!dAQf^KE6MkbK-m$q<1S-)k4+46q zO?wl$eQApRv|tAPKiU?4Jici{-dV4;;k^4>QnuEL1n8J8|8?7FXmx4Xj<%4eX{aeP;%~6XVPIUYI71jTYGzgRq-ntFse!zWx%x=06zs4}9ZGcVH`k zPI?`LaP1nu@iXn`5 z@hiy3d#_A9rse>5@qN@fG7jAMj_BpLnvN5pf~B59jGf21xd$G~&lr&ZX6^QLqg!K? zYHQ55K*Y=)^{tcm?TNMu1>*N7`mYEM*P`h7BIa};ee0?&>%Uz(dlb$3f0}W9Jf?oz zf)|4v>o6N(LE**UsmM0xm?=RgS9Y6$C-rWL;^|dAsyhtt%QK~x34XisyTrQ+OIZul z-^!bPM*zdxnW*dAM%Gg{pIi6CD3pw}Z=9=TcUnINO&s%Te!udNAf&djb~4goGygSf z5HY4M`wt^A$n)f{H~zD)z(Yf&uPclg?e!~PruZSG*5Y3_LlGeFJ=Riivt|#!;g4HR z=X;i{;BOFvoq46k(w)6hMy}JHF)6rX8Iwd+AgnP;j>=Abwy;T&)u3&C2 z62K^YJM{K%1u?pdHS02Mur#pw(V2{Kn%&106wz3@^uZGp5O0^lUji?_bQ1scS(1G; zUv@Dd5zuY73m8NJk3^qH>fN!ovrWW%U493P{nroMWdxo2?;rNc2xt9^jeRQv=w>vN zMB5?g%k+pgXrh9(9DI(08Rf8eIxLl#(+K$Cv}G<{k+6bWJXL|-D)pt~p%*PHk-4EB z=S8Z_h$9m4-^GwPX4yMLhoGpPKTcv`OJ-$6+-tb+6Ohbj9?o6eHPkRxc_NUXnmD1R0Dnu104F8sEGC?QoSRUj-hO+_}1tTN|_B?J_iOuuNjzWsY0N7 z<@c7~yl2~R>)(9j@=k{C4nqhJfu7oVna`Q^G!r$+5D4JCgD`hqou-D2 zZdxp?tH@}OXM)!L7G245P1;}-+@BVmN4K7OS4>^E8I zns53TL)%#pjuzBCR?aG0x3mIf=huARQ}i3!fVcdX< z22qdO`F9l!gtcLFShC%)-LpMTqZrFPI8*#TU2A3fCOu}aN))>7 z?PsIk!iPmIeuEiEI8m4ctoX2k^WIqkZFeeN4>!?wdQ@XJB%%=9HOz4^iNV~Z-*wRl z{!)KTFVVx$40Q$>`Ll;*Q@wERoD9AbC3cWA;ufqtFbNktRZcj%IP{@Esa_QX# zbQKrI(9jJxZR>6WJ;3hY{|OAG5pkH*=Kozt>_nyMint~&M$2cU&tEcCs!L;+3>#^u zXs2n*nDDnEQbWE$MHXdZw@lt5Y^bYU=?UD5J^Ex#dg4}p_bdlh@X|Bgr zlU#l){c&%tzR&e^9p1I0mO8XjWpP7*eU~5BJklvyu98r_)EC6fdH3~H8P{C3Ei?sI)Yiixzy_cebAR+=Hgd(B>iXb2aq%BbaK|nxy2}MA9 z?@g&nmyUs;RO!7*6Oi6}2|e`CODG}mKEbv3UhDk#d#-b?^CbupGBfAQGoCTVJ$|>a z_LXP;R|g@tw0t47ro$P*f`tkg)Tf>yqd5F#@~3;0Wj!B$aO#x5yHchTda+)R?kF1G%Ms~ z@TK$%b@bn@ONRdkRZpsBY0&5snco#UFY;&NI#J#CtJCT$q`MX?^lLt)>nU`!#uVU0 zwj6~WTU=&~9I3$QdSC9QffuSdWSDa`LBRf=EQ9d!cg0-X6FkAAiV-RQ>fIGtI)M*r zJch=VkBztQtRlaVU_h&F>$(d2O)9<@PXce|p`l?3-dGBe}~OalP01o|0#R zwN`U_>8T3GZLirN4tnb; ztB**V4xeP$`}243*>aNs?^yO zO+_K9DsM-V4iBaR{ca^IjFJ@?3A!tvUwCy&*iGdr2Bu%sKr484a35#e_nh* zJ?82{@Tctvcnnxkw(Nx6Fmb~XEOPO`25QrjJU32a`8{qs zm`|j>2-2MDSaH{&^S2giiYb8!cwPid3B z_amHxJsI*#F|bdHtGgq@O^n@N1^2F8-LI)8-L<1AqR>afTRiW<0Fg2FY2~(LF5x4$ z*PaHR%vN<9k89qIYL=~eg^GB6LWyT}{Q559c2kCSU~o&0>Y-1D;=PPFv)1o6I0iD+ zUpDu1^oaDx_GtH5JQBGJ(>kig2NM;TlWcRp?AnAb(WsFOj-)%*i+`*{pOEb>)Xl!v z>(CxQG6UySH^siJ6?2uUY(wY#2}B_fD%xzI?c3IJ98WvT-8j#3H)kM~HpR*c%DKu_ zcH1oxrX6FlFeJNVyA(m`K-i}vuq1ashBFzp3^KQm6Dlz-=)F5NM|&z)bThJQei%0# z{gz6|bLcaRJe@C;;n##T38f>0m2_*=fuvxw=e0Gj65iZu2jvs2N_arc2`Fkq~ z%>4GlFS5RwvwEL?#eAwbVdoJofG%~~vv3r;@9#Of)Zwvn9MJ9bv&dD4fZVj1?@C4I zXvW#7^C!t2y?I-b9~P0V?3&b);<~urviJ2f)WTxg(0})5FbM%6>96F&Am37jjp+I$ z=fGVb?wPmMXAD@MWED=$L5hjc1>WtZPGV{IXj(?l2IghgEWc)1yHi?95K85A<=Lex zTSQEEscRRyzl4@eKH`g>=M0-Fhhp>CDjTEh2n09Z*~0v?R`S7sfhW z)I*p$1;s~RvSiEJ8sqB<+jsvM@@pcr75T_P|6{s7j-<&y)1~M~5SS!AYKURkOg-O$ zBoJWifrwOaJruTT(Cz%vq#?WksF%mPI5{v9614Trrc6zH@=;OMO0R`>nOf~*FK3i^ zP3hX$3Te(?12%PCuwS zFx`fIfAj4+!X2aLhB-9)LHZ*AdYNtX>d66$Eb^h^4LlIH=J;3|x6M;6n|{*1Qer6V z_l2Fg$6#Q^bi)&>C zZgHU@up$?*$k#@OaH^DE;md}uZY24(F;*MKXR1`I^R7--D9-bo>raPd|(-4+KCpyRbkfBTilK3ai=ec_2Zwi}G5>vTdah!@Gm~zKHsXR9G9iIR`i^x4{ZKfoK<30@6uwSk+ zn%zu1*Zgs&;aaNOUR-~hisulmqhYsSb0AgYugkS7RdmUD7UM*Y;~o%%Vz={(s3$WQ z6NAK6MlrPV!@WKB7^?d!nIG*!x`3>WAqfoMLJ9{epo?T?*Gi@ri z8-Gp;{ivcJI}OTeQ}Oq^fClx*xEiY1bvr+lLtMPBv8cGx-y?FE# z$M7*Q_(LA8D-@UV2_w<{eTwz;`xL>h1QxUYG-0g74)ztydg`FgW<8GuEo`g zq!P!N;=ktlVPm>u`eXBi>$P%7<1y1QFxx+7J+=ctPbPl_J2`B(-D#}QOYFrX!lTP+ z_?e@pqGFy3IVM!}a(~Sn?GkRl_6Mb7rq{}@d`Nvz^QAjotv`)F*8^!7nOEa7Zxc{+ zgwCsZV?Hmd72}r|Qc;knj8a}mFo+dy7pi`I5hQUgEBnk~PEWqUZ=?u0l3by4A|yQd z)-C4?Z@y!<#RP|d_H6m5H9r(<>HfipsxW>C)FT4l!sEXR5zs6D$K(-G;$H&D%0GC! zP)?z!8lh03?B)+uL)(M<)+wf+uz#piE) z71Qk(N17O+O(C6vLB3MHK&5lJsalR+*tb-)c<}s1gvp>JZfPOO%4IW}f{pFUjF^bO zlhOmF#ubCCbGBMN6D$gt^(Of^k*`+++ecVT|VthOrex%1sR#>fzMRFL- z1w+QfgK48InC4dov*c^UoD=TJna*ig3C}#2vhY){aT2&6$#Wy3yw0@e>r)WsY?Af% zXb0_|2z@J%7-qmTAqU}u2E~bz6&CYP2ELnAofFtdcJ9qm;jE716kZ*#0M*!mVPlcw zo`$8KTmrDSHFf(wZmt{?gHjB3Db|3Ry}XSQ{T~=H zA*ZEVU-)=seJeL6v77ylqBB8xSncsfXZ3n#q^`H$H!CL8TdGp78vZV&s+W?+)tiq! z&*`s)ays{;!xtzS7C90Ioeh2GIES#C1P!v3v-eIuGZ$AoT*Odg8W*K$(-(0YQK!0N zuzot*NVSI+GcPThg1^Z-QFIgO#5NB5uOn`f7 z(siTO&A!I%&|)bIi{aHq#Ez?k-UM^#@I#X^k;=TZjkl9d!tJIPbFK5VOh2Aq_FVG6 zDfbkfjtO@gx09aeK@5Yz%srPymnD`% zmsFNv%SOwV%Z|&Q%l?O}mJ3<73mwjiu+HDLx7o}*!@rjMe0*mdQ1-Dgd4?JVSd)Mf zFl^WuKqcL)Qd9*7U@Y|nr}u_z-|GBehoZgzk!|#woHdGC8)@)8B6dRj>Wucrj|=4k zHTh-@UQPaMd$0=ZvO5cAGL`%``@C>T01p~MEvu5NN@J?>-N6`u1V>?GFV=_iwawJa zC3Ur8jL8dKBf`SheDt-V_cB-#>koQSq)d0^InJQe`L%zXj@0SD+fjpz$KhnhKd)WI zVL!O{DD6sXn9^{H&#UY2b4*zGc58OqHXIe`ll50fFOBA;dk8$*QO!qqj({ghsd z&R8x2&YFXy1{K8vlS7k$?jBU)9d4H9;@T2jE8Ugrm9|t&oG7}SD zTla3tm}g|@-M;Z@9EWFR@9$;~V=rjtjtR{?oJxwtYy~Y%SDm zP2cQDw`g6}Y|Xf6!hbbos>qFZ(T*L~SuC)qzdwklh0WBy|0h)6)6=thCLXdTP}h4L zu`p6%5ToDMk{~8kGnx%tq>dho$`V;!*PE(wZ}K<(1y)7^!m9%j(((U>d{;TY{ya#r z5Wi{nO6*9h@5};43su>Tmx=KP z5V=#dEx-LA3<{wyh`p@PC=@E0T3n!@18Nh>ATp-!6DhsG`Sh@Gz9e||f*|!>%?ZhH zItzyH>N%N+AP>M7-b2m_Kb36t)j;%gl$9HHM{CJV+7<**tmoFhVB=7>)=iR}xqUPs z;~&;XYEVqV2F;#)|DzYsr zl!;Ck=Q#(%eIuU{UTxGrWbAi#JtY_S^fNCxJV)!tM>o5i-A9dWEh za5B$%JcN$Bq(=Shl`qs`U-SKKt^f@70v88E2jIS-fBkG0m+}JV84aOh^^CrLHnclU z^EXz4Pwn0dyx&4oU|63m-tXn1M(M!v)|(`ZITE$HRn+8f5o=la+%vw6p4W^rKvYe0 zm3@qp9zKiyvNAlSB!tMQw~V}SgG|CPy)_^)xJ zz!c$(90-c4Jbx#bm1JoCbr%6DqK4qmF zfj?^-)d(j$(>#FB1iM77=#D0~7yscs8yW$Tt#?eYjP@xb7xTUZbibO~BVp^{k_Ma%UXlIDsbq`?rx35BvSR3>T)4b_jSVJDp zqcI~my;x4L=rBkzF-Gl!NTkTPr@v_QD{ZeaDic)hs-r<{SU4{2RUG$`bL~NWASk2h zW%@>fx8WSDC3%R0PN69v0w)GA=`8SjUmQ}czSS=wi!8yoNs*f>6E|yL3HPNe?#vB- z>2~5F1jGNWAA@ML027$E9H_e-4eS}XH85u1)w0qYiP#dkeIujrl^*;B7nYN3trFgv zqbREhE3~?Fna%MNp5g~8>P)5g7xdy`BmvKzbezKf zFyPb& zkV_(Ax`0jQnX63V%D(U2&wUiSk+C6Yym_ZeyYE_R)gcB>!lnTl%PE7M189ekX&$v%pcYeBY zdB(R7*p_vKSJQQ!mj?=G#Xs~?KMR>RtN+L(LEzVvzkr!nnGAx*nm$E7mTSxXO(u;|xcb8|2tc>-$OL7X^yk?9)%)gtulO4@s^LQjBM;~Q`^%az6Zp$Xd2{9^9 z7Iz!$yzCqa9sX{bgW1S-%jS``avSZYRJd{DH!1sLW`Z&=eE$V@a1AA-=mFb7~=@wj_c(5;?;Vi~H zb3W~?u-7KdG!J@5d(R*3H2K~(?GFTkiiX+7z^$jo`bAF*k{TbmwOEDz_N-v6GMdYI zSCDj5z$8U`PLeE3IZv!&d*1HLMaBmoPu!1OeZs;6z1#>me3LsM(7dfig7|pHs7^Ta zn?u5va%T8_{krpQWqZ3fneI+uw5tOO{`}QxqUkoH_snIaz?N`j5PIWv`Rg$-;vFxn zi@5d`pV|yFrM$7PHo)B&$O7zr5;l54hyQJ2qkSi(n6GPR3ZoOc{|A#n@=9=V826kN zQGJLHjab{-iP{Y?TSsW{ElgqNm89`yD1Y7oCzHXpQLB!7S}s*j@j;5c9W(*k4d%mRapEzJt}9YkmZL`cZZR_4|Aiyp;6Wr??* zr|eEY6l*&HOq&9)&=r>~?GkQfPMGg=TkSF6WK)DUDLE_X>To1LW#6P4oEy8!GI;4K z?MI0nnt8nY6#JJlNx75jvIBy6PlM{|ujMW?nFu>zG4>fMtI4s)buSt4IvL7uZD9m0 zO$9eqKQ!-N4n_cfiSx_HYCBs)kS0C*lkIQqJa6`y4AnA6=|GcwDxijsjtlMHiAo+O;VxSeEFw%*pP^+@C_ z;RTjBgNeND!(a6T^o!>4b=^SHbLY-AEgJg-%JP~H4H(-fcF9eh`tUS&-(-l800w*bh0^hB(;aQ^-#{m@Ng*Vq^{MwIL6d$58x-&|62Y{o z(EM%a97y-Fpfg)X;B=avql5Bq-xVG&Zl3A~h2LJdP(@vVT%yQT>n1YMLdIYad(9^u?%D~jcT48^?#jma|I20!&H^`tT51Mkes zEeA8Bo=LXK6pmkL1N?)y56xX?VV{daK1q}Peq+IUOm*XSmTbRgYZ{;8s_MlmZqVxH1{(Qf)Z++XAF4E zZhZ-6BHnu*RUtoc-N?!||1M>TrJq3s8@qu=Ir-pqqaYd{am(#F@mCg_CBJCgxTvAd zHakXG%oI?3AZL~O-2Fn`t)ta9gJZ-iGt zk)%6%m)Y3f>uARrElhwd!YeZtcII}#uU8{ng{~@&Q?9*|94%Cmu4ZULv!?6{E*rVU z5NcV5RUPb*}C*Uu~-nzOb9C?Rs-1Zuw1AWh_i2W+% zd5%jxmg>ahofq|AC%5m6v(r*2;fN)rxKpeJzE^wf=?)oUf+7^`TX=HV30`Fntb9} z0)-Z1P-uB*-4yJ`>#kzr#(Sm#&OI`T9=)DB;wuD_^8f`aTszyUnDD%X5l|Z0 zl`$h^XX!6H-)~T%cBaF9g(n#Hf?FxLkUqZ?;A#I?-I0$L2nx<4{_MJM!OJxJb@@bk z`(GI{NYW`#4ptw@H*B!SO3x&BdOT7s^_}G&o6;u?6)<~kgNz(M*-jm${HA7nM@~?r zEx+~?7eENT1r@)nuRGdR&#i@rW_WBbntP_pG@?P}|I~=V^OCUVQihy&ikt>iKjHVz z)ee1}Ga_WfbMH#*dv42^a~Cle86LdXu69k;kZkqPuLk}PJ9oLPkDvPx`a&4*$3#@s zJcKpyK3SKZ30$G?esvf>*B#OQIgx(U^TMJmqF8SY`cVLmI$iW zd96fxc-Ju@7`I#VSYPn7cEbXKAwVz=x-N|@b%$^1Ui$M?OtgL~k&Y*Q*Y)R#9#u%4 zK-z5VxJ!YQR4pN1(|a>99NZ*eBIVGAsHtBTL{H+n!|Mz{+64YNCP+N13I&%kWDgK) z*VxwX1NNCYQdn7f_fGDyVUazsG0s%>QU8udR7g`6Sy{^xBk`n z-GSc0QJ_O{UDq*{vsrPBCut=GN0MnBg69yg^x?sjHv}Y3CO~@ppn+Zm#eD zB343alK!r8uPR38fg^W-by7>--5)f2W~PBp>gp6b#zv@Yg5Yx5o9*U< zbQ#gc+?-0fh^(R8a>^Hlbq#VY6GR+n6Fiii!Nv~pW_pgubMyC(knSBnEoP{<&TeyANi z_lwu)u&v|k6nob6r$}H_(__hDIC-!zJ4Zt2b!+_SfPLqwZvfTq9gJ5xSeZZ2@a~-dh5SFQHg%R`qw7l@AV#2W`>LA zkJZL|nn`2hccz<^ER^30$%#+5-*Xn0`!FpoWbsGJ%^=gu<5kTR82m8P1 zB_|i6{%K=!N^={q-i25fMejvhbg+q8~x~H%p zIGPqQqjG-A1dfjwCmb~f2gR$*XkG{EPU6LK=jdVEhyLjwta;d>ggd*}nruDT10immyiGX{9V;G;7SZCjP)*ZarFvcy zv0GQgjc`tX6b=MHBeFNU3ky3aKNnsdu^dS+cji0vb1jv?$au)r(xID6`8_dJhiuoV z>HSB(t4c_IzBzkOEn-$nYGCou7{8}h<^ocSx+oCJU44BOmpiUdP_7^s+nS!=V~~i_iJF}i95X$S1o>}gt_x3%0Bf^q*-j%v&*)=M#E+KlO2_381LofO`>-|$mhIa2ZHZ_WMJOHCzY-XXiCEEly_pM`kx-LzWUm!Q~9m7 z@cD;b{b>G>lg{gLJsZ8Jy<~lieVp7iz|dO#)w9}{AlkP%9Vs2DU<8jejJ zYQCJX3fFuA#J8Ip_?F5V*lR=pPz#$`MO@y3^exaEh)w`Qj`%K0el7^}^Ox0*8StHM z)acnjXsJxQQ32o-bHmKqhorei{=%+FKWYV3o~I)!BSts7Mc!7kGxOGb(SL?c5g^I6 z+)~?a{8p6)Jdj7qyGr+GFJbmqQnOU6bhv)lttOd=@nEH04MwMc&7#g>-#pO|lU&RD z7H>UcuA08@<8ROX@wK-OXop<`XGrpmxOlB-aOAzyU4xW;R;0Pl_*b$ zC;!z#QRyRE5eW|rzGS_@=84+<)F1MANn_Qxe_u6T$m2S2`%*xvEd;v<*XC&Y;_9#8 zEb`o2om31ZU=J^2Y47H?^v5vP=b=0rRbXzZ?SCeka556$aeIdbtDOAh8()*@UbQ3m zycbPh4di4TU#RLv)KMH06MQP`tD-IU*e+9)pPpo?Ln>^qX&Na^hEvHIaU_ zoSC;^seV!_6AHdc0HUQuIMyU9=k=`?r=ip=aC=_Rjf+1tsdp-M1uN0wwQS+Q_^fB79&M_Q>-Zla+IhW2+4*m6QqNr zEVPTTuFiL^-zdDMU`VOzsU!_0r5AYv%dGFB zNIi1};#tHcm~KO6PNsIST2J;31@5JOCMDNdi05JS&`^$gvO~g{i*6Lfhvg3uMsB8K zi;3>Jja7AD=>gp{{bI+7$Z3 ze$CHBDQnp`)7SRK?KzW=rSg=#Pk^5jjb20K2ZhsK5sRrR`iV-1VZH!J!a=l@tVKGZ z1^d!OR++~RKlO^CTw=}?W!rWiBR_r!_2G|XX`;{u#G30aL{{MQ=?ge#zRrx-W0^?H zsZv52xLcrvjc91Noae_nT+>W=;^EL6rLOE7H*Bh!xPgO5jf{g%?FSbME%Z?4iBb0u z3GedE+^2|}Pu{Yc3}*(X1;b~%UwW>@FmED`g3%WDIgu1oeO-;Z9QU_VA(|tzV)6fF zj^-|?6t&r+?nBqXwoaO^nc`276U%j@#AOley3|I;$2;9F-psxbH)lB;@E^D4UXqVf zN`6xa`+R7JB8)w;7Bp3Urj!8TJyqwRp-;1G(!x6wAIz_Ht zXS((wcu}9}y>U7-^Mknsc~cPe32hZ~@Q3ZTT}+PklQZREmm8SQU>)+4L+@U*xVqr- z2WoBhipAAZ**}U%RLTWLIJ)xr8n*B1fO^IJ!pj>$mIL2EKZ~y;%~XcW(goh_(m^J% zhC`k>2V8R-UO9Ro9sg9+5G2b}XZf@dwNVczH-d!)+}cNS(k-&J zQm0Z_Y0q}PUrtB5((%^JFqx^wjqwhZoynnPnX^V3`E^jM2f#7y7k~CK0;=UX8l1%5F-sEff39oA&9BE)Cz^& zj}Y!H94S>GRFCxhnDhc413HVSPxWyR;St0?6$%+o7I7tO3$6ag9M7aMG3i=0M{QX* zd2J|jwYgyNQWiR%Z0dz$J~%r$mHd_W=_~-gbXa`&*hqx4$O~5^WIonLhP;;eOq_@< z=cN8*5BY2A8l$6D&$~QRSNGurL8a*oy()f>A+BTA}Orz=%Hk#VfF5NOxN|RU~7{T!;r7JiXPfi z{u*3J!e$(^9QrQZI1h~W)EYNCSKqxc=Z?>NY2-BOrz|?Cao&T7CFm+xzFI7(Nygku zaH)j5D$!vRO0dqU;^;7LW<0X=F3kMXD?IAX1S?GjLSwy?w39Je{Ih%v`xtEUmjGd9 zSf7hCMYBA}dQlJ1^D=af*2j(3Hy35IJ7BNIY%>-NKWUuo61I9Q4)OO!u$G zcZ863t6Q^s@4W&%of+2=6*)T&jhZX2nDv)sBOfA~(`Do9Gv~ANbuj1gpoc}dgyD3! z!mHNWGjp>0mLnyP;`v?9qla?)EkBNzxW*vKVZLYddbOi!6_n8t96<$tYIG=lI=`nSO+IuQ#<>{r9 zb;`$y(lqm}uTIhs!&ye==mn4LRO~nsIO> zlJt1oD7dU9;!zm0)mI@sQsN%Nf%>tB-n~qyc}J2=vt>>LOWmn)0r*L)XVbXdRSmRp zh8a1xb?8LJtStweQmu|w5VprW98Ujc^Vx^w@qZyOjDKt;jiw~pD!xiQetdkq>p~<) zg}UT`xcV#DX*|0H?c8^%@%H#@{u2!M@xwiFCBMSZ^(QW%>BoJ*`F3jDNv*4b)^ruDD;=R|>PIWSc zLJ2;%B`vz<=q}CNQ#%nwRnUBfQ&%vBi-pkgnk?DHG7Kxkia^D;i-{&<(mkvicy=0O zz6reyJe*6DS%BB3I=5YbzOW%+g-Y3iO1!Nt!ELk`{6>Xw1jLT!z|z8H&N#}2*`qc5 z$c`+4zMShb{5IRW89(WOkL3a}`!kvs<>IFOR4i=f4Yj)@teIJ1yN#q(Lge1{^3kF2 zw-q!|^U3eRYELgiTWu5{qP-#aDg@wG5s#qm+z7a}^A}>Yx7QmB5yPYLD_t@b@zk?v zuLJnYTZ`SX7?@M#hFM7jV(1tDi4!F`4oTs`Z42YV)58u zvVtvoMI&1Fp1E*I7$v@6Bme*e*L14H#t{u0bdu)LB>#?0q!c zK03N{*Noci0kDC~SUDkFA7=3rj&CLka=l}D^HU$(rF3=Gns{cgXSL|#XWhV6W~Gmm=tq_~2e8LI*u1Fg5; zD9l((<$LxuFMFi8-9&Sj*hkQ^KGAVD7Cn<1LdSRPSeq2XjvWUkJJ8>zKz#m??8!}^}r2Cv}`~PkJ5L%MMd%BY=??sEP@u+$!E4d z2q3jh=))^)(TkULh0FtHDa1~niT*nJnm<@bv#UZ$l`pc?JiyV>ftE|98()Td#!jO&wY{jzVN{I0*6XV9aGXKqBER8k zc|pb``e)(x=(d`=eTUHkNP7e7RE<_0cT+1E+M<4?3*Z~@9asRIeX`SF&4VSv-GU{3 zW*D)|E_nDtX4Ns<8(vq_%EgSMgpKQ79$4)T*JBouIAS_F1@4>Yuw*H@Ir*?%#vWLx z?PxlylU||~6@~<3iqXetU=&Md2J$@`TpphmSBT)g%%jSoFAv|8FKVNH>4~JLb$(fi z=}NhTaVPHK57C|EZ%UDAV_kxQx5#A+>e2i|r54Bf#dkE{azJd>_odqJu%k7OdT_ONtixhK}hP*g~ z*k;3$zIjOZ$`;XY()64`Y>V7`YWfX(Ci~ZSPF}^-PQ-E^5tg!y-8>>aB8&F!4$&8l zhI=$!4+E_dY}|y(PT6^M0~JgL-ab`)Yz`-{`BF=-hCd{XV3vjE9*}l(3>rwas_-Dz z5cUmIN~^GAj>T*IWq(GpZ(efA&_^{6Ys z>R%>3*5geTH~tM-I)WUr{SNP8!|F9(j6qY!_$czz+b}G$Kxy*hG|{i` zE^;SLLQk(%1^OIZFvqgm>|B6Qk>kyC;U}U@9L&LmgwZM&wX~HiqGLputLX&n&be1U zd_EZJ_suu~w$;4>w;B|c#E$-2Qk~z3Uu5R-R%-g*^Zg22GW`)(NGq%6Zt+$UhvloL z|7Hy21D`GD3QyO4J<=%iZTw@ij9t9#qWBAo*Kb(i%FEYSlZJqI%uj*(XEX-()cPCUpk@7UZ8=?6b{j;kPm~OmeYJT zX+WH8vL<*8o!gEi z513(P*LAnzVTFEGkd%~9u%BguK39nRMZxFvB&`HIm6a`0N1*&$G~`dUs}^*r2N7H2 zPOY7BK5&zY%i(a=inxKXp3dO#@$cWvKp6%C#XvXtDYh9t?yq z=6N_oi06gN{7>qfpe_yG83N~H{}U_~dN9-;2zsL@BcUVvs-EPZ$rsY03)xd@6Zf9j zt$u|@dhV=2)!&+xPYh}L^7*)b zFb{JKpdw6=mrpKoTP_m`l3kkDUWcE(ekVt7Y<)G>x?YcIx5M z0EJpB(t&*B7$^gZgpf3AHYUE*Zq~Qf{y_31Uc~acf5_@VsN;O=CCB0hu2DcxOUzRq z`#BbQ<8LiE8P{@@Fbu-3I$Pn5<+M72TWgmtXp%_wg=%KN2Y%Y9d-&t~wpJ(+^at~g zCm$ihpC%X!Cf7iC@$IhLmWam9H#xU6_Tn3}Yk44_EM);DR`U_CkJo5KvnfyWB zCu7dtNi+*IWzVX$AffEw#1AF?4pZ9&a;gr|?!NARTX@y`o!{kJ7n10x?r;OWiTo}O z%_D2AZDmEI*5l7Lbp`8qrl+y^5y2ex1~{&8?@oXZZCW2A}d7B?x6iN7nC{ zI;4_rL>EDek3TY=zkuN%6f68^r5=vqYjuGKS-$&-Cn%wUnb}E@ZwsyEO{gF}A8b{q z;_-cJwNdZ(RiXG(NR54h#osXOYY!8le>q=pyPt85kmvBTvHhsM;*D&w$xw&HeWM@I zID?ZBL@exxbYTjA=?w&O9Hv1f9p{piXE;&5k|nU7ztV(%MLah6NT$_vw_{{mb-|E*?UW5e6K735eQH(TFA z2Fz#0`GG*j-~f~Pz>01FdlorkoG>WCh2d4iUMxBy6lblvDxc>@#EmzXWVVME?2I78 zJc65%9G4avXu#lh*bRKulZqzrAzDs%;A_cJzcE{y+~fxee-4v#24a+QmTfNQo*$H0 z;a;(Y*blAt9lc8UFDT(2meh2560gmvpBcdcDyJ`gK}zdo90K-Jf@h}=-Yo`38yCpS zwr82?xV^0^^|yGKxBc`O(%c`HFm2-8jlHOg5q0e;DLYe29J> zwlyB#;$(4ienII0V%Rp~7OH|P6taF3tkyi6wA$?(Ry@pk2I{Z+1B|{X3qen~Cdb}) zS6L}0m$ZI?T&_6$yro$=z3{TW^TYUi@OO?-O=9)6U{%?%HAQjNHgE7CmbtX*v6~)2 zWqeiKq8%|*mU)mS9(v-(6}{1(YFDF?YVITG*38hky|;N}S%_?zkSfpZqx=;%XLr@T z$SRR+7b$H7^D5NM+3oh^tT{4Z&%rjmF;RFAn;7XQ*z% zouzD%)xA0JFpMl{YwKRKkEYG$vtB1GClK3t6DN}$x#KM->hsc}xDupV z`{bh$_t#+B!URlv`yG#B`gPQPYp5x$2TAGOFmP9MM760DV=4G`R*$$aq zVbYVztM>YN1g;Y)1IpP4yTu@WsSX!y6m)NK_RD0rr@Ue8Ak(d?25a^zB6wY)y7_HhOzR{!1U;gpO2{sVO<4DCJ+2n?R)Q%XDri92 zbT>FLm`K_KZekCA=fWn@)}6LRr-fA-FuY^@Ksiy5Q5We*HQBwwX;u_XFGZ?6kte;oDj*l*D%<9c~ zy@p`WL&4tbmR7#t>TG#O;rfL->93=Kia3!cPzgne8;`T& z;O-tgeLGs9!Ne%76Mh|9cy76b?2hpA)T(Xx7gM3A;yK}*z0c9EcNhQqb&JmkJB5go z&T{!NsMM)p;#APC2ke?p$BU!yw}=q$|GAf!1c)#*yKE7*FnI4o1=A$4vPk(;(R{7_rM)j4&VsHth1Y}8mUg_{_UdzYr zzR<=@t^kea5o|tp41)M3F|qRbRdf+*voEu72>R^2;xZLyiHNDB6y-EDkJ6YhH*}4@%RG)s1(W&e{KVJQzE|X;-6O||ND9SSnxcK zJ_+E`aiL?L58U91pUzrL+CIS6NSG;=;A9%OO^dy`lJtzZWaOt-_i%41Ug+;deOpXw z(Yd8fXP!CPCzAF^t!7Ill^SAERrP-VvZJDNM5O$gR2<}57IptdsGeEy{qAA?ew;oJ z%rT}f$96wI6TWf+!E)KxFm8Dqb(wo={bbA@Bxeda(@&0{y6>Z(a|BM^_mel<1Q4?@oDTL1L#GM_| z^b1w7w(nuA)ie`|d`&zb!??|XsOvH-YfrrxnQL0y{gf*dcpMYr(=*lYtKOxgZQ{r6 z7H_Td&A<>=zu%1;ncOac`LF-=jx!qmkKeJSmunYF>7&wVkkoTCr)<=&n4@|4Fl0B+ z*VNibFMda6%Xor)gNjAr`U>XYRWb!RHG>h6LFW${?I|_#Y@@c)sB37=H?bV&d{|i?*#B6bh<*J5 zC=&sU33b~)-WY1oP~4`lqha>G^7&i8&|8Q67%n02ws$Pf&JE+7nZ<1o`WxnRoHWb# z^Uf}z7%f)}vg&+M%kOIKKOf8g`O%b?v@1mmT~eQ(=?dQZ%V6O%B6zFpM_Au7Pq0!b z@8hO5JwlQGmavv9RDvn0laI()E#Kd_%)f6*>@T18zu5w1qE2Mg%_94^??WWHQUzZ) z{-|mr+_Kc9q{=zHS28b?5kyJt@`{GJUqxIHY?P9&Ckdrg{r{RLoZUZvyIU^QUHs?A z?E$o9Rrzlr$djF8ap z`K+#?NLKwG?rOXA!YncYBa3Cr+M}_C;Q!BVcy+=lN0a zyS=+wY1E!mC5~16|DvGQR4Ao&p4uM2Q-0#)iO*AeQRSU3=eWeJpDd14PY+kQ-S6(# zwzK1n7f^~v$EN&RDYGx<3KQP*Qy8;#c?5pCd?_HNJ&?~HS59Mwn*C_Ojmm8^8TYv7at4+hZc&@o+Huw+eF&3c^S5wPDv5ZRDvd!%Cd>!}&#o?{u*}p9- zJ?@{Y#{aCO*RL~#9qa{sFE!(~5ViRHDeQ>%+9%V$w1p3sfAx8KT(0e+wzI)l=G~}? z@IAiu`5!t}4}V;~NB$#A@iG2u;CU)Yds$nby>Ebe+7@@7-poiIuT}E*9Esa}8ThA> zg(nv?|AprlUY|t|C)EXfieNC($UBcFcKf4Yra5|ILgs5q@JcLoRS$pf`KfO+o4Eb1CAtJe3AGiUD1DzEbb zN~p%54fTi>&TnsST-=r2lCoQGeoJYUHn(hkdEwG`5vP!Q${UNrQR6B>`94Ja=1h|D zWk3h=A~KTB{)&SO=p5|tnbzKQ{*JjNkQA0(z?j(}Z0_6sYsDu7Uw&bve=0OeI)}nw zUTVvEn&;XVRQP^z1nTd}{XfXY|3{;2nV1j&T|}D52AEfm3XNU>|FhP)s9f6N^^ZIy zBv-`I>G2|Xwsw?1Ujpg1a?Siw{kIaEs}kM1tmA(c6a?O${Pa`=T5zJmxg#>;huht* z71>C6%NvK{D(iYma-}?0r?qS5%jy%<9K-yUzMTsb z-hIVt;fwc~62X>has~r7U)No4r|jyGt(9E2!Lk~^xkPI1-%BBvQ^`lz@!u2`bO7?7 z{(2qqtu+KF>p#vR_FfuQ2szlJ(PAE!owLizMWFX`NrcOAblZLZ76sL{QWoc@_D-l5UUf>}qP%WY<{ zkv87{Oq4!H5rBzlF<^Yaf3kcRe&_2GL4Lu4nVZ;EmoD5b&j$2rO7r!wQ#{wi4TWWf zZOVNZ^-?8E`)4}-*j^()7u&ab#X2TZFvHCFpWCMfxdjCUw|%W9^%(+Vg!^HaNzciB zxy+JTwYNja$L{2U-uL}w;z`bqbFkn)Nk?q0zp{VrZ{1iNS?^#?n{A|x#-f@IPP)XS zq*cwA2Hc4jaR1f1t~WMaUq{9QCgK1m7NLc4)#h)*xhMV5fFPTu#r2;j;cr~{U)J(3 zTdSnrGJ7TE&B^E6ewUEsN~cv88WW_89r6!O7On2%KEJxCapz@Qfb@Y_UxEYbZr;&t z+THSNv?w-be3x2!EHAES>_UM|M1=C|3P4G@Eh9Et>XVGOuJ*_VCX<`sLw=ttLZ@h{ zT+neY_xlX}OA{O^eS&rp0=pn&e0Ta}R_CqsE6(pjwtS{Fz$`>!^|_Q@M8LU&c|j@r zavD2?yW9RHt6pf%s^tRp?pfJ~=5-N>cUBnfEhggQj0h&KmM5x5lnI*nFK@sOSOYI7 z-trqv%=-PGfQA`yNstJ-s!STSA7`^*S3n6}P1prA=+Kn@RF^z&()jjubpw1>tH(j5 zKlB2zJ6p-LIV?1LR-;y+PdE~#;ytkW?X61%FtO_M*4Vm{+=$B7-OxW(#;co$R{7l1AsX9bCgTlywVk6`Zk zNZ9PTS3GhouV3Rmik?@w4dy+pdiym+f(IEwxEXr52 zs9>e|#V)U*p|MUXd+*%A6$;(R4j3=St|&k52koKN;N5>W4%`~~dTZ)JL@&m%*^e0# zus9ykW_W&{U4VH;>WL&@{U^!`6g8LUMLuXc6W#b2H}b9E4tsX>?$&Dlt!j_|?2aU> zVT}KG?&!`*h(PF?X;gv_DJ47d5AicES>m)cZ)+z0!GE?);}>fzl^|2(8nzwW+4dLd|k z`U>nVPph-RIWeKnB^PeW!pJDIv3vPv$2&%?HgvH@a>TO~jt-tcd)=E;-`?M7r6(F3 z;<=!fx*+~;;BXCjvzs>-Nxoev^+7J>v>_)RX>-^IG={lXtV!Gv#Jb;nf#|u9OjyBh zi2}~q+(p$Kt~Hc#Kg`szZtZ+yY0MQ?krrm&IgY2GC?d^S9Y1|d5PiN`sGYsTZPp^yYA^Mnc?PfJl`f8sj(sZ9D&M?fI`502tk>Y6j>HAFXy2Y`hIJPzQ zgdcmd8llEcKdY1}$uT}BJCdaOy2AJpO4p{hJsM%O;>_BO_sgFYKOOvD^LjixBsLZ# zSQ^glL?Zw}=^6 z5qbSq{t|Y1SYIx9N{nDZ2%%m03PlqyE}1XHg?{fvZ=Jd|0!RnC{}d#a-BZWM+fN3x z^lX~^i?A7~I|N)F{g65!@5wX&3!?x9OZ=ek7)$TYJ`8U=&ErJd7@IA+9^$8**P72K zch>g;X8W^~$RkHeP?(_R2h;;@Fp;nqRcPT)L@tt&iidNH8d?R1Dt{Yf{Seu+>SyXz#=*u-(?HJaIaSF#$ zn)mlHE_hN`&;I_jCt&+O#Jwx|r=)0iVBxQG!~OR&%O@)VDWTK~sROfWS?l9ma;v&~ zSH}HCM8qBPw_IEC7MYF|NG#~+QTUR{Ve@mf^>$VB%Y+lW3SLKJXC?ZG4K}cYj5Tgh zrysTI(mn5^C?@q>>)Ve%Pw~l^$39A{hO2I$=tz~4Q{z1P`IdDfpn}#tj=oB9%f}+$>8zqmuT&`;)7w%@6ADRh#+mR0ZzeNHiv|3panYu1Y>hD%$(vcp6(sLup9$BTy)`*@}-+$ z;=y`qgzy1@c`FRgG3lVsId8Ag6h_BVtvjq2mF1POD_0!YSxf9bvT=4;eTM}vl;hT7 zkE^Szzv{8SJ>3@f#o2MK>3%=%COl;E;d7Il4+j}!eBS;b?LZ+kksS{b&Q#}8N;jg>k;IE&}Zffdf z5%T!VhAywsyYw`H%$vdMBnhiBJMz!+i;@m9TK^!1wR!bVzP$g@5&aHEK$+O~_X{k? zRXvuaH}Ek=9sjSV0AcS~k`h3LII zo$0d2?+D(x&m?CQ4xEX|s_D7x>L`J*vmk3?n3UkNw+)V)%6(=OMQB_atD7GFF@G1! zL!A2dRD<0Aj#aPG3Uudz*c~vaCZf_Y8Ti z`o`0O`k_3_;!_5ovP z$3J|gK{?AeLdPrdB= z?N5Y=sk)~_vrW#oMVvtRm)93{IypQ}^;5$&{@&+sHHiULjPI+r4iJqQ#I8YJ|5=CJBh+AIvdc~{2hK=PO1e9YADnE+spyD#H zm3vX2=I%dTTuw4p{S2Z!Fh+xW_x=K09Z@c*P_Cg0XAQJo@_Uf1{H=hD0s;b{$eBN2 z)H`ZJjs23rb%W0J{vgkzi#Dy1r>m}arrYcB0~$(|-7OO8WlYQ!vl?j-W)2h`>DN|S z?YugCzt>k0GM)ygZEyc zmlHXu^jd3w#?JbD>JmF7odjNJda0hGovR-44X}yYH3t3IU2Ef&_hOg`+mWD?v=kBb z`N>ze?4X(ZfD?r}SA=@_R2cUP@aXkB>HZy)0&bP7|KL{nZ$avR-4yb2+CxChz7^bL z|NhR36|lHH1=aGa{X80<#{pSWZ|1rqjL6xrNr(+xEtOj@4z>*3$*!jMbx6(ih`c?k za$lxtLo;*zMP0zfVA+_t#lH+XhR1;{93eYk;&U*i zIrT5Zc8+<~5ZU&Heqo_C)hoWwU233QUV>v)qm$PqkvX(TaxKFPiqYf3e3t2$=IsOr^!ijekQm zZfv{Rb~d~A=9x3=Y+X6d#5^Z37fVj+yTFT{n4f5FHj09!DFt}E?N^u$J_XL}8X(xF zOcSjf2AX7Vp8HWb<8rVwaqz7Kb~RhokvHLdJW9T-A7k+@h3jm0mcrE^>ir0LZ^F^Y zQ|I!u-f+|zp;T3V95#=4)_%pC%UI9LO0Sa-3UQT^!oj5)HlKM+a6B?XN!!DZn6;)? zx~wsC%3IE)Q7O#_+9oEyG>iVu`JVeX`sqTA+^)#ohg?MW26T$ju8NI!|ZI|Ca{2?lF zw`S<7GvCs1quBZ!4mY>$Sb0B=Yu?08^M*&zOE`S+!OBsYLO}Ank_cxBY@+!+#CSf(94SVe#R%wd*_& z(Cxc`o97tyWU+qI1ey;e=ZW07%Io-zMHqq+6;^Yqotq~gfo8gF^KSFc#(hMo!kc<5 z$TWY-aCV{JS^#ZfK-E0ebnE+!a{-0u5;D$vycdIOLmTnxAG%=tVfO5kb&WIk9!69_ zK2yJrHwHh>;JwJJ7N-2;!Ad=ED;0gb7$SwcZlG30xD|hzwm4CQizyL{=Tp$;{0+gp zj)UE9tKUb;{5Rio^gk!a{!9L!zL7f1`G1$sWH4^2>wRD?x4nCR!tnImO;9hPbWvi0 z$^p#;r33;0M=3$=)xM?faaLLsx%S8-NRZ^V>Y3ByH5h zLVdB|?-C7AM&MgXDU4hz2(RqPevOp*XKBJe(%|?17a?#$Mi&thiZtcv?@wxaM>96e zKpH$;MIwVbUT)ts-hNOU9@J;hv6q;HT)Rrx2OC1y=E{$pyK}KGDa>{Wg_P;{X`U$% z-upfdx|j+!_~kCB%oP#$i*H^D3Bc4#J-w8;;%70N${%v~T-7f`r) z7;Y~bb*}zvK=fh%|NN)_dbfXn`nLrBErEYa;NKGXw*>wzfqzTj-xBzLD*@i@tB^qp zkHS&)+KiQnWG8J0Wo`1xRXmY11XC$yW#v)4o8{w9 zJjL`M&zARrTkJQVhQ0K86=u7|Dimbs@Rot$$(B)BmXQK6d{63jK=&IvZK`Yz_rR!) zH+!5u#KjDJ*@R+_f57QTIRZYrPU|4TP4c$p!!5e=I@d$-tjNvgorPY#HAMwhCTL%i|*(6R>14h@1)NakH!~^tpqbr;oyhy(p0IV-C?QsRpK?=eN_tyRksX&gC4JYt?^c{E4E zup7Vcf@tgIr9e+I94F@Mtvw|*m@C|8waOb5ijdS|V0c9f7wMh&fjH1P6hw_r?A`Hr zBv}9+H>tGmC{*X6=dHm>7ft`Dc63>#SsB`3T`ifM1_^(=kY6p*yXvi)1BOQ3_Y~S5 z$*6#zKKouROe1Yst*nIf&L^sKOMsWp zPhhL}5f%#SF>LRF?EEqp-k0)^LLFk@MWL*aZ)%)&-X)Vn;g*tbd1Ii0L_T`($I#zk z0|t{_E#`}0f`qbG!bdxWf>a^Zbk&jPfZnwVyA?g|Yt~{19`NR|9`Px@pVQN1LpTPb z&tHePIjaze~uaKcj}PPEChA*%w@og0W_Jt!m5TI4Jx(OI^K7VP!H_@q0J zAT&%w3;fKO>9U!&w}>t)H=B*ONMV9F7A=Uuo3(n{Vefnv_A?1vA#fp*6VLdeAFBr? z5ey8cOm(B}mwC`*mF^75>V}vY2C@e~{Ct!QMDS{yT zp$WOs)9Q9vGMBeSnVMC*qCkb++Pop87-qhoUp*a z>?nRL*-GRfR})@;DZH$Ny0v)37z%p=yVaTjZ7OT9qpG7dR&MEu&Wvd(C#WS;vM1hX z;`n%sWp*o9XfXl4fr&nD#KU-11&og)7F8;l_zF3nwt05H5r!aOb9Zt+Sby2#CZ=-o zRy&&K(R7efVo~oCa?N#N+;nyS6bQ_B@Mm{Xa;;tj0;pVaz^Ru=GyIQaCnB~2We&y- z$35yUX81ks2goGRI2Cvt^Tb9riDTa+8tKTnss+jmtA7;w^oc>}WRbf>++CL8e#{xk>ottEC$cwiQRbVg;ce5-_DzrBRE zv$m-hgE(N$sH7@~h1#h-nV#)prjMFiY$sk_k3ok>Ly(_$Q!lg$n0O@ubDp26nQto( zLb5epG97*Wg-_xTLrwyle*JT{#=*S6s5Tc7{^d26r%mHqV$Pe7-9&xrkt=%6n`cS0 zdoj#cO=nyBtAS<93wv6QO88B{NT11D66d(3jHcSf11w*~4=IMf+kH#0XBfcSpRFDf zsE$$EuAL?llV#7ZBK_~|gj;zvC`70a~rp_d?CH-kMRd4v!a~P zx&#l$y)-tJA`VJ{cZq75CgvuBTNF6u2!!=;+T9zTC#d(ZT{6g=2HmZM#PGOGB>yut z(-o3M5=qpRbOfw1o*j+Jq5V#LUw&>PAIG>sdZ|HQP---j2K|NeTi&q#9JomDwCB0- zB~@#idETwG!+nEd@*d;fSAslewh}8SA_YgiWI~>@g?>eQ^{DP5@Y%^u(~MZB z07NYsjF~=`cL;K03Mm{iQuj04!rOG}7H7bmYD9&b9CW-uv!}?pL4ye62$7mNUNb2Z zG-lhzeq?8qd_Vg0W=59%x%q89zmjoSlaGzFghxq(Sv0>Jr9rzkvf`2x*LlSqc}R@^ z!vo6+qoDw_x*nyQo(8+kD;FGFhB1>Y?X(arSPSh^4US+_!EkWD1JcVq?!{*OaW9>%(Xx>+29?TR;GyUVsG#g;4&$vQpTx#jncFUiO5=0Y&zsdbQy+EPUT^) z%m8`TXJ=tRZ><5!kLJx(Gx;r~sU}W_%K~5q`?3+_&=i~&0(bvm{=|gUWmKlgK@nSH z8+tdU!Z=KuE)^v4))U-Z15Ryt78TdY**`5R@y+MymK>a@-AvPIB^IJjSmR?y8V?BG`bxz$x7_zq<6eyd_ zl>)Es$Y`C2`z-hPRv5%bcOMvM)s>j&2<845f(+1$jWKP2#m4#NWTQ zQQFSKkfXu`DKCJF_3nsc2Lo|4c6b1>+)R^5kTlY;-rDfMeZUMo0M(t#`vn;=(Vc5B z^}hIBXw){n&gmE17Q3@m8AcOce96ib2&jj@QF!Hp$j8QF!dO85bg=NRd5DUs?#W4! z2D@n0NvLGeWH9XW%*Sk(hBO}(mrT!8w|uXgNmBKH=+YWVZ%tJnR$d_$c0C%Y0Rbn| zybZkGmvl4yZH?})2?TjwA6Dd3BAE~TD1={Tb+Se{b?gbT3LlIhySc5XJ3E%36MP;< z{ix!ADo$8>qRY+X9PeoT!FFk#9GBzZjxEmZGJwN{fr? z4;GwmAqywv$8>;&!j{T9E#5B9?a5^UJ3UUpA;_%~SiZXQApx+irTFL*&u-?a2JKf3 zt#2$aJONaFZ2F>{zZUwR?fZ)L`ktL#jX8eVvjB1IZX5AP*NrcPON?D zBVGoa!i^YaRNes+w+c&JN=1+#8y2UpHlr$x9}egQ7WKQbG+#r`H%Aa^!+MI)wPBb5 zs@*}><1^s>dUwN+m7)%m5DV-)tDQ9&wT>wK><~WQQJGB6Lf4#Ip5|0*F5j&iwe&Wl zk$+|qP_#ZH^lm+y3Nj|dIWyX2;UH7%d$TTzqo)SVXAFE!|p6Fn%ku!nznjHaTzFBc@*M zg>%5a(O|B=%#0@$@}MKVv;3I2p_J4s7Qbl z_3fNenIK~)xvin#2q|Vdj34;LPM-)L2Xr!+qTpnWJdJtrDqOvwfrDszKrq zSz3!^0oHqUXZJ2X0wJ&#?)t`DcPKaxfG!4>@dIccYc)qe+2{L9>gO6gVBH106^ z%_b}rjd+~T4mV+7z{RTTiKETe2zDkz+-h$%d#3DT>Q}3lZ|V8Pi!2=td{hQJ5Y`RB zrPP&ebk5AYnw8?n1exEY&GG2@?TR2@x?vfKy$aW`N;>0)bM2HxVX@vVKB@248N!G1 zafyU%_5HBriO8*(!)s8{f?c`ay4}hNbX$w9xd@1~q<0-b-WI|#v)=+J5=iUl_~Ktd zVGxWJ%h&{%dSmbY5^^&sS3!<}R}MIEL|+{nCC^_x%rqLv6elV>Zwo2NS}N9C%P1VZ z0UA(3gSerW;Fft#I$Ixne9*}_NH6RfQ35ZEK@ zitH5*=wW%+RLeZpkBD$flMO|opgriQ#dJcbW;8MYpU!EF)I3OW`DLWS@MKR1Kmh_D z3g{=&S9Iq~_NjiSgT%gkvs(`i%Mh!RoqQ#Q!cW`DtXJykFJ;-*UquUd4aBSCgT`~0 zLV;B8*m;e#zz|ZRy$X5CHx(Ky5UY^p{8Iuril~0@yDK5Quu<6Z)8_|VSf!D}DgX~O z7q3|Y(OG~sFTgl{JQmVpMOQ^KOwVsGapeRW4OH=XvWC|&p`b8WH;7vMf?fxRZAc4k z(>N&K5B)L2>cWH-My9soKuZdc8GFDXNMn{QELu=(-uks26>`@g@9*VOXg<8PAhwoujA0BTwu(nZRg5ap-dA^$7Q$#yY z6EMNGs0uN);$3tie@7qoCAaCy;N7!wycLE@$4Nt2j|_Ph0K$!z)&X`Kn041%b1=B0 z%nLN`2kCE(gV8mPzt2N0yil`8nrMX2T`|(?^);f(r|o61?FAzTx`+%6JI}#TiBo!O z{PY$1lpc?5!TewbI8Rff2o^_|n_8~5p&&Z5Vu>9L5SGT4?Q~Yo3OUb)2RRmM6bMUy z;mZP#s7E(l!qy{!j|9JHjhFqs>>4mN4ITi9?3T7FN}lEfc0lsJ zK^ivIRd8558Y^r>T3$-*_0BJGrkNR66ljI&i%R?%QjpkS4{in*u6#NGT%D08a9Hm9 zw$VVlq(HicVPG~!4;(PG;*72W+NG1MK{XKyiibpn#BF*D1$Bem;Q}t1JhA#d^9%#8 z6x}R^MS6q9ox4_JOYMxJk->WV=f876n@m2-qmO;a$Fn8O_^S^5)ziiy=t67!nL!)N=VXkjMEA>NX! zqPgXl&i%L>fO;4^@5x=6`nJJ>kd;IX@q;(d;TF;)NZr*h!YyXomQWSQ*@<)!;4 zKlsZD1vL}r;?(s@y6Th3jcFCE^}$EL6U>HTBq2RFpkQ*}R5;X%fdV-ToKH10wf(+0 zHtGu^9E|=}O2wMw1%@Y&>A^ZcQQhgnBixc1FOk7`3q(O-LgGY^w^3P5vB8>cVb+bC zfW=v$WPz?kI}jnop34r7Di-ceYvJaSg}hfOqvsm0L0YNtePvI&VH`PC%^=TlD`q0| zH|o4S22kgBC`)nd44XrVCdLWxDH>I{#(&;_xF&}!)Slm?`_QC3fahovT?OL@Q?azm!;~rlY=Pr?gtU~|luL97*z$!LA&$J1Xc>4zx^Pzg(?1jC9MCzaN--cC^ z$$Ke9bRT?;Vv$F?s|UYu6eJmI$MZA?B_S$Y#UQh#R?3@*f%L8#%t;Sax{X7GgUTRH zMV(`g(M^)9UDXVK4+1!~sMV`cv-A+pEX=W;o>*F0npfG)U~7zru$uUQ1)SA%1JJYg zH#kOjC%gO#DIb&%HH(f{=zN^)L_14YkzGn{pX7)5fPtg7VsXct9R9`^^Fw-TxhX4l zjO%(z2D?(kZ#5dhYj$Q!Ij4S%{xM?@1Fq*Ou;&PMJPetuiLpVBK**$0y|p@0Eu!K+ z2h_+HCZsrc*(@e~FEHQf^ePLZu+osHSnqt&%Ad|(<0A7gU8~bf-})MXGWX(aD+BYM<~rU7{QWO zitx-FQZpMy@clXgGyk~@AV{25C{R1=0?i|(2@|?`dIx7~V=D@h#3aYpW_rBT-wYUw z20)dgXAgaLK@_RwM$Q4m6-&MPW`3Yw!q~8r6ihaqh z^=^F{0d>HpEC^~mk0S;`3>+Kko?!XPka@eW34=ppIt^#PVHywW5BA>TX=3{N&7MUE zm;>2(M;wpnes)kHfwWGFL1pqVjM~?J=o?PvIEdfbo4?7>T&P+k(3+1>5-qYw@q37+ zps$KfkPa?GgCzE97Y4gykM0f;CvGO0tw{{XlGrwLc3H-KF&2gX#IwML~ zLa<{jd-XH8u!RWLFxKt8nI5W+sIl2D?OSs`|BJQmF-b+LOJlwDgl_uExo<61bY~l- zj_=ar{q`+m0BVQ8M$dScsF~(Do%YpY zB%+>U9s}>mAL`C;oU?eWn)+aVSdF;#ElfJ4-ZzchUF2kb7B1Z^|UM4IEpgMwpff> zPfz|r4DM`0M>%F>uR8cH>yFc zD#Hz^++UC`D-xxdDRX<@bWYWy86&wB|EdN`kzVmYn4{;SmLrOkg-;Bj5O!7-oazUy z8h-Ay$B;Udxo5Vn_uL6K81;S8+VaB5M-^-MA(XNhJfvnG;<(gcLT$(+^@TJC+n|Lf z#0sgxJ+CFPwBor2BUXN%x~GIe>X{f)ZsY8i<^W0PhBC2|qsMsv89~-UU*Cx_>~!I7 z6D$OWQUf{afVOEtWWeJy@bCkV;t%}HRC)AZ&St=9cz{FcX5&1};J_2!?x161d!98* z(eYQ0EyaM;60K&ktGHd+;WpP$DAw_`Fe0 z;a4GXz!g}56WgZfGtW_;Pd`TMQ%6k{G5x;cBPi!yw=_a#7(FkjB8V>5TPKO$Xko)5_q>sDV964tSB@wy|N4}4O4oYf&%XPDfuPpLMUt*IkK6g0IT7z-&HLy$RMckcG6U*~?beRy*Mu{-K$ z+Hm6I7j`mIdFyRr_(9<&<>~Rjo>BwiXCGrih;?+gbZ58-GL5`vLus#sLt0mx^^0v> zy%@1t9tU}+;N_70g_S=CZIT`6iJNE{Zo?GCEiEeLgYex3r=QaDu>@3RtmlD!v_le9 zd5h3~TdLqed(zf8i8Xh8$Z+M z>iD_Q_RETn$Y6+5;HN8!-IseMV{zm{faVG|GsFp>H_7v>4OT;P$TZr-uwf4lW5p_I z5Q@5@T!isfDV&TDP}$7DDphJ@pCZ53q(D2EBPNPZ;Sxi}rnFR=2HsA&SsgU`(afdT zsCQ?j#G1k+Ii(ewuB|vNyOHM6QX(je-RdD4Uz5NJb+4LA;e;QLlP*f=3zr(rnM&4M zYcy3YQ#PW8_SgpADC3^+s2hi1G4sHaWJony&IW2tM@gpjpXrpXMu$6r@fn-@ zW7C&BR40;f^(sYj%FPtGTU);UpSG2o%2<+*;3O|nF5t6;;hm?`-m(W>i;d%*aV{zc zX{eFaREHku^d&vR)-Gj_z>yu5ib!=mc+t3n_nk7wezNzYSWNLQtrew!`*gZWh5J`| zDS2H4JKZk%agFjTA~_|*4t{fIHDDpF8poz`j7)pdjGM@8TUU-;ntvfPNTDq@$v1;@ z$2iogbwO02c5&9ryTWmG%6qSJh4GUHP}2F11-@+s_l6Ad1S&7*+JD-1;Yaa+$g0}qCh!{P)n4aW^%xhB3p6eajAYx26KymqW|3a%hu`hWyM3-5yO( zUNse6Ynt?xYt)!2gQ0oirKx|e{KQUbNEXJ%tGud@{%GziIG6XXTsdbhBDdGDGi#GD z!YA%l|KinD&ons;l6lOs@oevNk6zmMFU*(i3uIHI+VY<^4cAq52S`8-heXw3bKr&v|_*(UHllQ=;KSFJK`Rx6KjY#L5tshFMh@e&?>@cmey|`KO;8$J}JD5i)jti(C65>9;qk1Xmk=e9*Z#|?ju-wLP9D$@cW6bh= z3%@UM%nhn+?<1)fbOB0tP}XL%e_pUww*>YNg7zf|Ey?AK{H7^QNLrxnn*7Szd5TR& z=6&rRsh&&YHza_f=r8(JxFcJbx#g(4?sK%a923oUdJ39q=s=x5GR!!dS!DE!Uwp=4 z2dO{Z$2R+79rJyO=@ozO)#*LZc?a<}HNoUXxX-IK$Oo`<#{`*rt%b@`X?0s0XC1ue zkL~tSAF?n08hDo3tVPnV{ZJ8|nF-4_T??(EZYMg#QT*+7=Po1`$Kmn=$2z}{sSkE* z*`wFv%n0^sw>WOqK9C zkID6}e(levHU^xAcPAI&mIh^~RhtCLOe=HEyB@z*6U(P8o`chVWPmJjn?dj3VB7pr zWzW+LU9~&6);=c@VwRAjHeJjHk@mFUd+j`@Da)KHksMN&m5<6Y_f&gZMUjJ7#LGu+ z7AIWE*j=71sJGH`R1@MCxAW0o86Q=-YeXIT7x2mmwQ%VcglZF65p$E>x-7ZgqoFqdpO1}i(REBg?e~Sp*|?cYMopIHub#Y zZyKH%{K*H?vtKuR=s#9U%r?*Wow0F~Hv7J(2jB+%gz7yU zH&Y?B=h`|kLqbueqSpNEQWqXo9nmfw5gl)o4pJ^%3DAQdvj>4ToVWo}h$Y!%&#nF9 zI39bjx~xrhul26$@XMJjfzAH8v6GR?%{-xt-vdKj+an{!+l7O8A*`k>Hy-4UyCK{i zFq#voF1Msj#75|mswT2n(@{;fF$2EzjIvJRLb=D1en}M=Odaz?2E@f@x-7-pD!^T| zNMEn|>)e)GaUc5r*ev8=S3^%9eBVAxZboYl-F(rB@K{mSqcFrWI$rvkWW{o~sYG(y zH0JH8TETTU5bG7S8P?w#u@FS#aI+lq9A}u> z_S?lZej^k4AXU@4{3 zQGM91i=|RF^X89|Dpx)Vi;bI}AUgc`_&ZHUB_Ri$?dMDkGG?Mnu*kkxZuVq<7FRy0 z9GhnWm9gC@!jhHK$1n#m?jqnX(BF&S6edM*b8LVtC9lVwv7oy`*!rTS`bvmw{l%)6 z+-1g7ECT4#?rax`M9mu+#V};Bn5^BS$tCw*>7X{Po>GtwSe3jI*3@H`hsk88u+_$K z3)VmPS!?tq+$c}^2>ex1O;-I(;8XXtD&OhBx5Q^N_8iawzxVEE4o-EW7p?|8&ln;4 zJ@UvmnCeL)2R2rC@?mF1AKUu1vD~iFt-k-M=EIXB%dW|^Sf#K0{B)&OI!9 zJsMGEX^69QdGvHGSG3W=(?Tzg(y^-GjH=1^TvJ|AHhEC|v0P(OQ>V0VCc{@1Z5gYM zR}$%^ZFHv=plnJce0illJcslOlzq`JokIZVlrM(WKo^C&N~vgpt{|p>~MBy z+1?pVdYk7PN6PIRVjs>`XR~YS+!%{OJ!(ulc!O`$L{$|`hFfuK%4KO2VLh=A=GVTzi8t! z*_-=&S*(uzgBA%`Pg`ge zy=5k}onZ+p28B(9;=KyeJ>U8C$B5D(gW_Aiym>)h(E}+bTBZDkm(MBs!*=Ieu)LDq zXB>(x_O9;NT?}^8Q>F%Lp_ARU3?$2RA2LPEw%MPRdh_AEpP+LwJO&d38=#;pmb$ZzBt&l~J_n;e<vpSqQ|T7T+I33|A!!mun@D4A#=hPXLWsc_Yu%FU%24(UGm`Dvm$6i0 zGGVf0U&>&}GR9aVerN9I^Lu>1-+%6XlrZntoYz^N%b7{k#=m?Qc{%je1(*~>5#=uP zI2S&%m@Ce##pL!W_xV49Jkk@7)Yg5O?VQ@!PIM7UFSosG_5HVX1&64ka7Hx-qS!N* zRyxxeW=rgXPU_3WT6EE=^JJ8n^TeTj2-l+r%M4;|?t{{>H8MJDCYG3M?}>yKe7mqO zfyj^O=q-ziLtV>8O9xznpu$Rz1Jy z2+h)8oMul%QezKm%oB5D22bDAad!V9As+Cu+SM`ez#rl&hMzcIa&EOZEuHiwCw|Pn zf%r9nsTxZ)ZE{U^6;UV~(y`B|7Isw&GJ&5{IPC31PjR4vWg5`OA-wqq# zxC-!LigVVNCX-*8NIJx}Iifk1+m>*%>mFLcxsKhYC+fTJ5X()z-H<1zaat+7L|#F5 zdiosNdjvjU!T7~k_>(EZMD9)HKS!x+gk}}VxQSg`JA#fLXt${wdq0hp!vTokPkSjbj0mfad~S97 z>zW&ySFDER`)i+G`mrj(VXCH`?R_3fG=!(U7l9|PoTQFsjNEEw^oN>~wZ1h5UhA(+ zL;T!0tnosMd&prw{@19OE;;hBJO7Ot?VXy6#w7bm&e*@I;$E(&((9OgmS6PH`|;AY zCt!F8s63peXy~>p3@fuGDkOd)Hqzxj=9@Y12rZ1T$}Lon5G$Z67$;&yDP>=qoH{sJ zwdT$(d>j%tyIbLvt|cHKs~SH>(m5JGj{$_cD!N%|n-oyg5H3payFN9Qs!MLVEu;?g zlGIU=gI)ff2Y2kajFH{%Y;jWA7#J?fSQCe=^|)YBcwz``NUpZeS-x6NUY9uSZ;L3` zLT=SeZE*cM{0!kh#Hod2q|vESE0!$1zPbpW*3C2i%PJyW5r-9KY{c3qeq}@>*s+l^ z9h@hGj`YdH>9lsWjUMe5#v^Q%TLGS*%j#>QSn?atG zuFzUQ&Rn@#WJ$q=I>!V0`jQTjZO1oPxm+MhQ8>P;%7PbO^(4^eHTB{<2pAWUS$MZ8 zPvSTJxk`7gwLPt7CSm8=`(|DB!n(UBt#vmUKxYhf7m1A|u^Bik2fI{TP>Q4{>c`hf z$f;c?sv`=luY|Wh%kkByESJrmEzS!@jO;Eob(5UP+q+$0Ry!%Q!!ft|+pN;>HwSMv za$eE4jfUpFUX9p$wf66U$ip_DneF`PFFC*T45a-grs(p$;9Oq``}jC{EPh~j1~M|o z%A0S9a!z`Bnh@JV$E4*$@_%bc-$>?hx@{vEPXgMG#~ zT#I{!m+`L!)tZ_C@j3#Run*z!s(ZgFb)l!hZWUn09<4Y9iKu#C?B-Csv|LB;`1+GE zty~4)mCLanf1@%NK-#sOa`yDsRF}cGuhffs<_o8Hnz7%Eiw3fPuvU{Rdqb+nR3ZdQFhIkr82#n7&_Tj40@WM}=tgKJA-6%+aqm)Lb#aro6b z;uzz53L-dT9q&v3(0w35DcCAJrb{i@Ze^(duA58EnGIzxS$;7p*3WwgwLVX^@7^C( z5OlaZTAM^mqxJsfdR=nj98&$c8tbNuwQYEP$T2|P0uOv^vM-1Cl{ug3-d&~`7%c{U za;2%|!cRS*)wfAyUaC@R*CnWf{*AqGEQmqzv$<>tY9a2V zu+{#5&mT7;u@b!O$=Yo{tN9-K^Q50o&;DRH`WaG#EyvaGlBQEEPA>-~LQQb^-E?U4{P0>oifv?`!wk-rr@o_WF|#i`yS# zs8h{3?h&-#Pdyj%hzPeJ`9V@?r^|Sp`%~oPt+^q!{0*L^HH@^BmHLRpeq206bx#h^?lWN)$A?af$ zZ5c=Fv=OTqm+pM^A6SQsT6B2&b%`QP_jkQ{y!5N%OfF-V7nwERHPM+4KnWRLA00hm z%bF^FZV3rEc#fz2>5WyW@V0jutz4@g>HSV_D7{&G<>(GQ(*eS#&>cL1fySY^-{X@n zNoe+BUG|m);1A0lK(CLkTI2?NMiMQNkD9moS1{Ox3VA!D`GR|c1&l|z3{w(2b}a4X zO6Xp-EY_J;V!^OYEtCjMS3d%Sef)Lps?X^LMUp*$1vN^j1wnD?rbo>A`KsK{u15^3 zx($ZbRNFued%7vKCH+DFhtS;(w74kt6oQR|zr&=*YK7@F#9T>E+6SF%?6oU62gmEe~ZZvzJ_d?RX0 zP4So2)$T<$_oYq1<_7b3rV^^aQ-P@GW(R zkJ~H+c`S)7`aRU*v&SD@y&Zd0;>|UhVbUVC6H-oKh!B|)uH#)-hPXIsZjbjo-rS(* z-d-Gj{Dn}!7lp5$l7D}ir>5_ZZa>l#xy$va>B-;`_kqLWuGm1f22D}`bEawpKV|}lRzxKBk(7?holC7?EhOi)GyR+c%{F#gn?_fzxa>Dr^$@SSyjd~oWN&kMT~kVJ{*3!Iq#5r= z#|6jcF1;5d#`Q>Gj{BWyFy`+yv$O&$yR{b;Gc}7 zMb&d+k8}}1E9-2lb4AA;+BPdCK{#ZqVw?qF&%DAj)!1*^tdC4>Ir}fInuNe=GKR?+ zXw&{*PSwBg98_G7-)`nnI^OhZk7c)AC-q9l&WO5v$+kF4bkzC55jlz(8yDLMHC zVXJZFCwo7IvADPYX0M!kA+-O+zL#R#S7vk19hk%&?+#brYXNm7f^KX>es&R7k}*Hce}2F`;GWMBhxLE zNRASJtwtRSAD=RBtkq7k2R)9E-E~^KGX7i3iG-;tLTx-pm59t6p8gUqf!h;e-97U# z7A{(u?AzDtD3LBfuK8Mxw12^(-|aV{$>J=UK%_;k(nF@f2UpY5r}lQq6`(ZGZyV2I ztGj$T9qhc^Pi4EP>Bf8;W|8d2lLFkz&nX&2reBW`+F5R7`6p5@PpgTnK(<{vZ~Eyf zUEf9R-0J!AxK4Ji5_OYsc!`|=uhVaJ>F7|}d9|%G5*w*qe$D!}E<*GEFGAhg9-t5A z#(Bj)_q&4gPQA6_fTH2lJD3b+zzOz~3kfU$(+^^0e~auEIC;T6 z3aRaE{p}OIZ~a7(oUjBf@`i3(pev!^!@}B4%DFOzKFpDt50nl}xrj=?5OE<`Q!8}n z_6;4D6}M_7Tv~tG(190gwYjx)by8`luZPX^9k-u?eYi-j-bOFP~Z`cc0%=1P|COU0|&PTv-(Uq-d67 zjJK6$bFpLyXtD4kl8;u+_kHPKG*BHGDx9R4XQ@>={MqB+AV$buJ86#wuN^p zN+N2h&6yv|hsaTITw2wli8hDgTqh$hZ(Bpk9s7&!CcfLXI;;DVT!$*A9soIoDmbBB z`9GH)=q>#uP-ZWDPb*%uuS)s+Qv9?_cc6RQ+~xD+xJ4`a9I+U+E-YSjzMdnxQOz8l zaZ#&`5#JxB2WRxxxULMApycj7bgOy9coI~CJ+tFu2dm|~XE&Ac7*bBMI6c+Q%yMBg z0mft1C(gxKpEgzpKVr=tnNh%xwR}CSQL%!ra5TC%`*up<8lS=w&hp&FS8-moa4KxV)2%Kcuay*t2=`_d1GWsbzB%LAS65@`sIUqc>6@N zO-C`eif_wY0OKAbbkAe(O30B|&F*s+t{u6ZkptVHcgb+N_lV-=f`7Z({sMbbhX97S zXFO^49)(|@7Md+un@u|aY9}$wq$BLfjeEWr z8nwSJ8~^3yrr6EN7_I>#+uJ@@SJr-FhEBPIzVi3nz%x-f9B>j#B99RE4!+J4I5f1t zTcVyK4sS+6i6clQ09Z_McWhOJ#V;AoAl2o+%$p99ey>V6@1V0Tc;w5c}&_oeW9Yu9agmK$-Z4}tB;E+og5;FWW7VJbDD z$jt3Y^D^AMLp#sn-|t$gb=H>&6`iH(laGin%R3{F9m=gH z@3>lL;}^+8f>veA)lYwUjkuh)DB-DeyN!|M$hyFGql$?GYIKLWtu-;{?iS$|7i5y zFm&i~1Gf{connvpvMp;s0xI1UJw=1m5R|Bpy)?PMAmu@iwIX3o%E0$OyP-5g02wVi z8T0J)Gzd$@7F*qtt+8@vqF7xl*=P@fe7m_Th?+YW0-_M1L>&J8@)KJ)<)xAEBX1wR z5#AA)TEf_*N&DLpByyL$eBioE7#h!t;)kE6>(aYJAI;wr7FT3s$ZABvo&AGMb!qnz z1}|uOIsbR!o_m&a+6i)ViON3GHtgG?AolC*Swg0r>8ZDAxFnQCOLH=KK~e&y=q0yM z7dK=b9#bbTzqM6o7ao&F)89RL3@sRP|&?%^wp1{vn0v=5p%PE`=;fb_f7SXnPz(pzd{*+W3jRulJ2 zKm;{<`fdxoP5#A+O{_9CGbPbdo#w~-GLw<$V4IxRZZ#$YcNQ9KuY1o12mGQ?0EyZ6jst+SV*bLy%J18-TqPj_ zko9y?8Tq^Nw`D8=k2e+biCi|Lty_ zmAfHwW`Nbs1WA32RYb2JgJTGZoQM$BD1fXvG4SNswVrzkzXV?#mOISly6f{)?!FG7*rNa`88H4>$<`bSdDo14n_TZ zTB1!h@TrTq98@flrpV|%7}G$yMiZTsCO)Ocg6Jd1c)WPeNVuH;ETMVmU;b!B_B|78 z)2=o|Q<|RT>;7UNccmaVNwRuSds?>0qPtT^_2Q6D63I!@!8xqcHng4G#8KE|E*fI1 z6^k^CZ2l#MSg4X(9sfiC6T{RxI0|+?L=&Jrq#hSvfBfcp@r~RQ<&nWXg^qvIZQifm z0KtW5`I<-4-ol^#3ocEM9?XAOJ3B#+kfsHBu-bjnAFqz*N{9bkuaO^l=x<=QEX9X# z^{w@-&ySj3nA?b+y3m-a<=n)_ADrWvp1I_1jbR{(MdloCssr~W0 z9LPrGR{45aAAz(1lV?}y?3ha_R-R7WDKWyNckbx&_}w;!j|(^6<|rm{-``e(m_*+@ z3dk-f{O0t?geiY1L&ok+U^wI>W#W_5`q+6(M!32lTZfG9RtNv|`w?=0dM+-xw3`Dx zKqxoGv7Jmwz~15>U0_p$G$x6rT(TzF2Em#=i^2Z7F69s}D%-I-K5gRN{hTHVE!d*G zL(IT??d|&Vm-e_T9^RY!&c&b7682%zum~*gP5E;Fbgspx$=#o@8Foci(c5j&F)wmx z2ZxdBL4=>($=#=?R%`EmvI)bv?=Z7mn%AqF)B)<@xY>%y^lGOE=PI2a;a>Q4PlUqBC1gsZZy4@pJ=>>Ch`oQ# zwfU}l$jdMp+-VD)Y2+6W*$Y$C>nNE|d+Fs~f)mr|b9R@cW6dVdH_9LcI|!vQ2p5rN zzl$yzM3HCh&dGrU5Pa*;Q}iZ~qa8}zHIE5%T{z;N-&gxg)KmbfnJ6#bzIcuGu`BPL z$b(&`*b9?sdsl68;}aD-13X!bsZ>Jqyg-TT$T|Xu1chup6Xli;d(V4-ySfn*H?2wB z@o9_fv)7%SK1xt;4zz$(=y9Yx#Xsk;xH5yHpn()}o42jMCGHox^7|k_Ii?e)-2q4!ZU%+*MCvd{Eb_f@!Nw7CEQ#Ua!1_7}8ByMP7DaL~&ZzL|};190)# z;sJ-4s0gWd#fM{E>Y9Zn!-!x z8}eTmy5U@q!MsX+3fxDLp(l3asCo=*sP=-I8J)PNjAp6RuWoB~?#p4=X8|~WaJFCF zw_~fuB<8qtwZx(aaZ0S9Gtcveeiu;{9U9h-BnI6qKs(#h$uEo$wMMh{8|>(9}$^KK_@ zXX-7-1F3iG$)kl{3{O(tuDbEQi3HZWq^@By<$lYfH}cgo%4&w`4OogntJ~Q7+9_*< zL|+;l(Dd&!w(3Fz{8zXcD4jD}MOWz<$pm%l<+0FDJostho;=F=4~=G&?&(9h2=|Oq zEMSw%F20Xbf2iB#Q8L4rp*GcApd=?eof^vn{^bE4bv2Jy%AQ=MH<(hsgBEB~5SbB* zz|JQG4ois3#R}DzjrFRlUH{ZNysVCqmGPQHY(&&gnUQXyG6btOBB10d|j)LMNOGc+YJC#RKI04z^e z5A_V0pHVYQN6trmU3FVB$NICgr^Wxs;!;|L4GRzwUJ9?qU3}@uUDw=hxCcsTY@tSw zPH&)#MC`sexcLkkN5&ANC^LEacqNAN2Ie(gD66|$3{5CgCOQ@(nm!M&DGnapRId|3 z87$wF@=rzJdRM-@?oV@V-%JJG7E0Ic^o1WNjutIW6DG+^Szvv3D*iipxm>TFv0+MwNbB z;Zm#-?9*W1Im8Q4_iG#Th3KUrjKAXAR>|Qs6*4R4r3SG^q)Nx35d0OzTx)D2yR?F#f!IgNE>czep(O71=V>w?nk?y1P7DOb#j+k-;yZ*y0&a$QcP zH`qD1s6W(Zwcw=5NJU>zZJqVu_<>p|FuxPq7V{Dtfeio|$uB3=Y|-q($dR20H4nNE zv0UcoJ(aoEK}-D{x+ntXYYSSuEN=r4i1->;O_kTCtW9sAkK~Osb*i@%S?HJk!CIu( zTWErCUItqZBJxqa?fkm9X=ilXXg?|g(_Ro|!rl7uZ)b!ZdrC~6`2<~%pcYzj_xX6* z4B&R0?529Z%)=UC0wG%l)$@2K&XiwF%BA7kV315wd$4UPrDjW4l;zF&827BDuQm!< zT(aKJDBQC<-nFPYk-_nyuP4>;=?Hy$G@lh^QCLq{`%^M6UGIPLjH<} z`o%l<0g|q)f&#a;`{gfaTJ#BuAMr4>>3L(6*z-w-plb?3icf&~aMDsNF zZwqNay=4V_Hk5O(`r3R^!B|0X@WmL;+9HYMc=Oe9yd07*X%dVmxykR?xkVv`l+SK0 zjKOMa0U-#3#`gEfO{#$SC(hV4{?EV+pPj(hQyRY$uTBEDAK#e=Zs{`+x@*dWeTw^| zVqT18%wX!@T#J>Q7^a)UEH&Y7oo?6_c^V?=UIK;c*-Xi$AxC^z?JwWP3A zNVo}$PgTJO*iYcX5KWnv$h+NRMBkT{B<(UQl~{`L1N&6ui1xVIxg zm-G(~--K>!t9{^yX7<@|EW@S<6TE}4g$B;P5U!Cx8oH~-{JT4yzq8FV>W7e?rL1L1e&=va_sLn zNKm28CeLR7^R)9qF;iPYo}hO@&5)kD0rT$09fKorAmtMZA}EZ`+-%4Wv|Z00yX_Xz z?icOc)~qx*=2%$2nn9vW=dh=(?kkylZ}zMs$Wk7Kf22tp@{u=A)0X#dypPU?p<#^D zQonl4-U_#G+qRz}*U^yUSFmDER%JBYq*%U*TNDQoj)2{x)iQw2JDrw^Pu}l{8B!)r z?UIW1uw5C}Pk;dpz~!NewlZZF|3W8vmzc!g?wOQQtC@E(Fl20zLHIFINi6r=c^_)l ze9qE%3VEuQKpC+rgy`SqNNlw@&0QY;V_;8wBlgb6$Y)#za zDV`!mAwn$gx+Gb87chTSS$GffFAhSvMOWIs7KE(m-hY@7`G`b|JDbz^XDHDD`XN}m zgkMbRlK0L}CMo6^pZn%_*?-PO?GT92Z0`t(UvkKZ=mlHf zw?!Xo?}F#F-bWg9HE|`5?|fo8K5Q>xfH6)$ZLC=hOzphdc6Fqfxl@V?uAKH$9qWtM z*Da0+rD(+}8_xXmIJ2|n2m{M7k^M)c{V-*@4T~(x4EU+`0!QMtGE)w$xRJ~fknMUno5+-r!>?1XIwAe)akAArt7@bMVDhx|PNx7+UXj-6?X2j$v-r-zFpX zxIb4*#D@bSbCy+#hsuxHwIw8{F;^1T+54ko9g|+P(@~6B3|+1B`>d*l zk4Ul3zcO9!<(o-oX$!o4?hV*THfD}4X)Hh|-)#{#mls0YKExVnaOu_AhvI^U!A zB*ZR@dBOJFGh)OZ+PwDcyVa=+2!8D3tGLB%-qMy5jAkB{U@z ze>u)P2H4O750-k~^e+rZDc$FWJ+ZWOUK%W=TE=SIBinL%I{z%ro|K?`8Hh`^5!tqI zb_NwUlHEoY!S*b=$9FJWyQtwWgmSf6`mp`05R8yUBxdZ5qE!RKFmoM(suXmi5Jktq z6F{!IAIQsD{?TS|SIx|tzeC4kd7=J8%^SGv*5|7BkcT5sdy55%5KkpE(^aEep+^|; z@yS>V0(A;;5P^f8Go5JH%PyVFx=ly;$@C#r3rKb2Xu2^~A$!x!L4hHuO>1X1WEHGg zYCwNpb~+mcsuXPI(O_vrh8GyKB{7ew5DC zp}pscft+mxz}Y11=)DP22{ro&(ywx5vWHqDZ7%Xw_N1#0|D0UdF)oxarSyg3lWF3b zo*E;udZrSyVgWPcUgWTb8y0nUG8`WgQxl(Blu`GVAwDxi7z&9@C$DY2+wGAaxA344 z9~|=fEDa9fcu|=VcXdcu{a9V}w!&6(qTe4RHn{1k0!l(mx2o4!W+EqjEVqJt&&5ylIVQ%zkh& zanu9Q|I_{VNR&@=eKg$uP+~|92pF6#WcXoyr-^=MC{5FU0B$tF;F201{V6mvTnG&Y zL#AhB&{w6zDGqpo%#W=TVTv*Q^h+c7mMy^_U|UpjO2YoBgj0H8`e}y?W|R9_?_98! z$CVtyp20?wsWtmWCcR$u1C;f&@Br8upbK8-5_TvN&yyT^a+b~E{4eEQqoFx0bQ>%2 zNRYyplkmn^$+;SdJX#j}^c=Qd#h!3zZ@1>DK~(-AZvAeO@`#UZ=bFwb}A8l zAjT?x6a}ynUamtdBqB1T(|Y^3OmIO)PBtvQSw-W1x*M@o?LF>&UAGS@WEAIJMHk@~ z#q{{dNMD0b@4bq|SNuQTjk(k`BdF2KKK07*<|cQM(RQh^b2*`_B+hGky(1YG%T!Sn zZ42PnJLq-Yu`9Yx(^o zb7s5le?>=*ry=FV8lHOLnuKoEKUn$mqo}~P=Y7d=^)FRA&FYu^%@FL?MI`y$<Z>QH{*#QTF#L z-TAWVcRq@*m%ct6b*-=F{P}?TnZVl3FIE#jmLsTmaD|;f#U<`h<}Z%x+{ITQ?k`DR zKK7Ii86){$3(Z6kq5|hvr=>MaZJ*x_WSgJ?Sr5EUj^x#c%#CmxSwXFPpJ)k4?yvsN zDt=CLO|N*u@ljI#mrfuSRyZdcn}~^MYQ8$IhEOry1p1CoU5og}cnu(YQS+ZMZ3cT{ z`2Jpfx6Vrxgg8v&E_TOi&i<6?d#?8;RyPp|B7nc!XP3PUwAPd)+r^Lg@}^@h5OfyY zb#{0u*;+pwGFXbNy_lw?!!(`|c^2}kZvo|uulYU8yYE9A2weg=e1XSEt1H&R)hvF9O1Qc6i(+wqHTaFkUUSu3Za}s8}BP*_? z>0oIc^Y$kj_2|PI6)4$owWQd|M-9zwg`tZdTq zkx#QlAl;q6ne!o7N7Yx#0NU@p>tEll{NtYwzjtDoEE_Ux|Hpfd-uLOj6A&TLY|Ms)@-f-ccUz& z)|1>>dSdYyc{d^?^K?t^qYmar+>FPD78!*&J9J0;>oJ^x*R?9%+=p%Dyr8H&$1!-Or*J&e=h087*5I(nRz$=DF zLw$4+@)zE?U1kQPO>LyT7A<8*l8W>>K9>&|T3#Q`7;}GvgWYtCmxv=!|LSTgR%NmS z%MSBkCk1)(xy-4d8^ZR0t+=NPr74p^H9nm_pl%Li=d2|U+2s0LLd@m%Ysadt^1=G1 z#o0!M$zCv9r{^rcjHkt9arbw*JOlsKGMXA+C@GKEsRI#y7Lc2|>XnOp5u%?$Zou-p zyd{`(HG*7$;rWI{!aSmf2zCY}?1^88XHTM%`ibSSv{Vu;k;b*um7Qx{R-qe@BxtBP zq9bj@UVNQggK*|3=*dIqc81hHA4^(34m=%QSnL?4?2q zTv*hZi>9$x(Rjz`m~x8WZSMo`3h=Zkt&lL;&JMn za_}ZNwEcZQ@(Me%vplYJGR-m!-TR?EDdD+WNLD&!|FBMY{dsc5I3G<#zz|$l4>sDD1Kk{G?wwjbagbg}=zTv`JW-uha*~}bsu4p}?UhXD z0;b$)Ph0F6I{@DxDU@ED*_q`7 zBfA;YOH|3rhUFpBbzSQsz`U$qfX)?NR9)LSdXc7IwyKqTsMuG7QK6qZw8Q0NbRJx( zT<2GIE@wG{4ux&;4%+9C_*8SgN7LdtpKTGy2nKl~wBa5W_zqr9=pQEFAts zoGQ-oTUZbf#{@hZz0K-I3SkP}ibL8+BgpJ>H}RWK)=S3HtOEwMb~@|}CHnA?Tk&7A za5;Ws9{JF|k;O^9ajFew@`SiQ{R0sUOyYPNjzoLLLeqKSp~63|UG(mZ3byA1yJgDL z9UU9vRX{woDJIlkK12xUg+itAXBBUUobBDOj1-hacU{cbFZrJk3J+8xF@wmW@?>pY zT#=QfMG1g!>tme9Q??aaB<=y+Er;VE$Oql-pp2BnrSSS*&&G^QEJ7hJvVJ7j;*}>) zgAIGX`eQt4yjpn30f^qHiA(Mtz63M>og0ip3je0=n#2}RXe{uw5X`~P>XNTxe;))P@$(&Rs<0ri6a~*o+JedM9R{(X0Jgo<)dNqe)U+Njl)Ko`s0{Q(c|Tf{KTt+RG6mS6)l=Cu*^5 zWO+vb;DU-ABoxZ*Up-lIi(RnQxBNpS%76AQGI8%RiS~kaBwM#8?XX4+YQ9ia3v^(` z@NM&NSWSwq$}6^G33L&HQ`r>fAWm~44j}KX>Jn3AgSweXPdk;U6&rL+C}4g7>giU> zR7_?Er}ueKvfj@s9+K4=#I7bzZD7tHpa*2$Sz1O2nN@fSao}%)?VN9gL*dmJS0Rpo zp0Se;4KPZew#9R4nJft3E&4IQ&Mdx@bpKoAvWsz3t5=p_o3&&tdwbR*JJD}M9=h^a ztuHUY8#H0DQAdD1u!T5V2L(tWgg_KDfb?ZzqV+ieNFgMOjeNpIvjEs=qZVeXGp*0h z)Ay^V-zfl2TSRnL%{7VUb<1#RZSk}QW9=`GF^ihn(Dm`zRJU47l9K+IGiqIwE; zsninToZPA_J0ns?v{^dNK?ex=p~M2;Om-#+agP%xjZ-V3uoy$7Tn#v2p?Tzq2-5xp znbM;0I;Nh{@4bCrMC!*60gpE~$7b5607A)p_``bL_KMvve+jMu5 zU#6+|Jh>BEUzKubeMd<7{dNDn^VkS)SeKN~3XO)DnE9{}HNUVH8=+`>DLc?;eecB2 zh{)q8zh#Lg_1-!wPtTWo$#u#Pgv#qQ2`P(a5gy;ItCmkST?)VtENGDwUk7~)Z~`VF zCOX{-QFpX$JMnOuM~m2PklNj-^o7=Ct1h}dZOjHsM zDO%2VNa_a(dJW|ueym$Gi-T|NYW4m$tzw1~-%!m;~tAUP=4 z6QEBK1)ifTgpETEM^p|*)=N*^rk}S1^MtNy-PvA95cN(mF=<|{FrnFq?9g7)9%qM_cP;EJ zo8i5~(tnYlcrN(=S3Db>(p#Hupw+eIhnr|=29S7YHm@l>C<_>00)JOP&IDp}^|XIJ z(lhJ_R@U|FFJGpV4l@6#(*&Y)-HC1qnxuJ6=_~w)uEz$wl<2Lr=LrrDOR234l<=#k zC*u!4g$n5we|u0(*JGwV;EL3bbYkbrR#=&}k?J9r0~RQ@sdo$!Jg#ICCf07*R|i_{ zC(wR3v16saSPKp7{nvey3*Qs~d={so77R@QluLPl0ea;Sb+pP}nC~djkliOwzaPz} zaEK{A`3a(jv||Zw&PpT3md3p}JUK`%`}8nFEi9YzZj<8YhH)jouf4U|Kbet4^C`@; zw&nP}h+H742D4_NHlY4b*-Vl9ugS7@gHQ}p3p<|}`8$s<6rELQY0Bwf>UduHX{>PU zX-v}C)qdpQ3uF=jTokgCa>$UOqeA0l3q{}tL^`#z_4uK~z+-y88$q+Us^&l2xW+1X z)rFC2I9K$eHq91$v1pc0h;SXCjP7%U!6(M|3q2})+eLm+IM|G6ObuyIIoYKj79})ZZu_ZE- zZ}$HW89ST|TI)6$b=i5sl(+VE>)yJvgDk9}lYyOu;#D@lcUCk_#CT-$vfcEJ#VK<{ zu)OE<&Z}GGy^gvK*KOl2eJK{g)I>TgrG_P84%I#G{9uJ}l{r`-eA2f<@b@_?@F46F z>VJEAF)LScQ!<$EUrF#`n$1Dg%TQ9A7h$GJKm*x9#IuI)47Tqix0H3Rbx{oB6)@5D z7bhIha&Ry}8;h$ugo_LCLv39B09aM3g#}%ZIHfdRop`nx#3ue`52x06C1b~f^0j<$ z0AyFG+8VmbWS^G5zuZu1svI(_)KmULP|Cm6HHz&#+2;U*+GhgTAtXY=0ie?S_E*`| zdUQCR?b3uh3>t7w!1oS%Qv!kqU8dxK%q-S%kvEW)`@ukFZ6%!N17iXT^T|hbV^$3I zJB|07-v6vQz4}pKKIcae$c*SB$&cK*Kot(T8bH>fJRva5$7$d6UE}RI;tC;1AS41}cG+^YdQHFQ;b2i`0iF-=mj; z5Q9C36DGabnPpH{$r-LNdB6t3zaJ+@hEnA;i0*f=wI5vz0SE0FTB_bPVwtc{sE62K z2AFh7`rg?rS`uye5dS-70z7UTfml7H+R0~?#bj~VS0i^Wuku_*ydixGpk{IXx~>5p z24`a}CNAeN_h?F2_JBYz+5(dsKYj^;6~{?V$ivS$sO);kc)+q>hoX|h=WaB#ti#iT35@oV$K@)|K_FQca`qlvUa-Du}4c{A5 zKm2nXg_=6sccmmhCA_nQ9?AA@Hyuue+>ka>P|Yh-`TfXY_AuG+miyANMQc-GP@$|J zM=SDhHJ)(#>VF+lWT*9I#wno+C?yzXmuc_QYAS4pT8wftFUiKkvU^+m^jEDQhNuJC z4YL&|Q=4MHwAuV&HClcMK04r1D_4-w&<B#W7^0wBG{C#?mOBIkAUC>To8bEGT(%4{3YXwo;v9H)d1n2W zv;L_BfB9hR=ao^h=~fbk^x&X{Q4*LQvmJ$QDW1EBykcbeQTKnw$yy3fO|jB{^C*Jp zhacd^A2+#wIHW?W=w`b9qeB|RQ=lG<(;&l_g~dnB%pFEA`Sm6n%Hg1` zX0&y~tzd;E1etL+tgy!4tELC6;UjJAS)TE%qcYj|Sf4?OzgI9#g+Y8j0%NAa%Lth8 zy?09^e%d4}S6(#LzxD1XG1vOBFto@s&ONiEpd>5Oz~LK37&C{BZWlnGUviHBCAzxL5knbF){K%#Hg{t>oQET03?X^Oz_5R>NljG z<$*2yZ?DtnxKq2OgPwp*Ktd(b9PRurh|S2-l*_IKH4Ed`mY#*2kRRY(vCOh!aI5y%w_`9K)nhF3;MShQqhiw=&#Yp6$-HMJrItGsQ1|i^{;*wIibo#y&=P!&(7pY;GTJVguw?ufacv)LUF(vohwWy zYH1>Sqn&R0FZ@#oye@PFx}n_vEdoLR>AV%lFM#Xs8Ok$s{%*c_hlc{%_I|(-hEV>s zuIy3k&HH=Ww9Kqn<_l9rr|GagXCAlrhhJh~7qSW`-FFoV1|{Hk?U=4tu(CPFyFB)}hp! zsxG_n6+U@mjk_NZxC09n+UvF(H!+qO;&T;2PEtPxh>1v9$bA08#{XLde&zpu9R}qs zBj>pyBFOY`)Smqb5lZ#1moT_*UoS1XF2OzwKd$vP3v}D=GLK&=15gN^iw8yc5b(K6 zvB)NyYd}-2w$yU7fyysxVKS1jvRG@_aFoP)hSntyoBeNbAYZ*tOC$X^1aES!0?h`08MfyI6C`F3MKh_X0;l^hv7QcW2-; zuhVcDdQnq8cSDKk_o5&|>`X{$yIuglvG@mX(}=`LTeNfBC0O?9?W)inD-nY3*6QsI zhFB3YaUsrA6|=@sw_s5TdgiZ9h?LN5rd*Jva#GNcCY=22x;jCB;XE>KRR_8XYU2Ky}jB5PNw z4HotSx^V`UWM8P^I#3mQmF`0TZDiOj-|9T)wssBi9uhNe*?>+ z^1b9hH>n?LEKT$QJGpyQ_h=V`EgoDs@f_Ny|2s^w-Ne_^@G=F}u6h9G^r-g_+X8je zSqhvwi9JRa2?8A2gT9w2RUPTFbm56-X9Yuvqb>D9m|z=F?=4C=L_p5W!2k!&`MMiyFz~?~Gx1Kj z!}~OL3WJC#DIqt82YGzc5Ej&+p(I`>bCXxbTeg#1qB1+L{agBhy{#w%iUvY~mmWlm zU19#0OhL?mF4#f#m*%Cp1^_t^4wa-uMf&q0;$Qw^jYC@fd&xG0y0tSNA3Tw~137{& zpvrY9VNk^{aaRJCd=Lff23`}A2YscK4`F^9;JAV%94=ADtNsn_#bLM+i)+ml)l9)u zp}~`gsl}#v0gsgbko`MpCIMAxsKg+PwBa&JrF z1*Lw}+@iqe(T&_0G;ntP|JZxas3x=aU0laOR1{P?NKg<3K@gGN6zK>^FH%$lgixdi zgccjpiS%kvsz{UGiGmP%=#d(!0Vx3jgqoZkeP`a^`LF-C^Wl6sS+izlkth4v<=%VW z<+`ss0$!YBB}M}2bG6kc7l6SCJ3TYsRCj;i$rDGwpWq5I)nJXKSt-v^QCKwL7;Atk zLeaLm5)C!UEcQU=4PIl;vNCUnX{&8M?|HUDvY@*7TU&imRo)>{YxPykh%~}2> zVFGZW5V|iJxL@EC{gil7bgEM~I1k~wCLz$R^#JW<$UIH{|a@bsb z@t&Ufrod~=_>5&y#fE&~?6L4C zfZ+C>#ko*T&mOrdLn`>qjOZ(``AwrPj(W$l(r?W_u3H#~&AU|U?a6KIy$g6YOye#w z2P_Ynx%I6=Z|5j?FI##^`jDPve0j%x2g~dk@oM2G$=7_l&C$i_iYA%pbhK=sYB6di zeP;B&dX0kknI!{@-iGoNOR-!Q{XKIk1qR_=b$P#hrU%@XJ1*sNfI)lemAbq;K-#+F z%}D?*lO?CFQS?#SI`*c${ahO`ceBNL;RTz@m-t@1p7|EKaFsHRT;K)Tu+YCV;qpYDbv`Ma zLNy5X*{IJW*`aDAW{b-jzg8l;L3v{QLeRQ8;#rtcR{N>mE;!cgn#(^FSO)H74)@%v0*Dvrq z(?27RQUp?Xt zuWvI|eKYN16+c0KlAC6CLfY_|-o&3dV|^a&We)QFAfs|AIQga;`4Z5?!tf&09q=9~ zSu)pKX@YeM5Avzr{lSbZaOfMG6Q*$DX~5C4${de$OzE?>O3vJbZFeSnF#)_ znDBFOyxdB|q2;w3NZj?wtxM3vlmI-u_f}{R$hmm-DHl|lu<0fW+p;>!8tDj(gTgZP z`gdN@-JJLIGf%iHn6z{WY zUe37jG$Ms&%j$k*C@nmbz@D>(x79Y$z^BK3YMvMUlziasMl$De1Zs3o1Z@21GXZdH zX_3~_jl8n$rtVHUxOHnz+*bT->Tvp@6KSSDE0pd`H%SISM=gvToPp()0to2%<+;qk z{e`R^cn$#OeNiKYa^_(CXl<^X4f=vUQG@!0qHHYiiN19Jw_l3q_z)XEpzO*T6zYzA z>YHb_i3j{&-Q8#qZg8kUURwX*2rMh8#aRF`@GXErJ}xUcH%k*L#egqwCQS>V`H_?1 zHy-5IkVGQI6tw^&VNywuB2as0vgC9f+Ev_v^YuTIr?VtlW({U$cAc%;F#Bzn0k$Ka zyG5uDGWO_tX5Z~ zJP(>IbWNv1FzC*c^t4MJcU+BwkOoubfOV{f1o^clK*O3_$3=n+soNg)`I`zqDm$}c zQ?9sjrYH3;e_e6{sNfw!TEl}-^2ECDyI~M97n%s(a+%bnopyVYVEyNyzgonH#+4IRs+e zY1Vm01IhWeq6Xc&K*=miPy)Di8gl_{b=k=YpU*&gjBE3}5-tFO>J&?_LkM9CNe%ar z$B|3NsSJGk;MzG_sek8w80|8)!0_3O&zFi>0YG}!T>eYJ*dt(ZC(zbKZ%qLt0eO<9 zD`Wu9itTw*AvMe_gTYj z?8x{OK&cSR{==n8=(1HyIq=CPAW;K;ANznRW}demJ#+jLNUN&ejkp=iTB(BW1{!dX zzMc&dz-hBJ)Fctq$ai?ZQUyk!VUR^%2BT+QQ%6n8N`-IUH`uIdRf)=;W=6{rKv3Q1 zt=mfsAV!EX@nD$WtqoWgrNZqUTc@BY290{`GXR8_76+)%(=^Ln!*8N{9nwx1EKFy#ux7M1UobPE^4wJsZT`IG~ zFP+5eaVhyyR%LYzkUV9CBA>YSvoxmHIQWL z@gBree*UyD4^=SSH~?i9yQ5we%8b>7Y;Xeqt6T#(-0$82%d2JSAGHq3Iu(GjPRp9k zxg8}BdH}0SJOfO5m8wr)tMm$d43eMd|Yu%rN|wVA=C z?19JYDB9`_8(^h~I%B}j|Eqyzf`Q@A;$dpP@3(zWMM$o>{KuJJptLCvB!C%pYYCsv z#EW%}*4?aqcg1%crcgMzfd#ZjM9B^dVdc;$u9j}QD1c+MMQGF+Q%#-v9Z_GM)M;U~ zjrYk5`2b-}2l!fG!ufwX&9&~GJ^1Atmv_3%jw=jOd~Yh_6Uf0JxN6DX^s#>h0Xler z+0)sgRe7$*m*$K>enzvLw!@+);III<(!7L14JgShiP?{@aa4Fsq+V)(b9Dz+R6y0m zzY(bku^6*BNGm8XC~|-PGdrti{fpw@l-*Jgo^ZFLn)O~2Z}RL!iwV!ET7aA+Qv}2n zA{qBfei*By&Db*f_!5Ry4X4^KM4uGu1*aDIpbuZ>9Y2p()EW~A*WjrQh z!Q!mgQjJmulV|-py1XPP?1-h_=zlQ)qJKe zlfZ4)abZdySTBbuE3p8x;rVi^aRcX0xX&tI42U2clnPT|xdJo@7ad>#WTSQ7*f^z< zblgOD6h4hO{HPG%h8$GMS#e4kJIH@T(`a&PY?<;_0hiYy;JASKx@3WptuJ01UX$3Q ze{p??$!Zs|Z~^tnA25)}-vjE4p8x=qq#2mSrf}WG6)cZ};+Hm^Gjyw>)Y?CG!Jk0s z;#hT8$hg*68Di@aA4tKjUnn>3{`$d#Gy83LQ6>RHl(7|N*i=JDQ86bK%=$7OEH^Ba zMk;sY<_tP6fcvN6%g1?+N1+oK3%T;vsG(4x24b&-vA(PN`Byw#4?t4aABVHhm%Q6( z3Zun>JN+I4(=hCK<*+z&(0%6R0$cOo^0OL^?)_^mbWKqJA+_Y?K4+=9SufE-f;JW{ zi>sT9)!g)o8LX?TyKC)P5QpHeGd8$P`P-KJpc0@TnY{VENNWqYv^=gKT67Wt>5PY@ zI4fBRVLgzSBl>lc(Gwt|9mHuOdw!IBc9uJS792h3hnTD`x1%6Db;F=;w$?0_)l?Nd zo&Zj)F|S7LMaze$L1p_+mD^Mj3#cHKn!2V2;$4}z@ zKMmdEnOmeHGYcqhN+rZwtO3_sg(AqdNI-`NQ0Xx^tp84^t;zDaUs(alPX&POVeN_)$v+WS|vr zCF45*g8aILE+EmgPp86urZDkTBRRC7BEO~xPR;kNGRME_Fm*W*8(5{~P?G=a66p2e zL8CA;yXyMIN`mMEp{H_H)584~SRTM&PM}h%%vg4Mt!PluH08f$$H$T~h>yk#&?I#O zpJ@>g5yHNvBuuyW6AXN**?V^Gr9(UkZ68_mqhN}D7K3#fI&+rxT8#Swg)B?HRjUg- z%@LMu_#jv0U__LWCO{?YTBxEiGbbkmutDJbE36T)2Hq?DQB4MLfpdv`y-*i2Li2zC zl8w)Z*%y8O4usPqN6x@3Rg$WRl)Zh0NU51a^HW8$yAZ_A7-rrp%T*1HA_Ng8`ou2+ zEk@$$tdjvA5h=%Kbyj4e0R1xD$U;ae2+sim5$Fl3byNT_!O8k3)-D3r<_)VvcVXKo zV8|HP5kGq;u71;gKzs#7U<-}9eZI`RBGv?PW?u;sC~VzYUIe!r_&w?Yz)2SGKM)9D zkun%&8M-yU{d}Eci0PXTF(JSm#GG92*AiNba&=)<)7nbr7B;X3tx+wKlwjf?XC=+C7Yz95?Y>X2ZK3-(zqgdhbR0~*P6D^rQWgQq= zU7q#1?a?v3FU<<^4F-G&ewUWkF4(yE2I{j1Qf>(UN^WAjNhR;9JQN40DV$;iuG7Zi zt^hNeDggWSnA3p;x$S+mRX;k(waH=uQ0f9ss2adLH42Si_@QSZ<#x(8DqKLgGW3e) z;YhVz1zj!|z1a|N1a5{ z)^OXY5?zsTB{U+F@7uzMf!S@ZsaJ@)3KmAhsC9$h5pe3jD&1XMXbQaz4#d{%anA7% zu1OkXVBH;C$yUO^5;*+1G!IN+Vty#A&R#9HMOC~m^S#mf^Urjm(5rhyaO4#%-ch-9_i2`W7*{Fjpm)K?zUSiAo(}{CzXlTCKu{>z5q?hy#@Y7&y+}$f3(&g(#ybgtDvuE<9S9vr~!RYxPfzF-LE?`D$BV8=?{vv8cb|#C7rjq;C?B4 zX!SI58r#gM=<;OB?PWN>u!Q#jEvwG{`)^IZ5nbWbWlDtbTP+qW^YQB_SVE~x*Wc%yk z?EwgO`Nl_b_D12LYGUiH!OeyOLVJB#B*8|v$Z6WofOWQdBqLQezE!a`Lah3wgJ%SI zSkkEbLPB^TG^`d%CpMuzN@TS+lyD;wX$2L$JtO{Icu4Hp0U|Zp@34D_iM61*x7u^! zMuZ}r`2ygAvl;_AS_YH(J05K_&e^0e&J;@(H_=#5Bpe*=$Ld--G=_%^H9lR zdGEWK_WZj6S#UU%S}Fb_OwVugTTJejHtH&X4?Bg4{H(77=ZLq@MsNnjdvH-evwYt` z69F`=AP3|{)7X@rT^p9nZQ}vT6uOJ){dZ+T5G zKCU4Gh+aLsFStc7BvP$|`gc`tS(Vj*ERng^_B&(Qg-thJR9NFir%RlVJphIx2xQEq z;W`D@vmEHamP?`I%ACP|kF8maSmLTYkg1zN6l)#8Sg0(?DRI9Xx`b~v^v@6;X-Drh zf?qEe)hrDP3vcjz0u=2#dx1s$8E4RNIw;*a$D-?A>l6#;BH zU$F4X$J|y$YY}0m?r^J2;+DEO|M|lQngI5YGVg9Py?>Wl>&UUyq2YFI1<<1*#iVLn zoaL3A`8-Ws7aqp zEiM6VJt>mxhuHBRwz*8jdOJ*dUXlsamU~M!1KSl;B1AoKSs*m!FDzBH(2ZhOvw^CZ z*cAW@vuK0lRuJYRR0621cC(ixTT(N~OL7Z_eJs7gq+g^9=)Ve~LOJe7%e*V1kW1zp zFx!ZfCPzsc)9{q}Je#mFFNcoWXbiuHb3W||6E<}dq%q8?06>Jn40OtzdfuC^o<0{) zo#lfq9Y%sH*pbV-!jp6WOeWx`&34g&99h-7d@ESwK1i(3MSmYNTqQHhw?4ACNUdtO zTYn!L6x`=wdQ-e^3ef)Q@&OrRHJo?9N+pIJT>d<*W40?y+c16Sg%&^LMihC1ssw?M zYC!ByVQnp;hij`mg&>UPAZe0wFQhy|)??*$im+9~^vP+6u3`uzB1yJHyObahfMtVDggIN~=I9_VsR^Q!Z%U%-5RnzM?oSc*Pjc6`_ z>EB%M@$0j}C9v2?8F-!DzHFhZ1yYQQD$sUaO?ANHz9pgnP}uJ?z2zF0RD2o+gMgPF~K`Itmw{$Ph#jWwf>9{MviseL~~R8`b^YbLp+N_ zk+a@RJe4U3_PUf>Y#iXJROBmwC5@pm%&r-n%(FCnAV!&V{8&JDBV&fagD?BLY^O4A zOlI;Bn*rDQ&%`tgciZswp(-NiTpNz(Qz0GQOMt0MDB17Y{)f%FF)?pLU!O4GN&hVr z!292Qu%8=8Fqn7eeGLo)AFdX2pGYtdL=a#p0F>z7dhu^p!{-uy{V)K2PRM@`^9Uc(N*kg)ODAK zZ+*QnnvzOdASGP*7Iyn%!^)NhtOgiy6MBwU2dFuV?Uf(^xUa9CEO#dqi9YXE)yW|~ zF%0=wuJ1=QGpJtdxosfhGd#Zqs;3hHy?P`ykp~0_=EJot3s?iR0hR7wh!Ri&q~>eO zd-qI_>*u`B;aDY&<~{k4F=+mV!PABWEr2Wo%6+i_c|tgE=DT9SKhynnW zIl0@~j3*?K)}3#!V!;+p0^okz_<0K`He)rDQ-GWnfg}k}ze1M;VHiMN+BramExs-e z1zp^=wO#1}$d7;r<^`BT4d4x_v@l(?T~z8QyGC{j>k*T%5_u3#&Fjj~(V7~Hhadq< zSt=uIxP^sAQKqsro;poRKl__fD=cT_0Bpb>IVK1ts#9H;^-`tBgW{Zbb0)d6GVlX} zlck5bap$cgK&D;p^Q$SHRPNk7%M%M28`pdYmyM2vVfvWFSKwTvN(()mu4W`-YpZPG z4za8v8(0*K#mMr|9;}!=OU34V(RY_GXXVoq)}I9AhpuWnx@>?`q%?=~uqgJ5zhvGn z%wOAK1lE1*o_M%0Syf@h@DOu3V@QiS`x{c2Cv6y?Glb1W4q> z$=uqy^YX)wbn*%&0zfX3YX{IFBCh|{_@aNHAH-)r4LRl}iIQ9Z(?fkS*qpjhD}PI| zNeM}aUriZ+0y>?OPsm%J9hQ#&=p6e3;MNQ01N39Xt*gM*)O^2|7GBpsTIu)clg}r+ z`X^3rJxm)0Z(ZCjVufzpq53L^rGytOw*bTfO%nsSo1OA=rXDT%MD@_QX*qh~d}{mk zSM1GKR;4TIdLu&dPQio<=NYQ2mkLFob2%^4yPb4*^SJ@hagIk53ij{lb7o1Eh#st9 zy0K$QTT!=jTuLO}{Csj4-KL)}U}6IB;SRzu;G3}v{xZx78oEWhEKw6H634lWFQU8fLg0CeDS($-lH%?y~t8g<5K0a^|XV4VIxnWzco zYOvzp^EHo|WI6K~U5^2W#;zO)I0B36h@If!BUp8RXJMQu{D(w~O0>7$+oCLGYXT6Y zg2f94WlQ5Rny=yH*M7dX&f|PMzV*$6rw{bhM}n^&b*xp??v@1QMkMb$4l!M%_rCM= z8@QKh-n!8ab6hns*M7YFN~+djGpeW$OU-wpsy1}-m%Qg3M2}2sv<>jf12W;8>qW&4 z-q;Py;Wy2t)Y4LA_)ES37_((pJ!D zNE%j-cwtK3`kR{Zo)TaP=DY>Vz3I&sWfh#TuH$NTTbfi+I8w6j-!Ym7cEZw)LfKH# z+6=hlC9{Vx%`|?XZi7&X;35#E;m5gbII$?VdqHubtb6jH)VZ{zRY6t0&Zw{>`SW@C zqS5LTo@0;8sDWx}fWYlePxbKjS(^oQoNw#8WSz4No|S&x-w*sEb#eM-pGx8+`4jaL zHl(Q8I)$kvJzJm#uoThfz$Vf$MG)pv4EEs)?Bx<;Jd#L{WZ0k&L#F2I8QdeOWTWeK zwC{c%CFNThI#lfEa!RY58LBM&27wvcTItveDJRpE!rUpgju6w|yx0GSEML^eZG;#rIu(!$VmJ zUib_cAz>&pA750%{_?8!#EOJ3S$v(xk)Xe-iMA>r0$ z2a>sV4?mY19MZ*EX}%Wb)^kkxD5vE1?eV6}^3BF8jhUs!V}aX^+-|JjD>k020kCX| zY{Mz};jZ*TVe<{bP$dd)GyRx@^}+t+rktiAgMJ24+UnkPD=laO(Trf~fNyb5T3kCf5svqNx`)z4{KBjSXoGwaDEv7W^yF8Ogz9L1aV z)`XIq+&HXf->zhf>}5pqGl1qx>S)pK+fs)RKL3cpGiK~xrAXoioM)Oa&K_U%EG|yl zX&ZQFCuQDpou=7`&WKJel*mA;YBNfWvteVSqP~bozYC%=Z*jULfbB9ioF@e$UcKbo zoy4HUbVSV*y_e(l{ zY)QJjTf*TJY?jZ#=Y3tS`JN6$j9V;rFFhP*t8KXugg-HHzvs-iZQHJf1nkva*H4s5 zU!6X&$uo57{u9(!H zcp52pe;zw8W0tVIDji%GUaj>}q`cpTM6n*Lxq+wIm^2zBoa4rS25drf3x z@y?^S)FP@B$fm+RW_Yu1JPCytAIg#)S{OA~w`Wpm;E}YI7W{_>n)&p#jiIEhsprfL zANKIe^jx_HzOv!d#NAyV`}`#VB)?46O>`bJA#Lq`OZdYEXxP;|^$X+0g{~Lm&8Gjl z#VS9+%2zuq{$i_K?1%mbeycbV->EUVn)!4D z&eiJE^3f-RBVyFrDt5tagxOh?wut_L!g^M1_^6x@e%f01h#xvPo3^1lwsX;=?=>e` zwjzAu=;6o=&P3$Am)mF8XO{l>mk@?}pN;P*52W%oh)0EQLFd~?D^`>yQoXCTZfCki zO*juuG#x5zO*_INyA*igG`5{k7c2n%k=I@KV3+tcU2U;p{v=EYgK|wYI51m$>`7^` z_~qMWDRn5>geT%optuiEh!v8LiJZ?OCV3UE#2FF5~X%HLQwguQF1+? zqrWcN7k>oDxrbleSEiiVtQgWisGFabuqOEGx08;$yqKB3f#|NuFAEPp^pg%I?DhwI zP$V4sCz`YU^i!l11X!ix5Sb?H^AhCLsUQ=V?HT$5qy_Qt4XcLF@<2KQc|c4GMa}Dl zaTDU~^tIO6640Cn{{9R>h$kdANUfdaoChl6bK-N~TUXyrFSQNJ`@e1#&r+5|TlsdRv(~e3Q5IBtg6B~J5Y*P;dsZdCi6}IKKf{9bMFeq| z*iKX0sCeMqV!h<|Gr^-P(D~5UZ&JKlwC>KY^9PNay9Y8p2OR`4Og6^y>}tthn5nvv z1cGEkV3SR5W7YPLJp6FIRuU@_0kig#k0*>An(vZ6&+Yf4s+9BKF zZgu$9@%gQ1E)u_%GFh)V2=$r*rk)5*9^kZb%n(vrq?1n;mdLov6r{O2QruDC<#pgEnhp~)}E}IlwU0Z`B zs;3c8#5c}R;OWETKH4D^on6<2VsSPig&rk&C_A@wvY3X5JC4Kba}0IM`>#yxAEjL1 zk~xC8>g&PF>b*!m7p?j!l*aM!_g3q8L*L~nMaFHn$QXRhfUltS-Cg+^ z{LIo8ving(LKl9`zOne2zw0ywhp<`XalsAjim&YsY=uz9^yP4?&vzYkh=pOrGZq8W ze)lM3Uz5F>B?jRSJ0ziWSL`ji{1;z_CE|CQif&YA@E=-mvrZB3nKlYBmPvA1KVl6bUe?Xk?thy3`OHTx0JN!E<4LtNU%tAHv_*0ODJ?+5kUzG@u@bT_fX^y-|0JauF z@1L%Goq|=K?>>_yr*UT_FP>sTZO7w(Yp0{$IP|!KKL4o$7kd-HZ9hjx?JICD|I-t< z+6-z7{>FDkRsd>FYxfxSEx*$qz$2!~sRDf$wL6xW{LvtX{<-GIcQi+Ok5RK}|9mbK zqwZ(yzKr9%_G8sZb8{5XDuEg0`*%hcnl*3Tk9D`AxeCia59-w6LEoQ7{%74l6H(a0 z_@{vv=Ymd2>;wGPg#KjCkt4kv|GYqO^9UcuCyiZDf<$%%K;fAym|Zb4kDCibr*x1rSJ9_pu{mpz`M7J3|-rYk5l(hiUgpz4mU0H_NN zpfJCm0RKW44qn{-cIq(|A>OrH4Svt?@9zhT1^OOpcNE6iY};sV)@Ie;1LI@;XMBL1 z)CE_35PQCxQHon{t%1zI=i8vanhnPA?<5HtjmB?mb%^@Koo^5pSXMoGWPwU?@}JLr z@a2_Ljbmf+3l;7PAHfgl{vEtA`0i#$$=)kKN7&QJ491=D@3@cnm~=RMV2cgcxBweB zRv>7C|L74aZuNJ&Umj1!Z!BrvdO9O#EUmga%H9C^^XC6C^$!8RKV12nMj#Ly-eBs_ z8~**dJIN^H4k!L&hiGl%`36Xj2X)dj|NHVEB!SfVGmQlYUtYz^nT1+i#p?jM`tR#$ zd-8y$OYB%-W<76pU)pYUbP+pi+))^xt|$Bf?2$L18ngy8UaKP>jOx$lnVfLmxM|IM zcaJ&8Q;mt%S1|;?mFDYlP=0#@Ac#%r{C#pLqCI%ce?G^_sqSuba1)md3zc0rnt%Qb z{#!7>AdqNKpZx7ioFKow(f|17|NZa(n}PrH8KA)Z;D32p8w~F@oa4LmrZU<~>Qvj= z+fUBE`4l;=Jo9YXB~waSDl4;6nm$DHo|>ByP0Gkoy@Av8Y)=gPj_62TuG5UUtnq*4 zpU&~i?wOQLij@FGWi}_8^Prj1Z}c6PfD(m6|J$QPf+C`qb_YpWLls4lLV6`#aq~m1 zxI|Rh4AVay9}Oi$9Kd-gD6>iC-R`zTW#J)vRV%=g^MpJ~mfI+3^HcF0;om#_*v832 z1kDqz!~Knw{~dJyT(#>gU$nRKe83CWNIAs~1aIQ6ylAZ2d~tZ=u8C^n#f(l;O?09P zJzC%Z1m1c6`Q_q01@W7%^@^w8OLT3(Ldkb8`)M0AUdia(Zi!xYm7UZ5_eqTyVOo`^ zW;k4&E5d^36ki!uY)<=}Wg}Ll6h(-mc>mj(_unXDG2)XLkJvUo84FwD4T^zsVx&$E zwK?Z=|6^KaD2(lUHhN9MHMR?e<)w3nJaGoTEv{%^ z0cL##0rybA?;}A~kvOQ27e_t89}2MaYf&fP^ScM{Nb{x~CR|wghnGI@}{X!JF>i)ZK@+O-7L&TH6Utt}h zo;fb$9^59+;~h0F^Jk>xL1U_`9J?WHaP}I#C*{Fvr4rT6{szjwsB&^Q`d^WWq>9W& zIJF_Rz1nQOg|ZyN-fHxi+QL8r=y}#PQfR$QjC>baW0sDW_YkdKNq{A_sQ>!*XZq&q zc)?hMzC9X|rrhX?5!v!eZ4*%|#f)?EQm*{z$*m?E9yoLRC?Ar$=FXEiibBi^=>|i{ zpIJEf?=0XhQ0G<=NaAjAjf(QG6(}zp(yrjK>FiqaB7)i#I?@~U?fas0SRP*a%P}k7 zNLsZlh)~ubpCGgTc`ynhN*S!RT){Pwt!?J$Wuin7{k7i$oiz!TeUxW@cd$+Uo>bb3 zcL#;#k7SGeE7@jqCNZ8B2PR-56ftEy{U=<*o}>d7^#-c+bNzSV;1!ng6z$7(!7%$bjm{=CgZ!>h7Xnx3cRPN!^4{=T)MT`r(^O9fRx}W!MLxlIwfd~qk=#x9!SSH1qF0LWM z#(CUdhYUUd55WGr^dVp~{i=1F-HxtPOS)*vu~HbljI`-6QKNQ!F$*AJ@_GA>9$m^7 zP7aETx$K|?4k!xmpG6%6=*>BMm8|>>L-qDwpAy@YI-qw!^U+{aW?4-_+E=A>4j6v# zP#I8+Vz=(x;^!~_nX#DiY|ys#@7HL=_=0Q{upeu$fhksG|94g>65vIHbOD1S@@OWs zI`w9}=KJ`v--o0PZl3>duTWqIQ9Hc_rld%m+D-9)7nYk5tl+OXuHR#8{(Y8u_Is<9 z(uN7ZnWBqM(Fr_n7s`setnmO8F((K7+0=QG;LnBN>}!j#2+?` ziUMbh3s4Wrcp0MP$$Q5C{H^#d-^gnx&bjpe~Hef&8)!{fJ230X5|`>ka88<}7w#@q%PP>kjU~oBW;>la7<^(MxCD9c{DWVah~Z z+^~rzgg)asB=GPSDdGXi3*y8vmTJ;Z$Qz1$lVaqv?img;O4*8O%3~w;T%+~4pmm3R zRw5iSW%h!2lF*4z4HQ}Oh{cb_j9@c4NVPT(tD zqx@Z;_^wW0_!@8md!namoPl`yOT{o=bCnNrerh)i`+e7`R_!PITMw&zPGu?NIYz~L z@7Z+a>fuBDL2%HHA9?8n?twzA2;x}-zP<;Rw*O@ke{C`x6Z-7TRGMf2L!eYs84rog z8?nrso{x$2H+ti75wkFEup$3*a(bUPZOI|{W2Eii^PQw(#1j%Hak<;mCCfPs%17YE zGEbVU%GbbcvbB%9t?!PEp5gUpsID^aw`&!0V?YhJfECaIp$F*x^dyQ-`N!hwf(47d(Fu|3SZ6l z6rYCFfLWy?aXX-Pr*3Nd=DiNT3VUu&=?knIV&@ulHxV}>vt>Nxe34(Olr&pAdKE@S z6UI7e)ubqa+HX+D4u|}8tvIF#cNImPW76oz`)$%_%{62n@|%6uTtKGtwzcX)crM~i z<@;tgje1r*Idu~_&1PLK!o5sKIcu);SAE}&BSjJg30GJ0sv?~pf$du!Ai}jjEVJsQ zRnFkfm5s8UEN=6;IEu_AEBEj=0EJH)Ik_Y2uBc+ZC+2tqxr7Q`vz%@WCqq^n+QfMM zbE{EVB2j_y&~IEk)be+H6#DcUd z>_O;~PQ&V0OW?g)^Tx0Da~{TzBZ%xNtJsmN0g0QbM0ThHK|?fn~BlOJb^-ThBsbBsV)`v@_#+kr>i{4 z-X4|DBpiifdvt&9soWQ_cgt&Rrh16CA7dE8*B}(P*=L;SrZZThdTysB=V*%HMwEIbO2D7w@Rh8{n^r~UX(FI5HQC2Fll5j5 z4{*DYC*E^tt=%T?NAJSsEh!{;{_g7b4e_LbUbXdw1Nl$gD8$-_>LL5c9vgBL(Pn4q z#F=WoTRFXJy07OCNV-8-wdfzxr`UbX9;j=qUw%LCDP@aF4I*4P!^?e+-9ZFsNA+%p zKH8UMqx7u+8-0{&raY6;j17<6riVxZ7qMjRa2AO;J_rfoeZZn0=r>E^8Bws}`q<}l zy%FJAAk=U*(C^K@JcmWmc^MmimQ2Q%OCH;!TFFROwl88FAT$0i?9#4iy?_$_PJ1D* zg+ZX?P0FFc$43cKlX_ek4?djR#qtIhufW559juj_`EV#)*aepv(`tG*_=aAzN9I6` zj@oJ!+2*qBq`}APh^3MDyu9yyi?Y6v*m}0c>&TX~Yu*gR;AjkD@TVAtw4%#PbUx62 zgJI-ig7&I@3pnr}dt+bRe_8f)$n;+MEHb9&h>QmIC+xLOr_;Wf&vu4QM#W6kRU}TJ zyj#I0`&qzGnQsC52tT^sM`#aLQjCAA&#)i#4Ib5B#*>U>rd$JOBKBw_PEL@l@q}E^ zc6cd05xF2|dxNf}%r)u>+UHuinHwBK3cP$p?eV*oDKZS9^L)NfwChM)Gp=((_S|YQ zRV`&9h4&U|aqD`aU_#>*u_F;jhaTvVn?5LyP9Q2CB`7^Oz0$jx?jpMO-oC%!O!~Jt zamLJE7EQg$DFQd0GaHj}6vU(#oNmB;qp@_(NS$YOZmRqSL<>^-iA z;#bs#pI-UP=`}_X=ho`qtEf<+)qADl@0rVNKYV`#-{Cv~uM>~WzJ6z2(m6wG?+Sj( zhh6aYJ~3ELHS^PD!BbfiuN2;$-(zPVTZb#tS49VH3x>5Pe;`wB)8SwfIy%iHVNJ8m9Rc$%(fnx#q(*^W;Q(l}X(qJ_`!0G7n}v%l?v-Xdkv{7VSraWQJ|e z`rU#X&-xGgO`jh1t@gIK8TI%S?oum_hc-u~=q~!#d7X)Y8>_iq2eKG}m@Ft8m)f`! zH%oo_Th+;E!9mfliNQada8RYYq2uxmKGfoee;V0k4IkDj59l;^7+PhhUraLBU`ii-lyd@{Tv?UNl0lbnf%yX zz`_tcA~9cM`Gl#_TJHPs>GM|SAzvJBumwSHSKk`i>+tG>U&L@qZ#pa|}{?s3TpeFNXCzF8%~% zz}e#s4gb;;v|qI>evZQt@#wF=IateZ56;`IG#brb;2(y*FW{kj@0MxTZKvAdlx|R( zd@EV%ljn7X(=AYOQwN^?LjemoR%$5uF71G5x!WN9(~c&*+v0b5Pu~j9$2wCDk2m5x z9v(R^SZs$LIzQ}LkqlA@QJ_|(heW$;V_deObT>tj;v5`ci zWTIR+)fw|JXFp~8Ys!s_k<4FIGkT#KeU{o!>#`S$NgeDS%e-TfkG@Qa)~Cy<*`iE1 zul(TH8Z}2gznv~{$Tvb5dW+{iRn!xt0UIt4zwlOqZSNlDrG(b;%l7DsTTIJCENsH> z>r2%V%9Dwb?UkZI)7jCcQxTzar2)k!SGez8kUiDXGZJ|6*bd_3kyuelYJ(+gnO8eG z0L=)jpgXuJewb%WfQfgYN1~~QPJsQO+gp5$l=6jRfF(8sau-e)%kl(Wd8?j9K@AGb zCEYEt!N)fnoW_FlxYVA9G_jxZAS=W;dpodUYgE?v)EPp05%FN59yRqx$66tO<;xni zee+=rgO=j*&tQL8#XfXd84S427<}O_x82g^B?Go%?;=rdq1ufCbuWwSQ)1;_e~laH zXtxD8`HSTLvQva#vp_-Pu5??KNu7xmw;fA!Umgivk1M#CdZ?rAgJ2i@!eld+z;vGb zEped6_^aJ?&alSk(lxm+m6eZ_SCj;V)ccR+!}R}7Tgl{$;FWm}v3h;7p)5d0dOM=` z=KQ1ZjQbk>^d*j`xYR~k9yyz~XW|{T3(mTKZq77GYJbQ1cGCQmfPiCLV5PV`#|wIJ z%+;Jz{4Vsg>sR8Tzt+=oa&?>W(_65|mt_+mkDm7w8qe+iZ2Oezcl@u!ur0QqX@{$A zLIPhNeQ>OdglXm%Rc5@bu@%qa`)FXZcaI4`%%ha>VNLykE!RpfSJK1-&1tN$oWi=X?OpZ*+vYCh)n_=pl}tz?901COdZuk+qr*ZwXdLJ_9c^T43lyOhRwU zG+m?THLfw;D>!ESp)0UaoGHvDR6)^rqa(`J*;F*sw$~+JBz*JQcj?oDBXOthE{b#p zdOu;))z2T){r=`9@3=te6TX7sFB}sg%rISM{ZjqzORfBc@BQ5%J@U}2+_J%7ri-Yv z@>XeZGJt}=mh~jwGOVIBVEc57`#pLT^Io{7ieTXs0aqLI82EFEiyY&$c4OU5%I#Wu zxBJVuT_AfTku!{i1p-Q+PtqwxaG=~B$GgOMgOkeR<8#W=T~Fd@!|sc8gEVX>f5v>8 zZ3tca<=O|4y9?sU?Vmd@ z53QKR;L17}g`jo6d5IaP4*IF7{W|sY$anSiWbIl zOH#6p-NZ;4lC{C4Y$5wHHCY-X5*e~gSw<55&h)k3cKBSzP}aC2dvH@}^{+b2{$av*CEN9(adwR3~7pBfmqm(&HH;CGJ_>HBMOdXGrk zv1W#W>H1|ReG$d^`%!=Z=CbZafq~g~lZQVCWX;yQ+pVuZff-)zF_&&9P&Vz}(Ipb9 z+a;a)L0+Ugt;FHQi3^9OJUu0(_PxgIzc>*%v*7V=NfbE?H8MtTp3`Nr$H_xX24JDdO2&63O2(HSVg?J1?vuRzwI@ImGA@t5apTgwTYuzCA2Cv~$#Q+?vGdvL!)GP#rO5^bCOSqiMm%fLM1$dpLK zYg0FJ$FZ#lgNQK_^Rwy39OH=##=!+}eTjAM0G~+f{2Gy09k7Tqt5Z*0Gb>d>rQKy( z+2S74_7t5DrblZ#yOIG7e+gRiHBQc ze4nc~A48viT8G2;?)bP+l+_(5A9(6&R+m%Lq~)6dw6BEJ$iTbuRF$O9l#|vd&HC_H zM&qDivxD8nz3NBywR1J)>V>DS>0|6sD+h=(Bi;eqAm_SkVM=FtH%4z?jyv>NHAxV- z$Q04o82R$d_zOv9n6c{=U$Hmet%31=qL98Ytfkx#r_MMxYOtX>TfBX}_5@F_JW_Dy z)_PHY%14X1tr6??@AQM89d^eD^MBQY2k~QF60DqY4~`srlt4=xbkEW@MwZGb2Q$hP zf5uEWe_D{SKbzS8mu<9%D%<1e1q{9%mQAR+80TR>qiMU^8}#r};kLqYvfSoL;_-Ng z=s^QhX+C}H83w}ab$0Q5@1>!2lF1d4KQj-rQ4sbaqu&gg5Q zB$F1*{&3CTk4CP+^Resgk-?hkm+#iS**0cfo;`J-<&;x2b|7_SZJ$vJz`>5BO=VQp z*6iKP;G7KdKooZQ>;k*P-I$tP&@Cm13G*1Y2G0#1|DayP{+bpw`l>^9QD$U!J6Bsy z05zgaeIt&f`o``U^R`zKy0#e5?HpVj;vkosIdY*^32es~wANg2UXkNLLz|L(`1Z|o zHx^5!cJs9X0nF7TlTlADr&jei-i|ko`ph271mYTj({)fN`14u^_lBHb>^Dm;dXJ6p zcuVz{Tg(1Sb(J!YySS=a9C6JzGa5{KT$i7rmoJ=>XeYh^itm%+B;VgJgrP=T!sos( zzZsG{XwXqStikX$xK+$2i@UW*A^M2hM+zbcC-TPhh&~sd1Pq{xtzgb3U-d)~;m)#W z0mbM=*L8H%w!#wCi}xkFNM}bC-KLzT4-Jerg%EP1;06i)`##SbOA4{1~VMJ#bOIGS!JU3U|g+uBtJb&a71R=?7q*Ur}QF%K1kS{k27 zGV7OjEbkA<7GOSBUBDu0m1V9?`P4W}+t#jp*&{9I{I6$cOdVSK>&2^gd=4U-RDWT* z{9gusQ;X~rS@5HO~##Jxc!no&bztf^f4b4S6lGa#>-?DgBm^fM83vs5Mhi!jW zhe;*+`W3!KnylLJ1JVTzZ=eUjSMQoVR@1!;V^FWfh9_PAlW=b%g zR+W{%$!!U^Oe-bk{3WCMs_H~%VxKlmAvZT$oz-gN{E3rq6nwJpZqv)%_D=E0T?QPu zi+nzSf1T9^+MUbo=Wsr-UNUjkr88!-P|NrVi=j7wk#Ox4KG1Tc_bl~;iVcOLnEL## zzNj;G2XJZxU?^{ZtJRBra068CH3I9ndM#YRpcc!rkJ#|k&;?&q?ONGH_6_JSF+!(5yO99N22jm&&&;rFJZ(= zQ~p<7Ba{fz~Bk1gQ#%Y@2Izgc4 zwYbZ$EQG|)u2g4!t-<%5j=pQk?}Hr{Xpi1)Kcv$*qQ{~?fBOO1w6WPwVD+6Q0@Gtia7fFcN(%ziZMUj zf8t~&28(K6(OP}cWR|TTt(`9V^E;7})p&>VeHdzIjF(w!%T3?b_0$_jl0M6b?94u( zsebsg?VKRayU?J6k{u*+t_m4??T+B}D*EW5?vdMc+3c68ajV1S%I_zWX4$qfi=)A& z5(>*o*?V({w?7}`yYgElac$T+HE=K!{F=8++Wu^RP3*A_gyy zUA^2xYgp?dhn_y7J%`PCLh{}@VC`1)t8ME*u%1TX)_@DG<%Znqe1?nq97aN_wcTfo zS>N3xmrsvZauH{5vti_YPaQ~wU4LuYDHlgL52EoeTh?UPDFu;Z`O~}Q-v@}=z4}{W zIYW0u+l|u64G<>lr}xEMi^d0Kx49$90_`+nB%N*tK3=N%!%wk5QAXQcLaH=r_07!4 zM9x?oM}^)~vHhENproSWy)QRT?{V#})oy;VLyh7RK@gpDnX>H&HTdqqN~YjN57~QHfnWmWkh89;;qwhLusN5@dyXww7??)+4VM_ z{>sgdbvFwwm>mWx?>dPkppR6?>j;tedpvwxAI(-9POB@;i4ovX6nW7){D#QF;1XZe`RYAMx@eMzc1%%3}%&wir&3`Rxcu--0mkdno)7? zkp@4<=y**%Q!g%(yK~U#hm%#VR;9UW%Qyj18JVEJzx{1XZ3XALdET}rFJEia%fL#k zwEL5``yA!jMuCmWo^1UGmK7~8Z(Sdw$cHh=zj?mWe6rFgS)lKm<`}8z!|p-%A0uei zLC^AGg70!nAOW&2oR?k!UWi#7k{kc zSz0>=hK1B!JgYWSf5M>=v4?MxOkPc~wfgR^ITLm5wSu-KNxrXNe!F#TBxc_$W4s6( zd10rKKa)e|bJ;*R3DH>j4!l57blc11fhO-SVwofCC3pRB;PvJl5CGZ<#5I=lNk4|g zvgn?clm4Qg>&VlV+=cu`;c=(Qs7@|1l7Q4Z`XcsFQ|*5CmY#jg6T6z$4i?UoT>JVm z{&A6$eDVCCS7v#_{62(EgzN7U{Pg4y{*{MUe|NbDP2s=Av}cqVxN=n&{2k16x)=5@ z&n|8X2rW6FugX6#PZst|nLWC_wL4UM`+nD|WAihe9~K6$u+f9((|6RkWrml2dx6s; z_9t$8@Unm2A=JxZ*I2`kn0`I?_btn(iM-@l2YqE{*SfD~o{{qrlk>vz2k`rEDX1O3 zdggot?uM=Jw{uYf8cpajhwHv$vXPN$)KLFip^fa9IUTgL$lF$Yn+KkTe$W+igE8AX zS|WDujAwG(Jso8OOU|K8Tx_``>>R6^b7Nfu<0@Qdn8x;vzk+*6=iXmz)w6eFJavo< zBb|0B9^9qg*zGpE{Ph-*;q@YaGaS9@z>t*h^q|-KD{-&*-ttr}M!K)|_GYX6&8l!r z;ObO#SrMij35Q0`buBONiMG)@e04|oK@qrPfzBv&4#!Tf=T-B|R-<-F^u3R-!l&4PNbR)X z?bS+drR6I(bpx&Cw8w}f@5vDD%&6t)zuyfWcvCgPCi}Ge_+$nME2a1z-@eR6&rPd> zU1O^1A0d9;CAv(S+0MTTF8-;5fkzb}k4!#&@}4Wuqu;eP-4}r_xeZ4ypuU}G_@^i+>&c%8w z<90?I$harPauOQ++OO5;j>Ud5CH}QZtku&0n-p>IJ`SgR#dr0vDP4=AbSg{oAy(#| zqsli@*j*>#9}j28loplW=hOb7X9x(pv9x#4OTFLak--M&9RlpWi@!D`-Oc_PV^OH@ zDx6OEAa*+aFHKgzj@fWVrT(E#42=9pjqaMrnfO#iE@rJ;k~ZC(#o>lh-Z_NiUtW zRGN+1}@GMgu-4`>+<2 zXvYcCI;leHk-bBH-*HaS?Re^}sN3uUwBRJt&+t6HmdMeHd}MagoF%wyXK}x4KjN{I zQDR`^yWNd5P74p-Jg*Q^^?!dl%93V&`A6DQN8gx(E!e`1DN2*|*RS8}N5-|oeM(wi z;<_IZom(<}w4+9yuNIRY*1L>Kc$#dH~Z}ilbWv*5_{6%cSkcDHeM;TNAB7_ewMQ2 zpjDvw(^-0YaGTODD^!3_&_nceAj$bRt#y~LFre5ce|D$xq+*|T)j1WaU3BH6A41Qu>CW(Xs!7Kc_XeLk z!m>%NEWfByRnUt&+b`5GP;}EIzgq-A20I#-qvjvC9DU2Fa%4F`2t(#uE+0zj|D@}@ zdB8^--Q<%|>81U8(ro(HhBr(udz4^cY#_gRb6=NXd(4!#a+w^9tAn`0aV&qIgXVlhuEaaKFOEJ0|?) zzT$4axBO@2FnC|>PS~QBPYPDr7pskLO?m+1P9xI~+_0%ukw`jN^4tiV&wXwS!h5hM zz3kETO_TY^{%2VV<<}~!9~#D)pD@dA*bBWc-Znoa&2m3HQ`6KN`@4Tp1C!7oEYV{OdEjY}kw>DV{n}jjQQ+(%(dxf7{sMY}(=_X>nn zSNW-H^G{}PN$Q)g62&kb5Z9c$Ni`GD+brIi-`Gnr*WrB`&iSyhy`HA&(@ z`{`iZ`yXm{z73=MBz50ymRntm2TP*X?`L9d9&u<8Ac1d=7Lhmhk z+Wl9p`eiZM;-c;muh~!b{ueN$;^dxlgM0f=@-Fx}{8WA8$qCa4_qN1_&M3Pr`;Uv- zDgdb$ef;mU30%wuC&kd{fC{wh?8eF@jqf{}weg=&k6R1Ogs*^Jf;}qHDz##RlHAM7QhB1b4G zR;r85uB<}y&d;aC#R^u2mnM%E1zqMSpFS>gv5Th9zbVbzm6a9sUF?a!U*>Fp*x-lZ zqg0{gB>1?M6jUMX=v>r**=1>ySGOlRABuMl_GwI{TV1W2(UBMLeYEdc9x4tu75w?G z4vX%bu3pjyQu}6Qm81?4^_`@ln38uG)JwcuN>`c@b+)Iesnt%P6x`XscsoD6R!2E; z)?F-hzh8q-HIq9rx`A4`N21Bp={-&MvyYV=+!Uh95k!Z?W&v?U9u$t~oLl*ZR6#gomzv0_ z`L66Jbq>NyI@CeigPO-bXOF10OkaSOpln&Qzk0tyv&{*%Gh8e(8TBKht-`lORKXf1 zhzDCgAcEO$ywpx=|EyAJROtKn%-FVuX4dU0ciR&O1wpipS$D5MZ-V;RFs%&U(K>A4 znWNw}b8#Qft4=L5i|Kbq2zlDdL)7lw6Vq6Gg+`aH8S&olojyiB&Z6rK4ZQG>%^l~Q z*LEgBCE@fQm+SA+^Kazz-1$3RO4Xt_Fg;ewX0x>0s`d_5ZiOwFs9C12)}WW$9KjPG z3s0h^v}9RM+7ofm{^N;6-vBY2hUxnc>lu%x`)@ULMW^`sk?GNkEgN;q8!Jj)pA)gA zzy3-DV@?%0y{+7fMh-ZegE+|=akg{+=xB#xx(EroeR^Ca2iXVu{Lw*J{0=A4Q|wZVD7T;G$UNLr<mS9PReApwyvUBhuafdzDVg*KcoxZh*7mN8OpEtyJzUCr$Wd4WZf1A;TwS@(T^y_W zeUW7F7z#E;zdAbFz56mBAS=3;DsmI31FLsQ*Relu+AZ^bK5t}^jX)$1&g8$d7A=C!@;dNQfGZ&v#_cQvdT?5)IX2z8bdu3Ycy3ye zWSXp^sp`1}f4Mq3%am6UlqVZkZ;f|FX;lXzfrpdZ^9? z%ev+<`_IZDZ`v#tr!66uwBbEH8$f9}zT)R+6s=n}e9shaF^Xj3(tkD@kWj4UOU$W< zNLqbRmBq}IE`mZgna-U+!~W}CsP<1eGQ}5cbfnt9>x@gQn3Aowc3n{VcUOVU^RBCz zQ7x~8DnY>iYgnFvE;#8n+Yt*}Pbg`#RQ)$SD%B=F2G7c*x~1e|C(GKo8j+_b(C@60 ze7(t5n>xHxw7hqHMOvFUO zm2{JO$9`M-u>WqD=TisVP z@~^qAG7P1%MWhm?8xfNPRvixg5DkRQ&ll$4NQV|ah%p4Ma&bS*zY?O9II8<&NV(SRePt$(|=;>UG9;Aum#veZsM}eO1?P-RAALjc{pY6l5AF zm#T&ra04t!juj_b|^~2Qx6FZ)!97L=0(PN5;5Wq|C$GraV3qC{`apLcR z1m6E(-(3P=VZ(`#S7Llf>Vp=Y>{l;-DKq((C1U;p_W zq7axZkF5aSMqj9O+6$FJI>Y+Bx7*N{pLIteu|mhXjEfQp#=$we)mijp-TjWsmgREu zZIbwJ%UxV;3-2oy@D-fCX532+2swzOk+?!y!u$r}@a6sOTy_2|JUT^7IZR*0)RVDe za|$oYyljpk5Mb_G=y6puujE+-nr`5uwO$@-mUFnu+S3(f<10#0+bG4JwgG$1wN+*f zB%enjoxd4EcqpQv{&(Hts%Ruglsv@FN3tJ!B!o7N1MHUEY7%MIE+p z%U-cdf<-?13%_{0#a(ps6Gna?wmUalz>p?WIr6Sw&$OUEXzKM6scyaY>^}}rGkJCi z5eo;BCQ!86Amo0NzK|RCHk31vi~F{idGujD%T6>G5NxmlZP5-I_ZMwczdKGp^W}NI zjn-G)u&i-zv2boIi0VwVot(c)zZ$6w9mxLJ=o?K>`x zEx+y3`OjY7ZMlwqKLo>I3*4^D;ofxCW# z3MrZ0jpbURm;nZC@dx}PpF>75w^qGx7w}UM* z%&y|cy^{BdO!DkdB?2@K*HY_Jf;3^m2X9#2aq0u8Mejs=xY2QH97@+v7ytn?6Sf9~WZC$_MKO z+PS)P#Pl9;=m+{(iKl zke(u4z1rO-qE6RBA3a^v@Q4k)#7Z6&n?gsJ+`O2O%koRU$FjA5d-a-y4OhpO=fiok5o0|S6$A5d|LJiS z{FZE2CryKHY=m6R;vH#B4Vm+bRuvaxK7?+qbv-6s%xyN8KT1y;k{P~ila@CQ)*6sM z5XfmrWFc;A?zJyXjH&}AO~r+dWX#urALVUiK$)Nm8BbmBkgQG9gzAT(MD5N;cSo!R zAA#oBe#ugvAX5gaKxDvv4DFbV|Ble#%&>_dT<%fn_BJlGog3QeNJ3q^1B-Z~Y~E=Qv^~eZE97_g66-4lE$0Od`97$^=9&F~6Iy%(e-o zXB}q!)=`wVfF4R?-Q@nFIjUR#YxruLpNDQSY1B3I(T37y4MXaz`IPST*PQHo_|+^b zUJ!2i?W8I57k-)HwYu<2@_anRlqWC+?v3SY5@D~(W`1J;GhW2SSjrt5_Hru(c{91l zP0O@9njC+>LKyB3RQ12JtL&XeTMt-UV#_nqw4Bj4!g~z-!~K?O$v}}MZUv7I1{!`; zzG}T@3g(FwZlgsqbob-5ZvDe+Dl0)g^+e@uy<7@^@ZSE})Qj(*`XkhT=(f!3c@?|t z3a>!vnjU1=qpHrqa?U1VdmI6)wU_2mv{KpngHy$M3x!|SSL%p9_Snf}@%w}tpL(p* z9?xqf;BSA8RU?rFIIC9_mr_vgn&+Tp7IVC4IQ`>|p7(=7A6XHS%#x@K(|J)TE4n36LsxlPkvN7i8Be z2!YlRjR#fhHK>u89CgnO{|cbXcEZ^CNTN^pLd;if4)2KQoi>y}zx!%dzN>l{lyUd5 zXflGA%YxZL>603;h3Fn@%Iz%%t6zo{Ky|u3FvH%ZdTD4I`xbbG!75Le{BE7!eKCOOhAA`J~MH@s+~t-pJ%9Hh!5kx^P;ygYh?o@qzTNkcxej zI(vi9Mcp1QzG9Ttq<|<|hhW%uYhLZXq}BS%8Yo3_zsV-kq>^~AsPtsL(%(7^Io7a_ zLR{a$efI$E=4ap>trC2QY3Fzc1Y&!Iy}S-U%7HN%LskUo62nqATbZoaVV2p=V3LAjtzcpCi)Pt$f2&D?>IVF+ttL_qubOII!6j&HTY|J z28jQ5jaDpMTv%|d`Rgm@I(sFf4FgGqf}mu4n3BWT5M-~LZUrjQ!1UVBT!$Ymx^!}W zjU+GVpx}9AEHl&Bg-nm1=?02@6iLm_h&te-uch)g#~Cary@7j{SU91J5ZLQh8q|@5 zu>Zt)I2%ru+J`dhu|{pv>Y;|7WsdpOB!Rc4x(!O9_4p~LGeUL^%d22Kuf?V=1OebP zQnUxEqQMI?$+O;kszJ*wk61j^Ix~x*xOevVytUi$2IxZMYNZXF`%}ouw>wXAyuHDJ zS(pEo_==}XW$_N|VvehCl0rzI_dczSyB>l~Pl#=BwEt0wy1oM|L-hvHWSpbkIA93Q zi>sb@oWimDh1=^hX>5H9w@d#c~MDGW!O~|W7>}`wPv=q_jAQoBi zaJeiuA#vLVYPO~sE!y??P&OW{ypaC3-*oN3xDZjX<}iFLIW+}AhwFV*O;*TRFhDl& z_o>vPZ=GvMTCD7o)_oyHf6(tcjnY_Ad^D!sD>8YRm$td{uCe^p8Gu0d#o#U#wW7?- zvcO0+kan)DhwM%`tJZ^ka#ZpYG)-woUP(biPsY51f(hoCTT7L(G51qB0$laIig1yU zd6Pz@7CH-R!`FcMVujT{eudiKg@b<*mlukr{GSx%6OT2-dc)xXv=-OP#@10qd$K?5 zWTNOoa(~pa9q;|4`@{|~JD}A}*(}H#U%e`X&v%G@DiUY> zC@1cz%lq`pT{8^7j4xn(`aA-oC61Ut6Ka`+M%>J4PeFyvBfej*5NCuZoc+IGfJQ0^ z_A)M4wY=n$weni<39^_nhR>$BUi^#^*yX-TZcL9C;fS|~g^T^HTK@V>2%~b}kyadJ zXLHM^flmp%Ge?+E01RLlVx}KCbz#}YbWO~Rti^CgQrCLkUv&Zog9|9KFD5NOm)h@z zU*~ddJR|FB&w4o8qkYH>5H~IO(*Nez)H6yRfMGdu`(gg zWkL<(O;2FermzQgL;w!~cdM|mfSr&|b? z?{|M|AQqR6hk-k;%lz3qPqQ|zrfc^O5ncqG*K9lwuV@~G1;a@p+Swd-xJ{WVUptFa zIr%e%=Swu<-Sx-a$`n+0RFfIRFWm3_cx8Lp_PLD5En zE{ouv@f>vHo`@?njFw;0AfbdYb5~%K(!#x*Reezu(`UO%$!IzRZ z#-1YzgDi~&qXsyd(N{PNMrfA|E#_UwW zEP-#Nl>&#E!;nxAmR1Ke4T3ojr9qSD?4tWnfG(pybur!3KNC<7fJog72=!cA-H$}d z3ua;s>ymkA#!UtX{fwyux@`C%CIo;2&I8yqPXW)2!?S=mlnpiBN82>r;n2S!psFYC z*6mAa5TI%4s0y`{uejv~qo=v63o)0=ZO6c_QdSH_``Q}#a;dVqvSgPBzZmSO)@9e6zs>%j(cHA&k&b;n-YMTdz zJ+1Dt3wQD`2tC7qchQhnM(M2*#ejutQz$1I;nY7vu8WrFs53Dt`D%;)zV%^7O5bwSIWIOf*~Zyn{*|dwMUf@d zowDIuAT3@Es<(GbeY6+e9wcVSbokW<0x-g0P27s~7i#P?ixQLoJ zxh6p@ew&aX+N4u-!Xbk0!Cl271^nO^PSi?Jdw3_cZ*6KPA{?rlk_3^z!?~`W8wbEvWsa>XCJk@94Rd_E4`2=L_zoehV5YEQAY$Mbg(Y+fqGR>2WT6NlnLi zG?7Hpk9OdG9g0lmKz6Q8=Qm6h0eK>3J-=&us6?ERkY5=2mx1#h^RjP3rbcg==&0MeN4G zdPis-^z9oOa9^HQmyIn%#87Y@1|8EXa6)DJIuIp@IOyy&s5o%qLF1g?LTuuk;&4t+ ztpsJdKGrEoas0P$}@ZW9joH zZ5T@ZmaMx^lu##G?Bvv_)plMeYHox&lzL(GtVE^E&+f4B4z=n*rz;`MD;5Ws?SBwU z{?YftWhtb_Jc{Z?sAtS;^HOE-1CgCq!4+TH;|svhi*}^@x{JA~4f8EynaW*Q?d?aK z4=_0vL00&9m~-UKsBU;VUPqicY3gkA3r>2LVss5W-71USf3d5HqOCgUY#h&G-}Az_ zLat76AjjB?lN5#k?;{(uHo@LI=?h`RZsbGnWbK!1q+N*15lH>&V?kwjG%@7qIr2OK z17Kt?FF5zkqI!$EFE@KHvttXA>XIJiPN4v=Te-kX?E?y+vk}yuOepc1JhY${>qeef z5ZO`D6&6mmH{yPk14e9dgw>;?nABKoMN-IYf0qUwvMQrXTYsN~=q9#Z)?yWeK8`Fs zUO^qkmJdLWdR~nrl|nK}_8!ttT$|Go7i2yGAn`O49DP++DISY&#qbrLp#J-s^3weX zK^KswrVd%Kd%}dsdoB}wGe|1nrQ^Q)^04h(F0$JzpRjc;6^#S5;jPA!$P3r>n8((h z2I<3NpMP9-U1i#*(m1xzuxl52aB=3dGCdYSu(VV6o6aysi^{_sFDZrG89zgysTs9B zDa$dv!tct;Dfvd!F><3jA(sc9YuUc;rky(!yx$Vjcso$v1TrS`477E{z+*TsHB;cC zy42_sTMKP2M>0R~7Lx833+dxX_WV$r*b;E`x4R;C)7})>F`xHNL=w?nna-6kjMgmY zObD8CTZ+192g<}l03YzsSps>magb5z&c8C;_GdeR6(4#ow+?Zd6!%>h=6fib7CNd_ zJ$r%K@Uen%d_p}G z4hOMb=cDD!;|wp0F$)WAQ7aNe9wLU-P%gj@!0aFdtS8frAKb2=v;M?$i5|O;-P(kq*Z$d|ogDDkk8v*5GVO8qWq4e6gAUyGO~mm*+=(B*5j&~lM_Lf_-)%|Su;5a^9#Ii>4}?q z4()oee9Y@Sbrc;ALC2+o-_R@B?c7>Jn-~ymJ^2+s+@!$ZU@c-tW_w)ziLQ(5UxU4^(4Q?Vrhha?(2NONTP{)3WoRPZr^F7HLG0@I62aErQJOfIOw*+hyYmaSa6i zm3ycMQBWZ^&uOA*48Eg=&dMroRQxllhLNf#lL%S&nbp@~y+x$+th4XXo~Ok2B_DH@ za1F2BF6#&KS^0}z+I!2u6o|b~R-5{HdDe~lcvc4cfu#LUCJG|u`bjD*tuN?&D+Ev| zuy!`VhpbR5EzsRAtG}1I*Ef=Rr7Pee%B0}J?Oq@DA=AiYfhO1Ax}E)zAs0W_%-E)m zPZ1T5=3M>`s{RKcu>NSu-@I(wx0rdbXaf84YaH&F7q7u|iP^P?o%7R4L^ zo8uL^2eDzQn25U_z*kl#KtHna^U3hM%7fdky8xselh!BrmHjofZ^v>J4bdG(YMP}6I^p1UnM zDeK>{=y z%15#ds)xyrVE^$@rpw6Za#-6V(#pru8x4d1=Zr8?ZIx`0^92LpPBya*QkrUneXu`_ zlL(sIBkO#dJ9C4*^6wudUo*Sk?1Z^(E!8!JRw>+M^;N#0y&S|R9_ZWp9$m2S z9&(ik%n^V6u4n_Y3$;4Ysgon4zqg5^SLPbIq`DevpLx6e3ErQ zPgXzO&C~yTf6^MHg;QRuA5hmvH2LYSgl|81RRX1{j?{IXMA23OzTQ+QjMhVV7vBp$ znXeF}e*v6R*|qoem{Z9m38^tnD6^*UE_SJ}bLS-J zDrx_?R#!Vt_IR{HA7RK0&fpBlhc1(a3cf!@aaRd^E}QLmgd9R-?Dri^8aJ6`Z1?=< zB`BBzj?^Cq0oTG)(*h1>#o2v=afO?bMIIUNI_wmUdq{Q3gfWY$USe<4dX&5Xc)L5-M^HrY6jKcbwK0 zBa7u|9l-wczEu{&*Tj3j?j+9G=KsRu(Q4`Lt;%%90iVP8hT$Z-59F7yjU7%w!BooCu43pV-Mj~X?PI+RVkaio+ddo5Fn0f zl^ghHPL-GOtYtod*40yfutwe`FIwxiyf0TAiI{?c?+uGSodhLa*1T>y*>^?Ej7|&T zkJDwT?4Cm-yp!v;E6#4n?=3nMHnUcwTWZER%1)VD8?RBR&2H_H*B8uDd~&TU@jtk- zw@}P=IcwqVI&inbNXt(&3gc66YWsb~+?i5H`^+T)@-*41Q!WT}%h_KZM+iF?idInubDi+G=cUd|b4 zS(A-zIQ-%sSYg7|FwUE*+8Os<0dx1`J?+_pS)%s7bsE&80&1?Un*0y8`P?TI%$&^A zQH4#qqFSkt>ri@5c5L=rX^bP_D$|fK;Iqk!(E<~Cvf$P_=q z2sa1Ss6wrqPyVS>G)YjE<}`clK5)OF0UqQ`ZW-Jg;Sb@4!ANud4--|nsK;7PPE~g0 zC0Fvs`bVi!bK7ApqgEg6wK3=lyFpgu+)tHR5>!6$`p)x=?; z%|CU?WiXX{7QPb|Yk8}geAM_4=Dmcu78gLq6l+Q9eY9I^buDO8wT3s_bw4BwPd*)E z^(Uh_X$o28K&r!_bWhhIke^Np|4G+E&I4iSDi5@7wo3naSi4TP3tuJWcAtl+7eHA6 z2_#1a7|@cJmJtk${YN7j->T1_r9eP7VXqHi)BEr|K2PcEzL`F_mtTOl0ek*`14zi~ zRKbd1jjaO$A#o%rZLI3Sz}eULfVPfgH%5;UDk>88c6p?%4LPTO_dY)PMpm%HHD~KW z3sLvtiLx2t{I?Qy6q4Xp&%^eO1|27W_u(lmK(|tLqEdR435sUNWkcO%bcVo-Lm@Ka zUB5tR3o#wXr1ap-KJ?jp9zNI2H%@?S{-6>_dt>^Q8OqinWaN&YCeHkr7)c>%7hEJD@Lo z22O=(;4#C;C?)X!N|$G*z7zQAzQ4Uw^t7Y)-3&nDS9-8?3Oo7}HzVE>3Gl=oq zL3iSlmvExKFs2TuQ+Tbemjnm}`*8n}cPQ3^?X!}E*Hk)G=0;nt!#9lGEOP{KSO=4w)2L7wl*`8Lg0uM}C0S^I@Jcayff_$HI`@|ES-}BrmJ5i_5tY z;onU#Vh`AOxqU5GoKYwaZtHR&KqKq@88$V<3U8rztg+}h^SM~3izMM&BM&Z+MW3#j zdM2VHno3q?XJv$yEYS)~31tHz; zPqn~7dF&6p3I7%p-s3wY?R`FBkNt;aJ(0a>5eJ zJdTi%*mr_IB^sIc%L#8`s~%s+o7Ftl-T2ItAiQzeif2SQ95;D!0l)>ZmRr0W;vp!+ z!$)~TVh7n}7V-FceL9&FVF9r73T$aG-VjvHAoKA=Wj9@dBNp7TUjcdLK^ui9AXJ!o zS{`6_M#7wBUQu;*tEjiVZ1>)Ns=)+^CE@Z=%K}Fn7`-J4)zKUG9ilN$J`IcOm=^AJ zyd?2OgNJGh_ld=pJc%s1KAK00c`J@N#}l3lzg0|rJRHK|S#zBtHHCy5^T;f*BK^Af z=Buf01t_}(scSx|1SEGtj3~7!g)sT=#lMWM`z*ZGtPqTjD0fLW$X@`&=OyW1pkbjd zzvc*L+8w%I@)Vf;WL}_-N#FJx47A@TAdo$eqSRxjL#Jg+L4+h-E!Z^pUjc1V8^27( zrvH0`Kiy0bdc&v<%TIKacp4fUoc*tnuDgL^E-+Ydyg3aC+el{{|B*&MXYs15cAHIJ zTzcdOCR!m-23ZX+UsB&UsCV*DPMr;j8p*x8(pQ#m4MYP>wSil=dbO+djAC+&S?HM~ zSUbTR9sEe{J#Syn&ug+U1dt^v$$Z}3G+tvrnwiNnD3{AXVFGwM*>k1J(3|QmS+ql{ zACmr;O0y1W#)WP7dw?(X{-c2PRb-@3GybH}Utl72vkTdZ{~~mTJeIf-<8wiMqv^pB0a)GNpaFV7PUl%R0Q+72DI6HFJxb!cZX9bw6a#Cgat5pz4sUG%!d zZ2Ese%=TFb3Bk)#TAb!K@z9(W7&ZVj`C~UPH^-?Q9$STv$eXEDs(l0Wfqe+h99~#H z0hd8g;zYEb9TVIbKpjx~5=$Jes_%kw1&l?9E?j6x^PPQxVAise>!GG&F8)pxVK4i! z`o*sP3gGMz5a_Y64~>TJ(r!QB2uQ=WM-Z+-bvj&w7cY}O`9j?Tl)5v|S21u_#%hxl zFPR9a^;ppX91p?p772PH47xup_(k_torgR)h~`vDvjLaXOLIw2S6|I)3JJo;+a7t8 zcX+Xq7~nEASPeyVb7x{)aq`dmZTh?@tr75bq3SMNX`kG3Y;Vbu`ps-e3f)U1r=Relgdyf z&ldP&tdQ6%%1`B}?VB5uOnbbjbSAyQuhg_zJq`SU#d9?psd5flB=5$gGL!#jR-fOr zBJE<*ah!Obdgp^f%>!2Mz=p|U9_%Xgfk~v#Rj)x?6ZrL6CiT?y0#dzbgkw+fgu}uT zQE^C?S5l3xNY!!&k&osKqKCZTI8kb6jXFJDNA-fpf0PgldBGc;mEo#!pfBDe6_Ds} zl|cL7OWgP$Q%%e**40h?Na!iA;J7AZSlrZ&bTs{D{cca7D!g>09(szs#rS?5J8d4` zD>lf8fB6jDj6G2-t?wRL?WKI~ez!c0_~U-Drynpk;X9mC z8|ng%0JMZjQX@H#;AiJk51$v0 z0{qPhziWBpHBPn$KiRwPqOx-Hm?KJBF+K!6S|fw)HlbvE|V8#TwSwylJ1`l zA{X_$KG4ae!uV_lZ8_a-GO%y5Z$ZScK(iWNCjX2T4taH6z{j;!hd)%8$Hj{@HT={| zJ`M2NHfj_YJWjPYZv><dy3{;7-E>S(jc^<*NKbp=^8f){BkP|Z}fUUfM=C@3Ct0X$PMa$7E zI|ktMODO{3kYZp;UKoLjvqt197riur;*#=WJUGE{rVP42?!V}1=M|~Ej^D%YPYB{N z4xbnKzxKZKuc@VLTMvSQ0@8~VsZs@mASD3>LTFMIrHIl?=pemF6G1vklU@}ANEhk7 z2Sfw`1*xI;B8KwJ)^pzHydU2C4|spKzkD$4WU^=W%$l{Xb**dQ0~lwHi%Q%jpv8>s z9w-;cg=Yp2)Z9ZBu#`Z^%JqgG;&Bx4{hRvg5|k`dK@m){l1u)LoS6pA_l*4$e*I@YN| znlF~Lb~ddCcx4U66E%3k(QgK|d2oEc0-j3{+j}%(_ z+pWoh^#B=DSkQ$=A!punnr@?3xHmJk^0by)9pKkB*?}j9fTFL%ye=!)>=hQezi(=O z=&v{~8yWJVwIeVow3ZXEs*=zfl-js~JKy~zs8!C>ym)U~A0wFC_5xtKEDN0+-pC9z z*ou-qY<^RjQZ7T{@s^-d1FatIv|t^8LbfQw=2DC7FcAN2#XzQQ|Gmm8grz5H0snt% zFA?~Gj{wm2dizSO>K-n36?P{pD)S~*9x^+?giD44 zcqc4G_o|@2f|i&ZAbKavD541;Q~%6!oqjB5h=ecaMHpDUe)5pH&N;uYgSltZ=AoV& z0>DWdqL1+uNP#0nnQwpjf)vP#lvyCJhC3(FeivxBK|zj+NZDO$i+eZ9lHMYt7mynYLXK_yzh5==^iF2h*{W54J$11iY?V z6$tSF^Y$8fJq%3e0ZG7gqkaYGLUo?deGNn!W)Imc_nAq}q!^@l9t&}Je;5&R!Zx=9 z=k=r8Cz<%~{@C(RE2iPF3qOtz_XFJHd2(`D_~Q zb}R(z%{V}W_bfaA+@YUmhCWih2i*oc55SNqRDuq=6Txo6my6;3c;!bsOT`r43)eHd1(%#5)&pK<>E_QF_3o{Q&92%l=vUrq+jCu!%+NXWQD5BO#{poIZ$HWw*pZVrT`z`4p$ zc^mz2noHxsWfCwT_SGG@*8QzLRo+AIVkie*m^Jz*A>2B)zV}5v=`qsH#UzW(FvpTdL~Of2 z1N2S&xA}twC0^i9agH9Q8iiP&6@6w$^T5g65nvW@v{H$W^T+Z_$re&4F;44)kX@8gH%5HKjAYpIbw9{HXotqkkUrY=7 z z;1Z;lyohLOy$dpm=}guTCZ9vXyA=#-NIVsNX}$5oN^VD?hiys@m%8#aXWUPw{RI!q z0f30B(J^}op8d~mXq1dDGXyj~0c}V)Q~*yEdisa)N+ki))O@ePJBiD)D;dE+e5{JA zR#3!KB=irX`~^@(!Vw>b^iA*?Menix|+51SW+(^X$P|h zF~WSi9DvZjlEVh`TfMVOBeA*=WL5|`5YI!Zk`BTQ|00=z;#A})$KZ+l)Pt2X%i6~3 zXf{(wMho_CGcHs?G1H$97$5S8f5yTX`N@a{5E(=UQo<}zG}?jqK-tSaiCy z%u39AN)#0VPF7*{ac$37NRtFHr7an^F~JhbGbrBSUVaV;DGpo>5*S&_&qg1 zAfKOLb6i%8uJ1&cG5;FJpGDwyQCzz z*+#l)#A3(}WspGrnh?X)&mfU?9WbQy8m^Yn<$Y0jL_2noJGd)qOIYyTne-N$Ug~0V zXo24|1MZB4G8c4#JU7u%aV`p*z&ZY$U=*ATVFTRHc#34`6 ze-DVKm03~Bs~^fM4`#+K`@E6Y!CpKXa$pkt4wTpFj5d9(QQfIi+SgxTD81SSLhJ@I zm#Ho;a1=}2Frg?JRytTcuhaH8gVoc;tl|4OQGc!CLZiFDrH1vrOvenRetdb=r(wuv zr`0wwy5u7u=KKwDV?2!m9nJ&lR(U3kB*R2u zk&9;iwo5SneG10NkxSgka1rMZYbd16-u2vbA=_haWIM$dM!WUD8O`J`hIhG2mpKS92B6*C`s<)KlN5SD#AR6BtOwE0 z2#a^4(xo+gv4PaRP(Jq!tToDtL}-hO3nP`#a6E0Q@)o)drAB||R~TJHy?q!wi{hvs zrj;$JuYxj`V92IjhQmYH!BAMNagL9D?P(Pz@_vDoS=4w`TUh^RgOY-8BpOj2mUg8$ zVJb%i%Eaz}T#plqjL_6+>-LB01ZGPL%6ys>m`kwjm3@Z+rDc8m%)N2dL9KhuU8o7%hp&HgxKpzE-gG5d&OSx z7K}~v)plkvPF5Cg+J?>|Qcf{())gk(hm7fC&&q+-owZ? zK#NVU70f`ucG6jVY*<*~Jo$1e3Ii(x=~#qRGWd~aWJ9niz4a$Hy4jp!5g4SFOVwKI z;Mq3(U|=&J#x~h5(Yp*H3^zCB*cyapwKj|#`R2)QO;cEiK75z|=3pciF}bw?SnwtRZXLiyDY4C}mPz>>`C?Y)Jv$ueFRls{>p@GH^+@k`o;iCd zXP^J^c1DZ|S5m$_A|BnHT;wzk48D*2dY-uvO(c0Ie=V)&P1KviWtxJPrVf?^hR{WN zlbHZ(S#&zclYw)LhHAdCZ|^I)v3e!3KCe*kX|ZwZB>FT;TcIV;5o`~MHP!!GV=r2i zee1hmwdVaAWFQ-XO?tz?q}(7hG<%4@Jdn9lRSz>g+aP&EcER)zue4$rdt!uT8*{Us zux&oq0^FqOI#Fne58~p$V!EVR@bz)zc&VB!gW$O-jObknAs=mEp9Bnb_JhM>PC;UN#aLnhqj&UlqZJ@nRphO0!)VA`13D3!wzU;g ziTHk?OCuvvM)ab~YyxrV;9WE*y%goY=%O|oG9ttJhg5~Ruj8uZ$5xh~HlGw7<;a|b z6;=CLN$dL|w9`MypOs+?gZ=r#)<1N*ykcMSdTaSXwZe%+i{A(J>N3cH(u7C(*8@F=2gPPU!OgE;CD@~6d$MOCedJ#qp_7G6{@xZk89r8^mCa{nSg3Yir zxAoayd_=sC4MoUVzWAFj8Bg*zs~3&CEd%kw>##{7?O9RwyucE!w6kCf)B4z;_I7Qq zn~3NWgS-~oJF)9Xf&&djvT9Fysk;3)`^Q{$&+-nQ96TQ`mQrdEC`$k)N&g~mfuII)X)*g!szYrUs94i+LNdsODgtcG}fWKR-_aRYFS}ADe z_P#Ps$Bq2SRF{4pww5=KxYg>^WRQi5;fu*rWdFId!5g=u1Jx~F6&zbE`=sEuAomUE z)-I*e*Cl-qwaB{lw4r&tTZKNxh>uABMpL6(yI9LPd)0}o*JR_690jgXvSP7eUNXeq zUz9`>iv}1`Tin)tn6G7L2etEL_M=AR8htn--Jk5Ds%jR!ZFg`-MExvFwcG8#8hXL0 zi(~nfF>%7B*ADa|P%v_r8*NW<%n!y|VFviLxNB)UNw95)3QJu!A z%u^1_5@o)^w-o`D#-;pF%k1StHT96&8PSo0*78}@qHhP^w=~Jw$JtyFuMv3|*pX!` zU9gR!V7x0ME!Rwall+pR88=C|BG0qAfP!63ucQJ6FDN1vB;hTcvq-CxXqm!uaNK;( zB;VN;isE^h74$Yg{!Lz!X|Ws8glCduqT)4+)%ge3xwG}S{MRk9<70t`X|$@0S3P~< z80QAlXEYY>-g0I}N?6aL5g=yg8gZ(}Ik8{5Id*b#0cVQsWu-Niu8t74%D`Hl$f!!O zbid>HJ%V?|I&Brk{ADlsccM@~FF7LSL|YCPuWi4T7pI@_b0x5TYU7f(M6micE0cK!}1{^ z&j-09z-D6U^2o}0^8z#s6U(PwhoY}AUn}57{b0mCS$H6?nUJDh=JI1Fg?Zzx@a5;0 z!2@B!PoJULNPRAu{itj8x6B*@fl^mA(u?Q2^aG`215m0QLUSs4-d8{PEYfg_=c?D; zV9Tmi_o$F&v3kwmgtb|4ve2|%3|7CGv_SYmaKM>V_Zqi^^)=vHoe(u@`}nlx0zVQk6}RgM^#tz5$Af>3-o<867dDDO;6lm@04o6OB2~>5KSil)Z*qBQ z6lrykdmN8F~F;8<0_nmkqR^=PS^Rl1gkuHHDE@(5XW8kpKsi*hOg z$--fqYCTUk@Tf%{xVaa1UQ=|#=un|1e5JVA#jEPO&h*~k`Mw`69Rcs<=5VM6zLvI+ zmGKdc*M|zlBmhMSX7y;g9_MQg@0I6B?d18G?q{zY@ENo`hOr)5|E&X%_nSxs1r*E({Dz)H#^4CjfWucOweu(0XPNub z3uYvQ!Du@`@4?AT?7!7~kCilK#4FbF^9yhLFU7y^XfO|1D`*LJuis3=?mO{S?1^Gy zcEoVfGUp@Y=R|LbxUHQ_Rh@8zqR3g`1=AN3>+;P#tvb z_L~9qE2>7|JjPjc`tW_z5w(brQCY9_l>K?)NRI6>u#LwbYM-_nd!^+-3?QH_Kyo~K zbj(UWeI(B+J`fmjPyYr5!ZH&@H>vxYz+F9_lgzWpWRfJQKMjOx4l^tP7$JK87184; z74%tR(SWoRURUb`F%JiDygAX3#l+-|zMj67wPxUq0539JEI~Z8h`4-NFj)})5?C5u z&XZ%Ms^g-Tr&NqTyt6503`@}6d$m9W&(kN83#Ux&r8521mP&jghnSd?2C~&- zzr3I&GY!H2y}&*e7GfR>kiSdn4D*F_+Rn1Cj}It}uWC8CvRL8^iE$$9IZLj_kI&;Q zq_WYLUFo4?gpk<<$VCm)XoW2vHfDmfK7ILPI8=8*#tfjKyyq>|so@(+2oru3I4x^Zm$z1%V7uHCL5nI0n%rO?CD zWom$8^4}@@0*-N4y{498>Ba4P$81g1I-15a$yH)ve-UFHBtTOy&>z<=Sk05Gpt|L; zvHPo`2@DfZM$}`73vBe%+FTqg_|ghY=VQ2T)v@5tu95?8*}!bOkqZQYfh|Em7swb{ zrz0_H4gy8P>ZNxkr$Ui~H+MmdRNmQwc#L*xrRAaby~ioNFW4#(Vg%tBpn}owI({Q( zXh-y{?wFvjxO&J|>2ASLVyg!&_B?fzg{(nO8VBSYT&LB(UhT>?|xPEN)0!wuo9?+FR8Xx$xp z3L=84{aRL2;MEua!|{q2h{ZFEuX{rf(8(KNC&qVv71@Xa^O<&2PwiYtEI9Ly^h77E z@vG!?@Jw6^W1LgOV#F)84eX=g@S$F47|gSPl>D4Ddrs;sV})yA18`Q=o}E5Z0zptJ z-(N-_hg_rIvoB6+sg0F6dq)dTzH%}|KyLTlOM7+{+!NPnw)ZZy8*yx&oPuIIT*CB8 z6=Xz0ELSOto!Pi}v=>hJCkAv6$CQNf+M^S4&5tgbrX;(vO-v@#7(-cvxLo5Zo|dZ^ z>{fRy?18TI#D15LOD;H!6+clHLwPe?^8o<5UkJZ=AmkpV$@6Nhz+FZ3-4~ONL|Z&i zsaE<9K15w(kBHwY=ctG7t15<)Lz;^o<6Nzz0S!_BjVz?b!Y9`Bo%aT1kV}<5aa7b? z9$3nKx?kkip8OoHSp)2~jfe}#CyBw{Rf1CV3rh%3Vo;W%+-d`~HSTA{P)<>UqZ3db z6dYsoiu2`-^T8ep^bgVw$;~%U{ zf1Q+Iq$)`waA)gHz*(?7^|Y0U8E3rRmu2|MXt+r|oB)ATYr4F1&zkg45`Xlt>_SGe zt$$7neiVP?->VeO0OJkziB{q#;;==S3a8~iq5-%9M8{YorV5DiG4eN^Q{|}lkvH3I zGuu@zw$qS+65pUtfO6mmm;(-XtqwNE=->doo8z>i{=Bz(aiubDA+CAefKEFwyL{;B zE=!g}h|DjFKPa%|QvFAPQ9W+uHMm20T{T z(UeEgJ|>_b()kt1f>&rmQS@`npXctJM*cj4>597mkS-cQw}e#^rbo0It39q-(=tXb z!Db5~%z+d|V73vMW~r!1!{O&<*48KDf7v>@#)cRyTxpODnG|Zl#BfMESq*w?2S=5} zT5;mP1FD5!mT`3G8G8%woTQhxQ^)+!@&#_tIX3E67g$OQCuu_-fo$BNPnxk(Bg|dHF1hCjGNXRow zYvCmxspzVE;+ET~HiFxK8w;_SK5#oc_fafN(*C$roqQEk+&nu3jGZ$elL z08?+X>O{9pSR(Cd7^VSlD1IPZQ!{bR{Z7)|qkveK$IfFYP$6PNN`>9g(>Hx5rvhjm z08Heuk$#45u2!UPj6GgY5wSxPurVt+ zcwQr5F#|NVOo0`JJAgAt{!UWKtWz9j0d}I1u@9YTnBFJ1mqHPkhZO~#Kh4sWB?`7y zlh<-kNp%q>ZnRkaUWiy=VHGnMP*chFBKfqYYZ6vQNQ9O_Y!`qmuuV(r&;e4BZ0@z` z`;!%pvCNX(tcS5&_J;qiR5Db-@O42gsCN;ah)d_N1vSo-gKVfB^ zZWfe&ma;jP>k@Om+_c>T@hP*_Qc{{$E?|)dew7)0*AEpOwNsz{VfSm(W!+Hekbpfw z{w|R-uT9Ppc}2JuehyyQ8c*(5kr9wPyEQ(Rjfj3`o!8LGn&Z| zQfligl9k$K8}}9#zrpWqHv5tj(AhYy`Y0wV3s30Yc@UD^kr|7;j%)E{Kj_PgO4G@T zkHg=v&^D)x`t;!5&ag}Wfuds8gY~cV!;cgd>0%)vPRT5-ld)J3@XbGr#VIOkSn0gD zeK-0AMv_h~%OhrIClO^&wG`&vsx$B^b)c#Zwl&m={{yFdprOVM%r9d>s~bBrNY-VWYxdb(Id zWIM^*a;A=DZLs3A?H4j%o59l!1$$Zut^mV7j(86@#HMZ~i^Z%r_!=0q2@wcwR0PI=UnGS(7>^DTz?QV~qA z1)jDf47^~H7lBh@6T^GSTWenbb%&e(%2HIU4ld!Ef+GH?>oa@7_>n3&@*_CWAkvqA z+VA;}{R%sA#EWSlE4kgT6My}4^>>#L6U~@3P!!(FuJ-Lw%R%UgW5)=FH`fGy!S^15 z``0%r5)4CisoVAiZW;@wZrTH4iArtuSqmAy8zlT>7I>~*jWs=x6BW@&ey#9fUx=B! zU-YuCqJi&q^{lDz4PoEq2xY(_Q2u~>JikaW`W1S(6DYwCj(u;D#Pkkz3wXDZuC9|U zQ}y~zLw6K4!H|1j+u@iDLufb5Uu;~F{&dom-J@f5u%it&cO6uLpKNV*4Q+^o6xN5Q zU4!?E6o*;XupnPWP*|w_c*LBT4 z@#f`wIQ>ZLmL_GQp3ff?D2U1ZPG#h-og-EJ##u&rCKCVc>dw=){?!`c2N|!g5M2>< zp(SwRm12x!VEjsl&pjK7jZfbo2$Irc3)j1@7ZBH6P=6&gYFe~6lAiD`eDTwuOrb}4 z@Ig}4&2U1i!5NE$+wnf3KjGVBxdbn>#Ti9w!g4={sb|*r9kt6#_{&Qj{+x8I6QdL^ z=OlG?{jim0(m_XL49jUW9v#&z0MP;1NsEow))xmuI^EcKc zvA&nuaC^>v3$V{TU1)QQ+eE9MH=875{AaULLzRdoGA=Sd%b-7uxPT)fiKt3xU(Ml2 z#Yv2AvurYaxIITHe1(aiDVZ&D^nI>K*zGT_=|fpac}9MpPg^${{X{d<#!OJ8eiF|V zdzN;Z)IJ{pB>~x&o0t2~kgS{1E40=Gwb(Lh@b1r?I$zIfemXWPq4C-2qeW$hps+Ck zX}VadQ`CZCm*i`go4C!47jO=_PO8x!3el1vJkv>)kKdue&P~?CNhc#S)>KoTpR-K; z$4Y3na~b4S$WFwlB+0@d%N?BFiO{IC49Af)0pb4O(*_zw%H)?%X=)`h>Ns2K#oN`W zzi&=D+L_#Haz}l*#)t^oI zhg+gr9mj^%N_{6$azlE{XiF;TgXu?I;gzi;{o8CeaYQH5C1E%CXnIl1_lm3;7&)7pXM4{XE%5Ea3YNQbuJNsSr#JojDm}GQur*3IAe?TLY59TD0** zPO@#jTvNtGtj>?Rms@;F7??m2i4KQPbxkLe?^;Rw4^bjjm($k0RGd6k*|7RU)Q%eV zOjuERqKBW^kBbA=hSzxa=iqWE{*ct8M_AEI4}LK99K-pa?Hpc#t(>rpbNxISvS`DX zwObki3s#7fy>?JY4co-1*HX8WUy_?mPic@CXQ!{j28ZL)HzRP_rfY*t8^dJ%qF;u| z?ijSy5CxPz8o%dRjPrbHxlu$S>A60Cn0Q>~AC-f9eCmQ&QCnlE;N7%EU1?oZ=8B$9 z-n|{GGmtA3Z8nfw9kEInZ*fC2UB-=DasejH9o&NC{%Z~PzEaz_h&Ns35sK)3NDd_=L4hU z3X28VSD*TwxFnBn6gY_*R8DEW)a zGid?q&gL&f_WPV*H_cy8iv!8O#0Ruo6>smIQu9Xo&Nc+fggHc{x~v+I{!;zfAll4( z08cHDeB?5@@We@d4&EIcP97DZPa1GK1b^J7MI!^Z9>KkMLw z@G3hQ)`;_&n#o&M)P$uMh(?)y)r{d5yDJU?y{}JNb~FnsMx30HK{wK6`De%=I(i&8 zZapHJ{kACmBh`m&cfKEA_W||Irmk76%0%WFdLZN|9t7t7=O_&~YEW}WJ-7fTRv-8L zoy$1hUtR|?`#~-jXLAn)G)76d^M9oFd=)N!cXYGES-2_&&5tu)e^RxG!lv^t$;;3g ziI$`_p6(_$8ssM0_H8d(dW|#YyL7qA*0j^FMTc$5I5(vI>i5>rGzdaEc&N`Ozj9)P zn{K8pOvas{(-9u~Gs%hG+{L8df^Nu!;JOh%^n$Zh)*~1Fae85Bsnf*Lg@{U%Rh;fX zITQK7>9-*D+3z*y)1uvRCxQ5A>pJP#L|(=GBKr!L5iUr-2fRMc0Eugm{($}uy@ut% zMiI#J&s$Dwir*pTKi9p1e3aR|_5)B>dB{%)<}`ES7X?{~TN5L4ivi{F2Hwg0>u z|Bc=KeQ`MLKYR`Fj;ENR0}}pzSzr8}zxnh2Z7n3uhy35G%Hx6mYHJysk#p$(pD!m; z{GX;lebM9JWk!G6`^ZnD-N42Ezn6z-e?w@0?nK)i1c|4J|9Dw8^6z1XzEVN>ub~}& z2;Ac*|L>nJ|JTTYfdAiJmi|{WoXXzD|Gs1V*DkJza#M95%~Xn h1ac=&%1>8M$?tp^*t^I@Pk#pdQ%0&Q6w5sh{2vB=Jfr{s literal 0 HcmV?d00001 From 41839bfd7a78e7caab2f84a0041138d5896301c0 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 20:21:40 -0700 Subject: [PATCH 188/230] [test] typo --- openfpga_flow/regression_test_scripts/basic_reg_test.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index 4e1f55602..0f3abd81d 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -197,7 +197,7 @@ run-task basic_tests/tile_organization/homo_fabric_tile $@ run-task basic_tests/tile_organization/homo_fabric_tile_bl $@ echo -e "Testing tile grouping on a homogeneous FPGA fabric (Preconfigured testbench)"; run-task basic_tests/tile_organization/fabric_tile_global_tile_clock_io_subtile $@ -run-task basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock_io_subtile $@ +run-task basic_tests/tile_organization/fabric_tile_perimeter_cb_global_tile_clock $@ run-task basic_tests/tile_organization/fabric_tile_clkntwk_io_subtile $@ run-task basic_tests/tile_organization/homo_fabric_tile_preconfig $@ run-task basic_tests/tile_organization/homo_fabric_tile_2x2_preconfig $@ From 229adebe07e88b73c506c67bd44c31b24ffe33d5 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 21:06:12 -0700 Subject: [PATCH 189/230] [doc] new option to write_fabric_verilog --- .../openfpga_commands/fpga_verilog_commands.rst | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/docs/source/manual/openfpga_shell/openfpga_commands/fpga_verilog_commands.rst b/docs/source/manual/openfpga_shell/openfpga_commands/fpga_verilog_commands.rst index 2ad413605..b29ce75d8 100644 --- a/docs/source/manual/openfpga_shell/openfpga_commands/fpga_verilog_commands.rst +++ b/docs/source/manual/openfpga_shell/openfpga_commands/fpga_verilog_commands.rst @@ -14,6 +14,14 @@ write_fabric_verilog Specify the output directory for the Verilog netlists. For example, ``--file /temp/fabric_netlist/`` + .. option:: --constant_undriven_inputs + + .. note:: This option is automatically enabled when the option ``perimeter_cb`` of tileable routing resource graph is enabled (see details in :ref`addon_vpr_syntax`). + + .. note:: Enable this option may shadow issues in your FPGA architecture, which causes them difficult to be found in design verification. + + Use constant gnd for undriven wires in Verilog netlists. Recommand to enable when there are boundary routing tracks in FPGA fabric. + .. option:: --default_net_type Specify the default net type for the Verilog netlists. Currently, supported types are ``none`` and ``wire``. Default value: ``none``. From bf484dbc7019a5d9db4a3a7543f4c6e8b1dbb21c Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 21:25:12 -0700 Subject: [PATCH 190/230] [doc] add perimeter cb examples on prog clk network --- .../manual/file_formats/clock_network.rst | 15 +++++++++++++++ ...rog_clk_network_example_2x2_perimeter_cb.png | Bin 0 -> 82100 bytes 2 files changed, 15 insertions(+) create mode 100644 docs/source/manual/file_formats/figures/prog_clk_network_example_2x2_perimeter_cb.png diff --git a/docs/source/manual/file_formats/clock_network.rst b/docs/source/manual/file_formats/clock_network.rst index f9c94b5a3..8bab91b43 100644 --- a/docs/source/manual/file_formats/clock_network.rst +++ b/docs/source/manual/file_formats/clock_network.rst @@ -14,6 +14,8 @@ Using the clock network description language, users can define multiple clock ne - A number of switch points which interconnects clock spines using programmable routing switches. See details in :ref:`file_formats_clock_network_switch_point`. - A number of tap points which connect the clock spines to programmable blocks, e.g., CLBs. See details in :ref:`file_formats_clock_network_tap_point`. +The entry point of a clock tree must be at a valid connection block. + .. note:: Please note that the levels of a clock network will be automatically inferred from the clock spines and switch points. Clock network will be **only** built based on the width and the number of levels, as well as the tap points. .. note:: The switch points and clock spines will be used to route a clock network. The switch points will not impact the physical clock network but only impact the configuration of the programmable routing switches in the physical clock network. @@ -45,6 +47,17 @@ Using the clock network description language, users can define multiple clock ne An example of programmable clock network considering a 2x2 FPGA fabric +Note that when the ``perimeter_cb`` is enabled for routing architecture (See details in :ref:`addon_vpr_syntax`), clock entry point can be indeed at the fringe of FPGA fabrics. See example in :numref:`prog_clock_network_example_2x2_perimeter_cb`. + +.. _fig_prog_clock_network_example_2x2_perimeter_cb: + +.. figure:: figures/prog_clk_network_example_2x2_perimeter_cb.png + :width: 100% + :alt: An example of programmable clock network considering a 2x2 FPGA fabric with perimeter cb + + An example of programmable clock network considering a 2x2 FPGA fabric with perimeter cb + + General Settings ^^^^^^^^^^^^^^^^ @@ -134,6 +147,8 @@ Clock Spine Settings The following syntax are applicable to the XML definition tagged by ``spine``. Note that a number of clock spines can be defined under the node ``clock_network``. +.. note:: Use coordinates of connection blocks to define the starting and ending points of clock spines. + .. option:: name="" The unique name of the clock spine. It will be used to build switch points between other clock spines. diff --git a/docs/source/manual/file_formats/figures/prog_clk_network_example_2x2_perimeter_cb.png b/docs/source/manual/file_formats/figures/prog_clk_network_example_2x2_perimeter_cb.png new file mode 100644 index 0000000000000000000000000000000000000000..e74ded94f30eeec1f701efa94d2872c34670cada GIT binary patch literal 82100 zcmeFZby$?!*Ef!d2!aR*Lx@V}zzm%#0@4lAHIy;Z9V#gdAl)DgA&7K`lnf0Lib$7q zgVX@;J#bLZIsTsKpZB_c&-J`tE(7j+&)RFRz1C;dX1`LDm%MVB{4y36))lD-_m#1* zut8W@7jZ6~1D;e|*02HoJ7c3Pc@Hb6lX4FD;k?;hxw}|c`N6no!wbMW5k*;5ao{=d zwdBqT{CnTJ!SbENf-A?88|KH8hhXJkQFFKZRv+(Me^l^zq~g+`si~>!QLPVGGl+QIqFyV~UBtf{G~ zO^&JUDrs<5Z^*H133=So|DiP}t{wOqtnYlq+gFy>SM%a?f#MqVyID0~flg78Vv3 zqxFHcFU1(F#2KyBa;(N1ulCigm4~e-nyjy{Z}flMs*d{cV~HBh_;j{mWLmK$@EaOUwQm-CF1 zF3t;h5v_75_FrjsCw~h?R5k>;k-uHIDqq`sN0;|zny7p9t{NCZx+aoUtZah@wGh5teBRTk|p>$w@cq`S9vWxB*&P{!Ix^E zYZgpZkMk)*UPfHa!EYx?ZH!d^13Hx2j!@i|s-aAke_ZzQFw{8)ntaUS*}v zRG*)qCwBNn-`)0~74WfgfYlT@B)wovsj>BIo>4WQc$qJOp{81#-ztY>Ou_IGa?m>B zb}?nv!F`5$yLm$^>*M)(=ak4m&j33(xj3P26UTDUqQ;M9=vDDZMC$_%b@R+fM3#YZ zV4Q@~{eDhFCCz?B^*-MH5IQ8JSSyPT$vo1?%o~{EhgpW?4;Ghgkl$??3EfK)2a%6j z-nN(rBcRAWK>x8-R z1Z#QQysjstmv!E!R6 zt1y$F-7uXU;qt#-5o9<-HITbFYM@$XH$_9`;V9`pKa$y3Fuf3N*@MZaR@Kpw2`rUi21-)r}U$$YLjew~1D z{x@?ZJydE780KD3QEdHN6PXu_RMXEoLZ|4X*q zU*#kcjtRJnaG*IrS%(UH+7NQNTR+vAeNzRSNb4cwkx`2|nblvWQ~>MqcAq!6hbto? z#|pYa^UF3WJ}FSingil^MTa!}_?KA$3P!q@>50R}3gXU(aUuBrvRX~Z{5?@Sj%05@ z>mw09gufZeYz97k5g@gXq1_x4zZ4Pops&vBdL$zDA%t9l@~4W}B_|0)f2t2vB9f74 z@cU(p|2vppw~_7JbI6$S`-frt?_Tx)MtxJ`=&tj+@h`7nexmHE7l^22XK(!jfcm`c zPb}>}A3k=YDW$f(1f%ZzOBn=sBQNQUnMRgOs3_QeL!p(~bTOzMhcj*@!rQSj%I-gCn5`-qrQcKx$E!Gc@}B@;>J{y7T1}Yj8v3YHQ+0Za@Bw4pRZSh1`9m19+0qmPZ+{(U;%-`f{LR|b55EF~S&o?~WU-Wn|J1~Ko?8{Bv=VMY51fm}fg?lmi z$kgW=?!266nN@kp+qFD4tx3^TS$8Q+4Efo^HvkCygrU&h5-1U|{o#dobjWUEj3a5{ z>v>Sh_}45JK9yHE4zPkmDkajA^rTRI)jr09Y!-llQ4XR6M{!I?i%klajOaMJkf_kNu7AQ z$@b!okIie^5;77s&zpZ^)#I~l zGjB2H^2S7}XYhG?a?hX=?UE&!S9jPZT|z^|$O2QJL4?wfYqk)16l<*Rs;wKvT7><4 z^by0^-lXY+l74}410S%H7-Xcr4ay^5SH?toR2^?u*Acch(@U*iSzXL&v4Z~#zj%|7 zf#KcH;WT!BDc@SiM2$vdMO`PgXL!d00`HBR3&kOjNjTU%K0WeO4O2T!`g#kLA9?`t zd*?9J-A|Dv}3KB1i8k0=hhc8cYfp~BglD439hqVGRp2*UxwQP_wM zu085|L8avTM=?`(JL!!1c5y^WEoqnf#xqKzIJzHG;kWIID++_2O-x%+cwXQS=Hs^y zLumJ+KlbELvg7~e@n!Gfd{>p2XkNXJN9B#t%g=HW_v1|LTotW9Lm^OP!V!Kz+GSR zRs;$>HrA{-#Nn1nDb+J5*|SRo7<*~ z77S5+coFRI#1=h;J*w>jl4fpeM8oh1!@*ALaOv=)jaE;H*d{#>j5xB4P88)>gK8jZg5_BL;9!*~nCkT_5m`h)S z!9bcC0(FYtGL1QFz7oehreYPbO;ZsBtxTBIOZYkf;$ywp)p)L@%xMz(w;d32HKcr; zil9fk?n=yG6;})EuBlp#OIBNUTmN|L0(C}uXb7BKPD0*hBto7e+FH%?bWX2;(*C0knV{7XKL{lpy0^#nw zMK5d3&~zyBU1qYl`ViA3Tm7JP-rxQdi%n~ysZQHWW?^^k46n-PfU zV%IRWQd8SS=?rVg2&yeGFsM9i0Vbp#`3i=pD66oTBBlCZ0(jThdq9fIi>ptDjWK~@ zjCi<#Wj>ls$0M?QZ3A(Qk*^I5;yZ9bZ%ivT3(cn++=BM>Q{Q$5vh z7HJ{`Qqev`FPi69` z12}Vbj-=i=fgXeLL=fGR>!8(*I+lcr7Ds9@DGxsS60T(QHYIDDImBoCYwE%fs<#vo ziI7miq!r&Sb3!1OXEEL*D;jaws5S~)Z=|}f*CkvF0ri)Y$)UVRnfPMu=gH`uf|t_A zyp#Klmb)>;$=pI(D)c&^P=1}7Xm3m6JN%sFa`>5JrzQ9c+Msde=*+YA>LY9(_ucK} z0vdcdE61L{Z9_x3MC&DD3XCDkNWh`$;Jubo`bi$rh)^qZhE=HsCzOavtg6>Dyzkj2Apqohm*8syZY!dg%|{d3(uA~Ix7EM1C&!v)Bo>x z0x4xs&qRY6c`*`-LL3&WWpq3Zi}5uf3RMy&&4As%XC#T}7no$w#?FjIboY-;rhVm$ z$1-8jXxZV`v#OKOf&C!+&0}EelMjS6j%$Y>Z>EgI-uL<9PjVpo@x1z$9fqU$k_?qn3J%rCT|i0+EG0mtQEK?CL6ptCJ+ zdocK~4q1Qu^x)ExTgy)H;p@nZD_8775c%o+HDCAvLY$yy(`k!F!{E_N#*oA9!d$HT zh}ePVcBS7rQk4vWsUAlNEFh;>-Oc-$S2+Lf^y%2EgL0zI_?lxi;DGn>(Up3P(Xav{vTqT~VWlR>#SG4WXA>qYaU(|C>+351Sh5B(_)zsKS1 z##x!7<3yv1-O&SJ%MjR5n<%?^*9ZiN$&X7Aah~Ad#k~hq?>}Q?stnm9Es@(8Zkesf zwCCwj6oV`epja|;Z0G5W=e@TXjD;wiT8OT(6`G@t7#}4dCPxp;+-UUpwIhF8`<-)D zu?Q`U|Cy(yDFwBV>e=;LM&E;=3YFwPg&Lf1(Ae?hJ^JF)P;<=%IfV;Sl|jWh@qfFd z4Rt!RvlL?&Bahmo(1ef+V+tg37#lVZ@<_AA#6JQp&A5Z6(F63*IJn3L3}J#y(!UD7 z1_9qk*X6@xYY4#{0usfrs+cG!h2c~BUdu&)I|fkLGgyoHrWX*g!SQFvnUMSfC(I#} zg1N=?1`b0mROPEf;DsS`{NwyX)4%A*cGR@Ac?afkg-P+*H&%oplG#D>Kvm>-&g3zC zF}E~7y_apWrva(V9I5Fl>y)6Mp+tMNQUm%hHuxjZ@kKZ1Bf81qDxHB#Hc*fHc!u*@ ziGhMGWIxU|-~iz&114Ox;p=|@Vp|21z%iCa2Z{+6Hf`H?cye$z*LnF&NlRI~MEdnS zzxIu#;6QqsQnsh`0KuWJm%`%OV0aAtD^@^Z({K?}n2Gbw4fX_r#pR0kY(KimsyImg&7r4L!WUMXM8KefMYk%%*GqLBY7n2MOifI>h7!Sq{l}j2 zI#&{ctHB&R6};%so9&5DsDR3hgXmn>7>pg`V~vdkK)s_hc`z>LV`mx+1%yPIfDsZ& zXvn~Q@YLt(IKS+!+@Sm@gc#3yL+B^G!W=tvNIncNA!Zx_C~Kb-YTv2c*!XhfYu5Iz zuyb=TJa+i@OCV1o!U)VM-Xaz8eU=LY0Iw15kO5pLem5i`9BRL}WcVYDQ@ref6#w4Z zLLdIY3&0eeT02<~K}rlDWEfGEPH!6vj|9?c)vSm!29eB6vuk!LKY9j0ejsU#+HQu0 zm_{#pkF(z%kawz{YUm4VA?tLk?@rcU2Ugd#K!>vF$*oG4hKzgy(hHx50chkH^>ndD z%WN>Y-;?t{m2Hh~q8Sc^K}8rJF~=}@c&==Y=eFJb*^+5El#&66>uGwnUBzIpWl?L7 znCxrnWdKI$K+k5UxhXVwygYWEih|6JgmhRBzpPjh{}%gTB7bvOc3sa2x7}TI)U|R; zkP-PN4N%lL3?lD9vG6Wom7p3bOFOxk!{Jroz*ivNo8TR2{bA zL134F(62dU&_Sx-1a~D+219YKf8J)CAl8CPWLPF*d~)CpS$?}gu}9gSI~X3M;#gKb&hNx<4Cyc zgs2lTL12h8Cu98)<ZP3VQRfM?t5QUt+}zSWp;`D1mJxiLGYo+OSD*!h^iBKnlK z=Db_HxUNh0t~TaU*E-!kuszhHD^Enke3A$1=t@E$x=SIqeaQ!E96w9yse^KE&<n4F`VWfZMt&G(0n;sGreh(uI4wK?EwZfP zKHgpmj2pl4J?Eb@4#;gB^5mBqv^c&Vbk=MFDk8rs_NKhkXDE@{hJSvy)v*~wpuz?U zw$=tOd<7~UKl>5Ni|M@X(T{+S?f;YHcQ>D-$_cU|Tp5(-(3gKKkgIYoFdyc6`R^q{ zOk0BYq%FZ-HfwP2$}a>tY4Ne!p7ccKUk2dQ|Et&b|N4h__@P?AMT*BgJiFw$lLk$c zz7a-^+JEZ|Rh)E&>@b}nvfnyGr(1xr7_nb1)Y1^S8DZ{G`~fi)m~5{A~GFe3Cn9YXx9z0E5Orw;0pb`hSu6 zY0|Gd({14B?%y)dlRh!-e>S1d`+uYcMI{+eMuBT!61e}LVD=wN0R3OVAYBII3y0Sz zYFu|IOjVQrI^`1B8Ky*a3Kl@AEBf3!N+#j^5c0(< zeGrdzkOL11ZWhNT^0{$d9-8;0fdjHTuCQBYP7N)*_Up;YnrF92M90+QCbwIyIPs>h z#VLG3+6X+h9hO8UnfiFbvS)FXuCfB<|?@t((29|L`_V zC)OfjAED*HEXh3`(Xlune>MYjLPVr?2PCAR#2yhY1AcFlq$JgSB{Qw6Bu02p3d08Z z8Guo2GEvVNrq;Aj>sX7xeT1|>`~ll^@gK?9h4;$58#3!tvXx|J%7y=pl{2WWF(-fP zOr-0RbdqK0JYxk-M7RcTp_$;ZBxv4%@9$zwnym_nm#Zp?{OBRy2B{GbhYGYV#0?ZC z077g|A^b#|;`)3I>X?|Klf{_Ct#YuBVD^VgQB80Cksa>vE@unxeFVAxvJ}bmGmbya z)u;HuJbiu}#7#UL#@sq;@JF8CxOU5Itw5O>E10;$YZ}@UmuM|x?_Ljl{^9EMIYd(3 z2Jy7=-QBF1N26w{inc0`7(IOdBtmih-K?mrQL|Z=3Ko*^n#MoTNy&BXm5o?|ZjuZ~ zTy7mT`V&7&8XxA;zHNi%{ow&0*0-boB%i(r$=J+gH=l}>!IWIIu<4H{3@>`|3e3-M zdNWcRpz#tj7)hT_hv;RwCMCb0{qUB>BkYeXYdaOiWQ~}avs8fRTSsAkWJmqRQd-3} zsMQ~iC|P%i`4cV|Ty(Pc{+T>foF? z;pUT`;)2XV2mX;|x#`Ld)g=)zmF{XKwL=N0OG#L*#YIi7vwQc#2{_y@cBzERP)&0w zViWnF7)6s5@U36!pA<-vKLLo2Zrc$Lhe)?t^#I@A&isRM^eM?R0CDdFDshL@Q0sVG zj%2?F^M5dDz!s&bWEqtuyQwl$DH(uoZ-+Ynkv&`G|FHK@K>UyN{+H+;Gwb~1Os;+n z)z01)RHgKOIMGk%_|qfB%LDmDh=Bj{1G;IxIfHwIA*#xKOg=5)+r>s^kFOO*?97mLnm(*B*y_bLn9M(Tb@>w+b1}gF3g4ZQb1wnP-L3jcy-E4r?t>HJ;9Sz5Wgwb zMg#JAlbsT%aZW}hg*3M_Zb#`GX*$|9#C&os00}HbPpKgJy6Ti4c`<9U5O`aAZil%+ zC0zA`lnZtNfLG|ylySz;%dez&XxqQE%Oc7P)`rQtaB_78iBjTEP?0@M$#u=Na=?2$ zp-6BJYx3I1q}tD^sVNT?2*3A)VzUhoETvaH9IFpc$_;r<>pYu^Z_2AvsTZdrAHouI zf0#|)IX$YfQ8P6vQYEp64Lp(RIlw(#g}3C>O_%bNhXd*z!8>xts8{vMkuK$TdNYaY8?u`Z$opu_ zrQ*{Y6R#JBryP8XPuSK0Hzl@NO4NOunvuyPH#9VbLn7B9MHCXG(N#JlGG3PP^aqac zp2db7r^lC{m6!KObx@zGbuAqv;29W1TA3%$KxN<>cwa?xnTfu1XccEDJHS$ZpHoZ2 zP(DW*n+(?`AiAfTV|s=qFLtiCSm_7Hn=k=1Wr_oPbC-&AcM8w;GNhmy4;!v+y6!C& zu6*MQzpm@Wfr2~nw#}3&YR58nq6hQhZjjFO7Eu<%!;odW)C6JgN@06ONb$eaO6OMT z&b_%jYzO;WDisN~&)4W8A*QPpzU5@^gq>oQ{ps%p(32_~F<+zbpQ?M1)04Xf53MEuS@yCE~6`pwy9+gCuQoqi8(K# z6S|lG>3l<0hLgvT5shFyMZ29hQLzwDJIcNHr>*MTtlYV6PiJrF*w)c0i;)@u$A|jV zS}E;RVQk0f0Bfgxr^`&_blD4+-}iF$M72?uHlTxjw{@6Ioc9Cpl^>Mrci8hM9S|q< z5ehyLdMZA|nrfca(UGO@0NT~_X^A-Ga8q-?HJ!}b-)2={a+!guK`Bem$G%~f3rVr2 z<`#d{4>_!j$j-#&Bu+8QQZ;d2I*Ds76VVd^gCxV=hSpn=Y3OS}P?lm_qrfxY%;vkil7vzb#l?$~8N24Z2_w(f?nG;UFpI8ctwC5%(K?UAbkR!k4sSlEN1wmsqWHnQ8l-)HAktTRh)PXVAAR$lkp4qIA$WUB&J0{uA5l2CrpWv6lujtBDiQcp(Ri8~ghX9X773mTwj7g;UdJ|WSC|vYs zyv!gnL(5QMQIr!M7f0z%(tt~>bR)9a95QcC2{`21;41fv#t<`T@a*I z)5w`0m^|pO$qmJwWY&G*0bjdvpWr_=k7xU0-hG^Vk)O#}o8fr3qiiqkaLZ<+#kx`r z&=V1Y$IjKh6HVU2PSweL{)|R}lIRMtbNjoAykQUHe+ z!fbqk9r@wfK0)=xEtnA*Vfm>T01=Q%eQv+n1c!MGe+VZTaTbcV$`6!1Nx01Tm4T(F zRB{v$mO#x20Zr%<3wErg_ZX6>Ok(d=YTXPMb5E~e+K|aljti2MbXn$?W~8n*DDsw{ ze?3`(8#VXxig%JigL45-|1B`@doHc|8O9VLR>A5(E5KSZj;%%hWlFpJc(7cn5%Hy! zz;7=j=88|;Vyz~czkRcfI<_45GX|hHcDPNNx0{|`_jppXqH<4(Zvk)Ha4l}R4y~NM z2S8ZT%;%Q?O7pYZP$wb1Qkol0V#V~}{!sTmCTYg5hganVCYoBP!IKyhm9KvZS;0lu zNogV^qJL}{jy8`mpDLWZ_1hi2f#MhRw_bz$=`I zOdG}>(7DKXh!dEn}P? zWBP&p47SFe>GTX55z~K8@2}*WW{lR?A8evwMC!BsWggJ8u1V5}vn}8 zk*{(GalTsNb!wLx9r9A9LNQaaI#4I2c-@W+>mSE=2J!eOfd^z{81-|(XXOQa8dR$> z-iZI@O`qIVU{bmvokh1GrkoD3A*%l&3?ERecg|E#4$D%1#L-UX zw-G!tFSH*q5zckRXqD4vIlw#cKd}i_CY>^4e8U*OT=m}WQyT|(%dz2Iyvc^&^RS?C zMm4ZlrJ-$*aYW1`UUva&Wz*so1*gI9bf@C&w8{q5In92&oh?vapms;dT@A!gOIm%-)k;cRhsg5#B$wxcE1xea^b(~xF{ zPaQy&p2|;MkL`NSpk~(ETKUc$<}K|VxGsZ+rYll_W1rClA_8+Wi^{%9tz5mz!{Op- zdjx<}uDK@c6Nb<4H$b?H^gi}VO!(^b3;Hdw ztb-7}>t}nT2&ezT*dWh-(pSg>+mE=z$1+I9nyj|<5q*NBdR1;_8MWa5lH4bEY&C*O zP@Ga)Wg6p)IP84Q1=9e>$SI5M|A_fbGk9jJbCdE1q-xV{CK^ht$nI4g$#)I|X-dbC zmci#7de9xP73^`>6)lXC!MSDAUnTKZ%vsBipD5w6`9hb^S<^nl=e^dOvrVRZkJ|Q- zJZHZKi}Os_iDN6+6vg>KuZ3|XvKj0_`XgNG-EHn4j>`&UiUmDPNLw`n=}iHGs@}|t z+6d!T%!<;}SdLjLUd!c5d;!Ser6%LTv8`Es>d1!%%fiz}UPgH<2VOsQH2yaBRA0Rd zhuJvXKuSRM=WO<(iP|6nMsnFNCfImhJ#}&asqn;PEornCt?+M7KykNrDV2S0_>c?M1V7y^1wlN!U`C zpB3Dw9@Ha6b!fsRSWnMB1eiVLOz4_lRKNr)T~&P4BzLLVXCcd;aeXSLmE$!Nc5*jF zS?)wO7rw4dtt;KZS) z8?g(m=f=y0Sqp$raP0FHht@qn(q2&;?>!i%X;|a}o(6{D>*=ZpQ02U0jzqi@7BJ*}O#TBABi{bUfzHE(*PRkad-u%eGI;t)@)O%y$o37e)8SebTdNyt>M5pfUcem1 zS6rebn#wQ&OjO7f^k{0~&ug`$MW;2;OR(cvAXsr@F0crUu zp^lMZLg!sV)Yqngn(!O%s~cY#Eeo82le|@D&iPlmZ~LWyXxZemx>2B4RLRgBj?Tkt z4H}E~y^f`-Rls6yXBTwmh65qGfP9FXvu=0YgwXhORa7KP=R#{gYhg$PkPYlIn!sMA zK2GT@{tkguC(Zw$=6IkFr{)5;Sn23 zmA|j2uGT%6Wt_zM9(ACBZn=v)RiK9>IV)K9g^)fXPRB zIy}xqnrVxf!3E*Nav4YQ&kR020Fbv&Yk1_su3-*~z{B{aYMrMR^k5rZCxlaT0CBu6 zLIvu79L1@4U6^KXqNd_xi}$r#;ymImBi}`4Ts=iSf6vCKyvNT-AK3$tEB?@AQW~!i z$A7T_*sUD;Zn+F-J|}DY#u44O&RV-^rSIE;aLm;0KUf2Op&x1i_PW-rz`I2HO^I4Q z3$2r08{=fDW2wf`f8pIFo~e>M9=7d7N3B{Kjz@DD_llWLO4~GJ#F7;8qI=Eku)|rf zGp6dO}J2*HZ#TdQQOQq&9dO+sTkVfnyn|+N~ zfKB_d2ECva{W88>76neV3<}KbipOX>W58Wb5+))5@6TTMv^=U;J59>tCk)oomZX>J zyTM0C1N##sK-t)elLy#xbe~pjpA+t81V&rM3*v&NOS!n-3V*QC17`Pcc@q!A+uwB7 zD9>Tc7Ah>H-hwQW@CvG15azHbTr1hmGY2-D=w!nwu@$WMX3gg=1p6itz~f1O?sj9h z&1`VzsQ35*k$s{h5IV9q&*KdFB@H~HCNOh-vEYC21uXHqE$WHG#pWlwWrfuql)aztkH>dcT@f;Y@&(8#uCyc~6Pu#udg-L=S z=M{aeisEP%hM}KP4P|_N`@~>A3)}||gzq}x`BCgVNlCBF30iZuG3(ZQzG&MUV@Fdr zH9lisF;}DvI2U@O{hcu!S(Q6V?Jv2ot93SeQW`byIK^6|0nQEe*NT%JA-E`N%B@5j z4i98RgL`()aZWAB*-iE*}d!aXJAmu+3f@?y+H6 zyY*qREXNi5`W`m}D2g1cc&9tRN?>-{I_PdWU|Nka_EbPDm7jI&OmN<{2sH^}KMS)O zc0&&D(4N8uCZ_qZxDIA>7&pps#VjcnF`Pv4qjHWzujOSjd~^NibsHzEa0AJywg69IR#k zeYT*1;va3n6CQhv7RX_9=T`23OLgiXn_RLU&5p{r1+jNnP7Y%1J#_oNR1PuAGLfMgiG;x#*pOOtlE=i zIRIAMX!stQ8TSh3sAucx!#hfRElxf+=F{W8&ou@3xI~_9?R^7Tv3QgT*SbgexaD0m z5P(18aKnfeOaY%*QGXSyi> zVADiu$>>sLroMD~vf!|-&Djz&+M@nS2Nm^^p&7&^Q!u-22O*e-S&YWO@x`^)-n{mU zqGpr|R*?yFV!ZN=*@E`oRlcV+kT6Q-4^)*Um6qB;X&Bs_NR5?J-A@Qzd!0;4xsZMs z5tH8WQ8m;lO3fu)G11G!ly36Nb{MhJN23DyRm?27rONK?owkJmVL^u3Cy7ggbLh;D zjeO+*8OgJEchS?|h);p;y1c;%Xw&!m(mi_ORZX_WUI$#RDD6FZ>JF+;7{5*4vvnCPMtv*9U*_J>&6&@g^L;tXh@+ zO1nVQfCjp*vm-?>lmfJGW6+-hye%_MMn}vq!Js!{0&@ZV_#r{Np|Nd6INgv{%8s~L zo&+SbvTu(aAZ|P6ydOGjX2T=eAd80nL#Kf>SqEMro|)IE-P-w|xZLH4(ynX-yijZ& z@Vk8Ow+lKhFWr&6C0=+lyEu!?AlcDkEU1`Q9?7b@pEuS=?k22YZ z_}$L6Gy_q3qK{1b!4QWwD^$s}l*2qQa&+e%S(4ak zf^wlC;2B;ONEQcaXc#$;Sgn+{1@Ol#WcrD}+2MKk$F3(|gcH*P{4Yu~Ub~+2BwAfy zfrk(^`O+f9)ENmE7)4RQoPcgpp;eC5i);Q~x|)TJrq1Omao+xge(Tj#i&4H|_~}UE zwc~20l(e=`p)oru8_#ntztwf?5&fwO<-FE*tt5pMPBLTiL^H!QLBMn0R$2aJ5eK#L zzUD8KOA(MaD95nvSZdxtxs5+*L#)(!&&bgO$d%KLpNOjPi4%&~!|mh)IyNCy+NvgB zdHS`1Vuh*XmBFhFRPB=F)6c!H>NzT1HBem8kt(Q|0?k$~K?wG1#r%^OAv> z5-XkWmKWeyNq5rwU>;fJSRDam)S4}hF#an~zym=$(sUN?!#AlYBTQT1 zRR>SvW1z!P5+`hZ*Zq}|zYxd)q^W1k5*pr`TV9Y9z;smR$~+nUu1*RYEFb;@Gw12C z2bwVfP@_XGCMC;|8sUORcDW*yO&;Fwjt`#XRZfu8s7T=5~=Crphm*sX&G8Xed^f!DB*P{D5luziV!S^Y_og zX;<8LuQd{LOaAxcb3@(FYJ`RQe@h9n22vj!@sooLfM9XN?<@a)_Ts;2FZKQ5K*Ky^ z#&NqSC`C|NieDb+9Nnkg`ghYlN}v_fFCflD&IYL}2c0yN{&Za9KkWTSdYQ<7w>JNy zdujhMjsKX&e@sK_|F+kF9v9jgJK8$pg1);m#&xcZ2ahJMW>3bQLu)4Y(1*HjH{ruA z%h)shcn!_zdV<`xyYImIk94PBU#$iToab^t3NNd_QoQZY&=M4X2;6PfXQlq7qLonh zs7w+QeO)V9W;bk8f3rMUDvzsJwBhuQxn^g5Itu+ZU{SemOPmgz5C;5qS^NvgK`c|N zR9xh1JBL@{`Xuur?uGCy>1uoCN@6=Vr52g|f=;y{I%AvWipu+~xfe-9JIL~WAJA6U zqQx8dlL#^y%p9TL*!1kM<{O6~cZflDul##1yEzqh8KIB4wI!n|m-bBAuCRE>ChY_7@fAY2F__%%thJTNe9_@lfO#GGQ?hKg@NA zl;pn^d1X^vaZ^H~O)os0tXHLtNpMzunxP&WYk)KeMv)Lsr^?ARS9E_Oq(pEVoc*#FmDm#0e^YcC(qrHX_L7Wb&0C#t&FJ;0#v89>H48$-tVCmGy>b#0P2hu)bFAcDnjhXC1a)z*jTbL2|YnEIbe2Qz3( z`QTW7%=wokwq&SSMaIBLHvpnA=H=p&iposMAge=8>A7&nN^I67FyQahv@%LejQTjz#z%vZBdqoLZ7;4NfoLFrCJuHg(gqr7$ zo6kIk8a@t--QS1JM(U?v0naeh?xrL>!cd!kZbzZ|?_p8%!0m6qN?-K3`FzJvyDY_` z_V;0LBlQcgfM*zLcM1}iG1Ri2TM=^odstM~F||UWxqZAw%r;!H&+eC7O7Mp56ffo7sgV&^K-AvBS+=Izzuc8Ql@5Bz#V{_>~`5)Bwq{H zqiTwNlU5wyXH}cW$uRL0l4#a-flg9PIrL>c=s^ZZF-yTR?n=((b3G@C1AxI#RQBf5@C1sr|QQ}ZzncAi$ug@ z3+H_Tj&!QWW&wxB@BU<4m8mA{eP3wE#v5!sAmsljtwJJ$68Ndk0nF28Ee~1sWe7RR-b7Qks2FRI! zeE<%yWB-(`QW}~S^!U~{egfyQG$4b?=2FXtWqbaP5s`@Et4jd;tC$n1|7KrQ2Bqa^ z-|2b75p!^kMNW+sv~csM$!3Ko(HXPd7X-vp^(XPDwRVN(Ur$N+wfu;=XOoHtP3UBO zsQ9Ur|M#u(|M5-!&+`9&HSt}33E(~|8B?yg-(dEC9u)j{S|Ux_vNJyTtma_zMV-qp z$o&JR+z7S0B!P|G{x5+^m=;MOQE4XRc^uXJ8yM$qf@;=UcCIx1B16C8fu;A4kt1rc zD;fS#RJ4-}NeIM<*D03z4~?C1{0Ce+saT9WXVw!D1(ln)t~3A_Sr`x17XAbC*VB8d z&Zt$nxlZMnkJ#IYp5%X5Q*ke&`U|j#MIxqU$nMDbs zmUH~;7HZ<7esM`;jhZ#Ssx-L~cL5&~v@yWj^Qx=r>dq`dtxQv?KCkXJl5*YdK=NEw z`z-h6Gz-1UNxlO$P0e8a!%nAi`}cWKjUAhrC2?jh1S-4~b>(kulW9+#19_<Mr^aXe@#Uy=ClGKlCbXNg zO~UlD({2zyw znx)^YoR8U;>oIiz{h$`~Cl2Gm@!?X?_vr@$AT!B`t@SG{e&cb6<)wsN%j&0b1#xl= z_E<)nLNl7fc(*S6hcD=MW_&^=H;@QC9xhT9Bmo$wS>)<_Qy zu(q~f0GWMxN>3l;vg-r;$RI&8MR(weT_JLUL0mq`t?#kf}#ldsV^sfvC+mT zJ^#9bpjII=$vCToWJ7uUrhnIXQ;;SPgs6rMug1sl;_b}v1Qn>Ro7A}|R0|p|;F0uD z|HDf_zo($wy^VHq=5H4S{c;`W$bzk8JtDUU$(@JVLp%Da;$0>f(qZVdxY$Du?1-%b zcZkt${jw8Bj=-a`5v%OOX5fUtN#sWSqPLAvVyMt*7sa^P?+uIhH*d)HXQak(a&Cb< zP~R=F3_>F1y%<0Am7H#iTRv$ay>L`F2lV*r zI0O3V<(Nr!jDSd&ya@J0nz4xRLZ}sH;cHxAB;{(4OOZUPyCUBQ*I82-gk--Ee65>Q zPku2h?9^Hq&oV?Qou9Y0`;l=edkYIKyW8Q(xzK>ngj8hC5!fiMSJ*Pk_-Oj2LhRQE zZ94h!6K2!LOW!*5CZWe%&|D1h6ZfIIwIPmktG(J$DL!y}N&H6X-lO#lN6?I4)Zte- zh)-KQDFK$U-rvvL&V(_nwnPluw9+-gw@3K zxf;i}JEFRKWIXrwF26eG&!csURpO3zaAaa&9ohSLW99>oV~D0nrAk2g1W@%BzUmi< ziz)qSDoT)cF}hwsF{SU{atpC2A`rp7-tgEQ=2*sztKXvxBn(l4v9X)~88%6mzJ z(_7yrs3F+Iujk&&W}MRWRJ8pegK_kiT@EqYh&(8e+qqXuby*@7vQ9O6B^G&wnW= z9Q5>6wa5qrGV*2(%QY8$&Fh}j`N^|EX*-nd`*VfVri(P8(qBRTe&Ztjl@{u~?k@l^ zKp=qGC5|dL;7~rk7zDyAFnOk(^|Nf!MB3G(+MPF1nLRM~Lwd<77_yN%>pH~dBbdg7 z`HJ0KNYda+a&k9>^~FyPs`!c>OR|#`tLEN;@EPYGR-i-k{IXekRd>@KJ#``>k8=rp zz--7+Ba+)TToTu5%^8XquY$V15anS3@jh$cc@vWs%T!Zs1-`%;oNjR8El^4A5&#mGl$Skyi(NB0MKA(f|DvbQ!iE<(qW)bmohxozIU3a39`C6~ zFAY_W333JVx-4TCJ^`lp(tnUbC7};n_rCk}VP4m1HHfkPFlPEAbR8zVf)hlNDP*Ya z4t`_34hN}BlfJ9zpn!_FM%@a%{IzAJOQ;_t93rNUPwnz)`)v2Jf*38Sugp#@f!FBK zxnSzX@nH7dQm7zrKUdZtq+;M`G#HXXnffoN;q0V6+`ONmNYzw(De^D9n`zbA{LWilMR_n z16{M+xX(B}tsvCfczr?pF3)vN8y|hCDzjyQO(Po0Au#9{P@6?|U+&yrFEXAAfUzE8 zxoWzDb}l@TVUTAA9c&iFSU-t|*`nFSXt{gjnmke}UL#XWhPt;hao85a5(+W6x2&;Zx5hacNPtWn*evyaOu zZf)eb{#1(>&^B}NO>ugC9k|bgv15YM?(|i5W~htKZBUF}<3dVN*QkkKDuqL@0<{F% z3)z%(1FiBh=l!@I;fpbbdc#NrP}U*FOf5A86?NK&;b}zR=>s>G-LLYo0IsZjRf!j3 zJKX7+Ay5-1!KnU1em4niBM`z&OS7O*G`TkK^VG7w9nw*x22SHbk_v?Cz9iuAt%=z8 z95pNR7Ogl>bHpa5d#E%n(#B*~gypFYpMsyqcj*o!xH%YoQnT@|jWH>ro!9)Xwp14| z+V8dAHoZp^$8@Gpw4KlA!K17=cV_h$HHUT)IzzO`qo`&c14h%ta+4?QAQ|U5MGua{ zKGNNYv6;`vTiBwcsEH4S(p?}_W~w2d&Rm)f*_l4Dc#sQ%V{WtHJR zrMDLo)D_8)BBg}dnrWlXhG8q1oS6&9fF=4|{biSZRzAdzM4P4_qYXiIHC>;MO%ma? z5(nRxXDWbXSTiG$i`vz6fOjQy`_WloojtNGmCOVr!TTie968>7%~*_rP1VP1q? z0#w}xS20g67vm@))}MYjTA30A1I}o5<523&%NH~3aP0l-dtg4yAWrUC&>JGDd;I%W z^RDvWtwSFuE@L*vY5;B*l%WRb za@G_FSirNf>STm3zEy~?OSoSv<=Y0$eg8Xzx%#`Q4HQ%Cgo>kZV&UhWb2fx$(6c9L z^q+IubgQQ=C9EQcPELyj9{*)t&nC^KI;S?G6U<$VZ9?i%HzsFd0?J*^1(3eLJcgx- z{&yoB>r>6;eG|kzVxP1pdSl_)DG{r-!~#jc%DtFh95o!}QVyzXVrSJreE@Sh$NF(Z za%K;INQhGu;|1}M0x}M5^$cOJ{K1^XkA|7e-mQgcbww5;#rVA1J^)E@e;wi%@+EnU zZnaY%+}bO5Pq30@K)MQ{Mqn#yO{xfuhIufm+{>Hivoy!Irq-^JFVzor?>ob%bXTjk zDO#h&_f?S%i(=N-VpJr*SWB|(W6*~~3LnFpgHXf>(I?PXkCpAIaYmdi?Uabj&KTFY zuusuZl&=!u#JEnF@VcezPscbdA!&Txtgpo723kx-Cf^W3WL$O*EO{QYzUR(fpWWfy zS?~C9RX!1|bott0xyb#MmBH#SMU!#lYgX0feOyx1RPzr+ORc0nhf%b;R0kpYsrf25 z7mwE^kS)8|RgeUqF>q|r^SGzxa|^V;G`IoUj`NF&&8+naJ6=-DcFMHFGUrmpNlvzGGwCk*!NF<)@a^pzK(984_i4Jk;?qsbKSx1Q1BFyUsPdDi;6uBV2t5J~6rgY*s^&8%XOI?^m- zLcyl=!^KZ~E|;O_F3*C6_l;_=hj;P!{Qgn82?tMYM9-7 zf()(b^^Mg(_lwT(VgN)(7?(R`gf{?-i#5xSLwGgYJ%>Bi_sp}ppZ#S#jzO4*^KyX) zb(y<37pTxLvFM=HKt6D9SkI-MuQ{3lrH*f2otjs;uwV>$;nym?A&O0C;JIBgm6+hu zp4icHt9_C0{HpcV@SQuK&D)iK4qc`Kp-ONVh)bqv_(pELnO+o_w4Z37-nAi(9du1^ z!S-lyCT0l zAGzHvZK^L{Q|lHld=nkkqmFXHCc0<2=A|$QsUCDO^x{F*bR>d@i^gCr1wpd`*?o*{ z-~bDBksifJfSX54lMtz-*)Q-aS}%oVW)-=x(PuKG4DAa%clpV*)dIU&L2DTHiV6l=K_^FY1{-9~|G zq6JI0aG%You9nOXsoA&28sXOwY20O3z?#p#J8#SR4-5(OGxf%@H?SF#}h_3Az)caYIsQ z6Bo-D{^ws=mtlK7rEso7`o$b#_=(JHpOa*nS|iGW87H788k2$7d06Tqg&FeWqS)NE zekAK102wrrgUM>T1As6!=+>fHw&ebkdk49xCR6_YA@Y^*)Z~$065awb88?LKZa|p5 zYZ3M&WjqQCfXy=3c|vsJii_t`9_+}EYB#gUZWA4N0Zsm*Ylw1iT#PWjMs>bjW{+iN z?j^k(ck@kkZcaBGxMHz?ALkR0_g!~N)#j^s z5ukp`?2)=u@pDg0wh$ee0Y>O5x7C}bwm5-8vC9!2v3DPKkJ>-$eVgXQ+~KisRxRpa zOCA;WnF-9(fRH1I^1UlASujcPg1LS&Jcyj)P@A!?{IuvX?Bk3bMVhf$i!%QO0GR!7 zw;0#qp6vn~0&T>52?M=)v3({IY`+J%Sv+NlmM6*<*8AVG+o-Dv*e*E9rF9P3$2E41 zJ5|HuQo?}U$ZA=b)}72P0R#>2P60OHS}bCIt`j{DLnvH(ur8Q|p}W-I=)-hoiZYA4 zW;@QY=)$j^Kqqld%xW!P_qplHVO$}}B`Mrt+TW(E!XYS+M&gY^t5_bvGSDM7`XO(j z*n-6zprR@)PRj<7d%asdVj@uyEC6W1Y4xo>U!E*)*`gRkURdf00OJ_x6kQTgof8YE z{B?uHbdtrM-nH^}^UxUmBqz&o6FAW#e%>$f%8G0}yOv7E@H%rA2AJo)g&;eSh>%_G zjT1dFK~o-wfoo)%sRSJ-i*B$w2Y6{a6-_1@I1tnZ)skJB>b#f*Ls6+0h@oiA6+f;w zV`7(obz0JC_h_$?aY4bK2P43Ss3VvYv|DHy@a2}92a>h=^S}*LCZVsILY?T8i;0R- zQuOB^yv7FXF_&0J==iYOPx{ z&znTqr=yXBGzLeTRhc}W+!FpZ>&A?)-qgDxgXuQ{4k^Y@PD~oIV*Vr_u;xgL0maU< z8gGw#S^SJ7t7H2d0xz2@3WBN%E2qmMemZizf^6Eo8cN>MG%NtwIw_%9ta!1fBks5& zIjyy3swmjFAuTx){p3sLK^jiUP4Z(m516eEk=(fwb>BYV@-mUWaf}>)*GEdG zyoyNBy@qP0G5DF9a^?A`;-Pxu0BORVj-R1?1if*EWMe$IfK>(mwPH8JgKQK@^2)r+4dz&tK z;a|c!_h>K^KLYe8&aCboLh9i4e5rXQX*E7;)04vtp>_%L{&|?Wr_oUq?ugarf63;* z`?yzn*i=!g45sB`(X$lGUUm>O`5~|1hxQW*fV}xYYXq@R(c<0V3h(|k$c}7pEAk+H zRq>)E1!s^52s4H|?3K2WwS5rc$xjhHh)L;*cCi?=qA7SVX+QEZUOu8hnVNSE{7XV} zeMs>K$WmB7km>zB`)Okg|NqctuSl1iL93=FO7O>}e|-u?)3E%niMZKMBwjYZ1-be2 z@n`X?*RO^L%M7G>gz;F>|2UCbDnF+Hq*MKs2mxT2{x?ma!7hE#dh|cel)qjs;f(^t z`Q%_Ad*)yh0@)&>1`y&Ckjme)j{bid&HuoJ{?o+&=>GVRIR7_`lfcR+0=n@-<^0L` zpd)g`UF=l|kSq9IaRNzi5FQLTN$>UFvyc8i9sd6;?*Hb+{cqLre^%uGv=yP!xdnO4 z`6DC?{$+GL{B9=z*^DRt+Y>7YpiJ=6{g%m;OW^e;oe* z@up}c_MRU&1X+s7v&%j(skkGygY1kb0CSRQRZ53&-9Gr_Rta{@lseGbgI z89R9J!v|z@qgS-Mr5+1dt=aqDrokzJFAA>S+KRG^x@0VIPy_MzvMqezrY{)qIW{HU#T@V}=e8^(2@NSxDbBT3Wj#K-L54O`MW4ZJIIj&@~W=Ehi; zN@*0eVO`hMLNOt)WJ?#1=bW3cSJjx?S?`N35{LCFJoO&LDL!WidV23-^l3iKS;X^b zSFd-_`GpxEJGJ@J>Rnq|mHVkQaCD>*e$>tRlAaE}_8Neu3;-bmzh%@gkG(8tp753% zW04S6!T};7GQ!ASS;nf6wBz(5uJ$*-sycgt1BBJC%vA_#YoY+~<{hf}+q12)x2UM| zo~R3^Ac`dSBog8a&#y+3I`{Pg0#&22ybl=+OQ*o8XEyhcP&QuTv@>b@fpJF^foe4A z2%TZTyS`GG!(Vu@jQ!~Wb_Sc(17Sd0O8v8HILx%@#)GCaRh7i7=8W0agPGh|wY1ex zaX(>!>*Xj~^!{c%z}|5vFjAQGBqK~uAD?!u1anjKCw4R1UiUM5;UJJtqK)F1jOXC5 z!a+cD{TdWXOcUD6Pswht%1)85!f);FdJ$!9hR-^jujyr?wq|mXXo1=Zza^+<54*nO z`3ZJ>yo^t==Z(+pC6zcWRM((0A?@W;S5~;`7vniwiFPkaIkX_N>ji|~eE+I5X$DNZ z+lH=1>>0otwomkGkIR9a4U^d_ZvBLxHoxvWvq@$C{+YU95<;n|E7L&>xesH-NW>$+ z?9J)QfH%0nodRg|w6GH>Xd#QrZv&rEq19u?)ox69iYo3{Gx)tdecw{zl6iuU-tidM z2k1q2Y)_!yD1PnS{?mc_c#`S(MQV3PZVcO3;rup>AFSs1#g4bv;q|=_)t97K-Dvt%j7ODq{$El`tF8t1`0=*E${u z8`yQ;SCLzIbx8U{$p_A!nRu)%{4!d$O2llE)@PQ-a}oF~oXQ!uYx=&GKc67=Pr<6a zE8KXH$9O{&WK@9=-5~l@o-&)8V>Z9NZ}}<>hJ2c4P2V_m8d@*}_`YBGszN1PNid|Y z{ll?)Kk>7@#aEya@Q$8$pU!H`MY}$5$JxSHD3su1_zPW7LK2e6cYXxm^=a;o4n3k2 z!BZ!8-ieBf&}`90aQQe-GpPVt`^WNJ^PQ0(jtpj-8&<(sZ&`C639=6ur@@|02X|@D0R*Hsf1lO!QZ@EL8Lz4foO#BO4vIj6Jl8`BCQDrNvaur< zXpMX(xq539nW#odqFpWxyvNqk5Icgui#}5UTRDG*Jcc3|E3@TnJa!u343yIpNEZKS zOvq9uaonA`#1vsC2wJ=O`)0W6JL4Mu^r#?b^feyLS*xPl9B|#EqrK4aKOhrr-B&~B zsdCma$_mmsNn8QRw%sUn+d7oM$6E-4SOdt?>o~cd?alxLp@U=9cxCv4J6?9-mYucPOjT*aB}c#{dSpBLV=xr^e(+hGm*w%{Xg&`n!hOo@I4D?0e&?y$mE2Z zvbD1QE0~slCvFUeOi3H0f3FVJ>Nb?-uGZ>A^V`;pzhwgPo8GL>3SY5zAH1} zI!!5)H~J?hS-}c8*)CYC+>dTgq(@+>#_)?9@(FR1rIK<^W#8e~0O)o?==KnXInu0f z!~OO_&pcCqvg-yMRwD{S8OWhP9Tz1zu;57aZlbsl(|HgRX&uYS2;`#t-pZ409MDS5 zU4JzBxbTC5oIsilmg(%C!4s}Fiops8p1y^Mhvo)!mLEjVJ@Rf$Y->P5eP7(~STp^7 zVa$OYa>j2*fxN_xu-R5wBd8717BbP-tr>ckygLw`cgFCESo3x-OyAeaPrrcmOGf%5 z@5@62ddOqo1)1(0>5hXT^U@gUR6+u-FOuF9Qys!CR4&>AXclI}sBk^PVvO`&!zTIuqk(q!rRbILISd zdY4D2RNB&gr>Lcnf{-rXe4WWXx+ixE)k&J?^zme>k3x28%vFGYI~mYd{`-~lo@*PG zHh*yE@mkIMPyQ(;?HS+94HdiyOa(tS-0dFP=TPjJ*meem8@H4cEp*qx@Bh9RBk$L* zVUiC=0p!XgTx5l=QIJ%1dKcBF5@16qNmZ0@#%8j87U!L;4WYnfIAy$`rf{~&iW!v6 zMn3+1F|tP12;WD7*$%N2UeB~>==D$Z;RQK6Eu2!R$p^xz*#kooeT(h}*+F@>vC?|K zFUa-1_w0jI!Q5#uf<(`sr}uTI<6RO#fHAUvU+E;Vj1M0i~G-8{C zAVy%p^XsAT4ra)v?*9GeY1S^v2uuCxcQ~9iBWk^qymgBLN8>;_k$*z8nEU~RX7}ZXs zZ+j&ZKUv%$$vX>IRKO@mU*(=Z^MU-_fG#6YGWHWM=ksW>V;dt?GYwoK1r$9NYvL~vEYt+5rqU$^On z{+pHa5uX-R;xtekloE;zW@C_5an{zz%VeFPnfzh%MJ81sHyZCO87S7NpfeYbP233l zeMs(Q73<{1yP>U$FE|5Tdli88;s&u4Z9dAB-#Dl1bX=m&D9aP=rR;6+`$kS0k%ntx zMGCL6apqlzP@AA4?E_6wsdqXRR*wLF@DI8|59ftCxWOHPS#EVMZ@;w|s?}|j|K9m# zX$AJ6b1hZx_>P_$D(Cpk&Tj`l*OYi@Bh+E-1+X&P2R?N>0^S+^3M!71{{50k&#H}U zy>#?o1d}=5^fpzGji%$pr9mvkKvYCgDY5o+?bikaq;=%eUz(!}Wdvl@V< zihGG|;d`nyWj%h%Mj@#-7}#pB?c2NMRVbMB*FG89jB%JWCT^PNwi`^C|JDlr{>qAc z0qCp-fb7>YO!S|4b!T9IH=KMYL`z!R#%C%abxP`G&LXZ^)Vsn2oIm`oOXIfV$@>zx zlyJ6%GhZV~yM>#Iavs`-dnWg8LZobL12dmi%KJw7!uKlRQQv|kclz7hVf@a;zc=%I zJLj)vhL`}+pUu4_XCdSxSQuAflFU}~i%Mjttl}G4+$Io|eRp?4=moUqTzI@qtJ5#V zdV3{Yfjpmhgx*NWW?bgN#x?JE*~3LCKd%u#HDCA0`zMs6d90o>tc)3L!M5Wn0L2dU zW4AeK!8(Z-QN0QiJo=r5A-Akz=X;k<_I=&ARU&PSyqgc&i-6Q$dOZd3?R2?Zgv9+t zb`mo(7p z^^nUd`rakveq&!np;^Ic4SJ~DDb5pI2D0DjRpj5+_8#o#JCFh^J!8};g^f{ulQ`=) z7L;bwyS%ARaw7VC>Ie5x0k(JZ=jA>EnD9AtY0V46q1H$h8dnOQ*R9!sMxb=gY~*e5 z7ip@~>$?y>@Y*-9JJREb8YtsQSP$K=-ZJ+IlkZ&<)TCOXUEQUb5+_G_Xl~7$wGAyg za)41*5{$qDB~iv|VZOV)#35J9Nkb~B`6GQA7xUSpn>h(*SB>px4l}PLOt)y-augt~ z$sLNuxF*FU?M%O^>{}OXb_Xz*)Dqk*8j4^r$>IRBn7y^y@x>_ZJQ!j^%*O^4uY*$Q z3$KVrEIb=5@DCLLv?-VES1pg&8@_i$RRs*PLc60XS?F@+a)4%^OjEsgsy${d%3QE%xIm5)yCL=M(>V*5_9-tO=}+!`IFH}w&d{Mb~XSO7?TXq2HTN`sjxTgix? zgR3}!vf8~+-QMzka{`Zoj>>nc2*B*MdS$ntxPCqlWR;9~aE+JV0naV`F-l$~Gp;oV zpY&R@iC)d$JBV8N8Z+Pvv>$^gxic%5$F8U)Afq5~#=ZWO7{k0}>%ex7IPz#S`67L~ zkeZfnv+LnVP!1{zk{xtbKqy$VjtgFHN5*ZuCSksE1hGn-ea2}oaBt#5^W#ycWWVXN zrgQHPCKu9)PSx{6RPpBwh#ssTW5yGnx<^@2h>YgXg2em}01{r_J}?$*p023}H16V| zX?#(J+@Rb?rhaBjO|GHxyz>=LO4dps5-$kJ+V_YTfh~J6wL~JZ%8st@dcu1v-+`}k zw2V&=ONHt{%KGM1j8C?@o;$qx^zPxMlOc$Ja?jAyW<>bePg6Hp5MaG!pLGiX5e|| z+=j-zU+=bqO9$pMD0#MWltg7jQS?Y;^HEs)#)IOgH*r~F&F zm`$|P5>prW?&7Upjhvg+CUM}`uE}Xgc?SX}Y&N)4wrT4I{{Gy`fv_I8cQGc&(%uL` z(p&>YJj(*5lYxKV(h-j6Ov6~E`%|rv+9*-u=T@2P7m>+ilqbB_-Y{RD)&BT=l=fp7 zg4gs8E|SKA+iHta+R_Q1Zu#)riB|G(&@?Cvi?a@)a%w62J;+$_a#v;l-4usE<+`~7 z9*h|mBc8A4pskYp{kP3O7!r?>PeHfDx1R8ncj(m0w?j7S9;0Hlcoj7Ot1&|Gc>N;- zNs!V7hs2DL*(>NXOkjC_eR!r{U%;ws*B{19cV*Z7UtN)#$ zoUoXtF}Fd9q)(b~EM-9OhwBrFgqq;j%K$j#d4<-edi_YK(|bW=BO(7;)toP+Mph)~ z=P)54wVv`$omILMEb|PAxlS*gr27DB@x4j;f_7?@T^N(sC|AmII}@icU%|O{rv0rK zRrqii?grHkJ_~HoH_Q*5=7klQECK*qS25cW^9!kAyJmwi>-9Fi-c7B^ZRHiF^6}#g z1QIuoPVkWGj;p}TiE@4^PN5KW*MCdc(x*2FvqF~+9|q9&-T+&zjnU;aFGfeKyJuAg zB8oWGs^TZ$F2dE(8$i@ps{C{CT?jj)j;Xn{_jC2?bVR;@P=S6sQw<}Pu8ys1RoZYP zkJV)sm73J&LJx~CsLJ6mQswL`e8mGFcX?G9gL#ZkM~vqy+;X*CfOtH!Xjwo0G$GF+ zHMU~*`kR<@C6%6&JamDbRqLhwb^8v{A-5@c%ty}NL^{@ZB%ewyWi--29y?e5lxS>UYlVCY;Z z`>)FAY%7O?i7o4Qrfkl?o~PmLP}zIVmq#7nY)1hfv&mu@dmLPyir}bcT6O0KNn12o zBJusM>L@R%(1)JXp+op;&@M*QvmYDJA02+Bmpj!QqaDJS&#_44U;oSw!9NOJfU zZ&UMC&gg>b_9q+3&!LjKpFW{e$RWV>iNMhOSa9jGSyMyeMMcW zg~&@_Pg)JeajIOIwSorr6vHxk5k|24L*NK>Uy84i5hGRXy4OF#ESUS@_`_5iyffPw znCi6TyIRAX{f?rJlGTLTGB|{v^sTUG-`80J$ioDX^OIPO%W4iKmX;ucYXWg@FrlZ zdb^XQCt3~Ck!fbcS-Ia3M^CqmdP^JdQNa{55MI^W3G*|l6K33&EEdJ z83vwq(VFPWvx-721H~G)4qU3(Ajhw-vtesJpB#HL@nI=4w>l<7Yu{=fjJLsdD- zBxBX_V^q8gGM-n6Q{iu8Q>Mp*7;)mH@6t=PMKrDVW|BgectHzM75F1MCsFv;7+3O2 zqY1Hf&z?#zq~fz9U#+9EBI$QUd4N~I#{rT&YLb!^(%V+A+iANIb{u|b-GZ1v+C5F` zhi{9q;yqo7P&glfhH4tVUb6hh^vuC*bXe?TLm@o;qtnS@;~dG{ z6ci)871Anpn$AIr`b+8Ht(C^(JQ3UdQC_ufNhM^)9i8(v!<+0NNEwYto7<}Wb))l>DAwl=s+nyA!{=rIIe1Ocx zTryMhI|HSwwib>?V7e|?x%A2?3eGV^M@`wH@?1ufXc5r})(IBUlj5V4s6f|Y9K5A9 zcdGAYPoKxI48aybq988Fupz7xPkwRODg-y(B}C?jp_;Q{^32A>#iQQPnh2&g?WqK^ zLKS{C!rN~{QptkeC5mJ22_D1Dyr64NmaUVU@5Sko}ixQHzo|ZpmJw}48bm|QE5Gf_j;i;UsRELx)Ha*rD|y` z0e=b2S;!;Bg0gNC@9jIHw(%T&)tEveIQ1|iqI56@2T!)=P7g;`@@#Zsh0oDAtE0HQ z+3O@YW{Jsz*2z4fkjdl+-g%>-zMulKZpYR^)+c;BWs2a1?*i{>KMB@(8! zu>W=1z3TpxBkfEYwP(g|Le3F7#ps`iFVskvTGE9J{u*<2$oS`g8;3R-N6 zx0g#K;rPvreTV6}IXg4|k;;`_tyY086}pfhK!`dMk&Lv*ok4|sR^1|8;+4UQ&~Kx* zPl`5S1V++K#z@S-HpmTYGO7J-^75A=$sjW`(s>p}=si{dgcW2VW$}jc$FCv(_K9T@Ai@fm0ZZlseg1{h7LF#aHW_urQEJZs=H7L zzvFO*)=0$3&A~N?sWsj6(G=E?bj9{pTzKBE@A;vrFg)npX9^lm*Rtb<7ofPWH*V%T0@de(l_2Ke)lcF%8U8H9` zpJ_Ca4%qWa31d{6^~u0iW}k#6-hMk83g`eTCCSk2SN@> zUdT3CeXl}e^O*QB)DE<`DiJ}E;g%C49$+9-!=sSc7zQ(2$katyIM*Td%G0{Hb2EY+ z+mynsuc01@#;c>QrzJgz(Lv!@x_lns#4Bik8kn@OE8h3X21_Wn)vCa@TB{-6=rdc8 zs>jk_m)T>-CjRbjMi*2M3yuGuWJ^GnpdlQCz_Q7#TDN{#)Tld>NL!uQ3w7U(626O|9e0uay~88{RwunNr50<1fHUTrsK{<03^i= zGG5dMEz&maHZ+0WM3Rr67w2SvK`<(b8A>as`;Ni=Fxim1P!l5;i&*9>TgFnFL2}$& z(-ET-M?x0c)!wHBNGFAD`pHCET(j}c3GJuVP=!S}*IuZQ%;S#RJO@4w=xyE_&c>+(G4EbHx)%`E*+3v1Uh|z~WpNz^K)6>+dzwCH2@0<0S z7;Bn`X)@RfgQN%DDOfx&GbVJ5V##aPt6d+DyIS#;6?x-QxruRP;lWm7RVPO=ZJr*r zXo^zdnqqym#Z}kr_lk1*Fb-}y9>E2B!7_Bn&i1?}9R>}8Dpdas;vi*}`bnm#u$4W0&^i7pW?rzMWw&&3ghgoLmziNZ!+M>7e7^%G`M z3>_##zV^{KwzL`vG2+LJKWk%jAiG93c@;^CM09xPLY^Gib7f`qH9Oa(#PMWGNYwjp zd?o^yDZ<*{_6)YjI`#&4{Ar%$OE@buN3$Ls&^*O$dC8m4Yr&Y**V)yj-0mbqUZ1nB zHLuF|j;B6$>90&FbSGP_t&OHtX)~j%KX>`2ex)N$>?7(`r2jHAHeg=L)V$X5 z^|<}#j?o?RScLO=*N*KeS-qXVePc)~7D@KprJkSVwwitMX`uHn;XL(@6Rk^BK;LGi zt~3KAV^;bO#&th!<=w&2-Jx5(7%j?&@#;?uMbXqJIqmheSJts1pt5J`h4`L(0$w4C zo{^!=a@F#jjNl=Yf@}x+w8sFOz@~TqMXqmU?MN?}n77I9P48v08!>gR&06QyCzSm7 zfzMa=3eH)S3FYqQ?#m3zzNO3~xyIX2!6Mye9r_jK-pX55 zRubap@;=1?ejA{Uw2!Ih9mfXFl@FvOR^SX8^b87++WEeJ4u3}F)Sm!6&ovSY^NzOi z(?j}BWG=DQ(1flJV?`XiVGxd z8cj@|MO-9c+IRnv^Pc?~@w0QJz|In-%+cu_@l8$gLp_z-P|1PCNrPb$#-!9*P5g`z z3f_2G>nBy*XA-u4zQ~_pGSLoDrzz394`?H@JW}+&iwAP@Uu&OE?OvUDpU?Zc~v_LP*HrR7A`sO zafdozMA9lz)Oh*WLPeuEz7D*s^^gp_-Qv=qtK8jJbiOi7UGN10b0)(o306Nt4-_g9 z&dxY7cp)m-`8S$)AvBzTOY8h*eUa~-$(#8&^-02C!9elXx$;VZ!gMmhjaRC(t5{ZAC^ zx7e==lM9!q2whZA4Ctv?CnQyGQBwx+5{g-^EUy|=3MebWx9Yk{^H-BjitRi&VQ}@P zIP&7FMX?yc3iIf@MDWY^M`>iAWMC1#p1D-b?3FptS4hk6j?7KB;cHR}ve>}$?Ry_+ zr6A3eqv;Q*fVX`rho3TTPVU)=Gp(By3O_yLBnF|c-_atQXeQKYe^5s_N|8EHBP#oG z0?5O9ih}`y%+^^>44V^bQYwJ13OCK6OIfA1LbSHj2VhRsFO6uEE9E+ean)AK@=8*| zjy90SZ~I@=1ul0Mh@k$OJhQ`vZ`{zO5-7Rw37MD$2)=c%{BK92=B z+D<#mtlhZ&=D+}=Sy0)) zX$W2JFwPXuX<6mP49GUusN4uV!)9NKe;rq`#IqmRNdK@lRnv;I(aS8q>*}imrD%YV z4j1y0Mb?qTv$$YOZc^k6G#5lESQMhzO{^t)KnlRupNOE(QPvA#-)+#&39YndxsK%Q zc*6|%LADmnCKC17Q4Q3jmh|K4H@HEEZHq2)p4QEL|9#O-(`ZNA{)V0 z-Yx7(q6EIiTWawX%z0t^;wB!DXsF(TnSa<~86%@`JJK_oI}3++ z<2jvS5`UsNKW!RnJEI4P9)=sYEORep3Qtyh@p8+(wGHEb0S9hpz2xP9Ga}Fbg<2 z6|5Z6UwZlUoJJlYS;*AK$UEjBIK;C6;Tv{g$bH##5DiJS**3v6IQOib*uNjP*W5Pn zD8t-uH}MaK@JE!Lh+FA1cQ~4{hTzCh|3{r(d%-D;qBqi&Vp~hu(WqH%4DZ*(NWJ_M zD*BBu4?iI7V>e|oe{=OFa1kp#D|`PX*Ciq{qZ##pExKwKdEMg)@E0yZ;q(`hi1#bx z6|%?*O1e;8);c#Qj4BUqJW7JpWG%kine>?#+rb5#N&0!-XUQof(|w5eA15}hq)ge$ zTb&Iw36!|X5Qj6CdAol{QnjFjZS*~glI2?bJ90{87dgE_T&jE(N>zEdkWL}NsAF^! zP-HJpzBilq`tm&{>=L3<=a{H3oX+(aTX_~SW1S)$gh3cq@6(=? z=7K)L;5g|8;AC8}0Gt#DobQz=bIER}wTCgTp{FK9d@N)X8-T;6m);j3#vHUB-wA(&ee z#m!>m?07FC(>6K_DMA+0kF;0Sh8x9-uA01E(6XtJf#j@mCG*2#h>MG;wwzo6&fO!0BvFo?;oOwZ?3sr!X zNtQ792MGxfp@wJImO0P?0r5S_O*&E2UQFA~iSF|^q6YpJmM3IyJ4)6OD?UyJ0|W4D z8Tph_-hVXo0_yNwxEBy91rosiVgUyvU~A{RR8H=<4ra9^0``pH_hC?rt(g98q>Ge# z=~@0P;XAdCMzd4oMEW@n#K{%B!P$xxz?oMxn;54Bp{lbiJX-nogd zPvN^XiO}>$(A*CBdj3u3(AU7!D{2m=j(3o+?f=v*pb|M1yJ1R>HvT3}_JO)Cl$;{G z1Sa1UPI^@z6MCURW6qPP#-gJC_uL8~Pb%P`Ynr0%EyalR8v}~Aag&4poHwCz+jmlm zya}xwglogHa_KMi*w0mb`_~9x0V5ox?60_;NB%f#Y)fG5xJtPD75wdtH=(a1#{Z(L z1omxiX+6@BKpZ71Sw+Fxy%Ni^C#^5k)iWE-gl3jkWfIcK`s^STBKZoSu7F=Gl-5@} zju~2A0`fdCu2S*c;D=*j&Q$|duiw~<3e;!+b_xN(;Z0UX9a3*huFs^TPm>Scd4ku1 zKq^b^oc=;`W_(o+A>v6732AU{?{KAK*6M|r5bI-fbk-4`Ud*1() zw8fuwqIKAg0h$Rf%X{r!5#d=A*P;8=P_WRwcyx#J@2UmZBLOPDGv(p~vwNaiA|Ty! z{-<|Tp@l;C;+8ws=Kr-fwD;zl2I3}VcIwEZ>Cb0-uo9awF>QNF#Zmt%z83ks}-Qx;5Fu8Jhe$c*FGHL;qZtR~K^dt@%`IWkq&f2ALrTH0QbT z&xNfo@b?{+nSpK6U)JegCQ0D9Y&W`=r5`0%~g=!guk68I1B*7X1KUZSFjPMW1=FWcN5R{ zuK{YtTWpm_G2Z6@R72RKr<6kW7n`CHAi z{wJ^RsAhf03koR`Mn@hyN{s;a`o-l^QsjE=^h+L(KW`(k!1!|I061N^_k+ldcJt?OsW~Lniuq zrm=)w>feFMa* zk2MHj{Ovyb!yc~!k<~w2n3!n*X6-y4d>Rf2uIEkPPB_5R_0mnT2D8 zTGvs>Bdkp-2JY#$exe({aB1#7SjeLE7DVc=tgkqHURWCk2Rzc1O&CO4gf0YMBh3q1GK;^1l)W^kBouxb83xVmN(J}tv5iqcHo5rGp0Qh z(0cTx2H#7$xmBEh)cy!F0i{bZ6NO_ZQ-PwB{+oMOV@)B76ukx10AjpY@^LOUExWtz zLkz`sBliHaMiaGgapcoM9r(q3C7Ht=cK~WCZDG*pRR(R-6-qtgpD;qJ0{I>J9rB%< z`}!bb&t_lBFnCs5u8rX3{!*TxvtPO|^HE<{x$J_5yE~!xp@Ui;^-^ zk@ghBPZXN#J^^L--5s@j5ko1Bo*k;m~J__1VAVx{;B-4xSmtw z_yd2eJXi$8qEvpT=Y#V%0Be8@4g8~SJSzT4b)8pw0 zK$j^n)JfR%pY(+T>ag&+KBH% z9`D)Q(6+he>$6!R`va3kW&JO5hWcrUZD3#jqx*9^!$C72ZOxhR!uDzK(H6CBuk|A1gx@Wx+|SX)<7(?mUzwi*l{;Fz3(J=2{l^zBZtI9YmT zlL~Y3-apv^G+rI5$FH}WZhq;?m|r8i2y-jPo3S(50*MKS$wpvEMAF{aOjrbfQ?`aO zhvckc&r2h&%QNdHSZoFMN`zHU?fFA6xW4{wZ~LU|*APi*X7&W1xvsB!hpnc0Pd}B|FWE*mblrufHTd5bfVgukQbs|05YUO8+xXFsFRUR1avgjR+U!F z!FtKC{2hh71SMJ(Q?-%u#MPCJxye=VwKl$r4Br1IE@1SCyt&_8jJT`sMJ*e%r5tej z*c`d8(KVonR2Vz~^Rg)rdkXZ)YS4csy`{ir!aoHo3_d^WT_vCmy)ZQ3%mEJL;}QaB zJhC`%mozz&exY)?#Di2W0&EJipFXzKUUn&g`10cx4 zX$apgkI%;oAN1=V@KxL;%u-BZa(U#sJOZ{42rG`JWR<5_L6R*`sAz8Lndh`^xfvt} z2y6YtnL#G`(BC=OIo;w}BC(6b+oU>T3*jDJH~ZF%ezhijuiRDnNbi5l)*71giVI=V)Bz#6}KmYN;| zyczQCXznU=r)&L@3RWBp2W%j7DfubR&qe04^nPe@TA5}<`E_GW3e-I-Bq!2rpdxKU zbKC+^#z?8!x?ob|(BUku@W~!|NOv3(uZ_xka?_&kC4EE;e%ynoSXAeCoE$sis$v5E zE2+*)rke@Oh7phW(!*Qe&YJ?7zy3jzebzeJ0i4vJ9`qM=2AP&b7op0)2%%-z%R2Vs zITG4%%BGZOd)K%UA$?3vb3&3A4fckM$18I(F)DZHuJ;!vf5}NM0czU5rUHMuNx&qA zjeBgfgdV^PYqqr{=2}7j&Ygidt~JE5ER?)6VI$v)X4|Mm#Lwd-ZRHDICpfFC!W%}~ zy~S?g$r|R-Cfe>OPpKs0?x^_lquURg^v=yC)YUT?h%Da~zZDRneO8rHOlpTY%CN1w z$oOs!Rbcq0Z4DV__<%fP9v}- zwrm|hwQ$jrm3w1eE#b<yXU2`{jSACWrAjE3E`L;a@H77pKG}f6c_nzcyTJPl@L^B z)#4|tO2Y%C%!=E8q4hm3LED{~5Jq?OtgxIbuw!DlV-T>n!$=MAV4@R+0oV=aVu1$t z79bX<6gRt7MLAAqq#2tDXdkbeRh8ccNE=8YHux+rs6zUzR|WEINLTG^Lwm(WG|!AM z^eJi1+dSu*#EqaNbK)Yv30nLU+legSd?4aH>{$9sH6gegorc(GDDXVLw6%7$biz_ z7_>u5NQp3Xh_tkTj8X##igYohJ4!(`j;Md`JExhRe8Wsc{)_i7!hwhXUhu`JkI1P5JzWJc;cc|ZD& zi*vY6>t+`5RIKXzsFI7vX-6S@ThX%QmQ)o>-bHj&w=R-~m3c9jM>AotO6YrrJkp65 z0JQLXz%}rAMxNH*Vw%hk$FOw5^!MX;%#90`&2KY!8n^;3;EU!jx3?~??>9d-x--?( zYqq^T^WZ|@8M99qV|wSHbP|^--7{2Psfp%1^W@lkAB6m3u_6@OIEDXk(7uFOBO%nY z(rk(yOmkQbegwuMTa11WiUSH)vA`BVM$pf_FJ;F`EVKLrYX1)FQ4m!3>H9^#r>VU6@a#*Q zZWpRqyCtZ(hiVd`E$A=zVss=kPM!?K&19vt%|SKa=3p!!R@gxgJSnsM8)%~eI3;&3 z4G8i*lV~0G)!`1avjN=-9+`y2+APJ4J2Tf)ZjwK}A~V4@YNB_3&ucHXNQXNhudS9c z;a!)aJ5j`@=LYfo0u^R@LKuY5zU@yF7RS;NTdQB}5UXqA_^3jj733Z#HBP@{n_GTU#~*ebtv!7pPBBY=b8ISy@Em`8 zO8o=&Sol`7E-iUgP6~%wU|Ovl2kOObhs2`FZeyn{xhw_C@;P)=Xi59Uy#+G6AuIHLFLm5(NM!Flm2%TyDxlEqFQFL z@X68AO2t}TD&!k|aAcU;dz5L)%&WyrkT3VOZbJt0=@!g6_QaW4=;T_A67b&lS9#P^ zCWq!GHk|RN;1Y9^mQQP}0EUJB&B>owBIHqWPyn#vc^3@CIQIGmug9@D^aObQ`}9aY z%Hx$Oev1x001rWN|F)sdq#}KDocngQB=)`WeG-SZNhiLJTE?TED1-xV~iM#?-eTAhXvv$owjBc}qg2c{IHevpO*|cIm z7P!KPAvhD{9oa%ofOeVu16^S<-M*v!HIBX#c!fTGz;SPfu)nU#Dr))Bo=e1;^ev@f zZr&L9=-JVW2CqU~1TKoH`DV_(u-}V&Uaoz+gpAT_9gto!mb?oYJ{$x#E6>i;U3(5Z zoJxwaxbUh_VeLgMyb{3GRqI_1$|f{t45(=4|4?4;>F zT`O=r>te6D#|NV6f4>KYg_Z!|1QOHQ3JeI?419R7!x9c^2>_@Lu5I6pxqVnYQ=8l= zg0@=OXviWwFE@?@aomZ)PW!?}35`SFtT#>o0!o{qR!cK}3%}XXI4IDq>p0prer9Gb z{Z4=+T*~iHS{WKZzx~|u($SZ$rmiKzhb+j8XK!+=CE9dQC5v2nguC3W^WEVz0oL7k z6vU)Y-Fp986VX15N2T>iHqgrS zCo=O9CIh_pBJM4=6nNnx=UE?L8@AA!lkX{f2ea(d!6NQ$-^{t+96B$*z)E6cG+POc zk2`QVw7z9Ma1y|Ju;+YaHrW%^UGETwbr#P9z!i)Wn_FS+gL`%_q1<&<^+a3yl}7c- zHz%Wg2VFo3GnLR;BH0e-9&UiwP)#2iwWoylW^c4m3|{G@4I^jin5xZRRy^g?g_!E; z{ZLZ*SMZH9uyX$wAouvw37s{2RGypUXDXd}Kv}UZZs$3ZfH%Xvxly+crX;q6D5=j4 zV7-g>`0ZAB;HVcnXc{P-{U_hY^qp2IiXD;_A-S(1d^utcZKeEo%EpP%GAP+SHd2V$~19i!Zr?-Cg zPHYG*i7Y(L?@$k;s=M%(MR7zmaNzJufUn7<@d9-0?U_Elzpyp^&`Pr$sy-?no^kLZ z$AV39*a(lVi+fjJ5G0{KG6GvVgP06TcNm702%>;{hIikyt%3oEJdj6hxsH2f>A55A zX*&qO)wGM3K%MV)>}q5zMLz)O2rI3p>bKS=sYX^G4gY^G5A*qXCr{B8Sw)QKm} z%|W5S+i*Mn(_EJ(sq&XD-3JB*R-J0aZF|}!Dl)EoJuabB>SoMbX1q3?cGZl|+qgn3 z90FZycv{O#&*J@tNV7|s8PzO^CO|0Sx>vSB&2b4l;yF50;Is52ETi-V-yDnCJ|&J%c4swW)8IEFg{Fln95 z0GNRj6L^IiXYCIX2a#9_;FANm35ROn>6fPIt+vL_zT*N~zCvi%U9)!2I%?pB@bLX3 z{EvvHhQP_cj3nBI1xf(hb+sjbRuGL_U)^qb*Nz=0p>zKAyGJcLY@mI!#u)fhR^J!C z?_$E%<2LP)I8nDtgx>%@Xa@EMvcOqVZrZTjXQnL_`acaWM%qi}!$TSAH$i-g$Z2=bHsh&!zEur2gVL~; zcOA_STi%%}ja;r3V|J`CI|f+COVWc9B`1xcOD$8s<5Rla07;}h7=|oFr}$=gVKFia zFUR+Y^Mw)(wR@hO4!7n4(axZW#P^t~R@L}GrjJp`bNPwS$Bf&DPr&|V#YP6M zCg)ce>lJ&r*QT2=Mcs~8)HFkzTZ1i%;fY;jS2z~5FLIcD~8#+N9ZI^+&8>~s= zk`H|X8;6)x6Tv~CT+Bh2;zkFup? zK_7nXyY|d%+xt=j#YJsH6DO)jjSnch+fhf*7Ux|@yA-9tjy90J$Re{#0o+NE0HBQ; zfHd$%hRM_|abok(Im&)It+^r3bHlHo@h#r5t4}0Qu^VtfeXro)!ICZV8glMj#do)D z0i^!DKI1LvU=U-g?M5e9(gtK34WG=A13)caQUS;IS*>Rx{Sjn`8HB!DX`1lk{u4lI zbU+*`8rgu?@ADzLf$kS(%r2p8y-5v?os#aGpP4f`+ud@(P|1kyYISM+2@60U`ZT3q zY*_si!8qp8T%v8ZINfyACLU93=k4c6TQT3Ys}SIZ^n?3=_h~fk3pw}}*muNEMUKL& z-94hwU6|<@8%nEs!{>k>9&xc=xLXOge(QEJa8nb1QeZ~Am{}`f3jMhaxDSONs$aH( z4hZ?81vSi&IU8Nek`}Br`Rf>BUhQ@%zE(6k3VB zRU$((Ecrj7y2IzidKMNZFFN(iJbAyahpj=?N9uU)+v!6G%|4*trJjVrfPNtqY>l5W z0YE-l9+BZy_~p<)edf79EBz+`R1H7R1y>~@khiNH`kKU2|D@I;Y5gOBm!Ka_fW=D) zN*8wtdr(@(e1UHzu6pK2@SP_|gIbvza#W8`jlZLP6Lotd-x!IK7l>w4Ty=PCasw12 zhGB+f2Cj3he3&BpVN?Sz?aUbkxwbhoXU(U>nlZ=_V&kh*gwc=JQU6HdCuF0cJ1S=M z{f`v~)QTE79-g9J=>|y5(jGhnix2>=GJ~QEBk5SnPk#RDoyo%}1#CWEc}NY|j+apY zucK;)DlMD=7z=;1c8k&;-t3K|Q0f*~Y|)|0(YBku{}Y3ay;5w-+YV&E_ULAdTHmQ{zPLgdgLv7{y`VV*poLP+=Sx2uG+T zd*iOO37Eb`2H|aT*Ma=bvT>=I!d<$nx$#evIO-)-epZu6&BMh;4PD+RYk}SbMg>M! zuV7sQQ!^1N7#5krD&?wz9Uh`78VCTBM73mZ-IcZk>k=YPdznO=eKZLaPbB*VAN=}E zR#JB;zmbphuhIswUasyD&F+Md;pGuwrMAY}?9N zFT%yn(o;J`EZ|aSbWmwc8bijI48;=j_ON?#Hjp+`Yf^3-E%7sP5mVvlRyS|Gw>Ew) zbbH$nV@xntlS`l)GVW;>_+3>T3nRQ5eqE z7`b}=H3Jlc#Uuc*lB}n^>#^}hG?GyL7AOV#;2Z`E%5nt@Zm=rMS=Lc=1QO1_0$RL< zg;zMy1dFL~)FfKJ28Ou$ix^$x|5RU+_Gl_Or6btkr%QtQ$1<62o>iog6Yx51*gqA~ zbo2D!PKtc{r6!n+eqWeEg>}8BBuvB-Vxb=U@LVqWQOy=-+ZGseAzP{ok6h ze;`5^d%cmGgQ`!%T{JPagii-!EKdASC47*!#p!7MEAr6GM;Ui^AU%x5UHOkfyj*mJ zV^20YfNhn8E3(wp;CDkSq3S=X?XqJ>QHlr#1U{frR1!9YsVYAAj*-`28cTV00T(wW z>jZ9uzxnn&M7#4a&#rDhU48iDQhYsAS(+iWx7cSWgU4S1EY%h<7EWQHLt#rP+u9o@#M z_qeLTqUFLS*gpl5YzmQqVf92kl z*9-_&tYsoKjBS#@Zc7>8dvY(NLRS*68v7sZyM^i|cFv4|$&Tq$_+7r?t%}$)$1V$t zsg|v}j%~uanh4GOD;`3|9`Z~+@eyb9~Za++OCXBc1`J@tavQ12@GX7g7 zEwYLm7E`q2ISO~xHBh`aZ7%v@?48^B#F3vTY&=Yp-48GzxJgTRFKs)k|fmWgHe#Yak?=K+N}GXMONVVTzcK*&A-ORGGxFK3qGrUEJ5R)-GnR7fs5YPKLSOEa3xHYUW^`ImM+J|8KarNrs$c>zyd=~@RZ562bl$3G5G)fd9{wzEo9 zsH`MSRm0Q59RT%wCSVOv&;RAqdzPIBoy$15Pk5WVd#MsbJSe=Q5ix>9TE3a#| zmV1N-?CGPiOSQhceV+O-N2Z@5|J+RUtSUjhJ77aFTQqEz$(mV z-;PKTyDjL)Y>ODS6$IXqx}MqHZbun%W?TI})yo|D?8BF8d>flX(;UPJtem1$(rnIV zy0!;)H90pvHt{kUrc9AlTD@wYzTP^{*Lhd#^112dd*)JA&w5#GK0iG4SNqZirfOay zN~;Opc$e%q$VSNMMx<_8c5yIx#f;kYhN7l{coTcAQ2>GgsJ%^H2@RaI$RP03F15d^=hbP7A7*0w6N1Y zPM=qi(d{$BeyhVVLQ|qa7N0z+QOy|L5oc5SHnJ?b;!*mo(!dzTHeyEw@|T?WBVQ#t+aaCet{>|)d%uxlli5RaQ6=4s{5s{3znp6 zWlaa4K|cK|yPB6Y@x&l#<@`Vqs?*vag?ueV14l2|K{B|(mflNy(LRqfM5V5!k;&LC zTC24vp%KeVf#G+ZSgTcqtTQmFSVBGiGITv|K5E8o?3h%b*xES5cYgbPxRHf!QRULq zbRpvk`h!eF=*y+1@hSJ7q{Ll)O_&lpY01FKi*%wL|G~+fOG+tsQ%F5yONo+&@R2eJ zLovfst=UiJIphQ|i*!s5FqE<#LZ4SY)3gPKimH~n3w01}l>O{9p~LOVmqz8YN@ey% z#qKGCcFWhqr9QrUiJTsuYDseK#Et#r^ODhgU14bBQtZgO2*U|WhKC~@t(g($ILCMV z0y^~{ylGi*gs3fOBha$q0Jm8WcnzSmvbtot)v+W!l~*ajaZlEPeOp6E(hr#yDf8w2 zE8dwa=wD}_)Jm&6I!)k>O&vo&#l>-np2{Fr_n|;$+t9TYTfzg}|v-=tOD6OxExHwL%+b=}7X|=#VkGr9cDQZ7uX6e~U z9p+OZJL=`(LL|>xZcT6fntHCm0!NHTHR!kn=YXVZL)m@I41aE&%in*^!SOKUXp6f z17RBCABoWOrM|HX(`bURNuKEiaHym|X$mJVOj(@xd4(Qgc-kUOn4K6w1u#W>8%jk; zB=o9?Ep`QOso)L~GJ);jjtBIzk+;ottJaT~Vp6K8mR7oLHyp*tRR7XaJ3p;vcw<)| zSV8%|=)$N7gv6ggv%peWyvgu6K0`QFM(|2_{~b2xPc`hx1p_UTq*9&3Np9Xr%58UV zP;+-e;zDnBzzhs}qaO>rVFFvoq2#u@oZcj?;{-YUI=Lo$p!`ANjE+FJgn$U7z`$EA zDfjC6P2HN5*uMC1J`1KW-Zb&Ryy85A3mxUgdBCz#_q_Nn({{ZUA7pOypm_&K9DW@_ zsDMnm#8muLab$Y`w>6&O&2Ij^DS=P9sbkFJSITYEb(y}vsKulCvNg*-L-tCoX81jC z-7F)yiV_SM{2^z-93v32Jag3f>Qg}6aC0gr`Yj?b4FG3{Pxd*6>zBu9l%%V1d-LDI zYgc?KhoLy;>S#N?+e4YroFDq!m%3F-J2*_K(rWG&1n_w4N2kPC*FRk{EhR>4YgDFt zUyy<**t)g3mDq%Iv*7jamfLDaaNoz}i&fGbgEEazbG6p!;&TSxl1^JR z%~2hT?N4=%I<4dznk*b;U3HPX-+Wec^>wXZooR1iKIOgrMp%+mbvL#YoH}kLi_@IM z*iov=r&#SxpqC?&`GD!dPQv$+CuvN!N;RUWWQTr9wPJTsRXN59Hch%1lPb|UqGS3+ zsQ6O&ypHCiMTyzq~FApfTyme>^~O=M$y%J@=)lyNB+ zM5S@iVO?HwngLp~5xV^YsW~g(t$XgMeiNneF}CGs${Mu#J@V%_RI;6pQ#$yPY`= zc}RQ>D<4bclwq(OpPo;_pf@K;Yw;S>f|Y+`ec#`S#wp%3xm%UM<8KyQ9LzN#V>Qg@ zeJA?Y877$MG?|y}T`lVlZAwkK#fjyU=8b!?7#0!r=5bnZY60X$FI3~(4GN$8uHw^q zPrMCw>8E2Z%5#5_Op6iRqw>R1>txRRgQZy1Fli)W~gF0`~J=G#P9EQ zB?W%5J%!MwUqay$X!bk-2uJaVC!04M{TKF>Hf@4ex#@^y40De>K@ z%t2W7+s^owyHxlHAT#ju<=;W#Zrz+8jF;nPBGM`!c3^od5M#9V$B;l4b!?IT2e!3*ElkCqZv{k`}}dUAlj zS!PKSEW27rM6I+s?{y@A7Kt6y-n`;7I5@kLdgE=$;u z45s*;?H3O+t+X_s5UttwMQ|6wkDY8DYk-khGDIJD`DU^!@*?fAcIbY%DiAVgyO3UR z&9Y=3_cL3sMtFELeqCqGti_E2f9Cw@Qm~+0N|95KOLCSa-z_n$T{srhIGZ|bg8JEQ z&(IB^TWUvSqA=wzGnNW5KE)Q3I{d)08mM0H;4mm4EO=gAAX`f0k1n-Cs)@&GIrrHl zTuDB~04T8!nh$|TNPe=7GmcF}n-D`uQWl0XZ5VZ|i%m<1y!_Mq(Rt{Niyz{$@h*r) zT?Fo}cmsj#~|(sTEkR0>w?O z6r0UD`5s$)P?QI0iYac+Sv080euzibO=`LIN4;&Hm{ zX4+~GYmCYVtjEhAX$Tox4I0t$@@FprG(h#3;}v2I_Pp-lfj>+Xi{cwsaZN!vlQc2 zTCYA$OeK=m?_B@>S!z0V;H;`m$v)$r-ej}+lXJe=cW;#wUF9VVNcoo7rNY^kSMA!9 zZPM%16VWk1sxwuSCJpEcn>Ni#tLrS^PcFW%q{T_Xj^d&RXhGw#(`T;jREhCKX|W;V&mkl=vm|$cACDx z-gAdIHciU_RSUR5d{b%qyb-+?rYNTC@>fSpRxExSsS)1O_NJ>jwBJodpDPOGFjoxt z$b(EVOQ!3PyWd2TZa;M8`JrIpWk}Pbh=>8i^3B&7jz^t$zW_|Pim3#k-%`h0&1M0e zx>*ko_TEZ&J|{aC%hz|yKOm=`Cz@XmHBqVTtRP044|1{#>pdjXdCyW42iAIH4ihI{ zp`~Gj^go@Bv3=}+kUx`|gCvEhtbGT>R!2N}h@>EqN(6mJIin#hq91w5{hoK%XaA*K zS=Q9!H8i?&sG$0i+%GXrwNZ#&GWwGCBE+G3J}?8?9CMCrFI8)BF`qeq%8O5vq-wy? zr`P-1EC+LQH(dAo?dMXR z%PQh9+2tMGnaCJ#hBH?T`fSUUyl=13RPK@Nts|>oOU*M+2<_~;;~p;{1;_|cUW^+( z%xeYU*(v=fK(TBjkA<4$0>n0CVT5302#&1}IsQ=1`FRcc;`~ig zgMZFh$WB|l5lZ?7W1tx_|>I z>{8a=6D;pL$^tqAUm*KlJCu91Eot3ZX)8DPWY?Ea_rF*UeTow!L5rm0HU+zI+-U85 zbXckDvCO?1#MExxr9v5uKfQ0ow=SLLXZ|E3@zvB<{(rcwRat7y;_O{pP-og9=JJ)}@w}Sor5y+1YEO2Lzfi9BLOTbMBfw z@92~3y>VwyD{Qe_%uGE+r7Rvbs=1Zxk-*GJM5epys{XXS=jwnAajd$2r}gi%5_u)o zuq?@e8=+2g=lVc`$J6lR$i&ABFg@jd4Z~Fy&M7vt`>#N`4m>1^A6ol%LJwB^v9m6{hokZz z{tms%NNh0RBUOmSu3e4)jgMGAnHFtWX%(%&p6%viyL&9{0xUN7x0yn217PEQp7`OU z=V+JPE%9ji>+R{}f6z$+0~Rg$wf`XMQ<0=WL^4Y!#Voz%KajS%$)%duMbyl7BdPJl zy|?}=42c;>ks#VSB!5f%V0OQ5WqvvMW* zE!ovSR4bc^&b0aT>a0+I4m45N%5_ZE00ILv&M#lqB-vf3-?5JEDJ4P6ct{`}7T8EB zqcVdp8#%whDO0J_Z7voD;%I4t)9H`NLi}Jhfa%{_>(I{{zgq6~?bx{$5G7B0Te%%u z4iZ%?XpI$O!^~>eVqg$Lfhxd+EA$zkHC3zok`N54++}|+x zS(ac9vu)=7Zn$wcYM!Y2ab{ZUJVZ+;u8T`vjBiky%`&YfTfpKjV30i)&pQK%0AV}8 z@HVlDX)|wuYl>iwJBIYvz93r63GIm6OBXiFi-;lvNL10|LpTtU>!G3_*JaoLc%0IA z?fmc>!WP(8c&+Y$!DWK{-7o*pg%0%ezgKlxB zZa+$dC-vr!>F{-SVRv>i#=6Y6e0fCZTVbz+~ucukVv)!z<7SHPlJqd|3b zpIC_yoERNiW)$`$dvVn^y~^Bv(u>zhM4ebVjd&ICMSuuv#*Iz{Hf)KeskCCf;NdVK z-+37Z&!RKi|INco9Fp4>1A$jGWoglXiaC2}2FUELsfGb+@@;xf`rd}`1nM}Hw9Ikxq1-S-+00l7Z*K7C4uwTdscwXg*}_~OFPoP`^CniphVA|&^N+V)`xLGDW7 z?HqyFkcH5Ul}8TS8)l6^1DYfL%(RNT5oxTqHED*8%#7tc6|N3bs-xq+ugtgj{Y;}A zADH{OUX##g6`=Xsnm)1|qpuYHeo)F=+xRG78b2;b6Ee-)3(>C=iT~v?0GS`@9?|q{ zIjb0QTZmht$>V|*wtt+5mSI)*dy|XstHTtgX!3uYMt+SQqG9mTMR<%+L|X+D>Cd?K zu>cA#bJ&OC;3~e=ax%(~+3fr$qUcq6V7nmwZ@=qTx*(oN@V;cLx;52WJ^fF_c%xt0 zJ!Tzn`M>>MC|uwD{xtoMtHW14(Y22MK=UH)SHl)dyiIuj<@cG_Ufzf&Z?95c8IC4s z75b-He7?Iqi`^0}CzTO_I9p}LUvVcOW6@no$dVgH)7o?G^4ShdD(2Oi$_U>-H+gaG z@`(A%ZVC6%f7-Gt=5SjGQ8D6q+P%+G?tTBIi4AsFrOD9-|FqH>gjZ$vv6vV6FHP#O z^rZ8S-faJeElYo~VuyH=%8-0zI6|paXzCxfbQSKaSQUa%2b(Ta0Dgic+odAs>!*ZDUAYHo=Cs z0eX)=mZ*v0$oO$I1$jR7u!Ahji=y};_a(a^03z17{cx$m?JkY-sD2|GaO{2tcR;v0 zAFefk-cBEG87-woo z*KnERmyO)QuV9U?_n>z|Mm~1A7a@at=EEi6Xuhv%VOFp{2eiY$=}*s>Uk5Z?5NPR| zgKtDp_saqNnhAq0ko1`WYamI0M+EM2ZrP9Y8kgOo2ujN-c+WO+u8IZa55nqlc4Dcn zO&W992VE|lV5jTG!OR|6Zn+%(GjSaei@g#JDiocOyy{aj6J}n4kaS^MT zH6qmLUO5bR3%}h=YTBXV}>-moe`Ym$cVWJIjJvUPngrd13)J1&U+Ho zv)+qOP%@vKWirwpe!O#~8)OYwbl4d`t=qfUB=rE{(2T(UBg|oo~{KaiSuB!8blguZUnI@mqeT{w* zbdUaTA~=mLX_S)P2^G6hSUq$irsN+J(@4~E}Dx)(h4o_?p z<_zq^t;sS%^%=P0yN}9n?05b@MR@l)RFI9we3OQtDe2z)VIhUVN##=Y9+VMJwg~pw zo}^taUGFpU<=Hz;mRxkY;@y7MiTw&q?yerlOtE(EF|rc_nXIRXd!dyzuX185Qa zpIRghiNXk>&GXpB6#QXtik6{*rZ^GT;T%tY^9JGa?~;qgtJ=J&Ox^(qz-z{wgTbopZSS)o=y8j~{Ws-%T2{#HSOO#YT?U zko_tOQxZGM?c&4b(+bLS2v%UOgj4n2h{K5Z4UuE_mWCI8dqfH^+pMINt@EgNdSN2^ zx22zJtT{4+@6d<2s;@KRn1!m%WVW7*jP2U50M#ZJaUr~#FqYP#u%sf6xCgNOp(JU? z;1P*W-jRS|vv8dox@R`wxn>%QCLwu}avCnOy1-@>w^09}<^yQ_i6vihsOr9V_;z{G zyf2mAyF?qFp6qpNep69-#cKp#M$MgEpzZH17++|Ivy#n}bw)KkiLevwUQ)GAa_XtvvilCOt$ zG~=RAxX-H2l)`m74?crw16j99#IZ9d~ELLs&QFRL7KLN;HxZS(S2be1Q$ z$DuvN1*b`3b>}Qs2gMBIXx?F0Q8u}HiyjF|?dPqv6#k|dXP$5n1+~bYQ`jHHWc1kX zJW{Mk;-~s%pV-|8`Z9RPMgymHLC)&mAV1{f7C@_2+gSgR&*ic1bC%@KdpZxq_$RGG zX>BQ+M4R8@tM&q)+ECgswz`tM>?%#Anah+1cMPN)8iWbt^CR?#bWdF&sl{4|C0~%JPV10+Q=V6`2-7D+P zP+y33V(L8`9(e^z_dNSgAed=SLXOa$W6R?OxTAO+NAP=A*M|vWbbuITPf1c05gp~e zpJcLZ?9~K1JlL$?HE033elH$_(>b>-e0Zn(=TOS+1!Q}En1PumGS%Z>F5v0!)cZl7 zmYoMqv(Kc#m=4x*Lo_bkv-<=pf+vs~*ddpQpMz)lC?7770!kCL(gOMtn3GcIz?Evv zC||i(Jt`oF3i8)TzApxLM7|~8|I{t`ho02i^9|>H9{T9cOfJ7sdvj-5ahF4m(+&zz zf(wuHnyzl^VcuP)&7!eKihynjn7$IFl__z(f#`CLRYqY5v--e&!W5hWh^_nGAi^K0 zc90{zl12KXVs7v zDcA>@PYZ%!2GJX?&~=lCm`n59YO6)Pe)3q*K8le}LEQ zpeYfWqBl3_zo=E?l=qmT?Ng;EU&6zrAi807Yi6Rekw5@SUVK zFk<%x;GGtj7}4J1HysbQvNHgUtuH+PJc7y=Qz-B}16zd4N=sQa*L|Ek2h#u^p5vCQ zF31V3@uoM$Ij&-19=9+C?@ta$dd0(_&h}c+ zbPL{Y(DYoueyE?=H4kHHUV`b+4Yk#9xNz0!~qbH>^DAPU=C4Ud1jxMuB- z5NqVkuZff;k{V7T_I4a5EOdpUFHk9|w7SER4$(I>d)gfN#1WL+8G_{5cMfmORr2in&CjM>SVtB}xjc`YxnpCxX*@?n4~Qz`0`a z2|gxGlfKpxTYM|DW&3x1l2uRJDsHQ<83i6n%XFzzdbuv-1uY(tzZ{@bRoncbMx%(= zZ3pBbg_Y{C`@F-k{{1?(`N18wmzrq!j${4M^?Phl33vxbS7@kTDRJ1s4OVKC?fdzF zdTZVgcgu?#p-u;Gugb z7cFtEtHDa^yStK>vkXbbSx(WMxn4!s!n~IJpb7Nl@a3``48jdPOc{ek-d2MFfcDfxhnr3dc4NS7`xsni<ZCbYLdoDFph{@VR;hf@sf1QxwG^n+ENh zd)Lr^4)^KZw2hD=i048Eg|wdpt}Vz@&~({kRteT_t3l9%-UO+`l3uRnTtR*u%K;wNB0L1Q~4Xk!4m@G^u5V^u+27Y7*)-KA2V=^5rt z=QGpc(6hPBXJLJVY7eg*Q1YgO#{aur+%IBXSW6<>ckjgTZzh?6n6VVzyTsJZ5tU+Z0I+4-C3qbD4m1rRPQbL z(z2g}(?Iu28)*j@vUnU_xLwtouh~D;M>I}YLjkC`IX6!sZKrp*KQk<(llr?I4Qu^ z8lu+6MefCOyKx;ffSg^>Q79Ri3xL;TP5tefb6*BJXWWzv&PJ+C=R3}t0~S0NPpFYsrh7L zZ59f&U*5|%gh)bx$v67KfXCb#upgQHxpW0!jKN5bcYU7CIRa-Xyb_%*RNABf>D z^dUcziEp{&k#lTpJ{k!2jkl#p9C@G+T|F~;HTkxFdhd`$bn+Mb1Wq;+jX02ftn)I3swpZAextjKA1mta2P0n$iKOSM5 zSHJgkiaok|`EHm~k3edM%Z{lmv>zk^?sz`2fXc3V(3m9ig>o7}Q8E4!ywJih#fs9Y zv&r~9_Xp)=6Z@yn1NP~`=S@xmlhSLdW()9lp<7E^?B&qVDWqFFh_8ok<`rpZP9^xf zsfLI73mbfIShqfrZlg?dr`?(-mrobgPr$&h0fyBdDXv9Y^_sp`0`E|bHsBl#ejp+y zNy1C;<$6eaGBGt7;>Pm6iPE4JE7feKy!fhb%waXv?svG)SHBgialpNoNYrK_2Ojvx z00yhB1M-&R@r+?3-eI((`vmaA)AueY81I44(A~8}5CSK2Yv>XJRXL@NV=9b+x2ZqY zJNoyt_LY@~>lu4yj~v1&`ohMOG)VmJs0^f2#3e8L#n_V&2GAl&E| z1P2`7CNj=zm?&KpocyeKSVHKgVOmoSo+6IfI^fFk79|uib>;xW6dZDlj%CV1TFw51 z!Ltacz2i7Pw3=8dG}->L&yTgDMAY8OMSAc?d=*5a{wX;!smk$ zzXyP0U!5Kr0(rllHL>W!on%TX;*PtA(vc%ej;z7KMmr20Y}+r{ZYMX#r-=DlEwUXp zg*ke5egjF#%s2JENy209A2i zH4wHGbrDmTKYt?9%k2yuf*Ct;V&J<=IW^-zM3J739P1wd*(dT_V$(xka_^KheY+>aZHH z6H;VomkEiDbc!G6&)Tk|+$Mn@q2e5pC;yXKjGK4cmAmtAk>^VjaMys}5yhWrv;P(0 zX>6wP|0;ODO^C^;-`}7mx_y-N#7x2W|G1n#6O#W+BmV!_B>lf)IO;=;PSuQqfZX%B!ytm(XR`B0`D=Lca;tvYCXs{DaSoVqkCZYiVEA$%Ha%?o1Fk)FZ1W$b zn;|B1P#pD3MA-Cqe)4kT-#+J!wuM~sg)(6C6gona{(o%NpJxbwa5sK>4x31NRtYwY zJ9e~wCclF|hX$Yvc|x$+?`hm-&9 z!%})+MC^wH_9uLdqY;HxfDhmP{BQ!^_s7ZkNklVmgo#(;T^Qth)ZYjgkPlRr@Jbww zI0=vY+lQq$YrEgpVb|zzB4cngqWou|s%8FYUjzjvul|kjiov#!c3Ut8o~a-4H=;*x zL2>9Lu!RMIhA6kYf1|*?*lU+}2QL9d3;%JPi-#ze_*;jiz%Rze&#{}|)eZ9I z0FqVhzqF5{H98~6C+VVm=Cx3cj%bvH()3@b`hk8V81u36tr<{9C2uN8yf-1ThGGD8 z)VZH2s2j^ct~YkyW})xv~+0jxXShPJT)fah{6{iIzwJB0KdV{19c^Lb}e25<>;K* zU%DSx@jV4|Q7(l5jS)}e7=Cs$JA#LLZ-Ff`DGfi*8bc(GN9XrH;~%w_xcWEa*UU+Q zDI;*^cF!LD^-SHhO$K(;k7$JqFcgTyW!km*M{(=!3)0nY-{5IYaUvD3YA||F$LqDd zGoQ%$s?ezZx(nVmkzrCK>DKc+^#?K|p%6MzVcfY{6tG6Okk)V*>mMp7cDz$&910*XuFretCxI= z;a=uV|4(&i`4`m}wS5qflynG*ap+DFkQgMTL+Kc#6_r$SDCsT{Bt{xZNd<>;=om^u zx?35BaE6$<=l6M@f8l;{pO@#w`RudKcU^m*Yp-?ALsGZiy`XEKc~>B*Zk!NL?BhEw z9B_^PA6VASHz9V)Z0>F;MUkIcKp|XO!9#Utods}u_qyZg>utz}rhxGgTtz@zh;38H z&6%Z*1(GGOQybbx2Ao@9qYJfN)V|MzA)P&=#G(DW4iA!_uxUjdx$N_-UiahC=S%M? zUi4hI{LCxDY-r>?SVw%a`wY8cGdVFm{@Sp911DC9@a->LVO_WvBGu-aNGdy!Ee^?#DTG%s|FiM zH*oL64Pk6UBn(vK+;}|&7?xX6f$xM-f_4wfPolfx<>;UI7(R8=S4?EACT#Rh+F$3H ziDQY0TUoU{$&m9S>?Ufu!?PCgj&E^gu(cDQB&SVR#4Ls??e)Uips39vo`0AH4*|(< zp&c>uq%A#z&JX?t;baI$)R!TC_p3FxUYdzv4Oj>AMcWN_n& z|K4EPQ+M{FF+X=85~ePJV!m;~H4!WW$0=Me&=eECk9>wkeJe>B zJ_$QhS}t1WTT_$LN!M7q0tmQ#gQs*|ion0#7Tf%@`0iCmN|T4(934%4=t%+VpIc*l z`7-5GJ2CTJQ-F$4o_Lv0!A>X{8aHlOB8(qn;hk{Z>#4WSJ1rIOw_6{2RhufuJ;R#L zK&jY%tbQL~5PPk9-um)7Z;p@ikuAPF#zIm2TLTjTWgsPF9?nnOsl5AXS6Id4B}KUb5mrTy_|bBg z+7~*u+=6v`0xH|+gzA||y!2{T7ls1xuCv~dToNLyoej1O^Ytk{jd;Uc}1?3>N|G1lNtV1e`EJici3T8`vhl1zvEotxb ztMMRcZRc~xO1JW69YeBBu%*r^^rX0ZW+pE@wQ2 z(AMnxh{8>dJ?1G7T^acYgs2)`JuGHTU(xt4nngs-Vw~&#|K^Um0t<{q1jHLru4AX@ zhtVV+f9EV|d64sy?A{A<$j{(?>`d(OKUUG2ih8ckBa=xp1Kkp;m;X9VNEi{v)6{SA zk3sxScOyuu>2z3%aTpscaRi=@X{!=zaPm$FpsXFf$Jc74D~#Sl~NC= zcK@@sjs&Ay^O+X!rFuEk0BSYNha0bu8Ysysg*ys?$Yre_dTK?G_s&dC>dR-ALjY~rtUh9w& zlQt?U!H_P?9=}KRYM;6JLW`GAd8RKpoP?Fq*L-d!yv-^-O@%-#k{w$3p*3f1ceISp zMQRny@2RQT7rnV#_EtghbCT;0%7=+T_e}g|OQ}ib9lC$3x7y*hmW;}$4k;OZFs


    >coGD2)KPW%3tMJxE({( zQ~FoZ*B6?~?b9#5QduTMCL>7|HDw74$jXN(NS3ir;9gX{t1{URbjf0>;iZPDxzThNiud)hLyHB5 zhf@B9JrH>XrHNL07U0X3Tm9x-X@uN!+So8t-ih3k=7p*CtqFg{;#_u6(q#S`>LfHv zDlEX3?qC(r6Se&tszmKOtolbc5iwBG^woM0%~|bfwV#J7@*L52*AtcBbn`tXeYNjH z6G|emMW&w0%#>EC)U#0769MmBp&H$Hq=9>{ELpRKyQc~OBHqwNTg;>u#yA3sX-_6pC6jSLPCra50rE zbWFZ2DKUMo)53Q6H6 zT7hc+PTP~biwr+f)ogiENwjpf(r0wL|}aAcSh*yLA0!0Lc9c0NKd+`Pf0gnIP)e#ap#oVL0|53n_NtxR65>q zg_PE{7I4mVp8dATYZM5}wo++&maOv1GLax<4QKsrs~(C_wbO=egK8NVSyQ(06F(&L8|pQ4ru$ffoPG_S zcKq!YGBgDO$hf(BOWLfui+)AWXTa_IKkjAFJmt_qen?a6R7$0j5TQcPQulmG=<3(b zFgh!W4=A?&qLN*^3q89|+`yGqY*+Lt;li^WlDL~|(Qh1dzkSBqIqg{#)&wGBn?iRG zCL)6pqdRg=9D}Z*doN;IC_LIZWIU5jt5pEqAj1EDzVRLpI_Bi=3=E)=#jN9>w)12Y ze+TW7R=JBw+p<-(>r&jsK?^?k0{>f>r@$!6u z!%{M1d8uZ1^^uL{e|Y3p4^U0!o@4mw#YXij5|cKe%WOVwq7YH6F`T_bE>pgwOah73 z8K#RjP5>WjoRXKC@j5j6Y}IyWix2d&aE`e8*WA2m!0V@BoRG4BPw&)4tk+Kk*gAO__m`=c9DMGLdlXOH_v7_V#mWKRW< z>t2tts)kbpFW-qWBuE9xq{}Q^ZsfyCM~BOH>D(#%1^a;xDl88AEKb{rOnP|B;{ejr z;$dNWPJz(iFWhs_L(BsX&nnhYv++iEPVP#U**IKi$Ue#qeAtNl(-c9S8PH0aflTX| zYtY?EqVp7x5%rU;c5W5Q0d&aWs>@Gl2NBnh?v4gPR3L=wNdQ@aIv|Y-i=x zL{T5L_>;SA;2BjSD)y-DXX>@GtKEk8XZgu(Nnu2p@@=DEI{X^7 zGrBNKXv=sRZI=1!4ne^PBfGV`l$rljnH|EAnjx^cHTLo?#&R}>YCX!B;?QU0r2$|1 zcgH{x*Dp7(|0E@Ja_TKzmVX2x=MgNs*A9D=j!Z?4S)?LXr{pSTpoWq~$X!T8XWEwi zZ&WuhE(POlYf?7*^}aL!pd2^v(kJ&-y(_mXLh%-u}4sGR^U|f z8$8v_y;2qNYV_X=@@yVmojOj*HH6-egrIk7mlFSe6M3{}(RRu+w6^lJCYXt!)IVzV zG8F28kn!f6ginRuA2{@)Qjy5pLRbU(9n7`Rt5s2%;m?OJbBa9&81=UCYPiNs31;-B zlNG!uAJ# zo-|o7Sif^Fwko?aDoz$xT91CeCcKYQmGuZLa(p-)2qLT&F8a#a9OOL%%2F{Tlj+yi z)xRcYJD5)Gy}g&OAVt`lb2wv7`&d~Vt zym<|g7<(|I#Gfu>zn*x&oJ!dFnDHkA4rl~+v@>fn@G6(4>DS7+xWnYu5iq6lm*(c{ zQt}T8cf=JXX^mRvwHFR^jI&pZ>u*at5)`6(Iz3L|f_P=0;M=bkV)EraSpTYMjZS0c z{X+c6(3~C4l~UV)akYI}mi+mQKXFhe(#-f|jFs(Aj4 z1^*(aGX64?Q)`v$A$<6o0LJB4t|{gYq?+rla}*fGi_a^i07^DE%fRvKH;hiW18zwE zh@%hyj0`rN)o4!HOp>Igp~*jyCM*p&3SJY+PxvzS$W_A7J_UMWtWZmuPC&`6 zP(yP`!B)C+6AMjD&5?DLRLr>nzh}Uzdh>M2{y#Q4bs4(^T`D9nUXUiSxmj^xRv5!G z$@t;?m4uYSW0kWP%B4bssiv-=4psDqlTN}8=|GsPrGtME@zbff*KdTPD^2Mqs|CIQ z%6KlH%-)lf!G(r6Jp9l+06RFdy_&GtB7Pi8v&@Qp?ANb7T~pw#1?H>1b=F+t@HDG& zN>GtULkqY@GMrmwT}&qg(KteN*_1FpMzfqlIdvDN@<@y2zinOCr-t0$~ zKj%?QleBbz>{plY!0dbXIw^QSA^+NwL$Jfhshl)8ub2vE#!d7Q_huI^Qoqj5z`)C% zoU8ZSz0uf6tFW7dcB{uvJG+hFTb&R5_oSwt`LJPjCr;QagkQ{dUP8LC4|iZ*{A^FU zHmmXMWkX$`fo6~QnAIwoX)Fu|V;zAaEyA__mubOa4;JykM}Gb9L!RdOJb?yTLv>M# zMeq%UDMU;Vu7$ioy)tbeq zZ~u7DRM^|3hQA!IA7rXrS^1sLomPGw@dB3IxwkkMk4>DnqLnUyo03C-CvJ=Q`V2Lr zeZVb>qwwKBllva5=^q&u!nGRr zp@Juf+-60=IcuC9Rv8xcf%Z$LEXXu^7V#0*T+#Bl32P|NKPL0kpy|bDJ)@gK6 z=a_T^T~lO4-xf08SWqO|1wk$9HI=#QQT3!vNz>*+E(@e@o+U1X^%yNF4D z{ed07@kFe#Bv82M4MD-^1ATx1d-NL@Xc?yts!D4W?IZ*Sv0jhZL~e>{K$Gkn8dH2Jnfy5nqNq+ zmmZku2o1P=&&@F!bgQ_HMSe|!b*wZd?XJ<^CnwXfKX;$s0dje*+lY9WDaz(*xF}y` z=M2vng4)>1NSPQnN4C_BxWDlQJKA5%(kl%ixyaYtqF-A4^Bkh%GwPd094=NmE0m3A zen%65U7U*Uo!k@1k|RtOZM~SY(@QiZ#c^cnAmpcKPRP|o%VNwbW*W3k z9J-E$YA?n2m>z|y(Bt-~!lcgGK5t6dI5XLz2{kVuiSU27N^i^aXhqRWOCJT4-iGjB z6H|h=?qCKE{j?gBC74wF1ft-?_)IqyeLlkbu_D3HW<(GyUxFL#h}m=}*u}j4>^xz_ zu>_y{B*1M;V(mY}`_}(Ep_8`iup`Fvf5im<-?!_XcOW;_cwl*Mx3YE*NdXbz(9ty1 Js8e%@{2xe7fI9#H literal 0 HcmV?d00001 From 5cb104a5f69cea7f98b9f7f8149acb5d936d9055 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 22:04:40 -0700 Subject: [PATCH 191/230] [test] fixed a bug --- ...k4_N4_40nm_GlobalTileClk_registerable_io_cc_openfpga.xml | 6 +++--- .../global_tile_clock_subtile/config/task.conf | 2 +- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/openfpga_flow/openfpga_arch/k4_N4_40nm_GlobalTileClk_registerable_io_cc_openfpga.xml b/openfpga_flow/openfpga_arch/k4_N4_40nm_GlobalTileClk_registerable_io_cc_openfpga.xml index 56186f37f..32f84fff8 100644 --- a/openfpga_flow/openfpga_arch/k4_N4_40nm_GlobalTileClk_registerable_io_cc_openfpga.xml +++ b/openfpga_flow/openfpga_arch/k4_N4_40nm_GlobalTileClk_registerable_io_cc_openfpga.xml @@ -195,10 +195,10 @@ - + - - + + diff --git a/openfpga_flow/tasks/basic_tests/global_tile_ports/global_tile_clock_subtile/config/task.conf b/openfpga_flow/tasks/basic_tests/global_tile_ports/global_tile_clock_subtile/config/task.conf index d7f7a848c..d6172bb2f 100644 --- a/openfpga_flow/tasks/basic_tests/global_tile_ports/global_tile_clock_subtile/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/global_tile_ports/global_tile_clock_subtile/config/task.conf @@ -20,7 +20,7 @@ openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scrip openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_N4_40nm_GlobalTileClk_registerable_io_cc_openfpga.xml openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/auto_sim_openfpga.xml openfpga_vpr_device_layout=2x2_hybrid_io -openfpga_vpr_route_chan_width=60 +openfpga_vpr_route_chan_width=20 [ARCHITECTURES] arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_N4_tileable_GlobalTileClk_registerable_io_40nm.xml From 1cdb1c59959ca3b2fd4f76ff9ce6751dfb2385a4 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 22:22:08 -0700 Subject: [PATCH 192/230] [core] fixed a bug on calculating subtile pins --- .../fabric/build_top_module_connection.cpp | 20 +++++++++++-------- 1 file changed, 12 insertions(+), 8 deletions(-) diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index 0a754f352..494b4967f 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -975,6 +975,7 @@ static int build_top_module_global_net_for_given_grid_module( /* Walk through each instance considering the unique sub tile and capacity * range, each instance may have an independent pin to be driven by a global * net! */ + int curr_sub_tile_start_pin_index = 0; for (const t_sub_tile& sub_tile : physical_tile->sub_tiles) { VTR_ASSERT(1 == sub_tile.equivalent_sites.size()); int grid_pin_start_index = physical_tile->num_pins; @@ -995,18 +996,19 @@ static int build_top_module_global_net_for_given_grid_module( /* Port size must match!!! */ if (false == ref_tile_port.contained(tile_port_to_connect)) { VTR_LOG_ERROR( - "Tile annotation '%s' port '%s[%lu:%lu]' is out of the range of " - "physical tile port '%s[%lu:%lu]'!", + "Tile annotation '%s' port '%s' is out of the range of " + "physical tile port '%s'!\n", tile_annotation.global_port_name(tile_global_port).c_str(), - tile_port_to_connect.get_name().c_str(), - tile_port_to_connect.get_lsb(), tile_port_to_connect.get_msb(), - ref_tile_port.get_name().c_str(), ref_tile_port.get_lsb(), - ref_tile_port.get_msb()); + tile_port_to_connect.to_verilog_string().c_str(), + ref_tile_port.to_verilog_string().c_str()); return CMD_EXEC_FATAL_ERROR; } - grid_pin_start_index = + grid_pin_start_index = curr_sub_tile_start_pin_index + (subtile_index - sub_tile.capacity.low) * sub_tile_num_pins + tile_port.absolute_first_pin_index; + VTR_LOG( + "Index %lu for physical tile port '%s.%s.%s'\n!", grid_pin_start_index, + physical_tile->name, sub_tile.name, ref_tile_port.to_verilog_string().c_str()); physical_tile_port = tile_port; break; } @@ -1079,6 +1081,8 @@ static int build_top_module_global_net_for_given_grid_module( } } } + /* Note that the start pin index for a new type of tile should be calculated by the accumulated number of pins of previous sub tiles */ + curr_sub_tile_start_pin_index += sub_tile.num_phy_pins; } return CMD_EXEC_SUCCESS; @@ -1140,7 +1144,7 @@ static int build_top_module_global_net_from_grid_modules( if (true == out_of_range) { VTR_LOG_ERROR( "Coordinate (%lu, %lu) in tile annotation for tile '%s' is out of " - "range (%lu:%lu, %lu:%lu)!", + "range (%lu:%lu, %lu:%lu)!\n", range.x(), range.y(), tile_name.c_str(), start_coord.x(), end_coord.x(), start_coord.y(), end_coord.y()); return CMD_EXEC_FATAL_ERROR; From 04504e4d5db0e1d74b67e1e0e8fa230c6c28f469 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 22:22:59 -0700 Subject: [PATCH 193/230] [core] code format --- openfpga/src/fabric/build_top_module_connection.cpp | 12 +++++++----- 1 file changed, 7 insertions(+), 5 deletions(-) diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index 494b4967f..f8f2526bb 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -1003,12 +1003,13 @@ static int build_top_module_global_net_for_given_grid_module( ref_tile_port.to_verilog_string().c_str()); return CMD_EXEC_FATAL_ERROR; } - grid_pin_start_index = curr_sub_tile_start_pin_index + + grid_pin_start_index = + curr_sub_tile_start_pin_index + (subtile_index - sub_tile.capacity.low) * sub_tile_num_pins + tile_port.absolute_first_pin_index; - VTR_LOG( - "Index %lu for physical tile port '%s.%s.%s'\n!", grid_pin_start_index, - physical_tile->name, sub_tile.name, ref_tile_port.to_verilog_string().c_str()); + VTR_LOG("Index %lu for physical tile port '%s.%s.%s'\n!", + grid_pin_start_index, physical_tile->name, sub_tile.name, + ref_tile_port.to_verilog_string().c_str()); physical_tile_port = tile_port; break; } @@ -1081,7 +1082,8 @@ static int build_top_module_global_net_for_given_grid_module( } } } - /* Note that the start pin index for a new type of tile should be calculated by the accumulated number of pins of previous sub tiles */ + /* Note that the start pin index for a new type of tile should be calculated + * by the accumulated number of pins of previous sub tiles */ curr_sub_tile_start_pin_index += sub_tile.num_phy_pins; } From 092b8b038f08a42f951d8af2f636a84f051e54d1 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 22:23:37 -0700 Subject: [PATCH 194/230] [core] remove verbose out --- openfpga/src/fabric/build_top_module_connection.cpp | 3 --- 1 file changed, 3 deletions(-) diff --git a/openfpga/src/fabric/build_top_module_connection.cpp b/openfpga/src/fabric/build_top_module_connection.cpp index f8f2526bb..508516a8d 100644 --- a/openfpga/src/fabric/build_top_module_connection.cpp +++ b/openfpga/src/fabric/build_top_module_connection.cpp @@ -1007,9 +1007,6 @@ static int build_top_module_global_net_for_given_grid_module( curr_sub_tile_start_pin_index + (subtile_index - sub_tile.capacity.low) * sub_tile_num_pins + tile_port.absolute_first_pin_index; - VTR_LOG("Index %lu for physical tile port '%s.%s.%s'\n!", - grid_pin_start_index, physical_tile->name, sub_tile.name, - ref_tile_port.to_verilog_string().c_str()); physical_tile_port = tile_port; break; } From 5efc9d0e00b766c796d9acc7a216a939ae3fb31b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Mon, 8 Jul 2024 23:24:16 -0700 Subject: [PATCH 195/230] [test] update golden outputs --- .../and2_fpga_top_analysis.sdc | 56 +- .../ccff_timing.sdc | 840 +- .../disable_configurable_memory_outputs.sdc | 56 +- .../fabric_bitstream.bit | 256 +- .../fabric_bitstream.xml | 716 +- .../golden_outputs_no_time_stamp/fpga_top.v | 14 +- .../gsb_xml/cby_0__1_.xml | 120 +- .../gsb_xml/cby_1__1_.xml | 132 +- .../gsb_xml_no_rr_info/cby_0__1_.xml | 120 +- .../gsb_xml_no_rr_info/cby_1__1_.xml | 132 +- .../and2_fpga_top_analysis.sdc | 108 +- .../ccff_timing.sdc | 6708 +++++++------- .../disable_configurable_memory_outputs.sdc | 108 +- .../fabric_bitstream.bit | 284 +- .../fabric_bitstream.xml | 8124 ++++++++--------- .../golden_outputs_no_time_stamp/fpga_top.v | 104 +- .../gsb_xml/cby_0__1_.xml | 76 +- .../gsb_xml/cby_0__2_.xml | 76 +- .../gsb_xml/cby_0__3_.xml | 76 +- .../gsb_xml/cby_0__4_.xml | 76 +- .../gsb_xml/cby_1__1_.xml | 28 +- .../gsb_xml/cby_1__2_.xml | 28 +- .../gsb_xml/cby_1__3_.xml | 28 +- .../gsb_xml/cby_1__4_.xml | 28 +- .../gsb_xml/cby_2__1_.xml | 28 +- .../gsb_xml/cby_2__2_.xml | 28 +- .../gsb_xml/cby_2__3_.xml | 28 +- .../gsb_xml/cby_2__4_.xml | 28 +- .../gsb_xml/cby_3__1_.xml | 28 +- .../gsb_xml/cby_3__2_.xml | 28 +- .../gsb_xml/cby_3__3_.xml | 28 +- .../gsb_xml/cby_3__4_.xml | 28 +- .../gsb_xml/cby_4__1_.xml | 80 +- .../gsb_xml/cby_4__2_.xml | 80 +- .../gsb_xml/cby_4__3_.xml | 80 +- .../gsb_xml/cby_4__4_.xml | 80 +- .../gsb_xml_no_rr_info/cby_0__1_.xml | 76 +- .../gsb_xml_no_rr_info/cby_0__2_.xml | 76 +- .../gsb_xml_no_rr_info/cby_0__3_.xml | 76 +- .../gsb_xml_no_rr_info/cby_0__4_.xml | 76 +- .../gsb_xml_no_rr_info/cby_1__1_.xml | 28 +- .../gsb_xml_no_rr_info/cby_1__2_.xml | 28 +- .../gsb_xml_no_rr_info/cby_1__3_.xml | 28 +- .../gsb_xml_no_rr_info/cby_1__4_.xml | 28 +- .../gsb_xml_no_rr_info/cby_2__1_.xml | 28 +- .../gsb_xml_no_rr_info/cby_2__2_.xml | 28 +- .../gsb_xml_no_rr_info/cby_2__3_.xml | 28 +- .../gsb_xml_no_rr_info/cby_2__4_.xml | 28 +- .../gsb_xml_no_rr_info/cby_3__1_.xml | 28 +- .../gsb_xml_no_rr_info/cby_3__2_.xml | 28 +- .../gsb_xml_no_rr_info/cby_3__3_.xml | 28 +- .../gsb_xml_no_rr_info/cby_3__4_.xml | 28 +- .../gsb_xml_no_rr_info/cby_4__1_.xml | 80 +- .../gsb_xml_no_rr_info/cby_4__2_.xml | 80 +- .../gsb_xml_no_rr_info/cby_4__3_.xml | 80 +- .../gsb_xml_no_rr_info/cby_4__4_.xml | 80 +- .../and2_fpga_top_analysis.sdc | 56 +- .../ccff_timing.sdc | 840 +- .../disable_configurable_memory_outputs.sdc | 56 +- .../fabric_bitstream.bit | 256 +- .../fabric_bitstream.xml | 716 +- .../golden_outputs_no_time_stamp/fpga_top.v | 14 +- .../gsb_xml/cby_0__1_.xml | 120 +- .../gsb_xml/cby_1__1_.xml | 132 +- .../gsb_xml_no_rr_info/cby_0__1_.xml | 120 +- .../gsb_xml_no_rr_info/cby_1__1_.xml | 132 +- .../and2_fpga_top_analysis.sdc | 124 +- .../ccff_timing.sdc | 3092 +++---- .../disable_configurable_memory_outputs.sdc | 124 +- .../fabric_bitstream.bit | 266 +- .../fabric_bitstream.xml | 3308 +++---- .../golden_outputs_no_time_stamp/fpga_top.v | 36 +- .../gsb_xml/cby_0__1_.xml | 72 +- .../gsb_xml/cby_0__2_.xml | 72 +- .../gsb_xml/cby_1__1_.xml | 56 +- .../gsb_xml/cby_1__2_.xml | 56 +- .../gsb_xml/cby_2__1_.xml | 112 +- .../gsb_xml/cby_2__2_.xml | 112 +- .../gsb_xml_no_rr_info/cby_0__1_.xml | 72 +- .../gsb_xml_no_rr_info/cby_0__2_.xml | 72 +- .../gsb_xml_no_rr_info/cby_1__1_.xml | 56 +- .../gsb_xml_no_rr_info/cby_1__2_.xml | 56 +- .../gsb_xml_no_rr_info/cby_2__1_.xml | 112 +- .../gsb_xml_no_rr_info/cby_2__2_.xml | 112 +- 84 files changed, 15075 insertions(+), 15075 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc index 8237fc2f9..2ccec1ac6 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc @@ -57,16 +57,24 @@ set_disable_timing gfpga_pad_GPIO_PAD[31] set_disable_timing set[0] set_disable_timing reset[0] set_disable_timing prog_clk[0] -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q @@ -77,6 +85,10 @@ set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q @@ -91,30 +103,18 @@ set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/ccff_timing.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/ccff_timing.sdc index df2b04e23..5a3b9e3df 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/ccff_timing.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/ccff_timing.sdc @@ -11,346 +11,6 @@ ############################################# set_units -time ns -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/D 5 set_min_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_2_/D 5 @@ -465,84 +125,8 @@ set_max_delay -from fpga_top/sb_0__0_/mem_right_track_22/DFF_1_/Q -to fpga_top/s set_min_delay -from fpga_top/sb_0__0_/mem_right_track_22/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_24/DFF_0_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/D 5 set_min_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/D 5 set_min_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_2_/D 5 @@ -725,8 +309,424 @@ set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_ set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/D 2.5 set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/D 5 set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_2_/D 5 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc index 022a20fcf..8cd7edd67 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc @@ -6,16 +6,24 @@ # Organization: University of Utah ############################################# -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q @@ -26,6 +34,10 @@ set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q @@ -40,30 +52,18 @@ set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.bit b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.bit index 3387708eb..7c8512c57 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.bit +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.bit @@ -197,18 +197,7 @@ 0 0 0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 +1 0 0 0 @@ -243,8 +232,19 @@ 0 0 0 -1 -1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 0 0 0 @@ -267,67 +267,6 @@ 1 1 1 -1 -1 -1 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 0 0 0 @@ -423,34 +362,61 @@ 1 0 1 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 0 0 0 @@ -485,19 +451,8 @@ 0 0 0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 +1 +1 0 0 0 @@ -515,16 +470,61 @@ 1 1 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.xml index 4edf9cf2b..c42176d6b 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fabric_bitstream.xml @@ -344,721 +344,721 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_top.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_top.v index c8c3d7300..e0098e212 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_top.v +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/fpga_top.v @@ -162,7 +162,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(cbx_1__1__0_ccff_tail), + .ccff_head(grid_io_right_0_ccff_tail), .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_), .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_), .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_), @@ -206,7 +206,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(ccff_head), + .ccff_head(cbx_1__0__0_ccff_tail), .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_), .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_), .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_), @@ -284,7 +284,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), .right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), .right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(sb_0__1__0_ccff_tail), + .ccff_head(ccff_head), .chany_top_out(sb_0__0__0_chany_top_out[0:12]), .chanx_right_out(sb_0__0__0_chanx_right_out[0:12]), .ccff_tail(sb_0__0__0_ccff_tail)); @@ -338,7 +338,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_io_left_0_ccff_tail), + .ccff_head(sb_0__0__0_ccff_tail), .chany_top_out(sb_1__0__0_chany_top_out[0:12]), .chanx_left_out(sb_1__0__0_chanx_left_out[0:12]), .ccff_tail(sb_1__0__0_ccff_tail)); @@ -365,7 +365,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_), .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_io_right_0_ccff_tail), + .ccff_head(grid_io_left_0_ccff_tail), .chany_bottom_out(sb_1__1__0_chany_bottom_out[0:12]), .chanx_left_out(sb_1__1__0_chanx_left_out[0:12]), .ccff_tail(sb_1__1__0_ccff_tail)); @@ -414,7 +414,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_0__0__0_chany_top_out[0:12]), .chany_top_in(sb_0__1__0_chany_bottom_out[0:12]), - .ccff_head(sb_0__0__0_ccff_tail), + .ccff_head(sb_0__1__0_ccff_tail), .chany_bottom_out(cby_0__1__0_chany_bottom_out[0:12]), .chany_top_out(cby_0__1__0_chany_top_out[0:12]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -433,7 +433,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__0__0_chany_top_out[0:12]), .chany_top_in(sb_1__1__0_chany_bottom_out[0:12]), - .ccff_head(cbx_1__0__0_ccff_tail), + .ccff_head(cbx_1__1__0_ccff_tail), .chany_bottom_out(cby_1__1__0_chany_bottom_out[0:12]), .chany_top_out(cby_1__1__0_chany_top_out[0:12]), .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml index 99aadddf5..1943afce4 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml @@ -1,82 +1,82 @@ - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml index eefaf5cd9..d4af896d6 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml @@ -1,90 +1,90 @@ - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml index 5ecb11950..0e17019b1 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml @@ -1,82 +1,82 @@ - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml index edec22d72..010e9b689 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_1x1/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml @@ -1,90 +1,90 @@ - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc index 897ef728e..3ef8489eb 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc @@ -153,48 +153,44 @@ set_disable_timing gfpga_pad_GPIO_PAD[127] set_disable_timing set[0] set_disable_timing reset[0] set_disable_timing prog_clk[0] -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN -set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q -set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q @@ -207,12 +203,16 @@ set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logica set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q @@ -221,6 +221,14 @@ set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q @@ -231,6 +239,8 @@ set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q @@ -247,6 +257,14 @@ set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mem_fle_ set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mem_fle_*_in_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q @@ -255,32 +273,16 @@ set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN -set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q -set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q @@ -293,8 +295,6 @@ set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN ################################################## # Disable timing for Connection block cbx_1__0_ ################################################## diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/ccff_timing.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/ccff_timing.sdc index bc1f632f0..a44bb6090 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/ccff_timing.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/ccff_timing.sdc @@ -11,1324 +11,6 @@ ############################################# set_units -time ns -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/D 5 set_min_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_2/DFF_0_/D 5 @@ -1399,82 +81,8 @@ set_max_delay -from fpga_top/sb_0__0_/mem_right_track_14/DFF_1_/Q -to fpga_top/s set_min_delay -from fpga_top/sb_0__0_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_16/DFF_0_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_16/DFF_1_/D 5 set_min_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/D 5 set_min_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_2_/D 5 @@ -1613,8 +221,1352 @@ set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_ set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/D 2.5 set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/D 5 set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_3__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_4__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_5__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_4__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_3__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__5_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__4_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__4_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__3_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_2_/D 5 @@ -1909,148 +1861,136 @@ set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFF_2_/D 5 @@ -2345,148 +2285,136 @@ set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_0_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_1_/Q -to fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_top_track_18/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__0_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_3__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_3__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_3__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__1_/mem_left_ipin_0/DFF_2_/D 5 @@ -2781,144 +2709,128 @@ set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_2/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_4/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_6/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_10/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_12/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_14/DFF_1_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__0_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_4__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_4__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__1_/mem_left_ipin_0/DFF_2_/D 5 @@ -3251,128 +3163,128 @@ set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_4__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_4__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__2_/mem_left_ipin_0/DFF_2_/D 5 @@ -3705,136 +3617,136 @@ set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_3__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_3__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_3__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__2_/mem_left_ipin_0/DFF_2_/D 5 @@ -4129,136 +4041,136 @@ set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFF_2_/D 5 @@ -4553,136 +4465,136 @@ set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFF_2_/D 5 @@ -4977,136 +4889,136 @@ set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_1__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_1__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_1__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__3_/mem_left_ipin_0/DFF_2_/D 5 @@ -5401,136 +5313,136 @@ set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_2__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_2__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_2__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__3_/mem_left_ipin_0/DFF_2_/D 5 @@ -5825,136 +5737,136 @@ set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__2_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_3__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_3__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_3__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__3_/mem_left_ipin_0/DFF_2_/D 5 @@ -6249,128 +6161,128 @@ set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__2_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__2_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_4__3_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_4__3_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__3_/mem_left_ipin_0/DFF_2_/D 5 @@ -6703,128 +6615,144 @@ set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_4__3_/mem_left_track_19/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_4__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__3_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_4__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_4__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_4__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_4__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_4__4_/mem_left_ipin_0/DFF_2_/D 5 @@ -7157,136 +7085,160 @@ set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_3__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_3__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_4__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_3__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_3__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_3__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_3__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_3__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_3__4_/mem_left_ipin_0/DFF_2_/D 5 @@ -7581,136 +7533,160 @@ set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_2__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_2__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_3__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_2__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_2__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_2__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_2__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_2__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_2__4_/mem_left_ipin_0/DFF_2_/D 5 @@ -8005,136 +7981,160 @@ set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_1_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/D 2.5 set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 5 set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_2_/Q -to fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/D 2.5 -set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_top_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_bottom_track_17/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__3_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__3_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__4_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_0/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_8/DFF_3_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_2_/Q -to fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_right_track_16/DFF_3_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_1/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_9/DFF_3_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_2_/Q -to fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__4_/mem_left_track_17/DFF_3_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__4_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_1__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_1__4_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_1__4_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__4_/mem_left_ipin_0/DFF_2_/D 5 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc index 3c755b028..e644f9251 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc @@ -6,48 +6,44 @@ # Organization: University of Utah ############################################# -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN -set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q -set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q @@ -60,12 +56,16 @@ set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logica set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q @@ -74,6 +74,14 @@ set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q @@ -84,6 +92,8 @@ set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q @@ -100,6 +110,14 @@ set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mem_fle_ set_disable_timing fpga_top/grid_clb_*__*_/logical_tile_clb_mode_clb__*/mem_fle_*_in_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q @@ -108,32 +126,16 @@ set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN -set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q -set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q @@ -146,5 +148,3 @@ set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.bit b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.bit index fc17891b4..1a79dc354 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.bit +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.bit @@ -221,6 +221,8 @@ 0 0 0 +1 +1 0 0 0 @@ -621,6 +623,9 @@ 0 0 0 +1 +1 +1 0 0 0 @@ -804,7 +809,6 @@ 0 0 0 -1 0 0 0 @@ -828,8 +832,6 @@ 0 0 0 -1 -1 0 0 0 @@ -840,9 +842,7 @@ 0 0 0 -1 0 -1 0 0 0 @@ -864,7 +864,6 @@ 0 0 0 -1 0 0 0 @@ -877,9 +876,6 @@ 0 0 0 -1 -1 -1 0 0 0 @@ -898,6 +894,8 @@ 0 0 0 +1 +1 0 0 0 @@ -910,6 +908,7 @@ 0 0 0 +1 0 0 0 @@ -922,13 +921,15 @@ 0 0 0 +1 +1 +1 0 0 0 0 0 0 -1 0 0 0 @@ -939,13 +940,9 @@ 0 0 0 -1 0 -1 0 -1 0 -1 0 0 0 @@ -975,6 +972,7 @@ 0 0 0 +1 0 0 0 @@ -985,9 +983,13 @@ 0 0 0 +1 0 +1 0 +1 0 +1 0 0 0 @@ -1057,8 +1059,6 @@ 0 0 0 -1 -1 0 0 0 @@ -1075,6 +1075,7 @@ 0 0 0 +1 0 0 0 @@ -1098,6 +1099,8 @@ 0 0 0 +1 +1 0 0 0 @@ -1108,7 +1111,9 @@ 0 0 0 +1 0 +1 0 0 0 @@ -1234,8 +1239,6 @@ 0 0 0 -1 -1 0 0 0 @@ -1263,9 +1266,7 @@ 0 0 0 -1 0 -1 0 0 0 @@ -1277,6 +1278,8 @@ 0 0 0 +1 +1 0 0 0 @@ -1723,9 +1726,6 @@ 0 0 0 -1 -1 -1 0 0 0 @@ -1979,6 +1979,14 @@ 0 0 0 +1 +1 +1 +0 +0 +0 +0 +0 0 0 0 @@ -2360,7 +2368,7 @@ 0 0 1 -1 +0 1 0 0 @@ -2562,7 +2570,6 @@ 0 0 0 -1 0 0 0 @@ -2593,6 +2600,15 @@ 0 0 0 +1 +1 +0 +0 +0 +0 +0 +0 +0 0 0 0 @@ -2817,7 +2833,6 @@ 0 0 0 -1 1 0 0 @@ -3054,6 +3069,9 @@ 0 0 0 +1 +1 +1 0 0 0 @@ -3552,6 +3570,10 @@ 0 0 0 +0 +0 +0 +0 1 1 1 @@ -3779,7 +3801,78 @@ 0 0 0 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 0 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 0 0 0 @@ -3819,24 +3912,6 @@ 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 0 0 0 @@ -3858,12 +3933,22 @@ 0 0 0 +1 +1 0 0 0 0 0 0 +1 +1 +1 +1 +1 +1 +1 +1 0 0 0 @@ -3899,18 +3984,8 @@ 0 0 0 -1 -1 0 0 -1 -1 -1 -1 -1 -1 -1 -1 0 0 0 @@ -3944,6 +4019,14 @@ 0 0 0 +1 +1 +1 +1 +1 +1 +1 +1 0 0 0 @@ -3987,14 +4070,7 @@ 0 0 0 -1 -1 -1 -1 -1 -1 0 -1 0 0 0 @@ -4024,6 +4100,11 @@ 1 1 1 +1 +1 +1 +1 +1 0 0 0 @@ -4071,21 +4152,6 @@ 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -0 -0 -0 -0 -0 -0 -0 0 0 0 @@ -4133,8 +4199,6 @@ 0 0 0 -1 -1 0 0 0 @@ -4147,67 +4211,3 @@ 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.xml index 10e19c6be..1ad77e12e 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fabric_bitstream.xml @@ -302,8129 +302,8129 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_top.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_top.v index 560ad7620..8d1c9cd5f 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_top.v +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/fpga_top.v @@ -807,7 +807,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__0_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(cbx_1__4__0_ccff_tail), + .ccff_head(grid_io_top_1_ccff_tail), .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_), .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_), .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_), @@ -829,7 +829,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__1_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(cbx_1__4__1_ccff_tail), + .ccff_head(grid_io_top_2_ccff_tail), .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_0__pin_inpad_0_), .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_1__pin_inpad_0_), .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_2__pin_inpad_0_), @@ -851,7 +851,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__2_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(cbx_1__4__2_ccff_tail), + .ccff_head(grid_io_top_3_ccff_tail), .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_0__pin_inpad_0_), .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_1__pin_inpad_0_), .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_2__pin_inpad_0_), @@ -873,7 +873,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__4__3_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(cbx_1__4__3_ccff_tail), + .ccff_head(grid_io_right_0_ccff_tail), .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_0__pin_inpad_0_), .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_1__pin_inpad_0_), .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_2__pin_inpad_0_), @@ -983,7 +983,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__3_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(grid_io_bottom_1_ccff_tail), + .ccff_head(cbx_1__0__3_ccff_tail), .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_), .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_), .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_), @@ -1005,7 +1005,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__2_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(grid_io_bottom_2_ccff_tail), + .ccff_head(cbx_1__0__2_ccff_tail), .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_0__pin_inpad_0_), .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_1__pin_inpad_0_), .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_2__pin_inpad_0_), @@ -1027,7 +1027,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(grid_io_bottom_3_ccff_tail), + .ccff_head(cbx_1__0__1_ccff_tail), .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_0__pin_inpad_0_), .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_1__pin_inpad_0_), .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_2__pin_inpad_0_), @@ -1049,7 +1049,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(ccff_head), + .ccff_head(cbx_1__0__0_ccff_tail), .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_0__pin_inpad_0_), .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_1__pin_inpad_0_), .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_2__pin_inpad_0_), @@ -1538,7 +1538,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_5__pin_inpad_0_), .right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_6__pin_inpad_0_), .right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_io_left_1_ccff_tail), + .ccff_head(ccff_head), .chany_top_out(sb_0__0__0_chany_top_out[0:9]), .chanx_right_out(sb_0__0__0_chanx_right_out[0:9]), .ccff_tail(sb_0__0__0_ccff_tail)); @@ -1568,7 +1568,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_5__pin_inpad_0_), .bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_6__pin_inpad_0_), .bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_io_left_2_ccff_tail), + .ccff_head(grid_io_left_1_ccff_tail), .chany_top_out(sb_0__1__0_chany_top_out[0:9]), .chanx_right_out(sb_0__1__0_chanx_right_out[0:9]), .chany_bottom_out(sb_0__1__0_chany_bottom_out[0:9]), @@ -1599,7 +1599,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_5__pin_inpad_0_), .bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_6__pin_inpad_0_), .bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_1_right_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_io_left_3_ccff_tail), + .ccff_head(grid_io_left_2_ccff_tail), .chany_top_out(sb_0__1__1_chany_top_out[0:9]), .chanx_right_out(sb_0__1__1_chanx_right_out[0:9]), .chany_bottom_out(sb_0__1__1_chany_bottom_out[0:9]), @@ -1630,7 +1630,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_5__pin_inpad_0_), .bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_6__pin_inpad_0_), .bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_2_right_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(sb_0__4__0_ccff_tail), + .ccff_head(grid_io_left_3_ccff_tail), .chany_top_out(sb_0__1__2_chany_top_out[0:9]), .chanx_right_out(sb_0__1__2_chanx_right_out[0:9]), .chany_bottom_out(sb_0__1__2_chany_bottom_out[0:9]), @@ -1688,7 +1688,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_5__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_6__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_3_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_io_left_0_ccff_tail), + .ccff_head(sb_0__0__0_ccff_tail), .chany_top_out(sb_1__0__0_chany_top_out[0:9]), .chanx_right_out(sb_1__0__0_chanx_right_out[0:9]), .chanx_left_out(sb_1__0__0_chanx_left_out[0:9]), @@ -1719,7 +1719,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_5__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_6__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_2_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_clb_0_ccff_tail), + .ccff_head(grid_io_bottom_3_ccff_tail), .chany_top_out(sb_1__0__1_chany_top_out[0:9]), .chanx_right_out(sb_1__0__1_chanx_right_out[0:9]), .chanx_left_out(sb_1__0__1_chanx_left_out[0:9]), @@ -1750,7 +1750,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_5__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_6__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_clb_4_ccff_tail), + .ccff_head(grid_io_bottom_2_ccff_tail), .chany_top_out(sb_1__0__2_chany_top_out[0:9]), .chanx_right_out(sb_1__0__2_chanx_right_out[0:9]), .chanx_left_out(sb_1__0__2_chanx_left_out[0:9]), @@ -1770,7 +1770,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__0_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_1_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_5_ccff_tail), + .ccff_head(grid_io_left_0_ccff_tail), .chany_top_out(sb_1__1__0_chany_top_out[0:9]), .chanx_right_out(sb_1__1__0_chanx_right_out[0:9]), .chany_bottom_out(sb_1__1__0_chany_bottom_out[0:9]), @@ -1791,7 +1791,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__1_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_2_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_1_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_1_ccff_tail), + .ccff_head(grid_clb_5_ccff_tail), .chany_top_out(sb_1__1__1_chany_top_out[0:9]), .chanx_right_out(sb_1__1__1_chanx_right_out[0:9]), .chany_bottom_out(sb_1__1__1_chany_bottom_out[0:9]), @@ -1812,7 +1812,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__2_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_3_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_2_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_7_ccff_tail), + .ccff_head(grid_clb_1_ccff_tail), .chany_top_out(sb_1__1__2_chany_top_out[0:9]), .chanx_right_out(sb_1__1__2_chanx_right_out[0:9]), .chany_bottom_out(sb_1__1__2_chany_bottom_out[0:9]), @@ -1833,7 +1833,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__3_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_5_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_4_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_9_ccff_tail), + .ccff_head(grid_clb_0_ccff_tail), .chany_top_out(sb_1__1__3_chany_top_out[0:9]), .chanx_right_out(sb_1__1__3_chanx_right_out[0:9]), .chany_bottom_out(sb_1__1__3_chany_bottom_out[0:9]), @@ -1854,7 +1854,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__4_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_6_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_5_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_2_ccff_tail), + .ccff_head(grid_clb_9_ccff_tail), .chany_top_out(sb_1__1__4_chany_top_out[0:9]), .chanx_right_out(sb_1__1__4_chanx_right_out[0:9]), .chany_bottom_out(sb_1__1__4_chany_bottom_out[0:9]), @@ -1875,7 +1875,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__5_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_7_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_6_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_11_ccff_tail), + .ccff_head(grid_clb_2_ccff_tail), .chany_top_out(sb_1__1__5_chany_top_out[0:9]), .chanx_right_out(sb_1__1__5_chanx_right_out[0:9]), .chany_bottom_out(sb_1__1__5_chany_bottom_out[0:9]), @@ -1896,7 +1896,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__6_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_9_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_8_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_13_ccff_tail), + .ccff_head(grid_clb_4_ccff_tail), .chany_top_out(sb_1__1__6_chany_top_out[0:9]), .chanx_right_out(sb_1__1__6_chanx_right_out[0:9]), .chany_bottom_out(sb_1__1__6_chany_bottom_out[0:9]), @@ -1917,7 +1917,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__7_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_10_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_9_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_6_ccff_tail), + .ccff_head(grid_clb_13_ccff_tail), .chany_top_out(sb_1__1__7_chany_top_out[0:9]), .chanx_right_out(sb_1__1__7_chanx_right_out[0:9]), .chany_bottom_out(sb_1__1__7_chany_bottom_out[0:9]), @@ -1938,7 +1938,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__8_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_11_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_10_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_15_ccff_tail), + .ccff_head(grid_clb_6_ccff_tail), .chany_top_out(sb_1__1__8_chany_top_out[0:9]), .chanx_right_out(sb_1__1__8_chanx_right_out[0:9]), .chany_bottom_out(sb_1__1__8_chany_bottom_out[0:9]), @@ -1970,7 +1970,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_), .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_3_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_io_top_1_ccff_tail), + .ccff_head(grid_clb_7_ccff_tail), .chanx_right_out(sb_1__4__0_chanx_right_out[0:9]), .chany_bottom_out(sb_1__4__0_chany_bottom_out[0:9]), .chanx_left_out(sb_1__4__0_chanx_left_out[0:9]), @@ -2001,7 +2001,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_6__pin_inpad_0_), .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_7__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_7_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_io_top_2_ccff_tail), + .ccff_head(grid_clb_11_ccff_tail), .chanx_right_out(sb_1__4__1_chanx_right_out[0:9]), .chany_bottom_out(sb_1__4__1_chany_bottom_out[0:9]), .chanx_left_out(sb_1__4__1_chanx_left_out[0:9]), @@ -2032,7 +2032,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_6__pin_inpad_0_), .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_2_bottom_width_0_height_0_subtile_7__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_11_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_io_top_3_ccff_tail), + .ccff_head(grid_clb_15_ccff_tail), .chanx_right_out(sb_1__4__2_chanx_right_out[0:9]), .chany_bottom_out(sb_1__4__2_chany_bottom_out[0:9]), .chanx_left_out(sb_1__4__2_chanx_left_out[0:9]), @@ -2060,7 +2060,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_clb_8_ccff_tail), + .ccff_head(grid_io_bottom_1_ccff_tail), .chany_top_out(sb_4__0__0_chany_top_out[0:9]), .chanx_left_out(sb_4__0__0_chanx_left_out[0:9]), .ccff_tail(sb_4__0__0_ccff_tail)); @@ -2090,7 +2090,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__9_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_13_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_12_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_12_ccff_tail), + .ccff_head(grid_clb_8_ccff_tail), .chany_top_out(sb_4__1__0_chany_top_out[0:9]), .chany_bottom_out(sb_4__1__0_chany_bottom_out[0:9]), .chanx_left_out(sb_4__1__0_chanx_left_out[0:9]), @@ -2121,7 +2121,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__10_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_14_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_13_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_10_ccff_tail), + .ccff_head(grid_clb_12_ccff_tail), .chany_top_out(sb_4__1__1_chany_top_out[0:9]), .chany_bottom_out(sb_4__1__1_chany_bottom_out[0:9]), .chanx_left_out(sb_4__1__1_chanx_left_out[0:9]), @@ -2152,7 +2152,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .chanx_left_in(cbx_1__1__11_chanx_right_out[0:9]), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_0_(grid_clb_15_bottom_width_0_height_0_subtile_0__pin_O_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_14_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_clb_14_ccff_tail), + .ccff_head(grid_clb_10_ccff_tail), .chany_top_out(sb_4__1__2_chany_top_out[0:9]), .chany_bottom_out(sb_4__1__2_chany_bottom_out[0:9]), .chanx_left_out(sb_4__1__2_chanx_left_out[0:9]), @@ -2180,7 +2180,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_6__pin_inpad_0_), .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_3_bottom_width_0_height_0_subtile_7__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_15_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_io_right_0_ccff_tail), + .ccff_head(grid_clb_14_ccff_tail), .chany_bottom_out(sb_4__4__0_chany_bottom_out[0:9]), .chanx_left_out(sb_4__4__0_chanx_left_out[0:9]), .ccff_tail(sb_4__4__0_ccff_tail)); @@ -2529,7 +2529,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_0__0__0_chany_top_out[0:9]), .chany_top_in(sb_0__1__0_chany_bottom_out[0:9]), - .ccff_head(sb_0__0__0_ccff_tail), + .ccff_head(sb_0__1__0_ccff_tail), .chany_bottom_out(cby_0__1__0_chany_bottom_out[0:9]), .chany_top_out(cby_0__1__0_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2548,7 +2548,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_0__1__0_chany_top_out[0:9]), .chany_top_in(sb_0__1__1_chany_bottom_out[0:9]), - .ccff_head(sb_0__1__0_ccff_tail), + .ccff_head(sb_0__1__1_ccff_tail), .chany_bottom_out(cby_0__1__1_chany_bottom_out[0:9]), .chany_top_out(cby_0__1__1_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2567,7 +2567,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_0__1__1_chany_top_out[0:9]), .chany_top_in(sb_0__1__2_chany_bottom_out[0:9]), - .ccff_head(sb_0__1__1_ccff_tail), + .ccff_head(sb_0__1__2_ccff_tail), .chany_bottom_out(cby_0__1__2_chany_bottom_out[0:9]), .chany_top_out(cby_0__1__2_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2586,7 +2586,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_0__1__2_chany_top_out[0:9]), .chany_top_in(sb_0__4__0_chany_bottom_out[0:9]), - .ccff_head(sb_0__1__2_ccff_tail), + .ccff_head(sb_0__4__0_ccff_tail), .chany_bottom_out(cby_0__1__3_chany_bottom_out[0:9]), .chany_top_out(cby_0__1__3_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2605,7 +2605,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__0__0_chany_top_out[0:9]), .chany_top_in(sb_1__1__0_chany_bottom_out[0:9]), - .ccff_head(cbx_1__0__0_ccff_tail), + .ccff_head(cbx_1__1__0_ccff_tail), .chany_bottom_out(cby_1__1__0_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__0_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2619,7 +2619,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__1__0_chany_top_out[0:9]), .chany_top_in(sb_1__1__1_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__0_ccff_tail), + .ccff_head(cbx_1__1__1_ccff_tail), .chany_bottom_out(cby_1__1__1_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__1_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__1_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2633,7 +2633,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__1__1_chany_top_out[0:9]), .chany_top_in(sb_1__1__2_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__1_ccff_tail), + .ccff_head(cbx_1__1__2_ccff_tail), .chany_bottom_out(cby_1__1__2_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__2_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__2_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2647,7 +2647,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__1__2_chany_top_out[0:9]), .chany_top_in(sb_1__4__0_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__2_ccff_tail), + .ccff_head(cbx_1__4__0_ccff_tail), .chany_bottom_out(cby_1__1__3_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__3_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__3_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2661,7 +2661,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__0__1_chany_top_out[0:9]), .chany_top_in(sb_1__1__3_chany_bottom_out[0:9]), - .ccff_head(cbx_1__0__1_ccff_tail), + .ccff_head(cbx_1__1__3_ccff_tail), .chany_bottom_out(cby_1__1__4_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__4_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__4_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2675,7 +2675,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__1__3_chany_top_out[0:9]), .chany_top_in(sb_1__1__4_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__3_ccff_tail), + .ccff_head(cbx_1__1__4_ccff_tail), .chany_bottom_out(cby_1__1__5_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__5_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__5_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2689,7 +2689,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__1__4_chany_top_out[0:9]), .chany_top_in(sb_1__1__5_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__4_ccff_tail), + .ccff_head(cbx_1__1__5_ccff_tail), .chany_bottom_out(cby_1__1__6_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__6_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__6_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2703,7 +2703,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__1__5_chany_top_out[0:9]), .chany_top_in(sb_1__4__1_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__5_ccff_tail), + .ccff_head(cbx_1__4__1_ccff_tail), .chany_bottom_out(cby_1__1__7_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__7_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__7_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2717,7 +2717,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__0__2_chany_top_out[0:9]), .chany_top_in(sb_1__1__6_chany_bottom_out[0:9]), - .ccff_head(cbx_1__0__2_ccff_tail), + .ccff_head(cbx_1__1__6_ccff_tail), .chany_bottom_out(cby_1__1__8_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__8_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__8_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2731,7 +2731,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__1__6_chany_top_out[0:9]), .chany_top_in(sb_1__1__7_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__6_ccff_tail), + .ccff_head(cbx_1__1__7_ccff_tail), .chany_bottom_out(cby_1__1__9_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__9_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__9_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2745,7 +2745,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__1__7_chany_top_out[0:9]), .chany_top_in(sb_1__1__8_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__7_ccff_tail), + .ccff_head(cbx_1__1__8_ccff_tail), .chany_bottom_out(cby_1__1__10_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__10_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__10_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2759,7 +2759,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__1__8_chany_top_out[0:9]), .chany_top_in(sb_1__4__2_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__8_ccff_tail), + .ccff_head(cbx_1__4__2_ccff_tail), .chany_bottom_out(cby_1__1__11_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__11_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_1__1__11_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -2773,7 +2773,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_4__0__0_chany_top_out[0:9]), .chany_top_in(sb_4__1__0_chany_bottom_out[0:9]), - .ccff_head(cbx_1__0__3_ccff_tail), + .ccff_head(cbx_1__1__9_ccff_tail), .chany_bottom_out(cby_4__1__0_chany_bottom_out[0:9]), .chany_top_out(cby_4__1__0_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__0_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), @@ -2793,7 +2793,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_4__1__0_chany_top_out[0:9]), .chany_top_in(sb_4__1__1_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__9_ccff_tail), + .ccff_head(cbx_1__1__10_ccff_tail), .chany_bottom_out(cby_4__1__1_chany_bottom_out[0:9]), .chany_top_out(cby_4__1__1_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__1_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), @@ -2813,7 +2813,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_4__1__1_chany_top_out[0:9]), .chany_top_in(sb_4__1__2_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__10_ccff_tail), + .ccff_head(cbx_1__1__11_ccff_tail), .chany_bottom_out(cby_4__1__2_chany_bottom_out[0:9]), .chany_top_out(cby_4__1__2_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__2_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), @@ -2833,7 +2833,7 @@ wire [0:9] sb_4__4__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_4__1__2_chany_top_out[0:9]), .chany_top_in(sb_4__4__0_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__11_ccff_tail), + .ccff_head(cbx_1__4__3_ccff_tail), .chany_bottom_out(cby_4__1__3_chany_bottom_out[0:9]), .chany_top_out(cby_4__1__3_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_4__1__3_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml index a9421575e..07928fe3f 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml @@ -1,60 +1,60 @@ - - - - + + + + - - + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml index 2b935694f..ce309ecbf 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml @@ -1,60 +1,60 @@ - - - - + + + + - - + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__3_.xml index 5dc83d65a..6131cf308 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__3_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__3_.xml @@ -1,60 +1,60 @@ - - - - + + + + - - + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__4_.xml index 82f30d5b4..9691260da 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__4_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_0__4_.xml @@ -1,60 +1,60 @@ - - - - + + + + - - + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml index 685366747..e3e56cf32 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml index af800832a..4050ce7e4 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__3_.xml index ee71fb230..cf7a01345 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__3_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__3_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__4_.xml index 00d00a5fd..4faa064fa 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__4_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_1__4_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml index c93bbf890..18b761b42 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml index de31ff3cc..6b602276f 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__3_.xml index e10d1106b..cfdad6f99 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__3_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__3_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__4_.xml index cd54a99c7..1e6dabda1 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__4_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_2__4_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__1_.xml index e3f7a395c..8abb31ff2 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__1_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__2_.xml index 4f8024349..709dbbb2e 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__2_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__3_.xml index c19441be6..c5573a4b5 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__3_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__3_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__4_.xml index 102048421..e2de46537 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__4_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_3__4_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__1_.xml index f3007ec85..f86ea9caa 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__1_.xml @@ -1,64 +1,64 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__2_.xml index 9f589a7be..0d5b16c52 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__2_.xml @@ -1,64 +1,64 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__3_.xml index f9e0b6a9c..a3d189670 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__3_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__3_.xml @@ -1,64 +1,64 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__4_.xml index dea12ded1..3646dcf7a 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__4_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml/cby_4__4_.xml @@ -1,64 +1,64 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml index 793b5628b..e2b960d51 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml @@ -1,60 +1,60 @@ - - - - + + + + - - + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml index 4533c39bc..f63dde147 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml @@ -1,60 +1,60 @@ - - - - + + + + - - + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__3_.xml index 176b9f5f6..dff12bf3c 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__3_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__3_.xml @@ -1,60 +1,60 @@ - - - - + + + + - - + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__4_.xml index bee8e919c..1eb7f09a9 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__4_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__4_.xml @@ -1,60 +1,60 @@ - - - - + + + + - - + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml index 11fbfd51f..682749130 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml index 0026bd554..7a5e114c5 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__3_.xml index 50006d9d2..e514f592d 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__3_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__3_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__4_.xml index 4063f260e..ec581676c 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__4_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__4_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml index 488bff99b..d65b5ca5c 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml index ea86b5480..b69d7bd68 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__3_.xml index c3ac067bd..15ba71944 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__3_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__3_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__4_.xml index 387479a35..5a77185a4 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__4_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__4_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__1_.xml index a3b68c168..47a94e7cd 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__1_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__2_.xml index 83e9f50db..414f19d95 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__2_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__3_.xml index ccaa1b7fb..b4b8d746e 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__3_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__3_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__4_.xml index df8bca3f2..146fe9653 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__4_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_3__4_.xml @@ -1,26 +1,26 @@ - - - - + + + + - - + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__1_.xml index 20f9f7b50..763e7a89a 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__1_.xml @@ -1,64 +1,64 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__2_.xml index d533a55a7..e98acc918 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__2_.xml @@ -1,64 +1,64 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__3_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__3_.xml index c9874c86b..655ea8946 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__3_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__3_.xml @@ -1,64 +1,64 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__4_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__4_.xml index 52722e4bb..5847f5e49 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__4_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/device_4x4/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_4__4_.xml @@ -1,64 +1,64 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - - + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc index 8237fc2f9..2ccec1ac6 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc @@ -57,16 +57,24 @@ set_disable_timing gfpga_pad_GPIO_PAD[31] set_disable_timing set[0] set_disable_timing reset[0] set_disable_timing prog_clk[0] -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q @@ -77,6 +85,10 @@ set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q @@ -91,30 +103,18 @@ set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/ccff_timing.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/ccff_timing.sdc index df2b04e23..5a3b9e3df 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/ccff_timing.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/ccff_timing.sdc @@ -11,346 +11,6 @@ ############################################# set_units -time ns -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/D 5 set_min_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFF_2_/D 5 @@ -465,84 +125,8 @@ set_max_delay -from fpga_top/sb_0__0_/mem_right_track_22/DFF_1_/Q -to fpga_top/s set_min_delay -from fpga_top/sb_0__0_/mem_right_track_22/DFF_1_/Q -to fpga_top/sb_0__0_/mem_right_track_24/DFF_0_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/D 5 set_min_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/D 5 set_min_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFF_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFF_2_/D 5 @@ -725,8 +309,424 @@ set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_ set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/D 2.5 set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/D 5 set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 5 -set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFF_2_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_2__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFF_2_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_14/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_16/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_18/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_20/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_22/DFF_1_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_0_/Q -to fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_24/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_3/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_15/DFF_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFF_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFF_2_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFF_mem/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_25/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_3/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_5/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_7/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_11/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_13/DFF_2_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_15/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_19/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_21/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_23/DFF_1_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_0_/Q -to fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_25/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_6/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_7/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_0/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_1/DFF_2_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_0_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_1_/Q -to fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_top_ipin_2/DFF_2_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/D 2.5 set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/D 5 set_min_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/D 2.5 set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFF_1_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFF_2_/D 5 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc index 022a20fcf..8cd7edd67 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc @@ -6,16 +6,24 @@ # Organization: University of Utah ############################################# -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q @@ -26,6 +34,10 @@ set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFF_*_/QN +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q @@ -40,30 +52,18 @@ set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFF_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFF_*_/QN set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/Q set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFF_mem/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFF_*_/Q diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fabric_bitstream.bit b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fabric_bitstream.bit index 3387708eb..7c8512c57 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fabric_bitstream.bit +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fabric_bitstream.bit @@ -197,18 +197,7 @@ 0 0 0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 +1 0 0 0 @@ -243,8 +232,19 @@ 0 0 0 -1 -1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 0 0 0 @@ -267,67 +267,6 @@ 1 1 1 -1 -1 -1 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 0 0 0 @@ -423,34 +362,61 @@ 1 0 1 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 0 0 0 @@ -485,19 +451,8 @@ 0 0 0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 -0 +1 +1 0 0 0 @@ -515,16 +470,61 @@ 1 1 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 +0 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fabric_bitstream.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fabric_bitstream.xml index 4edf9cf2b..c42176d6b 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fabric_bitstream.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fabric_bitstream.xml @@ -344,721 +344,721 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fpga_top.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fpga_top.v index c8c3d7300..e0098e212 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fpga_top.v +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/fpga_top.v @@ -162,7 +162,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__1__0_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(cbx_1__1__0_ccff_tail), + .ccff_head(grid_io_right_0_ccff_tail), .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_), .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_), .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_), @@ -206,7 +206,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(ccff_head), + .ccff_head(cbx_1__0__0_ccff_tail), .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_), .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_), .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_), @@ -284,7 +284,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), .right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), .right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(sb_0__1__0_ccff_tail), + .ccff_head(ccff_head), .chany_top_out(sb_0__0__0_chany_top_out[0:12]), .chanx_right_out(sb_0__0__0_chanx_right_out[0:12]), .ccff_tail(sb_0__0__0_ccff_tail)); @@ -338,7 +338,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_io_left_0_ccff_tail), + .ccff_head(sb_0__0__0_ccff_tail), .chany_top_out(sb_1__0__0_chany_top_out[0:12]), .chanx_left_out(sb_1__0__0_chanx_left_out[0:12]), .ccff_tail(sb_1__0__0_ccff_tail)); @@ -365,7 +365,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_), .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_0__pin_O_2_(grid_clb_0_top_width_0_height_0_subtile_0__pin_O_2_), - .ccff_head(grid_io_right_0_ccff_tail), + .ccff_head(grid_io_left_0_ccff_tail), .chany_bottom_out(sb_1__1__0_chany_bottom_out[0:12]), .chanx_left_out(sb_1__1__0_chanx_left_out[0:12]), .ccff_tail(sb_1__1__0_ccff_tail)); @@ -414,7 +414,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_0__0__0_chany_top_out[0:12]), .chany_top_in(sb_0__1__0_chany_bottom_out[0:12]), - .ccff_head(sb_0__0__0_ccff_tail), + .ccff_head(sb_0__1__0_ccff_tail), .chany_bottom_out(cby_0__1__0_chany_bottom_out[0:12]), .chany_top_out(cby_0__1__0_chany_top_out[0:12]), .right_grid_left_width_0_height_0_subtile_0__pin_I_3_(cby_0__1__0_right_grid_left_width_0_height_0_subtile_0__pin_I_3_), @@ -433,7 +433,7 @@ wire [0:12] sb_1__1__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__0__0_chany_top_out[0:12]), .chany_top_in(sb_1__1__0_chany_bottom_out[0:12]), - .ccff_head(cbx_1__0__0_ccff_tail), + .ccff_head(cbx_1__1__0_ccff_tail), .chany_bottom_out(cby_1__1__0_chany_bottom_out[0:12]), .chany_top_out(cby_1__1__0_chany_top_out[0:12]), .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml index 99aadddf5..1943afce4 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml @@ -1,82 +1,82 @@ - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml index eefaf5cd9..d4af896d6 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml @@ -1,90 +1,90 @@ - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml index 5ecb11950..0e17019b1 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml @@ -1,82 +1,82 @@ - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml index edec22d72..010e9b689 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/dump_waveform/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml @@ -1,90 +1,90 @@ - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + - - - - - - + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc index a45d6aa34..e96165350 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/and2_fpga_top_analysis.sdc @@ -90,58 +90,6 @@ set_disable_timing set[0] set_disable_timing reset[0] set_disable_timing pReset[0] set_disable_timing prog_clk[0] -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN -set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/Q -set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/QN -set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q -set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/Q -set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/QN -set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/Q -set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/QN -set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q -set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q @@ -168,6 +116,62 @@ set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFFR_*_/QN +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN +set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q +set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/QN +set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q +set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/Q @@ -186,6 +190,10 @@ set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q @@ -194,24 +202,14 @@ set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q @@ -220,6 +218,8 @@ set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/ccff_timing.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/ccff_timing.sdc index a07071979..ca7724279 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/ccff_timing.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/ccff_timing.sdc @@ -11,822 +11,6 @@ ############################################# set_units -time ns -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_11/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_11/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_11/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_11/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_13/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_13/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_13/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_13/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_13/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_15/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_13/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_15/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_15/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_15/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_15/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_15/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__2_/mem_left_track_15/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__2_/mem_left_track_15/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFFR_0_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFFR_1_/D 5 set_min_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_0__0_/mem_top_track_0/DFFR_1_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_0__0_/mem_top_track_2/DFFR_0_/D 5 @@ -897,132 +81,8 @@ set_max_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFFR_1_/Q -to fpga_top/ set_min_delay -from fpga_top/sb_0__0_/mem_right_track_16/DFFR_1_/Q -to fpga_top/sb_0__0_/mem_right_track_18/DFFR_0_/D 2.5 set_max_delay -from fpga_top/sb_0__0_/mem_right_track_18/DFFR_0_/Q -to fpga_top/sb_0__0_/mem_right_track_18/DFFR_1_/D 5 set_min_delay -from fpga_top/sb_0__0_/mem_right_track_18/DFFR_0_/Q -to fpga_top/sb_0__0_/mem_right_track_18/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_0__0_/mem_right_track_18/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_0__0_/mem_right_track_18/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_2_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_3_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_4_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_5_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__0_/mem_right_track_18/DFFR_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__0_/mem_right_track_18/DFFR_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFFR_0_/D 2.5 set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFFR_1_/D 5 set_min_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFFR_1_/D 2.5 set_max_delay -from fpga_top/sb_1__0_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_1__0_/mem_top_track_0/DFFR_2_/D 5 @@ -1285,8 +345,968 @@ set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_3_/Q -to fpga_top/cbx set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_3_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_4_/D 2.5 set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_4_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_5_/D 5 set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_4_/Q -to fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_5_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_5_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__0_/mem_top_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_1__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_4/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_4/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_4/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_6/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_4/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_6/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_6/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_6/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_6/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_6/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_6/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_6/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_12/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_12/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_12/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_12/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_12/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_12/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_12/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_14/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_12/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_14/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_14/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_14/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_14/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_14/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_14/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_14/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_11/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_11/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_11/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_11/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_13/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_13/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_13/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_13/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_13/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_15/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_13/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_15/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_15/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_15/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_15/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_15/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_15/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_15/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_19/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_19/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_19/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_19/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_19/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_19/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__0_/mem_left_track_19/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__0_/mem_left_track_19/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_bottom_2__0_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_right_3__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_2__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_top_1__3_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_2/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_4/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_6/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_6/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_8/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_10/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_10/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_12/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_12/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_right_track_14/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_right_track_14/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_0_/Q -to fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__2_/mem_bottom_track_15/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_left_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_1/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_2/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_3/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_4/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_5/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_6/DFFR_5_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_0_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_1_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_2_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_3_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_4_/Q -to fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__2_/mem_right_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__2_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_top_track_0/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_8/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_top_track_16/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_2/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_2/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_4/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_4/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_right_track_6/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_6/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_8/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_10/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_10/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_right_track_12/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_right_track_12/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_0__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_left_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_0/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_1/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_2/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_3/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_4/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_5/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_6/DFFR_5_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_0_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_2_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_1_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_3_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_2_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_4_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_3_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_5_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_4_/Q -to fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/cby_0__1_/mem_right_ipin_7/DFFR_5_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__0/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__1/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__2/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__3/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__4/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__5/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__6/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_io_left_0__1_/logical_tile_io_mode_io__7/logical_tile_io_mode_physical__iopad_0/GPIO_DFFR_mem/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_7_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_7_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFFR_0_/D 2.5 set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFFR_1_/D 5 set_min_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFFR_1_/D 2.5 set_max_delay -from fpga_top/cby_1__1_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_1__1_/mem_left_ipin_0/DFFR_2_/D 5 @@ -1961,208 +1981,188 @@ set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_7_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_8_/D 2.5 set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_8_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/D 5 set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_8_/Q -to fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/D 2.5 -set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_2/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_4/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_4/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_4/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_6/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_4/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_6/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_6/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_6/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_6/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_6/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_6/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_6/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_8/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_10/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_12/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_10/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_12/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_12/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_12/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_12/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_12/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_12/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_14/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_12/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_14/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_14/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_14/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_14/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_14/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_14/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_14/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_16/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_top_track_18/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_top_track_18/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_11/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_11/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_11/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_11/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_13/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_13/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_13/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_13/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_13/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_15/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_13/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_15/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_15/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_15/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_15/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_15/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_15/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_15/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_17/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_19/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_2__0_/mem_left_track_19/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_19/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_19/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_19/DFFR_0_/Q -to fpga_top/sb_2__0_/mem_left_track_19/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__0_/mem_left_track_19/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__0_/mem_left_track_19/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_0/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_1/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_2/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_3/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_4/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_5/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_6/DFFR_5_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_0_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_2_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_1_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_3_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_2_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_4_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_3_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_5_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_4_/Q -to fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_5_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__0_/mem_top_ipin_7/DFFR_5_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_clb_1__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_11/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_11/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_11/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_11/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_13/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_13/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_13/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_13/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__1_/mem_left_track_13/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__1_/mem_left_track_13/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFFR_0_/D 2.5 set_max_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFFR_1_/D 5 set_min_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFFR_1_/D 2.5 set_max_delay -from fpga_top/cby_2__1_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_2__1_/mem_left_ipin_0/DFFR_2_/D 5 @@ -2921,188 +2921,176 @@ set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_7_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_8_/D 2.5 set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_8_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/D 5 set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_8_/Q -to fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/D 2.5 -set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_0/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_8/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_8/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_top_track_16/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_top_track_16/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_6_/Q -to fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_bottom_track_17/DFFR_7_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_3/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_3/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_5/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_5/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_2_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_3_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_4_/Q -to fpga_top/sb_2__1_/mem_left_track_7/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_7/DFFR_5_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_11/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_11/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_11/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_11/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_13/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__1_/mem_left_track_13/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_13/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__1_/mem_left_track_13/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_2__1_/mem_left_track_13/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_2__1_/mem_left_track_13/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_2__1_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__1_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_15/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_bottom_track_19/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_3/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_3/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_5/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_5/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_7/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_7/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_11/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_11/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_11/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_11/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_11/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_13/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_11/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_13/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_13/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_13/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_13/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_13/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_15/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_13/DFFR_1_/Q -to fpga_top/sb_2__2_/mem_left_track_15/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_15/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_15/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_15/DFFR_0_/Q -to fpga_top/sb_2__2_/mem_left_track_15/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_2__2_/mem_left_track_15/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_2__2_/mem_left_track_15/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_0/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_1/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_2/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_3/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_4/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_5/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_6/DFFR_5_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_0_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_1_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_2_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_3_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_4_/Q -to fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_5_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_2__2_/mem_bottom_ipin_7/DFFR_5_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFFR_0_/D 2.5 set_max_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFFR_1_/D 5 set_min_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFFR_1_/D 2.5 set_max_delay -from fpga_top/cby_2__2_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_2__2_/mem_left_ipin_0/DFFR_2_/D 5 @@ -3861,224 +3849,236 @@ set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_7_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_8_/D 2.5 set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_8_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/D 5 set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_8_/Q -to fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/D 2.5 -set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_0/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_0/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_8/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_8/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_top_track_16/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_top_track_16/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_0/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_0/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_8/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_8/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_right_track_16/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_right_track_16/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_1/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_9/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_bottom_track_17/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_1/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_1/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_9/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_9/DFFR_7_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_1_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_2_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_2_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_3_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_2_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_3_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_4_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_3_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_4_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_5_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_4_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_5_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_6_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_5_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_6_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_7_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_6_/Q -to fpga_top/sb_1__1_/mem_left_track_17/DFFR_7_/D 2.5 -set_max_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_7_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/sb_1__1_/mem_left_track_17/DFFR_7_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_0_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_1_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_1_/D 2.5 -set_max_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFFR_0_/D 5 -set_min_delay -from fpga_top/cbx_1__1_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/grid_clb_2__2_/logical_tile_clb_mode_clb__0/mem_fle_3_in_3/DFFR_9_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_right_track_0/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_0/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_right_track_8/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_8/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_right_track_16/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_right_track_16/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_1/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_3/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_5/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_7/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_9/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_11/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_bottom_track_13/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_left_track_1/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_1/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_6_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_5_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_6_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_7_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_6_/Q -to fpga_top/sb_1__2_/mem_left_track_9/DFFR_7_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_9/DFFR_7_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_1_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_0_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_2_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_1_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_3_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_2_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_4_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_3_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_5_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_4_/Q -to fpga_top/sb_1__2_/mem_left_track_17/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/sb_1__2_/mem_left_track_17/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_0/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_1/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_2/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_3/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_4/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_5/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_6/DFFR_5_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_0_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_1_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_0_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_1_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_2_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_1_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_2_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_3_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_2_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_3_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_4_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_3_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_4_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_5_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_4_/Q -to fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_5_/D 2.5 +set_max_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_5_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFFR_0_/D 5 +set_min_delay -from fpga_top/cbx_1__2_/mem_bottom_ipin_7/DFFR_5_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFFR_0_/D 2.5 set_max_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFFR_1_/D 5 set_min_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFFR_0_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFFR_1_/D 2.5 set_max_delay -from fpga_top/cby_1__2_/mem_left_ipin_0/DFFR_1_/Q -to fpga_top/cby_1__2_/mem_left_ipin_0/DFFR_2_/D 5 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc index b5e84a4e8..2a3f42473 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/disable_configurable_memory_outputs.sdc @@ -6,58 +6,6 @@ # Organization: University of Utah ############################################# -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q -set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q -set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN -set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/Q -set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/QN -set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q -set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN -set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/Q -set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/QN -set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/Q -set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/QN -set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q -set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q @@ -84,6 +32,62 @@ set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_top_ipin_*/DFFR_*_/QN +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q +set_disable_timing fpga_top/grid_io_bottom_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q +set_disable_timing fpga_top/grid_io_right_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN +set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q +set_disable_timing fpga_top/grid_io_top_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/QN +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/Q +set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/QN +set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/Q +set_disable_timing fpga_top/grid_io_left_*__*_/logical_tile_io_mode_io__*/logical_tile_io_mode_physical__iopad_*/GPIO_DFFR_mem/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/Q +set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/Q @@ -102,6 +106,10 @@ set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q @@ -110,24 +118,14 @@ set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_left_ipin_*/DFFR_*_/QN set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cby_*__*_/mem_right_ipin_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/Q set_disable_timing fpga_top/cbx_*__*_/mem_bottom_ipin_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/Q -set_disable_timing fpga_top/sb_*__*_/mem_top_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_right_track_*/DFFR_*_/Q @@ -136,6 +134,8 @@ set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/Q +set_disable_timing fpga_top/sb_*__*_/mem_bottom_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/QN set_disable_timing fpga_top/sb_*__*_/mem_left_track_*/DFFR_*_/Q diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fabric_bitstream.bit b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fabric_bitstream.bit index 3575fa16e..5336e6ed6 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fabric_bitstream.bit +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fabric_bitstream.bit @@ -339,13 +339,13 @@ 0 0 0 +1 0 0 0 0 0 -0 -0 +1 0 0 0 @@ -357,11 +357,13 @@ 0 0 0 +1 +0 0 0 -1 0 0 +1 0 0 0 @@ -375,21 +377,21 @@ 0 1 0 -1 -0 0 0 0 0 +1 0 0 -1 0 0 0 +1 0 0 0 +1 0 1 0 @@ -397,7 +399,7 @@ 0 0 0 -1 +0 0 1 0 @@ -407,7 +409,7 @@ 0 0 0 -1 +0 0 0 0 @@ -421,7 +423,7 @@ 0 0 0 -1 +0 0 1 0 @@ -429,10 +431,14 @@ 0 0 0 +1 +0 0 0 1 0 +1 +0 0 0 0 @@ -445,7 +451,7 @@ 0 0 0 -1 +0 0 1 0 @@ -827,19 +833,19 @@ 0 0 0 +1 0 0 0 0 0 +1 0 0 0 0 0 -0 -0 -0 +1 0 0 0 @@ -863,11 +869,11 @@ 0 0 0 -1 -0 0 0 +1 0 +1 0 1 0 @@ -877,7 +883,6 @@ 0 0 0 -1 0 0 0 @@ -885,15 +890,15 @@ 0 0 0 -1 0 0 0 +1 +1 0 0 0 0 -1 0 0 0 @@ -904,16 +909,11 @@ 0 0 0 -1 -1 0 0 0 0 0 -1 -0 -1 0 0 0 @@ -1297,26 +1297,20 @@ 0 0 0 -1 -0 0 0 0 0 -1 0 0 0 0 0 -1 0 0 0 0 0 -1 -0 0 0 0 @@ -1345,6 +1339,7 @@ 0 0 0 +1 0 0 0 @@ -1352,6 +1347,7 @@ 0 0 0 +1 0 0 0 @@ -1359,6 +1355,7 @@ 0 0 0 +1 0 0 0 @@ -1366,13 +1363,7 @@ 0 0 0 -0 -0 -0 -0 -0 -0 -0 +1 0 0 0 @@ -1390,10 +1381,9 @@ 0 0 0 +1 0 -0 -0 -0 +1 0 0 0 @@ -1735,25 +1725,21 @@ 0 0 0 -1 0 0 0 0 0 -1 0 0 0 0 0 -1 -0 0 0 +1 0 0 -1 0 0 0 @@ -1765,6 +1751,8 @@ 0 0 0 +0 +0 1 0 0 @@ -1773,15 +1761,15 @@ 0 1 0 +1 0 0 0 0 -1 -0 0 0 0 +1 0 0 0 @@ -1789,11 +1777,13 @@ 0 0 0 +1 0 0 0 0 0 +1 0 1 0 @@ -1811,13 +1801,13 @@ 0 0 0 -1 -0 0 0 +1 0 0 0 +1 0 1 0 @@ -1841,15 +1831,23 @@ 0 0 0 +1 0 +1 +1 +1 +1 +1 +1 +1 +1 +1 0 0 0 0 0 -0 -0 -0 +1 0 0 0 @@ -1868,14 +1866,6 @@ 0 0 1 -1 -1 -1 -1 -1 -1 -1 -1 0 0 0 @@ -1909,7 +1899,7 @@ 0 0 0 -0 +1 0 1 0 @@ -1917,13 +1907,12 @@ 0 0 0 -1 0 0 +1 0 0 0 -1 0 0 0 @@ -1934,16 +1923,19 @@ 0 0 0 +1 0 0 0 0 0 +1 0 0 0 0 0 +1 0 0 0 @@ -1951,13 +1943,19 @@ 0 0 0 +1 0 0 +1 +0 0 +1 0 0 +1 0 0 +1 0 0 0 @@ -2027,13 +2025,10 @@ 0 0 0 -1 0 0 0 -1 0 -1 0 0 0 @@ -2041,7 +2036,6 @@ 0 0 0 -1 0 0 0 @@ -2055,12 +2049,54 @@ 0 0 0 -1 0 0 0 0 0 +0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +0 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 1 0 0 @@ -2073,31 +2109,41 @@ 0 0 0 +1 +0 0 0 -1 0 0 1 0 0 -1 +0 0 0 1 0 0 +0 +0 +0 1 0 0 0 0 0 +1 0 0 0 0 0 +1 +0 +0 +0 +0 0 0 0 @@ -2127,38 +2173,38 @@ 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0 0 0 0 -1 0 0 0 0 0 1 +1 0 0 0 0 0 -1 0 0 0 0 0 +0 +0 +1 +1 +1 +1 +1 +1 +1 +1 1 0 0 @@ -2187,7 +2233,7 @@ 0 0 0 -1 +0 0 1 0 @@ -2195,6 +2241,10 @@ 0 0 0 +1 +0 +0 +0 0 0 1 @@ -2221,17 +2271,15 @@ 0 0 0 -1 0 0 +1 0 0 0 -1 0 0 0 -1 0 1 0 @@ -2250,38 +2298,28 @@ 0 0 1 -1 -1 -1 -1 -1 0 -1 -1 0 0 0 0 0 -1 0 +1 0 0 0 0 -1 0 0 0 0 0 -1 0 0 0 0 0 -1 0 0 0 @@ -2293,13 +2331,9 @@ 0 0 0 -0 -0 1 0 -1 0 -1 0 0 0 @@ -2317,8 +2351,6 @@ 0 0 0 -1 -1 0 0 0 @@ -2341,35 +2373,3 @@ 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fabric_bitstream.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fabric_bitstream.xml index a2bf18b06..09c3c7723 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fabric_bitstream.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fabric_bitstream.xml @@ -682,1161 +682,1161 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -2598,2157 +2598,2157 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fpga_top.v b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fpga_top.v index 0ca441363..cf224f409 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fpga_top.v +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/fpga_top.v @@ -359,7 +359,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__2__0_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__2__0_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__2__0_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(cbx_1__2__0_ccff_tail), + .ccff_head(grid_io_top_1_ccff_tail), .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_0__pin_inpad_0_), .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_1__pin_inpad_0_), .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_2__pin_inpad_0_), @@ -382,7 +382,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .bottom_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__2__1_top_grid_bottom_width_0_height_0_subtile_5__pin_outpad_0_), .bottom_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__2__1_top_grid_bottom_width_0_height_0_subtile_6__pin_outpad_0_), .bottom_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__2__1_top_grid_bottom_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(cbx_1__2__1_ccff_tail), + .ccff_head(grid_io_right_0_ccff_tail), .bottom_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_0__pin_inpad_0_), .bottom_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_1__pin_inpad_0_), .bottom_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_2__pin_inpad_0_), @@ -451,7 +451,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__1_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(grid_io_bottom_1_ccff_tail), + .ccff_head(cbx_1__0__1_ccff_tail), .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_0__pin_inpad_0_), .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_1__pin_inpad_0_), .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_2__pin_inpad_0_), @@ -474,7 +474,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .top_width_0_height_0_subtile_5__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_5__pin_outpad_0_), .top_width_0_height_0_subtile_6__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_6__pin_outpad_0_), .top_width_0_height_0_subtile_7__pin_outpad_0_(cbx_1__0__0_bottom_grid_top_width_0_height_0_subtile_7__pin_outpad_0_), - .ccff_head(ccff_head), + .ccff_head(cbx_1__0__0_ccff_tail), .top_width_0_height_0_subtile_0__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_0__pin_inpad_0_), .top_width_0_height_0_subtile_1__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_1__pin_inpad_0_), .top_width_0_height_0_subtile_2__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_2__pin_inpad_0_), @@ -684,7 +684,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .right_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_5__pin_inpad_0_), .right_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_6__pin_inpad_0_), .right_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_io_left_1_ccff_tail), + .ccff_head(ccff_head), .chany_top_out(sb_0__0__0_chany_top_out[0:9]), .chanx_right_out(sb_0__0__0_chanx_right_out[0:9]), .ccff_tail(sb_0__0__0_ccff_tail)); @@ -715,7 +715,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .bottom_left_grid_right_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_5__pin_inpad_0_), .bottom_left_grid_right_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_6__pin_inpad_0_), .bottom_left_grid_right_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_left_0_right_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(sb_0__2__0_ccff_tail), + .ccff_head(grid_io_left_1_ccff_tail), .chany_top_out(sb_0__1__0_chany_top_out[0:9]), .chanx_right_out(sb_0__1__0_chanx_right_out[0:9]), .chany_bottom_out(sb_0__1__0_chany_bottom_out[0:9]), @@ -781,7 +781,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_5__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_6__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_1_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_io_left_0_ccff_tail), + .ccff_head(sb_0__0__0_ccff_tail), .chany_top_out(sb_1__0__0_chany_top_out[0:9]), .chanx_right_out(sb_1__0__0_chanx_right_out[0:9]), .chanx_left_out(sb_1__0__0_chanx_left_out[0:9]), @@ -810,7 +810,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_5_(grid_clb_1_bottom_width_0_height_0_subtile_0__pin_O_5_), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_6_(grid_clb_1_bottom_width_0_height_0_subtile_0__pin_O_6_), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_7_(grid_clb_1_bottom_width_0_height_0_subtile_0__pin_O_7_), - .ccff_head(grid_clb_3_ccff_tail), + .ccff_head(grid_io_left_0_ccff_tail), .chany_top_out(sb_1__1__0_chany_top_out[0:9]), .chanx_right_out(sb_1__1__0_chanx_right_out[0:9]), .chany_bottom_out(sb_1__1__0_chany_bottom_out[0:9]), @@ -843,7 +843,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_5__pin_inpad_0_), .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_6__pin_inpad_0_), .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_0_bottom_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_io_top_1_ccff_tail), + .ccff_head(grid_clb_3_ccff_tail), .chanx_right_out(sb_1__2__0_chanx_right_out[0:9]), .chany_bottom_out(sb_1__2__0_chany_bottom_out[0:9]), .chanx_left_out(sb_1__2__0_chanx_left_out[0:9]), @@ -878,7 +878,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .left_bottom_grid_top_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_5__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_6__pin_inpad_0_), .left_bottom_grid_top_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_bottom_0_top_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_clb_0_ccff_tail), + .ccff_head(grid_io_bottom_1_ccff_tail), .chany_top_out(sb_2__0__0_chany_top_out[0:9]), .chanx_left_out(sb_2__0__0_chanx_left_out[0:9]), .ccff_tail(sb_2__0__0_ccff_tail)); @@ -917,7 +917,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_5_(grid_clb_3_bottom_width_0_height_0_subtile_0__pin_O_5_), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_6_(grid_clb_3_bottom_width_0_height_0_subtile_0__pin_O_6_), .left_top_grid_bottom_width_0_height_0_subtile_0__pin_O_7_(grid_clb_3_bottom_width_0_height_0_subtile_0__pin_O_7_), - .ccff_head(grid_clb_2_ccff_tail), + .ccff_head(grid_clb_0_ccff_tail), .chany_top_out(sb_2__1__0_chany_top_out[0:9]), .chany_bottom_out(sb_2__1__0_chany_bottom_out[0:9]), .chanx_left_out(sb_2__1__0_chanx_left_out[0:9]), @@ -948,7 +948,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .left_top_grid_bottom_width_0_height_0_subtile_5__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_5__pin_inpad_0_), .left_top_grid_bottom_width_0_height_0_subtile_6__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_6__pin_inpad_0_), .left_top_grid_bottom_width_0_height_0_subtile_7__pin_inpad_0_(grid_io_top_1_bottom_width_0_height_0_subtile_7__pin_inpad_0_), - .ccff_head(grid_io_right_0_ccff_tail), + .ccff_head(grid_clb_2_ccff_tail), .chany_bottom_out(sb_2__2__0_chany_bottom_out[0:9]), .chanx_left_out(sb_2__2__0_chanx_left_out[0:9]), .ccff_tail(sb_2__2__0_ccff_tail)); @@ -1074,7 +1074,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_0__0__0_chany_top_out[0:9]), .chany_top_in(sb_0__1__0_chany_bottom_out[0:9]), - .ccff_head(sb_0__0__0_ccff_tail), + .ccff_head(sb_0__1__0_ccff_tail), .chany_bottom_out(cby_0__1__0_chany_bottom_out[0:9]), .chany_top_out(cby_0__1__0_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_clk_0_(cby_0__1__0_right_grid_left_width_0_height_0_subtile_0__pin_clk_0_), @@ -1093,7 +1093,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_0__1__0_chany_top_out[0:9]), .chany_top_in(sb_0__2__0_chany_bottom_out[0:9]), - .ccff_head(sb_0__1__0_ccff_tail), + .ccff_head(sb_0__2__0_ccff_tail), .chany_bottom_out(cby_0__1__1_chany_bottom_out[0:9]), .chany_top_out(cby_0__1__1_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_clk_0_(cby_0__1__1_right_grid_left_width_0_height_0_subtile_0__pin_clk_0_), @@ -1112,7 +1112,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__0__0_chany_top_out[0:9]), .chany_top_in(sb_1__1__0_chany_bottom_out[0:9]), - .ccff_head(cbx_1__0__0_ccff_tail), + .ccff_head(cbx_1__1__0_ccff_tail), .chany_bottom_out(cby_1__1__0_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__0_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_clk_0_(cby_1__1__0_right_grid_left_width_0_height_0_subtile_0__pin_clk_0_), @@ -1129,7 +1129,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_1__1__0_chany_top_out[0:9]), .chany_top_in(sb_1__2__0_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__0_ccff_tail), + .ccff_head(cbx_1__2__0_ccff_tail), .chany_bottom_out(cby_1__1__1_chany_bottom_out[0:9]), .chany_top_out(cby_1__1__1_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_clk_0_(cby_1__1__1_right_grid_left_width_0_height_0_subtile_0__pin_clk_0_), @@ -1146,7 +1146,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_2__0__0_chany_top_out[0:9]), .chany_top_in(sb_2__1__0_chany_bottom_out[0:9]), - .ccff_head(cbx_1__0__1_ccff_tail), + .ccff_head(cbx_1__1__1_ccff_tail), .chany_bottom_out(cby_2__1__0_chany_bottom_out[0:9]), .chany_top_out(cby_2__1__0_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_2__1__0_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), @@ -1170,7 +1170,7 @@ wire [0:9] sb_2__2__0_chany_bottom_out; .prog_clk(prog_clk), .chany_bottom_in(sb_2__1__0_chany_top_out[0:9]), .chany_top_in(sb_2__2__0_chany_bottom_out[0:9]), - .ccff_head(cbx_1__1__1_ccff_tail), + .ccff_head(cbx_1__2__1_ccff_tail), .chany_bottom_out(cby_2__1__1_chany_bottom_out[0:9]), .chany_top_out(cby_2__1__1_chany_top_out[0:9]), .right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_(cby_2__1__1_right_grid_left_width_0_height_0_subtile_0__pin_outpad_0_), diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml index 8e3ce2cdb..58b265d13 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_0__1_.xml @@ -1,56 +1,56 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml index 598b91e22..15a476439 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_0__2_.xml @@ -1,56 +1,56 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml index 4742a8f45..9fc5a8117 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_1__1_.xml @@ -1,44 +1,44 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml index d96c9a38d..405c9abaf 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_1__2_.xml @@ -1,44 +1,44 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml index 853598113..d3421c3bc 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_2__1_.xml @@ -1,86 +1,86 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml index a5aff3785..586a15615 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml/cby_2__2_.xml @@ -1,86 +1,86 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml index 3afea90e8..d09d7c254 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__1_.xml @@ -1,56 +1,56 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml index 3a9b8024d..6b47a0491 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_0__2_.xml @@ -1,56 +1,56 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml index 2e584b8ab..6acef668f 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__1_.xml @@ -1,44 +1,44 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml index f3b69185d..106e8f2bb 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_1__2_.xml @@ -1,44 +1,44 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml index 6561ddb64..c3c93bfa1 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__1_.xml @@ -1,86 +1,86 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + diff --git a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml index 5d742ce89..b99a0f7f3 100644 --- a/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml +++ b/openfpga_flow/tasks/basic_tests/no_time_stamp/no_cout_in_gsb/golden_outputs_no_time_stamp/gsb_xml_no_rr_info/cby_2__2_.xml @@ -1,86 +1,86 @@ - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - - - + + + + From f42884304aff1d0d05dd692cc1f0ba7617ab99aa Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 11:40:41 -0700 Subject: [PATCH 196/230] [doc] update clock network details --- docs/source/manual/file_formats/clock_network.rst | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/docs/source/manual/file_formats/clock_network.rst b/docs/source/manual/file_formats/clock_network.rst index 8bab91b43..60cd10eff 100644 --- a/docs/source/manual/file_formats/clock_network.rst +++ b/docs/source/manual/file_formats/clock_network.rst @@ -187,6 +187,8 @@ Switch Point Settings The following syntax are applicable to the XML definition tagged by ``switch_point``. Note that a number of switch points can be defined under each clock spine ``spine``. +.. note:: Use the coordinate of switch block to define switching points! + .. option:: tap="" Define which clock spine will be tapped from the current clock spine. @@ -307,6 +309,8 @@ For example, where all the clock spines of the clock network ``clk_tree_0`` tap the clock pins ``clk`` of tile ``clb`` in a VPR architecture description file: +.. note:: Use the name of ``subtile`` in the ``to_pin`` when there are a number of subtiles in your tile! + .. code-block:: xml From f0e168c2b35cc4925448595648df376add97cb50 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Tue, 9 Jul 2024 21:54:39 +0000 Subject: [PATCH 197/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index 2e87e34ef..5b5fa0de1 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2386 +1.2.2480 From 38bb5aa9063fe7a89240f910844c9946a0371950 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 18:42:39 -0700 Subject: [PATCH 198/230] [test] add a new benchmark to validate clock on LUT --- .../micro_benchmark/clk_on_lut/clk_on_lut.v | 21 +++++++++++++++++++ 1 file changed, 21 insertions(+) create mode 100644 openfpga_flow/benchmarks/micro_benchmark/clk_on_lut/clk_on_lut.v diff --git a/openfpga_flow/benchmarks/micro_benchmark/clk_on_lut/clk_on_lut.v b/openfpga_flow/benchmarks/micro_benchmark/clk_on_lut/clk_on_lut.v new file mode 100644 index 000000000..80814e49b --- /dev/null +++ b/openfpga_flow/benchmarks/micro_benchmark/clk_on_lut/clk_on_lut.v @@ -0,0 +1,21 @@ +///////////////////////////////////////// +// Functionality: A register driven by a combinational logic with clk signal +// Author: Xifan Tang +//////////////////////////////////////// +`timescale 1ns / 1ps + +module clk_on_lut(a, b, q, out, clk); + +input wire clk; +input wire a; +input wire b; +output reg q; +output wire out; + +always @(posedge clk) begin + q <= a; +end + +assign out = b & clk; + +endmodule From 89e6a0483f453f8fc5b8a1b46e555f78da7a7ebd Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 18:45:33 -0700 Subject: [PATCH 199/230] [test] add a new benchmark to validate rst and clk on LUTs --- .../rst_and_clk_on_lut/rst_and_clk_on_lut.v | 29 +++++++++++++++++++ 1 file changed, 29 insertions(+) create mode 100644 openfpga_flow/benchmarks/micro_benchmark/rst_and_clk_on_lut/rst_and_clk_on_lut.v diff --git a/openfpga_flow/benchmarks/micro_benchmark/rst_and_clk_on_lut/rst_and_clk_on_lut.v b/openfpga_flow/benchmarks/micro_benchmark/rst_and_clk_on_lut/rst_and_clk_on_lut.v new file mode 100644 index 000000000..12234984e --- /dev/null +++ b/openfpga_flow/benchmarks/micro_benchmark/rst_and_clk_on_lut/rst_and_clk_on_lut.v @@ -0,0 +1,29 @@ +///////////////////////////////////////// +// Functionality: A register driven by a combinational logic with reset signal +// Author: Xifan Tang +//////////////////////////////////////// +`timescale 1ns / 1ps + +module rst_on_lut(a, b, c, q, out0, out1, clk, rst); + +input wire rst; +input wire clk; +input wire a; +input wire b; +input wire c; +output reg q; +output wire out0; +output wire out1; + +always @(posedge rst or posedge clk) begin + if (rst) begin + q <= 0; + end else begin + q <= a; + end +end + +assign out0 = b & ~rst; +assign out1 = c & ~clk; + +endmodule From e5d146a67a1949f0b6d54ee2369f236f2cae13e6 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 20:24:23 -0700 Subject: [PATCH 200/230] [test] add new tests to validate rst on lut and clk on lut features --- .../regression_test_scripts/basic_reg_test.sh | 1 + .../config/clk_arch_1clk_1rst_2layer.xml | 34 +++++++++++ .../config/pin_constraints_clk.xml | 8 +++ .../config/pin_constraints_rst.xml | 8 +++ .../config/pin_constraints_rst_and_clk.xml | 8 +++ .../config/repack_pin_constraints.xml | 4 ++ .../config/task.conf | 56 +++++++++++++++++++ 7 files changed, 119 insertions(+) create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/clk_arch_1clk_1rst_2layer.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_clk.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst_and_clk.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/repack_pin_constraints.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf diff --git a/openfpga_flow/regression_test_scripts/basic_reg_test.sh b/openfpga_flow/regression_test_scripts/basic_reg_test.sh index 0f3abd81d..85e0d9bfd 100755 --- a/openfpga_flow/regression_test_scripts/basic_reg_test.sh +++ b/openfpga_flow/regression_test_scripts/basic_reg_test.sh @@ -240,6 +240,7 @@ run-task basic_tests/clock_network/homo_2clock_2layer $@ run-task basic_tests/clock_network/homo_2clock_2layer_disable_unused $@ run-task basic_tests/clock_network/homo_2clock_2layer_disable_unused_tree $@ run-task basic_tests/clock_network/homo_1clock_1reset_2layer $@ +run-task basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut $@ run-task basic_tests/clock_network/homo_1clock_1reset_2layer_syntax $@ run-task basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines $@ run-task basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver $@ diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/clk_arch_1clk_1rst_2layer.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/clk_arch_1clk_1rst_2layer.xml new file mode 100644 index 000000000..b91512914 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/clk_arch_1clk_1rst_2layer.xml @@ -0,0 +1,34 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_clk.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_clk.xml new file mode 100644 index 000000000..f0b871511 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_clk.xml @@ -0,0 +1,8 @@ + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst.xml new file mode 100644 index 000000000..28ab471d5 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst.xml @@ -0,0 +1,8 @@ + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst_and_clk.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst_and_clk.xml new file mode 100644 index 000000000..15df5148e --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst_and_clk.xml @@ -0,0 +1,8 @@ + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/repack_pin_constraints.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/repack_pin_constraints.xml new file mode 100644 index 000000000..06a125111 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/repack_pin_constraints.xml @@ -0,0 +1,4 @@ + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf new file mode 100644 index 000000000..2c2c2c4f6 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf @@ -0,0 +1,56 @@ +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# Configuration file for running experiments +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = +# timeout_each_job : FPGA Task script splits fpga flow into multiple jobs +# Each job execute fpga_flow script on combination of architecture & benchmark +# timeout_each_job is timeout for each job +# = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = + +[GENERAL] +run_engine=openfpga_shell +power_tech_file = ${PATH:OPENFPGA_PATH}/openfpga_flow/tech/PTM_45nm/45nm.xml +power_analysis = false +spice_output=false +verilog_output=true +timeout_each_job = 3*60 +fpga_flow=yosys_vpr + +[OpenFPGA_SHELL] +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga +openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml +openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml +openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml +openfpga_vpr_device_layout=2x2 +openfpga_vpr_route_chan_width=40 +openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml +openfpga_verilog_testbench_port_mapping=--explicit_port_mapping +openfpga_route_clock_options= + +[ARCHITECTURES] +arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml + +[BENCHMARKS] +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/rst_on_lut/rst_on_lut.v +bench1=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/clk_on_lut/clk_on_lut.v +bench2=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/rst_and_clk_on_lut/rst_and_clk_on_lut.v + +[SYNTHESIS_PARAM] +# Yosys script parameters +bench_yosys_cell_sim_verilog_common=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_yosys_techlib/openfpga_dff_sim.v +bench_yosys_dff_map_verilog_common=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_yosys_techlib/openfpga_dff_map.v +bench_read_verilog_options_common = -nolatches +bench_yosys_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_dff_flow.ys +bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_flow_with_rewrite.ys;${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_rewrite_flow.ys + +bench0_top = rst_on_lut +bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_rst.xml + +bench1_top = clk_on_lut +bench1_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_clk.xml + +bench1_top = rst_and_clk_on_lut +bench1_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_rst_and_clk.xml + +[SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] +end_flow_with_test= +vpr_fpga_verilog_formal_verification_top_netlist= From 9ce4b57363c836ff84163a35a3d28e25d3e2cee2 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 20:25:39 -0700 Subject: [PATCH 201/230] [test] typo --- .../homo_1clock_1reset_2layer_on_lut/config/task.conf | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf index 2c2c2c4f6..d01dfd35a 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf @@ -48,8 +48,8 @@ bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_r bench1_top = clk_on_lut bench1_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_clk.xml -bench1_top = rst_and_clk_on_lut -bench1_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_rst_and_clk.xml +bench2_top = rst_and_clk_on_lut +bench2_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_rst_and_clk.xml [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] end_flow_with_test= From 43dbeafd4447c888f24cd16d075ea894d2a53913 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 20:27:28 -0700 Subject: [PATCH 202/230] [test] typo --- .../config/pin_constraints_rst.xml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst.xml index 28ab471d5..15df5148e 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/pin_constraints_rst.xml @@ -3,6 +3,6 @@ - the reset signal to the op_reset[0] port of the FPGA fabric --> - + From 0f7880375948814772e9cc36e53966e6993286cd Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 20:47:15 -0700 Subject: [PATCH 203/230] [core] fixed a bug on connecting clk/rst pins from programmable network any CLB inputs --- libs/libclkarchopenfpga/src/base/clock_network.cpp | 3 --- 1 file changed, 3 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index bd02259b7..04dd1fab4 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -514,9 +514,6 @@ std::vector ClockNetwork::tree_flatten_tap_to_ports( std::string flatten_tile_str = tile_info.get_name() + "[" + std::to_string(tile_idx) + "]"; for (size_t& pin_idx : pin_info.pins()) { - if (pin_idx != size_t(clk_pin_id)) { - continue; - } std::string flatten_pin_str = pin_info.get_name() + "[" + std::to_string(pin_idx) + "]"; flatten_taps.push_back(flatten_tile_str + "." + flatten_pin_str); From a16b3df063fc13784ea347b0340f23ebd468de78 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 20:59:44 -0700 Subject: [PATCH 204/230] [test] update arch to allow clock access on CLB inputs --- openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml b/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml index 013466e5c..b87a12a76 100644 --- a/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml @@ -107,11 +107,12 @@ + - clb.reset clb.clk clb.O[4:7] clb.I[6:11] - clb.O[0:3] clb.I[0:5] + clb.reset clb.clk clb.O[0:3] clb.I[0:5] + clb.O[4:7] clb.I[6:11] From 27e29f949cda120271585be30480248930c3fc33 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 22:53:12 -0700 Subject: [PATCH 205/230] [core] fixed a bug where the pin idx of global net on rr graph is not well annotated --- .../annotation/openfpga_annotate_routing.cpp | 32 +++++++++++++++++-- .../annotation/openfpga_annotate_routing.h | 5 ++- .../src/annotation/route_clock_rr_graph.cpp | 3 +- .../src/annotation/route_clock_rr_graph.h | 2 ++ .../src/base/openfpga_link_arch_template.h | 4 ++- 5 files changed, 41 insertions(+), 5 deletions(-) diff --git a/openfpga/src/annotation/openfpga_annotate_routing.cpp b/openfpga/src/annotation/openfpga_annotate_routing.cpp index cfbb064ef..5c018e93e 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.cpp +++ b/openfpga/src/annotation/openfpga_annotate_routing.cpp @@ -21,7 +21,9 @@ namespace openfpga { *******************************************************************/ vtr::vector annotate_rr_node_global_net( const DeviceContext& device_ctx, const ClusteredNetlist& cluster_nlist, - const PlacementContext& placement_ctx, const bool& verbose) { + const PlacementContext& placement_ctx, + const VprClusteringAnnotation& clustering_annotation, + const bool& verbose) { vtr::vector rr_node_nets; size_t counter = 0; @@ -42,10 +44,36 @@ vtr::vector annotate_rr_node_global_net( ClusterBlockId block_id = cluster_nlist.pin_block(pin_id); t_block_loc blk_loc = get_block_loc(block_id, false); int phy_pin = placement_ctx.physical_pins[pin_id]; + t_physical_tile_type_ptr phy_tile = device_ctx.grid.get_physical_type(t_physical_tile_loc(blk_loc.loc.x, blk_loc.loc.y, 0)); + int node_pin_num = phy_tile->num_pins; + /* Note that the phy_pin may not reflect the actual pin index at the top-level physical tile type. It could be one of the random pin to the same pin class. So here, we have to find an exact match of the pin index from the clustering results! */ + int subtile_idx = blk_loc.loc.sub_tile; + auto logical_block = cluster_nlist.block_type(block_id); + for (int j = 0; j < logical_block->pb_type->num_pins; j++) { + /* Find the net mapped to this pin in clustering results*/ + ClusterNetId cluster_net_id = + cluster_nlist.block_net(block_id, j); + /* Get the actual net id because it may be renamed during routing */ + if (true == clustering_annotation.is_net_renamed(block_id, j)) { + cluster_net_id = clustering_annotation.net(block_id, j); + } + /* Bypass unmatched pins */ + if (cluster_net_id != net_id) { + continue; + } + int curr_pin_num = get_physical_pin_at_sub_tile_location(phy_tile, logical_block, subtile_idx, j); + if (phy_tile->pin_class[curr_pin_num] != phy_tile->pin_class[phy_pin]) { + continue; + } + node_pin_num = curr_pin_num; + break; + } + VTR_ASSERT(node_pin_num < phy_tile->num_pins); std::vector curr_rr_nodes = rr_graph.node_lookup().find_nodes_at_all_sides( - layer, blk_loc.loc.x, blk_loc.loc.y, IPIN, phy_pin); + layer, blk_loc.loc.x, blk_loc.loc.y, IPIN, node_pin_num); for (RRNodeId curr_rr_node : curr_rr_nodes) { + VTR_LOGV(verbose, "Annotate global net '%s' on '%s' pin '%d'\n", cluster_nlist.net_name(net_id).c_str(), phy_tile->name, node_pin_num); rr_node_nets[curr_rr_node] = net_id; counter++; } diff --git a/openfpga/src/annotation/openfpga_annotate_routing.h b/openfpga/src/annotation/openfpga_annotate_routing.h index dc5d28db3..332a74343 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.h +++ b/openfpga/src/annotation/openfpga_annotate_routing.h @@ -6,6 +6,7 @@ *******************************************************************/ #include "openfpga_context.h" #include "vpr_context.h" +#include "vpr_clustering_annotation.h" #include "vpr_routing_annotation.h" /******************************************************************** @@ -17,7 +18,9 @@ namespace openfpga { vtr::vector annotate_rr_node_global_net( const DeviceContext& device_ctx, const ClusteredNetlist& cluster_nlist, - const PlacementContext& placement_ctx, const bool& verbose); + const PlacementContext& placement_ctx, + const VprClusteringAnnotation& clustering_annotation, + const bool& verbose); void annotate_vpr_rr_node_nets(const DeviceContext& device_ctx, const ClusteringContext& clustering_ctx, diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index 207b83d0e..bb252d6e2 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -472,6 +472,7 @@ static int route_clock_tree_rr_graph( *******************************************************************/ int route_clock_rr_graph( VprRoutingAnnotation& vpr_routing_annotation, + const VprClusteringAnnotation& vpr_clustering_annotation, const DeviceContext& vpr_device_ctx, const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, @@ -509,7 +510,7 @@ int route_clock_rr_graph( /* Build rr_node-to-net mapping for global nets */ vtr::vector rr_node_gnets = - annotate_rr_node_global_net(vpr_device_ctx, cluster_nlist, vpr_place_ctx, + annotate_rr_node_global_net(vpr_device_ctx, cluster_nlist, vpr_place_ctx, vpr_clustering_annotation, verbose); /* Route spines one by one */ diff --git a/openfpga/src/annotation/route_clock_rr_graph.h b/openfpga/src/annotation/route_clock_rr_graph.h index 2bd4ec178..dfd856e76 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.h +++ b/openfpga/src/annotation/route_clock_rr_graph.h @@ -9,6 +9,7 @@ #include "rr_clock_spatial_lookup.h" #include "vpr_context.h" #include "vpr_routing_annotation.h" +#include "vpr_clustering_annotation.h" /******************************************************************** * Function declaration @@ -19,6 +20,7 @@ namespace openfpga { int route_clock_rr_graph( VprRoutingAnnotation& vpr_routing_annotation, + const VprClusteringAnnotation& vpr_clustering_annotation, const DeviceContext& vpr_device_ctx, const ClusteredNetlist& cluster_nlist, const PlacementContext& vpr_place_ctx, const RRClockSpatialLookup& clk_rr_lookup, const ClockNetwork& clk_ntwk, diff --git a/openfpga/src/base/openfpga_link_arch_template.h b/openfpga/src/base/openfpga_link_arch_template.h index bb061de09..41098c013 100644 --- a/openfpga/src/base/openfpga_link_arch_template.h +++ b/openfpga/src/base/openfpga_link_arch_template.h @@ -232,7 +232,9 @@ int route_clock_rr_graph_template(T& openfpga_ctx, const Command& cmd, } return route_clock_rr_graph( - openfpga_ctx.mutable_vpr_routing_annotation(), g_vpr_ctx.device(), + openfpga_ctx.mutable_vpr_routing_annotation(), + openfpga_ctx.vpr_clustering_annotation(), + g_vpr_ctx.device(), g_vpr_ctx.clustering().clb_nlist, g_vpr_ctx.placement(), openfpga_ctx.clock_rr_lookup(), openfpga_ctx.clock_arch(), pin_constraints, cmd_context.option_enable(cmd, opt_disable_unused_trees), From 63f2a07c865268ecf537e7bdb1e5d555ab34bc7b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 22:54:33 -0700 Subject: [PATCH 206/230] [test] typo --- .../micro_benchmark/rst_and_clk_on_lut/rst_and_clk_on_lut.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/openfpga_flow/benchmarks/micro_benchmark/rst_and_clk_on_lut/rst_and_clk_on_lut.v b/openfpga_flow/benchmarks/micro_benchmark/rst_and_clk_on_lut/rst_and_clk_on_lut.v index 12234984e..598d41dcf 100644 --- a/openfpga_flow/benchmarks/micro_benchmark/rst_and_clk_on_lut/rst_and_clk_on_lut.v +++ b/openfpga_flow/benchmarks/micro_benchmark/rst_and_clk_on_lut/rst_and_clk_on_lut.v @@ -4,7 +4,7 @@ //////////////////////////////////////// `timescale 1ns / 1ps -module rst_on_lut(a, b, c, q, out0, out1, clk, rst); +module rst_and_clk_on_lut(a, b, c, q, out0, out1, clk, rst); input wire rst; input wire clk; From 96bdcc8b35ae325062a0e9be2b184044c2c6d183 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 22:54:55 -0700 Subject: [PATCH 207/230] [core] code format --- .../annotation/openfpga_annotate_routing.cpp | 29 +++++++++++-------- .../annotation/openfpga_annotate_routing.h | 5 ++-- .../src/annotation/route_clock_rr_graph.cpp | 4 +-- .../src/annotation/route_clock_rr_graph.h | 2 +- .../src/base/openfpga_link_arch_template.h | 3 +- 5 files changed, 23 insertions(+), 20 deletions(-) diff --git a/openfpga/src/annotation/openfpga_annotate_routing.cpp b/openfpga/src/annotation/openfpga_annotate_routing.cpp index 5c018e93e..64854e8df 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.cpp +++ b/openfpga/src/annotation/openfpga_annotate_routing.cpp @@ -22,8 +22,7 @@ namespace openfpga { vtr::vector annotate_rr_node_global_net( const DeviceContext& device_ctx, const ClusteredNetlist& cluster_nlist, const PlacementContext& placement_ctx, - const VprClusteringAnnotation& clustering_annotation, - const bool& verbose) { + const VprClusteringAnnotation& clustering_annotation, const bool& verbose) { vtr::vector rr_node_nets; size_t counter = 0; @@ -44,15 +43,18 @@ vtr::vector annotate_rr_node_global_net( ClusterBlockId block_id = cluster_nlist.pin_block(pin_id); t_block_loc blk_loc = get_block_loc(block_id, false); int phy_pin = placement_ctx.physical_pins[pin_id]; - t_physical_tile_type_ptr phy_tile = device_ctx.grid.get_physical_type(t_physical_tile_loc(blk_loc.loc.x, blk_loc.loc.y, 0)); + t_physical_tile_type_ptr phy_tile = device_ctx.grid.get_physical_type( + t_physical_tile_loc(blk_loc.loc.x, blk_loc.loc.y, 0)); int node_pin_num = phy_tile->num_pins; - /* Note that the phy_pin may not reflect the actual pin index at the top-level physical tile type. It could be one of the random pin to the same pin class. So here, we have to find an exact match of the pin index from the clustering results! */ - int subtile_idx = blk_loc.loc.sub_tile; + /* Note that the phy_pin may not reflect the actual pin index at the + * top-level physical tile type. It could be one of the random pin to the + * same pin class. So here, we have to find an exact match of the pin + * index from the clustering results! */ + int subtile_idx = blk_loc.loc.sub_tile; auto logical_block = cluster_nlist.block_type(block_id); for (int j = 0; j < logical_block->pb_type->num_pins; j++) { /* Find the net mapped to this pin in clustering results*/ - ClusterNetId cluster_net_id = - cluster_nlist.block_net(block_id, j); + ClusterNetId cluster_net_id = cluster_nlist.block_net(block_id, j); /* Get the actual net id because it may be renamed during routing */ if (true == clustering_annotation.is_net_renamed(block_id, j)) { cluster_net_id = clustering_annotation.net(block_id, j); @@ -60,20 +62,23 @@ vtr::vector annotate_rr_node_global_net( /* Bypass unmatched pins */ if (cluster_net_id != net_id) { continue; - } - int curr_pin_num = get_physical_pin_at_sub_tile_location(phy_tile, logical_block, subtile_idx, j); + } + int curr_pin_num = get_physical_pin_at_sub_tile_location( + phy_tile, logical_block, subtile_idx, j); if (phy_tile->pin_class[curr_pin_num] != phy_tile->pin_class[phy_pin]) { continue; } node_pin_num = curr_pin_num; - break; + break; } - VTR_ASSERT(node_pin_num < phy_tile->num_pins); + VTR_ASSERT(node_pin_num < phy_tile->num_pins); std::vector curr_rr_nodes = rr_graph.node_lookup().find_nodes_at_all_sides( layer, blk_loc.loc.x, blk_loc.loc.y, IPIN, node_pin_num); for (RRNodeId curr_rr_node : curr_rr_nodes) { - VTR_LOGV(verbose, "Annotate global net '%s' on '%s' pin '%d'\n", cluster_nlist.net_name(net_id).c_str(), phy_tile->name, node_pin_num); + VTR_LOGV(verbose, "Annotate global net '%s' on '%s' pin '%d'\n", + cluster_nlist.net_name(net_id).c_str(), phy_tile->name, + node_pin_num); rr_node_nets[curr_rr_node] = net_id; counter++; } diff --git a/openfpga/src/annotation/openfpga_annotate_routing.h b/openfpga/src/annotation/openfpga_annotate_routing.h index 332a74343..8c9f0e40a 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.h +++ b/openfpga/src/annotation/openfpga_annotate_routing.h @@ -5,8 +5,8 @@ * Include header files that are required by function declaration *******************************************************************/ #include "openfpga_context.h" -#include "vpr_context.h" #include "vpr_clustering_annotation.h" +#include "vpr_context.h" #include "vpr_routing_annotation.h" /******************************************************************** @@ -19,8 +19,7 @@ namespace openfpga { vtr::vector annotate_rr_node_global_net( const DeviceContext& device_ctx, const ClusteredNetlist& cluster_nlist, const PlacementContext& placement_ctx, - const VprClusteringAnnotation& clustering_annotation, - const bool& verbose); + const VprClusteringAnnotation& clustering_annotation, const bool& verbose); void annotate_vpr_rr_node_nets(const DeviceContext& device_ctx, const ClusteringContext& clustering_ctx, diff --git a/openfpga/src/annotation/route_clock_rr_graph.cpp b/openfpga/src/annotation/route_clock_rr_graph.cpp index bb252d6e2..a0e57bda5 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.cpp +++ b/openfpga/src/annotation/route_clock_rr_graph.cpp @@ -510,8 +510,8 @@ int route_clock_rr_graph( /* Build rr_node-to-net mapping for global nets */ vtr::vector rr_node_gnets = - annotate_rr_node_global_net(vpr_device_ctx, cluster_nlist, vpr_place_ctx, vpr_clustering_annotation, - verbose); + annotate_rr_node_global_net(vpr_device_ctx, cluster_nlist, vpr_place_ctx, + vpr_clustering_annotation, verbose); /* Route spines one by one */ for (auto itree : clk_ntwk.trees()) { diff --git a/openfpga/src/annotation/route_clock_rr_graph.h b/openfpga/src/annotation/route_clock_rr_graph.h index dfd856e76..1c37bc8b6 100644 --- a/openfpga/src/annotation/route_clock_rr_graph.h +++ b/openfpga/src/annotation/route_clock_rr_graph.h @@ -7,9 +7,9 @@ #include "clock_network.h" #include "pin_constraints.h" #include "rr_clock_spatial_lookup.h" +#include "vpr_clustering_annotation.h" #include "vpr_context.h" #include "vpr_routing_annotation.h" -#include "vpr_clustering_annotation.h" /******************************************************************** * Function declaration diff --git a/openfpga/src/base/openfpga_link_arch_template.h b/openfpga/src/base/openfpga_link_arch_template.h index 41098c013..9898d5f75 100644 --- a/openfpga/src/base/openfpga_link_arch_template.h +++ b/openfpga/src/base/openfpga_link_arch_template.h @@ -233,8 +233,7 @@ int route_clock_rr_graph_template(T& openfpga_ctx, const Command& cmd, return route_clock_rr_graph( openfpga_ctx.mutable_vpr_routing_annotation(), - openfpga_ctx.vpr_clustering_annotation(), - g_vpr_ctx.device(), + openfpga_ctx.vpr_clustering_annotation(), g_vpr_ctx.device(), g_vpr_ctx.clustering().clb_nlist, g_vpr_ctx.placement(), openfpga_ctx.clock_rr_lookup(), openfpga_ctx.clock_arch(), pin_constraints, cmd_context.option_enable(cmd, opt_disable_unused_trees), From 66a77c8658a9d8a775f013dd2ef39bc91fcc5d9e Mon Sep 17 00:00:00 2001 From: "dependabot[bot]" <49699333+dependabot[bot]@users.noreply.github.com> Date: Wed, 10 Jul 2024 06:25:00 +0000 Subject: [PATCH 208/230] Bump yosys from `dac5bd1` to `b08688f` Bumps [yosys](https://github.com/YosysHQ/yosys) from `dac5bd1` to `b08688f`. - [Release notes](https://github.com/YosysHQ/yosys/releases) - [Commits](https://github.com/YosysHQ/yosys/compare/dac5bd1983a5078e3e7426df1e8ee48d300ead7d...b08688f71197316cd44859b8ced16136cf1b3957) --- updated-dependencies: - dependency-name: yosys dependency-type: direct:production ... Signed-off-by: dependabot[bot] --- yosys | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/yosys b/yosys index dac5bd198..b08688f71 160000 --- a/yosys +++ b/yosys @@ -1 +1 @@ -Subproject commit dac5bd1983a5078e3e7426df1e8ee48d300ead7d +Subproject commit b08688f71197316cd44859b8ced16136cf1b3957 From 81fe722d9873b9133f2770c6140dca829bf2fe1b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Tue, 9 Jul 2024 23:49:01 -0700 Subject: [PATCH 209/230] [test] adjust W --- .../clock_network/homo_1clock_1reset_2layer/config/task.conf | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf index 04489c15e..706b9b771 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf @@ -21,7 +21,7 @@ openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml openfpga_vpr_device_layout=2x2 -openfpga_vpr_route_chan_width=40 +openfpga_vpr_route_chan_width=60 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping openfpga_route_clock_options= From 191a3d1c5efd375a4f75ff46be775345de42cb85 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 10:01:31 -0700 Subject: [PATCH 210/230] [test] update W --- .../config/task.conf | 2 +- .../homo_1clock_1reset_2layer_internal_driver/config/task.conf | 2 +- .../homo_1clock_1reset_2layer_syntax/config/task.conf | 2 +- 3 files changed, 3 insertions(+), 3 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf index f0fe1b077..ba2b9b5e5 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf @@ -21,7 +21,7 @@ openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml openfpga_vpr_device_layout=2x2 -openfpga_vpr_route_chan_width=40 +openfpga_vpr_route_chan_width=60 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping openfpga_route_clock_options=--disable_unused_spines diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf index 70565880f..05ed91de3 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf @@ -21,7 +21,7 @@ openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml openfpga_vpr_device_layout=2x2 -openfpga_vpr_route_chan_width=40 +openfpga_vpr_route_chan_width=60 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer_int_driver.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping openfpga_route_clock_options= diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf index 04489c15e..706b9b771 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf @@ -21,7 +21,7 @@ openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml openfpga_vpr_device_layout=2x2 -openfpga_vpr_route_chan_width=40 +openfpga_vpr_route_chan_width=60 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping openfpga_route_clock_options= From 77304164f42ea464576278a1a985657914d80f44 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 10:13:41 -0700 Subject: [PATCH 211/230] [test] rework pin loc for k4_frac_N4_tileable_fracff_40nm to save route W --- .../homo_1clock_1reset_2layer/config/task.conf | 2 +- .../config/task.conf | 2 +- .../config/task.conf | 14 ++++---------- .../config/task.conf | 2 +- .../config/task.conf | 2 +- .../vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml | 4 ++-- 6 files changed, 10 insertions(+), 16 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf index 706b9b771..554a53d64 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer/config/task.conf @@ -21,7 +21,7 @@ openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml openfpga_vpr_device_layout=2x2 -openfpga_vpr_route_chan_width=60 +openfpga_vpr_route_chan_width=32 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping openfpga_route_clock_options= diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf index ba2b9b5e5..622f0328e 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_disable_unused_spines/config/task.conf @@ -21,7 +21,7 @@ openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml openfpga_vpr_device_layout=2x2 -openfpga_vpr_route_chan_width=60 +openfpga_vpr_route_chan_width=32 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping openfpga_route_clock_options=--disable_unused_spines diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf index 05ed91de3..038156d09 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf @@ -21,7 +21,7 @@ openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml openfpga_vpr_device_layout=2x2 -openfpga_vpr_route_chan_width=60 +openfpga_vpr_route_chan_width=32 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer_int_driver.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping openfpga_route_clock_options= @@ -30,8 +30,7 @@ openfpga_route_clock_options= arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml [BENCHMARKS] -bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/counters/counter_8bit_async_reset/counter.v -bench1=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/counters/counter_8bit_async_resetb/counter.v +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/clk_gate/clk_gate.v [SYNTHESIS_PARAM] # Yosys script parameters @@ -41,13 +40,8 @@ bench_read_verilog_options_common = -nolatches bench_yosys_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_dff_flow.ys bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_flow_with_rewrite.ys;${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_rewrite_flow.ys -bench0_top = counter -bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_reset.xml -bench0_openfpga_verilog_testbench_port_mapping= - -bench1_top = counter -bench1_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_resetb.xml -bench1_openfpga_verilog_testbench_port_mapping= +bench0_top = clk_gate +bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_clk_gate.xml [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] end_flow_with_test= diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf index d01dfd35a..a3d9b1a48 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_on_lut/config/task.conf @@ -21,7 +21,7 @@ openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml openfpga_vpr_device_layout=2x2 -openfpga_vpr_route_chan_width=40 +openfpga_vpr_route_chan_width=32 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping openfpga_route_clock_options= diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf index 706b9b771..554a53d64 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_syntax/config/task.conf @@ -21,7 +21,7 @@ openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_ openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml openfpga_vpr_device_layout=2x2 -openfpga_vpr_route_chan_width=60 +openfpga_vpr_route_chan_width=32 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping openfpga_route_clock_options= diff --git a/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml b/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml index b87a12a76..b105149b1 100644 --- a/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml +++ b/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml @@ -110,9 +110,9 @@ - + clb.reset clb.clk clb.O[0:3] clb.I[0:5] - clb.O[4:7] clb.I[6:11] + clb.O[4:7] clb.I[6:11] From dbe8e63f53c530f82ac08ff3fb9159630aa6a3a2 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 10:15:47 -0700 Subject: [PATCH 212/230] [test] remove unused files --- .../config/clk_arch_1clk_1rst_2layer_int_driver.xml | 1 + ...constraints_reset.xml => pin_constraints_clk_gate.xml} | 4 ++-- .../config/pin_constraints_resetb.xml | 8 -------- 3 files changed, 3 insertions(+), 10 deletions(-) rename openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/{pin_constraints_reset.xml => pin_constraints_clk_gate.xml} (66%) delete mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml index c88e8ccd4..a5707c9f5 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml @@ -20,6 +20,7 @@ + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_clk_gate.xml similarity index 66% rename from openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml rename to openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_clk_gate.xml index 3788a1411..cdcee36c2 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_reset.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_clk_gate.xml @@ -2,7 +2,7 @@ - - + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml deleted file mode 100644 index 1311926f5..000000000 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_resetb.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - From b6ff69faacb29fafe82294cb7dd7b458cec79a8c Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 11:36:22 -0700 Subject: [PATCH 213/230] [test] reworking the testcase to validate clock network with internal drivers --- .../micro_benchmark/clk_cond/clk_cond.v | 21 +++++ ..._clkntwk_int_driver_no_ace_script.openfpga | 76 +++++++++++++++++++ .../clk_arch_1clk_1rst_2layer_int_driver.xml | 3 +- ..._gate.xml => pin_constraints_clk_cond.xml} | 3 +- .../config/task.conf | 10 ++- .../config/vpr_constraint_clk_cond.xml | 12 +++ 6 files changed, 119 insertions(+), 6 deletions(-) create mode 100644 openfpga_flow/benchmarks/micro_benchmark/clk_cond/clk_cond.v create mode 100644 openfpga_flow/openfpga_shell_scripts/example_clkntwk_int_driver_no_ace_script.openfpga rename openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/{pin_constraints_clk_gate.xml => pin_constraints_clk_cond.xml} (71%) create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/vpr_constraint_clk_cond.xml diff --git a/openfpga_flow/benchmarks/micro_benchmark/clk_cond/clk_cond.v b/openfpga_flow/benchmarks/micro_benchmark/clk_cond/clk_cond.v new file mode 100644 index 000000000..d939c7ab3 --- /dev/null +++ b/openfpga_flow/benchmarks/micro_benchmark/clk_cond/clk_cond.v @@ -0,0 +1,21 @@ +///////////////////////////////////////// +// Functionality: A locally generated clock signal which is to test clock network with internal drivers +// Author: Xifan Tang +//////////////////////////////////////// +`timescale 1ns / 1ps + +module clk_cond(clk_i, clk_cond_i, d_i, q_o); + +input wire clk_cond_i; +input wire clk_i; +input wire d_i; +output reg q_o; + +wire int_clk; +assign int_clk = clk_cond_i & clk_i; + +always @(posedge int_clk) begin + q_o <= d_i; +end + +endmodule diff --git a/openfpga_flow/openfpga_shell_scripts/example_clkntwk_int_driver_no_ace_script.openfpga b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_int_driver_no_ace_script.openfpga new file mode 100644 index 000000000..489162d11 --- /dev/null +++ b/openfpga_flow/openfpga_shell_scripts/example_clkntwk_int_driver_no_ace_script.openfpga @@ -0,0 +1,76 @@ +# Run VPR for the 'and' design +#--write_rr_graph example_rr_graph.xml +vpr ${VPR_ARCH_FILE} ${VPR_TESTBENCH_BLIF} \ + --clock_modeling ideal \ + --device ${OPENFPGA_VPR_DEVICE_LAYOUT} \ + --route_chan_width ${OPENFPGA_VPR_ROUTE_CHAN_WIDTH} \ + --read_vpr_constraints ${OPENFPGA_VPR_CONSTRAINT_FILE} + +# Read OpenFPGA architecture definition +read_openfpga_arch -f ${OPENFPGA_ARCH_FILE} + +# Read OpenFPGA simulation settings +read_openfpga_simulation_setting -f ${OPENFPGA_SIM_SETTING_FILE} + +# Read OpenFPGA clock architecture +read_openfpga_clock_arch -f ${OPENFPGA_CLOCK_ARCH_FILE} + +# Append clock network to vpr's routing resource graph +append_clock_rr_graph + +# Annotate the OpenFPGA architecture to VPR data base +# to debug use --verbose options +link_openfpga_arch --sort_gsb_chan_node_in_edges + +# Route clock based on clock network definition +route_clock_rr_graph ${OPENFPGA_ROUTE_CLOCK_OPTIONS} --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} + +# Check and correct any naming conflicts in the BLIF netlist +check_netlist_naming_conflict --fix --report ./netlist_renaming.xml + +# Apply fix-up to Look-Up Table truth tables based on packing results +lut_truth_table_fixup + +# Build the module graph +# - Enabled compression on routing architecture modules +# - Enable pin duplication on grid modules +build_fabric --compress_routing #--verbose + +# Write the fabric hierarchy of module graph to a file +# This is used by hierarchical PnR flows +write_fabric_hierarchy --file ./fabric_hierarchy.txt + +# Repack the netlist to physical pbs +# This must be done before bitstream generator and testbench generation +# Strongly recommend it is done after all the fix-up have been applied +repack --design_constraints ${OPENFPGA_REPACK_CONSTRAINTS_FILE} #--verbose + +# Build the bitstream +# - Output the fabric-independent bitstream to a file +build_architecture_bitstream --verbose --write_file fabric_independent_bitstream.xml + +# Build fabric-dependent bitstream +build_fabric_bitstream --verbose + +# Write fabric-dependent bitstream +write_fabric_bitstream --file fabric_bitstream.bit --format plain_text + +# Write the Verilog netlist for FPGA fabric +# - Enable the use of explicit port mapping in Verilog netlist +write_fabric_verilog --file ./SRC --explicit_port_mapping --include_timing --print_user_defined_template --verbose + +# Write the Verilog testbench for FPGA fabric +# - We suggest the use of same output directory as fabric Verilog netlists +# - Must specify the reference benchmark file if you want to output any testbenches +# - Enable top-level testbench which is a full verification including programming circuit and core logic of FPGA +# - Enable pre-configured top-level testbench which is a fast verification skipping programming phase +# - Simulation ini file is optional and is needed only when you need to interface different HDL simulators using openfpga flow-run scripts +write_full_testbench --file ./SRC --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} ${OPENFPGA_VERILOG_TESTBENCH_PORT_MAPPING} --include_signal_init --bitstream fabric_bitstream.bit --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} +write_preconfigured_fabric_wrapper --embed_bitstream iverilog --file ./SRC ${OPENFPGA_VERILOG_TESTBENCH_PORT_MAPPING} --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} +write_preconfigured_testbench --file ./SRC --reference_benchmark_file_path ${REFERENCE_VERILOG_TESTBENCH} ${OPENFPGA_VERILOG_TESTBENCH_PORT_MAPPING} --pin_constraints_file ${OPENFPGA_PIN_CONSTRAINTS_FILE} + +# Finish and exit OpenFPGA +exit + +# Note : +# To run verification at the end of the flow maintain source in ./SRC directory diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml index a5707c9f5..38bc90f9d 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml @@ -1,5 +1,5 @@ - + @@ -21,6 +21,7 @@ + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_clk_gate.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_clk_cond.xml similarity index 71% rename from openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_clk_gate.xml rename to openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_clk_cond.xml index cdcee36c2..903c4bfac 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_clk_gate.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_clk_cond.xml @@ -2,7 +2,8 @@ - + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf index 038156d09..65b15b8bd 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf @@ -16,7 +16,7 @@ timeout_each_job = 3*60 fpga_flow=yosys_vpr [OpenFPGA_SHELL] -openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/example_clkntwk_no_ace_script.openfpga +openfpga_shell_template=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_shell_scripts/example_clkntwk_int_driver_no_ace_script.openfpga openfpga_arch_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_arch/k4_frac_N4_fracff_40nm_Ntwk1clk1rst2lvl_cc_openfpga.xml openfpga_sim_setting_file=${PATH:OPENFPGA_PATH}/openfpga_flow/openfpga_simulation_settings/fixed_sim_openfpga.xml openfpga_repack_constraints_file=${PATH:TASK_DIR}/config/repack_pin_constraints.xml @@ -25,12 +25,13 @@ openfpga_vpr_route_chan_width=32 openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer_int_driver.xml openfpga_verilog_testbench_port_mapping=--explicit_port_mapping openfpga_route_clock_options= +openfpga_vpr_constraint_file=${PATH:TASK_DIR}/config/vpr_constraint_clk_cond.xml [ARCHITECTURES] arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40nm.xml [BENCHMARKS] -bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/clk_gate/clk_gate.v +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/clk_cond/clk_cond.v [SYNTHESIS_PARAM] # Yosys script parameters @@ -40,8 +41,9 @@ bench_read_verilog_options_common = -nolatches bench_yosys_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_dff_flow.ys bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosys_vpr_flow_with_rewrite.ys;${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_rewrite_flow.ys -bench0_top = clk_gate -bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_clk_gate.xml +bench0_top = clk_cond +bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_clk_cond.xml +bench0_openfpga_vpr_constraint_file=${PATH:TASK_DIR}/config/vpr_constraint_clk_cond.xml [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] end_flow_with_test= diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/vpr_constraint_clk_cond.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/vpr_constraint_clk_cond.xml new file mode 100644 index 000000000..f9b30b022 --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/vpr_constraint_clk_cond.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + From c6dd33a965a3a0d3856ccf22285fbbd434ca05c7 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 11:59:25 -0700 Subject: [PATCH 214/230] [core] fixed a bug when annotating global nets on OPIN --- .../src/annotation/openfpga_annotate_routing.cpp | 15 +++++++++++++-- 1 file changed, 13 insertions(+), 2 deletions(-) diff --git a/openfpga/src/annotation/openfpga_annotate_routing.cpp b/openfpga/src/annotation/openfpga_annotate_routing.cpp index 64854e8df..75da0fe8f 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.cpp +++ b/openfpga/src/annotation/openfpga_annotate_routing.cpp @@ -72,11 +72,22 @@ vtr::vector annotate_rr_node_global_net( break; } VTR_ASSERT(node_pin_num < phy_tile->num_pins); + t_rr_type rr_pin_type = IPIN; + if (phy_tile->class_inf[phy_tile->pin_class[node_pin_num]].type == RECEIVER) { + rr_pin_type = IPIN; + } else if (phy_tile->class_inf[phy_tile->pin_class[node_pin_num]].type == DRIVER) { + rr_pin_type = OPIN; + } else { + VTR_LOG_ERROR("When annotating global net '%s', invalid rr node pin type for '%s' pin '%d'\n", + cluster_nlist.net_name(net_id).c_str(), phy_tile->name, + node_pin_num); + exit(1); + } std::vector curr_rr_nodes = rr_graph.node_lookup().find_nodes_at_all_sides( - layer, blk_loc.loc.x, blk_loc.loc.y, IPIN, node_pin_num); + layer, blk_loc.loc.x, blk_loc.loc.y, rr_pin_type, node_pin_num); for (RRNodeId curr_rr_node : curr_rr_nodes) { - VTR_LOGV(verbose, "Annotate global net '%s' on '%s' pin '%d'\n", + VTR_LOGV(verbose, "on '%s' pin '%d'\n", cluster_nlist.net_name(net_id).c_str(), phy_tile->name, node_pin_num); rr_node_nets[curr_rr_node] = net_id; From 48e159dd8d1f379aabb99c87301801fbec862b79 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 12:23:15 -0700 Subject: [PATCH 215/230] [core] fixed a bug where internal clock will be wired to fpga input pins in verilog testbenches --- .../verilog_preconfig_top_module.cpp | 4 +++- .../verilog_preconfig_top_module_utils.cpp | 16 +++++++++++++++- .../verilog_preconfig_top_module_utils.h | 2 ++ .../verilog_testbench_io_connection.cpp | 2 +- 4 files changed, 21 insertions(+), 3 deletions(-) diff --git a/openfpga/src/fpga_verilog/verilog_preconfig_top_module.cpp b/openfpga/src/fpga_verilog/verilog_preconfig_top_module.cpp index 5c1c703e2..8e4a14170 100644 --- a/openfpga/src/fpga_verilog/verilog_preconfig_top_module.cpp +++ b/openfpga/src/fpga_verilog/verilog_preconfig_top_module.cpp @@ -65,6 +65,8 @@ static void print_verilog_preconfig_top_module_ports( /* The block may be renamed as it contains special characters which violate * Verilog syntax */ if (true == netlist_annotation.is_block_renamed(atom_blk)) { + VTR_LOG("Replace pin name '%s' with '%s' as it is renamed to comply verilog syntax\n", block_name.c_str(), + netlist_annotation.block_name(atom_blk).c_str()); block_name = netlist_annotation.block_name(atom_blk); } /* For output block, remove the prefix which is added by VPR */ @@ -445,7 +447,7 @@ int print_verilog_preconfig_top_module( /* Connect FPGA top module global ports to constant or benchmark global * signals! */ status = print_verilog_preconfig_top_module_connect_global_ports( - fp, module_manager, core_module, pin_constraints, global_ports, + fp, module_manager, core_module, pin_constraints, atom_ctx, netlist_annotation, global_ports, benchmark_clock_port_names, std::string(FORMAL_VERIFICATION_TOP_MODULE_PORT_POSTFIX)); if (CMD_EXEC_FATAL_ERROR == status) { diff --git a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp index 944506c89..2649c2c64 100644 --- a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp +++ b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp @@ -57,6 +57,8 @@ void print_verilog_preconfig_top_module_internal_wires( int print_verilog_preconfig_top_module_connect_global_ports( std::fstream &fp, const ModuleManager &module_manager, const ModuleId &top_module, const PinConstraints &pin_constraints, + const AtomContext& atom_ctx, + const VprNetlistAnnotation &netlist_annotation, const FabricGlobalPortInfo &fabric_global_ports, const std::vector &benchmark_clock_port_names, const std::string &port_postfix) { @@ -121,7 +123,19 @@ int print_verilog_preconfig_top_module_connect_global_ports( } clock_name_to_connect = benchmark_clock_port_names[pin_id]; } - + /* The clock name must be a valid primary input. Otherwise, it could be a signal generated by internal logics, e.g., clb */ + AtomBlockId atom_blk = atom_ctx.nlist.find_block(clock_name_to_connect); + if ((AtomBlockType::INPAD != atom_ctx.nlist.block_type(atom_blk))) { + VTR_LOG("Global net '%s' is not a primary input of the netlist (which could a signal generated by internal logic). Will not wire it to any FPGA primary input pin\n", clock_name_to_connect.c_str()); + continue; + } + /* The block may be renamed as it contains special characters which violate + * Verilog syntax */ + if (true == netlist_annotation.is_block_renamed(atom_blk)) { + VTR_LOG("Replace pin name '%s' with '%s' as it is renamed to comply verilog syntax\n", clock_name_to_connect.c_str(), + netlist_annotation.block_name(atom_blk).c_str()); + clock_name_to_connect = netlist_annotation.block_name(atom_blk); + } BasicPort benchmark_clock_pin(clock_name_to_connect, 1); print_verilog_wire_connection(fp, module_clock_pin, benchmark_clock_pin, false); diff --git a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.h b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.h index 55c85eadd..a0eb1616f 100644 --- a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.h +++ b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.h @@ -35,6 +35,8 @@ void print_verilog_preconfig_top_module_internal_wires( int print_verilog_preconfig_top_module_connect_global_ports( std::fstream &fp, const ModuleManager &module_manager, const ModuleId &top_module, const PinConstraints &pin_constraints, + const AtomContext& atom_ctx, + const VprNetlistAnnotation &netlist_annotation, const FabricGlobalPortInfo &fabric_global_ports, const std::vector &benchmark_clock_port_names, const std::string &port_postfix); diff --git a/openfpga/src/fpga_verilog/verilog_testbench_io_connection.cpp b/openfpga/src/fpga_verilog/verilog_testbench_io_connection.cpp index 30018e400..41ce502c8 100644 --- a/openfpga/src/fpga_verilog/verilog_testbench_io_connection.cpp +++ b/openfpga/src/fpga_verilog/verilog_testbench_io_connection.cpp @@ -90,7 +90,7 @@ int print_verilog_testbench_io_connection( /* Connect FPGA top module global ports to constant or benchmark global * signals! */ status = print_verilog_preconfig_top_module_connect_global_ports( - fp, module_manager, core_module, pin_constraints, global_ports, + fp, module_manager, core_module, pin_constraints, atom_ctx, netlist_annotation, global_ports, benchmark_clock_port_names, std::string()); if (CMD_EXEC_FATAL_ERROR == status) { return status; From 213914e4ac040658f1b59aa4a0f99325782d93f9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 12:23:57 -0700 Subject: [PATCH 216/230] [core] code format --- .../annotation/openfpga_annotate_routing.cpp | 13 +++++++---- .../verilog_preconfig_top_module.cpp | 10 ++++---- .../verilog_preconfig_top_module_utils.cpp | 23 ++++++++++++------- .../verilog_preconfig_top_module_utils.h | 3 +-- .../verilog_testbench_io_connection.cpp | 5 ++-- 5 files changed, 33 insertions(+), 21 deletions(-) diff --git a/openfpga/src/annotation/openfpga_annotate_routing.cpp b/openfpga/src/annotation/openfpga_annotate_routing.cpp index 75da0fe8f..489c80986 100644 --- a/openfpga/src/annotation/openfpga_annotate_routing.cpp +++ b/openfpga/src/annotation/openfpga_annotate_routing.cpp @@ -73,14 +73,17 @@ vtr::vector annotate_rr_node_global_net( } VTR_ASSERT(node_pin_num < phy_tile->num_pins); t_rr_type rr_pin_type = IPIN; - if (phy_tile->class_inf[phy_tile->pin_class[node_pin_num]].type == RECEIVER) { + if (phy_tile->class_inf[phy_tile->pin_class[node_pin_num]].type == + RECEIVER) { rr_pin_type = IPIN; - } else if (phy_tile->class_inf[phy_tile->pin_class[node_pin_num]].type == DRIVER) { + } else if (phy_tile->class_inf[phy_tile->pin_class[node_pin_num]].type == + DRIVER) { rr_pin_type = OPIN; } else { - VTR_LOG_ERROR("When annotating global net '%s', invalid rr node pin type for '%s' pin '%d'\n", - cluster_nlist.net_name(net_id).c_str(), phy_tile->name, - node_pin_num); + VTR_LOG_ERROR( + "When annotating global net '%s', invalid rr node pin type for '%s' " + "pin '%d'\n", + cluster_nlist.net_name(net_id).c_str(), phy_tile->name, node_pin_num); exit(1); } std::vector curr_rr_nodes = diff --git a/openfpga/src/fpga_verilog/verilog_preconfig_top_module.cpp b/openfpga/src/fpga_verilog/verilog_preconfig_top_module.cpp index 8e4a14170..3e47c6a16 100644 --- a/openfpga/src/fpga_verilog/verilog_preconfig_top_module.cpp +++ b/openfpga/src/fpga_verilog/verilog_preconfig_top_module.cpp @@ -65,8 +65,10 @@ static void print_verilog_preconfig_top_module_ports( /* The block may be renamed as it contains special characters which violate * Verilog syntax */ if (true == netlist_annotation.is_block_renamed(atom_blk)) { - VTR_LOG("Replace pin name '%s' with '%s' as it is renamed to comply verilog syntax\n", block_name.c_str(), - netlist_annotation.block_name(atom_blk).c_str()); + VTR_LOG( + "Replace pin name '%s' with '%s' as it is renamed to comply verilog " + "syntax\n", + block_name.c_str(), netlist_annotation.block_name(atom_blk).c_str()); block_name = netlist_annotation.block_name(atom_blk); } /* For output block, remove the prefix which is added by VPR */ @@ -447,8 +449,8 @@ int print_verilog_preconfig_top_module( /* Connect FPGA top module global ports to constant or benchmark global * signals! */ status = print_verilog_preconfig_top_module_connect_global_ports( - fp, module_manager, core_module, pin_constraints, atom_ctx, netlist_annotation, global_ports, - benchmark_clock_port_names, + fp, module_manager, core_module, pin_constraints, atom_ctx, + netlist_annotation, global_ports, benchmark_clock_port_names, std::string(FORMAL_VERIFICATION_TOP_MODULE_PORT_POSTFIX)); if (CMD_EXEC_FATAL_ERROR == status) { return status; diff --git a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp index 2649c2c64..bca7b6709 100644 --- a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp +++ b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp @@ -57,8 +57,7 @@ void print_verilog_preconfig_top_module_internal_wires( int print_verilog_preconfig_top_module_connect_global_ports( std::fstream &fp, const ModuleManager &module_manager, const ModuleId &top_module, const PinConstraints &pin_constraints, - const AtomContext& atom_ctx, - const VprNetlistAnnotation &netlist_annotation, + const AtomContext &atom_ctx, const VprNetlistAnnotation &netlist_annotation, const FabricGlobalPortInfo &fabric_global_ports, const std::vector &benchmark_clock_port_names, const std::string &port_postfix) { @@ -123,17 +122,25 @@ int print_verilog_preconfig_top_module_connect_global_ports( } clock_name_to_connect = benchmark_clock_port_names[pin_id]; } - /* The clock name must be a valid primary input. Otherwise, it could be a signal generated by internal logics, e.g., clb */ + /* The clock name must be a valid primary input. Otherwise, it could be + * a signal generated by internal logics, e.g., clb */ AtomBlockId atom_blk = atom_ctx.nlist.find_block(clock_name_to_connect); if ((AtomBlockType::INPAD != atom_ctx.nlist.block_type(atom_blk))) { - VTR_LOG("Global net '%s' is not a primary input of the netlist (which could a signal generated by internal logic). Will not wire it to any FPGA primary input pin\n", clock_name_to_connect.c_str()); + VTR_LOG( + "Global net '%s' is not a primary input of the netlist (which " + "could a signal generated by internal logic). Will not wire it to " + "any FPGA primary input pin\n", + clock_name_to_connect.c_str()); continue; } - /* The block may be renamed as it contains special characters which violate - * Verilog syntax */ + /* The block may be renamed as it contains special characters which + * violate Verilog syntax */ if (true == netlist_annotation.is_block_renamed(atom_blk)) { - VTR_LOG("Replace pin name '%s' with '%s' as it is renamed to comply verilog syntax\n", clock_name_to_connect.c_str(), - netlist_annotation.block_name(atom_blk).c_str()); + VTR_LOG( + "Replace pin name '%s' with '%s' as it is renamed to comply " + "verilog syntax\n", + clock_name_to_connect.c_str(), + netlist_annotation.block_name(atom_blk).c_str()); clock_name_to_connect = netlist_annotation.block_name(atom_blk); } BasicPort benchmark_clock_pin(clock_name_to_connect, 1); diff --git a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.h b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.h index a0eb1616f..20ae797e2 100644 --- a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.h +++ b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.h @@ -35,8 +35,7 @@ void print_verilog_preconfig_top_module_internal_wires( int print_verilog_preconfig_top_module_connect_global_ports( std::fstream &fp, const ModuleManager &module_manager, const ModuleId &top_module, const PinConstraints &pin_constraints, - const AtomContext& atom_ctx, - const VprNetlistAnnotation &netlist_annotation, + const AtomContext &atom_ctx, const VprNetlistAnnotation &netlist_annotation, const FabricGlobalPortInfo &fabric_global_ports, const std::vector &benchmark_clock_port_names, const std::string &port_postfix); diff --git a/openfpga/src/fpga_verilog/verilog_testbench_io_connection.cpp b/openfpga/src/fpga_verilog/verilog_testbench_io_connection.cpp index 41ce502c8..0f4978c60 100644 --- a/openfpga/src/fpga_verilog/verilog_testbench_io_connection.cpp +++ b/openfpga/src/fpga_verilog/verilog_testbench_io_connection.cpp @@ -90,8 +90,9 @@ int print_verilog_testbench_io_connection( /* Connect FPGA top module global ports to constant or benchmark global * signals! */ status = print_verilog_preconfig_top_module_connect_global_ports( - fp, module_manager, core_module, pin_constraints, atom_ctx, netlist_annotation, global_ports, - benchmark_clock_port_names, std::string()); + fp, module_manager, core_module, pin_constraints, atom_ctx, + netlist_annotation, global_ports, benchmark_clock_port_names, + std::string()); if (CMD_EXEC_FATAL_ERROR == status) { return status; } From af996e563eaed5353be4ba79c4ab1a3600a54fab Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 14:11:06 -0700 Subject: [PATCH 217/230] [test] add a new test to validate reset generated by internal driver through programmable clock network --- .../micro_benchmark/rst_cond/rst_cond.v | 26 ++++++++++++++ ..._arch_1clk_1rst_2layer_int_driver_clk.xml} | 0 ...k_arch_1clk_1rst_2layer_int_driver_rst.xml | 36 +++++++++++++++++++ .../config/pin_constraints_rst_cond.xml | 8 +++++ .../config/task.conf | 7 ++++ .../config/vpr_constraint_rst_cond.xml | 12 +++++++ 6 files changed, 89 insertions(+) create mode 100644 openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v rename openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/{clk_arch_1clk_1rst_2layer_int_driver.xml => clk_arch_1clk_1rst_2layer_int_driver_clk.xml} (100%) create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_rst.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_rst_cond.xml create mode 100644 openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/vpr_constraint_rst_cond.xml diff --git a/openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v b/openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v new file mode 100644 index 000000000..196eca363 --- /dev/null +++ b/openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v @@ -0,0 +1,26 @@ +///////////////////////////////////////// +// Functionality: A locally generated reset signal which is to test clock network with internal drivers +// Author: Xifan Tang +//////////////////////////////////////// +`timescale 1ns / 1ps + +module clk_cond(rst_i, rst_cond_i, clk_i, d_i, q_o); + +input wire rst_cond_i; +input wire rst_i; +input wire clk_i; +input wire d_i; +output reg q_o; + +wire int_rst; +assign int_rst = rst_cond_i & rst_i; + +always @(posedge int_rst or posedge clk_i) begin + if (int_rst) begin + q_o <= 0; + end else begin + q_o <= d_i; + end +end + +endmodule diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_clk.xml similarity index 100% rename from openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver.xml rename to openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_clk.xml diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_rst.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_rst.xml new file mode 100644 index 000000000..1266725de --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_rst.xml @@ -0,0 +1,36 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_rst_cond.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_rst_cond.xml new file mode 100644 index 000000000..55e49733f --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/pin_constraints_rst_cond.xml @@ -0,0 +1,8 @@ + + + + + + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf index 65b15b8bd..a61220518 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf @@ -32,6 +32,7 @@ arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40 [BENCHMARKS] bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/clk_cond/clk_cond.v +bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v [SYNTHESIS_PARAM] # Yosys script parameters @@ -44,6 +45,12 @@ bench_yosys_rewrite_common=${PATH:OPENFPGA_PATH}/openfpga_flow/misc/ys_tmpl_yosy bench0_top = clk_cond bench0_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_clk_cond.xml bench0_openfpga_vpr_constraint_file=${PATH:TASK_DIR}/config/vpr_constraint_clk_cond.xml +bench0_openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer_int_driver_clk.xml + +bench1_top = rst_cond +bench1_openfpga_pin_constraints_file = ${PATH:TASK_DIR}/config/pin_constraints_rst_cond.xml +bench1_openfpga_vpr_constraint_file=${PATH:TASK_DIR}/config/vpr_constraint_rst_cond.xml +bench1_openfpga_clock_arch_file=${PATH:TASK_DIR}/config/clk_arch_1clk_1rst_2layer_int_driver_rst.xml [SCRIPT_PARAM_MIN_ROUTE_CHAN_WIDTH] end_flow_with_test= diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/vpr_constraint_rst_cond.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/vpr_constraint_rst_cond.xml new file mode 100644 index 000000000..3c6f27aef --- /dev/null +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/vpr_constraint_rst_cond.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + From 977283dd34e15a7d1cfc5dbd7a335769e7242c98 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 14:12:49 -0700 Subject: [PATCH 218/230] [core] typo --- openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v | 2 +- .../homo_1clock_1reset_2layer_internal_driver/config/task.conf | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v b/openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v index 196eca363..fba40f3a7 100644 --- a/openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v +++ b/openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v @@ -4,7 +4,7 @@ //////////////////////////////////////// `timescale 1ns / 1ps -module clk_cond(rst_i, rst_cond_i, clk_i, d_i, q_o); +module rst_cond(rst_i, rst_cond_i, clk_i, d_i, q_o); input wire rst_cond_i; input wire rst_i; diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf index a61220518..a4841a1ae 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/task.conf @@ -32,7 +32,7 @@ arch0=${PATH:OPENFPGA_PATH}/openfpga_flow/vpr_arch/k4_frac_N4_tileable_fracff_40 [BENCHMARKS] bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/clk_cond/clk_cond.v -bench0=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v +bench1=${PATH:OPENFPGA_PATH}/openfpga_flow/benchmarks/micro_benchmark/rst_cond/rst_cond.v [SYNTHESIS_PARAM] # Yosys script parameters From f5ba43e3922244c0b05318a29d05f27842dd259b Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 14:16:24 -0700 Subject: [PATCH 219/230] [core] fixed a bug where rst internal net is used to wire global ports of fpga fabric in verilog testbench --- .../verilog_preconfig_top_module_utils.cpp | 21 +++++++++++++++++++ 1 file changed, 21 insertions(+) diff --git a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp index bca7b6709..433a05620 100644 --- a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp +++ b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp @@ -172,6 +172,27 @@ int print_verilog_preconfig_top_module_connect_global_ports( */ if ((false == pin_constraints.unconstrained_net(constrained_net_name)) && (false == pin_constraints.unmapped_net(constrained_net_name))) { + /* The clock name must be a valid primary input. Otherwise, it could be + * a signal generated by internal logics, e.g., clb */ + AtomBlockId atom_blk = atom_ctx.nlist.find_block(constrained_net_name); + if ((AtomBlockType::INPAD != atom_ctx.nlist.block_type(atom_blk))) { + VTR_LOG( + "Global net '%s' is not a primary input of the netlist (which " + "could a signal generated by internal logic). Will not wire it to " + "any FPGA primary input pin\n", + constrained_net_name.c_str()); + continue; + } + /* The block may be renamed as it contains special characters which + * violate Verilog syntax */ + if (true == netlist_annotation.is_block_renamed(atom_blk)) { + VTR_LOG( + "Replace pin name '%s' with '%s' as it is renamed to comply " + "verilog syntax\n", + constrained_net_name.c_str(), + netlist_annotation.block_name(atom_blk).c_str()); + constrained_net_name = netlist_annotation.block_name(atom_blk); + } BasicPort benchmark_pin(constrained_net_name, 1); print_verilog_wire_connection(fp, module_global_pin, benchmark_pin, false); From 215de8eb9392978528a9bd50d07a8314cea8ff07 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 14:17:22 -0700 Subject: [PATCH 220/230] [core] code format --- .../src/fpga_verilog/verilog_preconfig_top_module_utils.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp index 433a05620..0073ab46d 100644 --- a/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp +++ b/openfpga/src/fpga_verilog/verilog_preconfig_top_module_utils.cpp @@ -191,7 +191,7 @@ int print_verilog_preconfig_top_module_connect_global_ports( "verilog syntax\n", constrained_net_name.c_str(), netlist_annotation.block_name(atom_blk).c_str()); - constrained_net_name = netlist_annotation.block_name(atom_blk); + constrained_net_name = netlist_annotation.block_name(atom_blk); } BasicPort benchmark_pin(constrained_net_name, 1); print_verilog_wire_connection(fp, module_global_pin, benchmark_pin, From 079e6f2fcadc59ea6d88600da048fd02f641040d Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 14:28:28 -0700 Subject: [PATCH 221/230] [core] add new syntax to support from_pin and to_pin for internal driver in clock network --- .../src/base/clock_network.cpp | 21 +++++++++++++------ .../src/base/clock_network.h | 11 ++++++---- 2 files changed, 22 insertions(+), 10 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 04dd1fab4..2d891c33f 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -360,10 +360,16 @@ ClockNetwork::spine_switch_point_internal_drivers( return spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)]; } -std::string ClockNetwork::internal_driver_port( +std::string ClockNetwork::internal_driver_from_pin( const ClockInternalDriverId& int_driver_id) const { VTR_ASSERT(valid_internal_driver_id(int_driver_id)); - return internal_driver_ports_[int_driver_id]; + return internal_driver_from_pins_[int_driver_id]; +} + +std::string ClockNetwork::internal_driver_to_pin( + const ClockInternalDriverId& int_driver_id) const { + VTR_ASSERT(valid_internal_driver_id(int_driver_id)); + return internal_driver_to_pins_[int_driver_id]; } std::vector ClockNetwork::tree_taps( @@ -523,7 +529,7 @@ std::vector ClockNetwork::tree_flatten_tap_to_ports( return flatten_taps; } -std::vector ClockNetwork::flatten_internal_driver_port( +std::vector ClockNetwork::flatten_internal_driver_from_pin( const ClockInternalDriverId& int_driver_id) const { std::vector flatten_taps; std::string tap_name = internal_driver_port(int_driver_id); @@ -765,12 +771,14 @@ ClockSwitchPointId ClockNetwork::add_spine_switch_point( ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id, - const std::string& int_driver_port) { + const std::string& int_driver_from_port, + const std::string& int_driver_to_port) { VTR_ASSERT(valid_spine_id(spine_id)); VTR_ASSERT(valid_spine_switch_point_id(spine_id, switch_point_id)); /* Find any existing id for the driver port */ for (ClockInternalDriverId int_driver_id : internal_driver_ids_) { - if (internal_driver_ports_[int_driver_id] == int_driver_port) { + if (internal_driver_from_pins_[int_driver_id] == int_driver_from_port + && internal_driver_to_pins_[int_driver_id] == int_driver_to_port) { spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)] .push_back(int_driver_id); return int_driver_id; @@ -780,7 +788,8 @@ ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver( ClockInternalDriverId int_driver_id = ClockInternalDriverId(internal_driver_ids_.size()); internal_driver_ids_.push_back(int_driver_id); - internal_driver_ports_.push_back(int_driver_port); + internal_driver_from_pins_.push_back(int_driver_port_from_port); + internal_driver_to_pins_.push_back(int_driver_port_to_port); spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)].push_back( int_driver_id); return int_driver_id; diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index ffec611df..8be10f8bb 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -135,9 +135,11 @@ class ClockNetwork { std::vector spine_switch_point_internal_drivers( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id) const; - std::string internal_driver_port( + std::string internal_driver_from_pin( const ClockInternalDriverId& int_driver_id) const; - std::vector flatten_internal_driver_port( + std::vector flatten_internal_driver_from_pin( + const ClockInternalDriverId& int_driver_id) const; + std::string internal_driver_to_pin( const ClockInternalDriverId& int_driver_id) const; /* Return the original list of tap pins that is in storage; useful for parsers @@ -222,7 +224,7 @@ class ClockNetwork { const vtr::Point& coord); ClockInternalDriverId add_spine_switch_point_internal_driver( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id, - const std::string& internal_driver_port); + const std::string& internal_driver_from_port, const std::string& internal_driver_to_port); ClockTapId add_tree_tap(const ClockTreeId& tree_id, const BasicPort& from_port, const std::string& to_port); @@ -317,7 +319,8 @@ class ClockNetwork { /* Basic Information about internal drivers */ vtr::vector internal_driver_ids_; - vtr::vector internal_driver_ports_; + vtr::vector internal_driver_from_pins_; + vtr::vector internal_driver_to_pins_; /* Basic information about tap */ vtr::vector tap_ids_; vtr::vector tap_from_ports_; From b2fc47a12a09449b76cc51a4afa8e8cc3f167a29 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 14:34:54 -0700 Subject: [PATCH 222/230] [core] reworked i/o for clock network files --- .../arch/example_internal_drivers.xml | 4 ++-- .../src/io/clock_network_xml_constants.h | 4 +++- .../src/io/read_xml_clock_network.cpp | 12 +++++++++--- .../src/io/write_xml_clock_network.cpp | 7 +++++-- 4 files changed, 19 insertions(+), 8 deletions(-) diff --git a/libs/libclkarchopenfpga/arch/example_internal_drivers.xml b/libs/libclkarchopenfpga/arch/example_internal_drivers.xml index 6215ba443..d6435523c 100644 --- a/libs/libclkarchopenfpga/arch/example_internal_drivers.xml +++ b/libs/libclkarchopenfpga/arch/example_internal_drivers.xml @@ -2,10 +2,10 @@ - + - + diff --git a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h index a63141870..65e828573 100644 --- a/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h +++ b/libs/libclkarchopenfpga/src/io/clock_network_xml_constants.h @@ -25,7 +25,9 @@ constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_NODE_NAME = "switch_point"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME = "internal_driver"; constexpr const char* - XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN = "tile_pin"; + XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_FROM_PIN = "from_pin"; +constexpr const char* + XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TO_PIN = "to_pin"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_TAP = "tap"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_X = "x"; constexpr const char* XML_CLOCK_SPINE_SWITCH_POINT_ATTRIBUTE_Y = "y"; diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index c56b152fd..8e7116f62 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -163,13 +163,19 @@ static void read_xml_clock_spine_switch_point_internal_driver( "Invalid id of a clock spine!\n"); } - std::string int_driver_port_name = + std::string int_driver_from_port_name = get_attribute( xml_int_driver, - XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN, loc_data) + XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_FROM_PIN, loc_data) + .as_string(); + std::string int_driver_to_port_name = + get_attribute( + xml_int_driver, + XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TO_PIN, loc_data) .as_string(); clk_ntwk.add_spine_switch_point_internal_driver(spine_id, switch_point_id, - int_driver_port_name); + int_driver_from_port_name, + int_driver_to_port_name); } /******************************************************************** diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index e36ed9ed8..8d57618a9 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -126,8 +126,11 @@ static int write_xml_clock_spine_switch_point( openfpga::write_tab_to_file(fp, 4); fp << "<" << XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_NODE_NAME; write_xml_attribute( - fp, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TILE_PIN, - clk_ntwk.internal_driver_port(int_driver_id).c_str()); + fp, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_FROM_PIN, + clk_ntwk.internal_driver_from_pin(int_driver_id).c_str()); + write_xml_attribute( + fp, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TO_PIN, + clk_ntwk.internal_driver_to_pin(int_driver_id).c_str()); fp << "/>" << "\n"; } From a4538fb25bab4b0d367c70357d74f795ece13060 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 15:01:18 -0700 Subject: [PATCH 223/230] [core] now supports to_pin in building clock network for internal driver --- .../src/base/clock_network.cpp | 30 +++++++++++++++---- .../src/base/clock_network.h | 7 +++-- .../src/io/write_xml_clock_network.cpp | 2 +- .../src/annotation/append_clock_rr_graph.cpp | 11 ++++--- 4 files changed, 36 insertions(+), 14 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index 2d891c33f..db3bf0cf7 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -366,7 +366,7 @@ std::string ClockNetwork::internal_driver_from_pin( return internal_driver_from_pins_[int_driver_id]; } -std::string ClockNetwork::internal_driver_to_pin( +BasicPort ClockNetwork::internal_driver_to_pin( const ClockInternalDriverId& int_driver_id) const { VTR_ASSERT(valid_internal_driver_id(int_driver_id)); return internal_driver_to_pins_[int_driver_id]; @@ -530,9 +530,25 @@ std::vector ClockNetwork::tree_flatten_tap_to_ports( } std::vector ClockNetwork::flatten_internal_driver_from_pin( - const ClockInternalDriverId& int_driver_id) const { + const ClockInternalDriverId& int_driver_id, + const ClockTreePinId& clk_pin_id) const { std::vector flatten_taps; - std::string tap_name = internal_driver_port(int_driver_id); + BasicPort des_pin = internal_driver_to_pin(int_driver_id); + if (!des_pin.is_valid()) { + VTR_LOG_ERROR("Invalid internal driver destination port name '%s' whose index is not valid\n", + des_pin.to_verilog_string().c_str()); + exit(1); + } + if (des_pin.get_width() != 1) { + VTR_LOG_ERROR("Invalid internal driver destination port name '%s' whose width is not 1\n", + des_pin.to_verilog_string().c_str()); + exit(1); + } + if (des_pin.get_lsb() != size_t(clk_pin_id)) { + return flatten_taps; + } + + std::string tap_name = internal_driver_from_pin(int_driver_id); StringToken tokenizer(tap_name); std::vector pin_tokens = tokenizer.split("."); if (pin_tokens.size() != 2) { @@ -775,10 +791,12 @@ ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver( const std::string& int_driver_to_port) { VTR_ASSERT(valid_spine_id(spine_id)); VTR_ASSERT(valid_spine_switch_point_id(spine_id, switch_point_id)); + /* Parse ports */ + PortParser to_pin_parser(int_driver_to_port); /* Find any existing id for the driver port */ for (ClockInternalDriverId int_driver_id : internal_driver_ids_) { if (internal_driver_from_pins_[int_driver_id] == int_driver_from_port - && internal_driver_to_pins_[int_driver_id] == int_driver_to_port) { + && internal_driver_to_pins_[int_driver_id] == to_pin_parser.port()) { spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)] .push_back(int_driver_id); return int_driver_id; @@ -788,8 +806,8 @@ ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver( ClockInternalDriverId int_driver_id = ClockInternalDriverId(internal_driver_ids_.size()); internal_driver_ids_.push_back(int_driver_id); - internal_driver_from_pins_.push_back(int_driver_port_from_port); - internal_driver_to_pins_.push_back(int_driver_port_to_port); + internal_driver_from_pins_.push_back(int_driver_from_port); + internal_driver_to_pins_.push_back(to_pin_parser.port()); spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)].push_back( int_driver_id); return int_driver_id; diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 8be10f8bb..088a238f9 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -138,8 +138,9 @@ class ClockNetwork { std::string internal_driver_from_pin( const ClockInternalDriverId& int_driver_id) const; std::vector flatten_internal_driver_from_pin( - const ClockInternalDriverId& int_driver_id) const; - std::string internal_driver_to_pin( + const ClockInternalDriverId& int_driver_id, + const ClockTreePinId& clk_pin_id) const; + BasicPort internal_driver_to_pin( const ClockInternalDriverId& int_driver_id) const; /* Return the original list of tap pins that is in storage; useful for parsers @@ -320,7 +321,7 @@ class ClockNetwork { vtr::vector internal_driver_ids_; vtr::vector internal_driver_from_pins_; - vtr::vector internal_driver_to_pins_; + vtr::vector internal_driver_to_pins_; /* Basic information about tap */ vtr::vector tap_ids_; vtr::vector tap_from_ports_; diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index 8d57618a9..d570c7607 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -130,7 +130,7 @@ static int write_xml_clock_spine_switch_point( clk_ntwk.internal_driver_from_pin(int_driver_id).c_str()); write_xml_attribute( fp, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TO_PIN, - clk_ntwk.internal_driver_to_pin(int_driver_id).c_str()); + clk_ntwk.internal_driver_to_pin(int_driver_id).to_verilog_string().c_str()); fp << "/>" << "\n"; } diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index d051634db..a6695c678 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -590,11 +590,13 @@ static void try_find_and_add_clock_opin2track_node( std::vector& opin_nodes, const DeviceGrid& grids, const RRGraphView& rr_graph_view, const size_t& layer, const vtr::Point& grid_coord, const e_side& pin_side, - const ClockNetwork& clk_ntwk, const ClockInternalDriverId& int_driver_id) { + const ClockNetwork& clk_ntwk, + const ClockTreePinId& clk_pin, + const ClockInternalDriverId& int_driver_id) { t_physical_tile_type_ptr grid_type = grids.get_physical_type( t_physical_tile_loc(grid_coord.x(), grid_coord.y(), layer)); for (std::string tap_pin_name : - clk_ntwk.flatten_internal_driver_port(int_driver_id)) { + clk_ntwk.flatten_internal_driver_from_pin(int_driver_id, clk_pin)) { /* tap pin name could be 'io[5:5].a2f[0]' */ int grid_pin_idx = find_physical_tile_pin_index(grid_type, tap_pin_name); if (grid_pin_idx == grid_type->num_pins) { @@ -636,6 +638,7 @@ static std::vector find_clock_opin2track_node( const DeviceGrid& grids, const RRGraphView& rr_graph_view, const size_t& layer, const vtr::Point& sb_coord, const ClockNetwork& clk_ntwk, + const ClockTreePinId& clk_pin, const std::vector& int_driver_ids) { std::vector opin_nodes; /* Find opins from @@ -660,7 +663,7 @@ static std::vector find_clock_opin2track_node( for (ClockInternalDriverId int_driver_id : int_driver_ids) { try_find_and_add_clock_opin2track_node(opin_nodes, grids, rr_graph_view, layer, grid_coord, grid_side, - clk_ntwk, int_driver_id); + clk_ntwk, clk_pin, int_driver_id); } } } @@ -709,7 +712,7 @@ static int add_rr_graph_opin2clk_edges( clk_ntwk.spine_switch_point_internal_drivers(ispine, switch_point_id); for (RRNodeId src_node : find_clock_opin2track_node( - grids, rr_graph_view, layer, src_coord, clk_ntwk, + grids, rr_graph_view, layer, src_coord, clk_ntwk, ipin, int_driver_ids)) { /* Create edges */ VTR_ASSERT(rr_graph_view.valid_node(des_node)); From e614ca7380f06e072e3fc3525f0d66138358f232 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 15:03:27 -0700 Subject: [PATCH 224/230] [test] use new syntax --- .../config/clk_arch_1clk_1rst_2layer_int_driver_clk.xml | 8 ++++---- .../config/clk_arch_1clk_1rst_2layer_int_driver_rst.xml | 4 ++-- 2 files changed, 6 insertions(+), 6 deletions(-) diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_clk.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_clk.xml index 38bc90f9d..481e88a60 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_clk.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_clk.xml @@ -2,16 +2,16 @@ - + - + - + - + diff --git a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_rst.xml b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_rst.xml index 1266725de..a89b25a17 100644 --- a/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_rst.xml +++ b/openfpga_flow/tasks/basic_tests/clock_network/homo_1clock_1reset_2layer_internal_driver/config/clk_arch_1clk_1rst_2layer_int_driver_rst.xml @@ -17,10 +17,10 @@ - + - + From a390aad0b8c01b5194594e5b38b2ccf28d37c9b6 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 15:07:16 -0700 Subject: [PATCH 225/230] [doc] add new syntax --- .../manual/file_formats/clock_network.rst | 23 ++++++++++++------- 1 file changed, 15 insertions(+), 8 deletions(-) diff --git a/docs/source/manual/file_formats/clock_network.rst b/docs/source/manual/file_formats/clock_network.rst index 60cd10eff..fba5c3ef2 100644 --- a/docs/source/manual/file_formats/clock_network.rst +++ b/docs/source/manual/file_formats/clock_network.rst @@ -28,7 +28,7 @@ The entry point of a clock tree must be at a valid connection block. - + @@ -213,19 +213,26 @@ where clock spine ``spine0`` will drive another clock spine ``spine1`` at (1, 1) For each switch point, outputs of neighbouring programmable blocks are allowed to drive the spine at next level, through syntax ``internal_driver``. -.. option:: tile_pin="" +.. option:: from_pin="" Define the pin of a programmable block as an internal driver to a clock network. The pin must be a valid pin defined in the VPR architecture description file. +.. option:: to_pin="" + + Define the source pin of a clock network. The pin must be a valid pin of the global ports defined in the tile_annotation part of OpenFPGA architecture description file. + For example, .. code-block:: xml - - - - - + + + + + + + + where the clock routing can be driven at (x=1,y=1) by the output pins ``O[0:3]`` of tile ``clb`` in a VPR architecture description file: @@ -298,7 +305,7 @@ For example, .. code-block:: xml - + From c96f899c53214d4adffd004a47bb46a7f8b2aae9 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 15:07:26 -0700 Subject: [PATCH 226/230] [core] code format --- .../src/base/clock_network.cpp | 16 ++++++++++------ libs/libclkarchopenfpga/src/base/clock_network.h | 3 ++- .../src/io/read_xml_clock_network.cpp | 6 +++--- .../src/io/write_xml_clock_network.cpp | 4 +++- .../src/annotation/append_clock_rr_graph.cpp | 12 +++++------- 5 files changed, 23 insertions(+), 18 deletions(-) diff --git a/libs/libclkarchopenfpga/src/base/clock_network.cpp b/libs/libclkarchopenfpga/src/base/clock_network.cpp index db3bf0cf7..e989e88f4 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.cpp +++ b/libs/libclkarchopenfpga/src/base/clock_network.cpp @@ -535,13 +535,17 @@ std::vector ClockNetwork::flatten_internal_driver_from_pin( std::vector flatten_taps; BasicPort des_pin = internal_driver_to_pin(int_driver_id); if (!des_pin.is_valid()) { - VTR_LOG_ERROR("Invalid internal driver destination port name '%s' whose index is not valid\n", - des_pin.to_verilog_string().c_str()); + VTR_LOG_ERROR( + "Invalid internal driver destination port name '%s' whose index is not " + "valid\n", + des_pin.to_verilog_string().c_str()); exit(1); } if (des_pin.get_width() != 1) { - VTR_LOG_ERROR("Invalid internal driver destination port name '%s' whose width is not 1\n", - des_pin.to_verilog_string().c_str()); + VTR_LOG_ERROR( + "Invalid internal driver destination port name '%s' whose width is not " + "1\n", + des_pin.to_verilog_string().c_str()); exit(1); } if (des_pin.get_lsb() != size_t(clk_pin_id)) { @@ -795,8 +799,8 @@ ClockInternalDriverId ClockNetwork::add_spine_switch_point_internal_driver( PortParser to_pin_parser(int_driver_to_port); /* Find any existing id for the driver port */ for (ClockInternalDriverId int_driver_id : internal_driver_ids_) { - if (internal_driver_from_pins_[int_driver_id] == int_driver_from_port - && internal_driver_to_pins_[int_driver_id] == to_pin_parser.port()) { + if (internal_driver_from_pins_[int_driver_id] == int_driver_from_port && + internal_driver_to_pins_[int_driver_id] == to_pin_parser.port()) { spine_switch_internal_drivers_[spine_id][size_t(switch_point_id)] .push_back(int_driver_id); return int_driver_id; diff --git a/libs/libclkarchopenfpga/src/base/clock_network.h b/libs/libclkarchopenfpga/src/base/clock_network.h index 088a238f9..2f1a09592 100644 --- a/libs/libclkarchopenfpga/src/base/clock_network.h +++ b/libs/libclkarchopenfpga/src/base/clock_network.h @@ -225,7 +225,8 @@ class ClockNetwork { const vtr::Point& coord); ClockInternalDriverId add_spine_switch_point_internal_driver( const ClockSpineId& spine_id, const ClockSwitchPointId& switch_point_id, - const std::string& internal_driver_from_port, const std::string& internal_driver_to_port); + const std::string& internal_driver_from_port, + const std::string& internal_driver_to_port); ClockTapId add_tree_tap(const ClockTreeId& tree_id, const BasicPort& from_port, const std::string& to_port); diff --git a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp index 8e7116f62..46f74641d 100644 --- a/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/read_xml_clock_network.cpp @@ -169,9 +169,9 @@ static void read_xml_clock_spine_switch_point_internal_driver( XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_FROM_PIN, loc_data) .as_string(); std::string int_driver_to_port_name = - get_attribute( - xml_int_driver, - XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TO_PIN, loc_data) + get_attribute(xml_int_driver, + XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TO_PIN, + loc_data) .as_string(); clk_ntwk.add_spine_switch_point_internal_driver(spine_id, switch_point_id, int_driver_from_port_name, diff --git a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp index d570c7607..1d9937141 100644 --- a/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp +++ b/libs/libclkarchopenfpga/src/io/write_xml_clock_network.cpp @@ -130,7 +130,9 @@ static int write_xml_clock_spine_switch_point( clk_ntwk.internal_driver_from_pin(int_driver_id).c_str()); write_xml_attribute( fp, XML_CLOCK_SPINE_SWITCH_POINT_INTERNAL_DRIVER_ATTRIBUTE_TO_PIN, - clk_ntwk.internal_driver_to_pin(int_driver_id).to_verilog_string().c_str()); + clk_ntwk.internal_driver_to_pin(int_driver_id) + .to_verilog_string() + .c_str()); fp << "/>" << "\n"; } diff --git a/openfpga/src/annotation/append_clock_rr_graph.cpp b/openfpga/src/annotation/append_clock_rr_graph.cpp index a6695c678..3552cdc33 100644 --- a/openfpga/src/annotation/append_clock_rr_graph.cpp +++ b/openfpga/src/annotation/append_clock_rr_graph.cpp @@ -590,8 +590,7 @@ static void try_find_and_add_clock_opin2track_node( std::vector& opin_nodes, const DeviceGrid& grids, const RRGraphView& rr_graph_view, const size_t& layer, const vtr::Point& grid_coord, const e_side& pin_side, - const ClockNetwork& clk_ntwk, - const ClockTreePinId& clk_pin, + const ClockNetwork& clk_ntwk, const ClockTreePinId& clk_pin, const ClockInternalDriverId& int_driver_id) { t_physical_tile_type_ptr grid_type = grids.get_physical_type( t_physical_tile_loc(grid_coord.x(), grid_coord.y(), layer)); @@ -637,8 +636,7 @@ static void try_find_and_add_clock_opin2track_node( static std::vector find_clock_opin2track_node( const DeviceGrid& grids, const RRGraphView& rr_graph_view, const size_t& layer, const vtr::Point& sb_coord, - const ClockNetwork& clk_ntwk, - const ClockTreePinId& clk_pin, + const ClockNetwork& clk_ntwk, const ClockTreePinId& clk_pin, const std::vector& int_driver_ids) { std::vector opin_nodes; /* Find opins from @@ -661,9 +659,9 @@ static std::vector find_clock_opin2track_node( vtr::Point grid_coord = grid_coords[igrid]; for (e_side grid_side : grid_sides[igrid]) { for (ClockInternalDriverId int_driver_id : int_driver_ids) { - try_find_and_add_clock_opin2track_node(opin_nodes, grids, rr_graph_view, - layer, grid_coord, grid_side, - clk_ntwk, clk_pin, int_driver_id); + try_find_and_add_clock_opin2track_node( + opin_nodes, grids, rr_graph_view, layer, grid_coord, grid_side, + clk_ntwk, clk_pin, int_driver_id); } } } From 0c99fcf6f4e1a893e1b5ea6233bd560e190e7617 Mon Sep 17 00:00:00 2001 From: tangxifan Date: Wed, 10 Jul 2024 15:07:57 -0700 Subject: [PATCH 227/230] [doc] format --- .../manual/openfpga_shell/openfpga_commands/setup_commands.rst | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/source/manual/openfpga_shell/openfpga_commands/setup_commands.rst b/docs/source/manual/openfpga_shell/openfpga_commands/setup_commands.rst index 2bf9e4ccb..ab27eeceb 100644 --- a/docs/source/manual/openfpga_shell/openfpga_commands/setup_commands.rst +++ b/docs/source/manual/openfpga_shell/openfpga_commands/setup_commands.rst @@ -132,7 +132,7 @@ Clock signals will be auto-detected and routed based on pin constraints which ar Specify the *Pin Constraints File* (PCF) when the clock network contains multiple clock pins. For example, ``-pin_constraints_file pin_constraints.xml``. Strongly recommend for multi-clock network. See detailed file format about :ref:`file_format_pin_constraints_file`. -.. note:: If there is a global net, e.g., ``clk`` or ``reset``, which will be driven by an internal resource, it should also be defined in the PCF file. + .. note:: If there is a global net, e.g., ``clk`` or ``reset``, which will be driven by an internal resource, it should also be defined in the PCF file. .. option:: --disable_unused_trees From ab126d8cfcef60d1cd4882738c193abd71e97ccc Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Wed, 10 Jul 2024 23:57:28 +0000 Subject: [PATCH 228/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index 5b5fa0de1..4213f6c90 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2480 +1.2.2516 From df0d64ddb4fea8202e80b99d8f4bc189e40a337f Mon Sep 17 00:00:00 2001 From: "dependabot[bot]" <49699333+dependabot[bot]@users.noreply.github.com> Date: Fri, 19 Jul 2024 06:34:08 +0000 Subject: [PATCH 229/230] Bump yosys from `b08688f` to `28ebefd` Bumps [yosys](https://github.com/YosysHQ/yosys) from `b08688f` to `28ebefd`. - [Release notes](https://github.com/YosysHQ/yosys/releases) - [Commits](https://github.com/YosysHQ/yosys/compare/b08688f71197316cd44859b8ced16136cf1b3957...28ebefda4ac6f534d1e7d3f00224040df0fc5b81) --- updated-dependencies: - dependency-name: yosys dependency-type: direct:production ... Signed-off-by: dependabot[bot] --- yosys | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/yosys b/yosys index b08688f71..28ebefda4 160000 --- a/yosys +++ b/yosys @@ -1 +1 @@ -Subproject commit b08688f71197316cd44859b8ced16136cf1b3957 +Subproject commit 28ebefda4ac6f534d1e7d3f00224040df0fc5b81 From 9570726ab998a09849d36bfb4c32d7edb571b248 Mon Sep 17 00:00:00 2001 From: "github-actions[bot]" <41898282+github-actions[bot]@users.noreply.github.com> Date: Sun, 21 Jul 2024 03:13:52 +0000 Subject: [PATCH 230/230] Updated Patch Count --- VERSION.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/VERSION.md b/VERSION.md index 4213f6c90..843c88771 100644 --- a/VERSION.md +++ b/VERSION.md @@ -1 +1 @@ -1.2.2516 +1.2.2520

    ;5{jvSWi8A(ulZ zd26kli;J^McQJTqECxX9*etv|V(|r5#QmmWNNnRkcK(3y?hlNf8ONY)w)pQpvuGDA zhv1oAYfrDT=6{f(l|JV_n#zEEm^J;${d9SYR%I$-j+kOVp-}zF2t6Q27D13!$u|tVUy$Gh z{`Wf%#PR0;cRn7BC3n!=q%Mr&{Tk4&#!?(h@bR&MwAZgdM(FMaO%3j5l_sybCP2eu zUu$Ip-f8h@%#`H=9O0tFaE$5ma2`kKK`8x-0sXz^)R{}uxr>l%w1`O7Sho(mQpdf5 ztjNcaxpIfgU(&KW%S7=vDWIPsHih}+EBFe{UI&q+atLa z?f2Yr$7X8|B0<$04HNogI1Bvk!*qNvmz>Aqh0#c&6*^(^K4rz67Hi1bp>=Ut3FPF) zj;cyA;>%4R8HhC7F!!Cyr>wZD952OHAs67-a+8vh77l#L8|Eg=bc4iv_0?XxZ3VOvN9pY>g?_^YU8I8xfhICJiu=WE>hnmV+Ds<;eeN)iNS&B ze%Og$eU#!MA%^3YREAh4%nI^)<;HQ!YHCDgUACZ&=XaRZ)eqIcgB2>N!s~qGa^Ng< zRxOagFq{3fSj0$PoopGGfF(IWGOR^urTlX8jRg(C)D2zHg|OYt)qEcEu%^&?!ScL( zz3&vP(g>>;88GbLvJUfuufaBvb7ihft4Tz|Ru_lRdH-4bBY2(%ZBZVPZzrFoQjFl( z_7!-2=nzTGZoGxn<6&+N&n)@nt85vuFh(yl@dRFwCI_Ctb5i$r%;I_zQ48^dvfmeIDR zzb==C<=oAMNWUohJstE`^S7RZGmo(+B7E9NjWm|HS}m%yR`Sa~Mi^-^wJIH-i)aZw z*601fNb@vd4`&W}IjsLm*{ZMs*y@uw&p>>G9+Izjy&YZLd^AgldwirgiAR zFst&1cCn8m9cSD>BERxgFfSE;wPjdi0pf>Ko?6r1<(ao{c$%3xnT2m`SA#I(bJ;>B zZ)=e=hl%qku(5t0Y*9{dajI9L3PFqbOTf*F?oM9|gJz33>FM<>%4w>cp0>$S$SwZ6B4v?Q5R(*dxy)&Gf zMdOq!(&JHc0c#FwFaOapSHDorx_%#BVKRen=6!NPDK2+;Go#fgk&*8lpNbsSj&@Kg zxvbHx9Ffus$HcGBv6a#*S|cZLd3BtTITLMryUn@H$0k-=gB1E&euj=n-DSU~y*U>1 z{vw*oIQTUFH1R}AO!96mbmTh7es8D||SZ(>ltojMq zOLWk%_XM#AR4hHib!*54x9>8W zWnm41VBIfNJ5RILPtAziKwK%u|2`$)L%4XwtC8Xq9I`6FH*{(PTMR(eIH23`BIpZ~j&vfc45-%s+-E77d>HrT=U zEWp2oKy=cyao)Vl?a@ahd7b{}my#3rk~_Ho56)s*F1On?8L#Uj#tSTDY)C3JKM)0# zR5^5@!-l!j0fR*;c8>{}gW7v)cN6>=J2I2^j`mv5nOv;Zaf)9HBpl*uMnhlI*6IWJ zT~#-*u>LTDHfoodn3*{+4w)Js zBKG;!2g34nU#S_z{@Mk>q9mDj&QCb5Sp-&d~@S1 z3}4gdeS?|5PmSxj+i2wue=aQ$Ajpq+WZUK7ZLg&RNlID`6)=@z9-AQ`5Fn`tcL^RW#3 z0*miLJ8-SVq2MoSV;v8DEibZ1JOheUaCZBjxxw zBnLZxX~DR~^EaAo`_!)|rnWZ0yP?zCKfs4dXp_|Eq4)a7Yxy9hD*npYwbho$=TEoC zXia)-)Xl^&fntMi7P>lCrTw1XkQ%Im^O&*$l9qf;?Do&ZT@k1WfZ(?>l;cm7o=8@# ztK5Fm{4)L9kX387TuQwYNs7lD{V0?21=25Xg`t}o8~b>y*hIK0{9OA7$@u_ z%Yj6%<2zwvFH^1W#v32NvGb8$r^W1<{()+Jkn4_0_0H=7i>yG7)SUv{C{OKb?%dFa zsY9*mOvx9GU3cg`T@T2I5B_fceuv~~Kl}S0aA)jxoHjp+u%#5rGFS*V6i7rTf3B5B za589juuq=1>A)xtM9OuOgVz#gl!RjoG-&kyfV@sY{FZaYEHS5QZ$UaUA8AJ+?ZeIs zCxq{y#1fu3#)W5YUz4OdX0T!z@%}laQY!m!y83N})nSgmGbfiA+xy4+)+(XPlXbL|Mu-dX=vjCo zP3PqV4Cq1U=V#a_BH*ItZP}a4+QJ|v;7mnAgRV6B3ZIyL$mW7@!oAUFzu~E*UA=nJIj)7BNhC5Z6WZ}Y;Z#V zE7G{Z&&!VHNjz)%{Y61_5b2jS%jIb-OG+X4qA93i{`Jvd73w}=M-pjpv{WHYQHLTf zks%=IjR*3d;XFd`DrN0#E~qMt_!lq8zvJ2p-dk&f6Z=VvHs+Anblu{2bhcZtIE1rqNFOMwSO z7LLEu7?kF8O3v_JK{ibr!kUaD%4c@M=n26bXElgZp3~?WsrfBbmmL#h*j>yzF@+(g zz=vobTp|hHzUk)}Dc1a_9$?fEZ7HV{jV`Zte8j4ju5w7Eo>E;8tphml8<;P%(?K(_ocEA&ARy;}YA2H~C$e3rl(tY1) zn)iX$8uu0|%ouT*biMQp0y*&ey}%3~`QPjC(H zt;c-1t$fHS@Fp;%#u=(|hx7$cWZy}#_oo2R*&PqQ$q((R?5)yt<6-7;gaC~~ zOk`Bj`DVUk_iW%2WU~-wXO9+|7&`wCse#oJ>)V3g%p)WhmVYna3^G7k6{^|&G|RkN__{_Qe%^?zo39; z#C0etDXb(c-@Y$>IS9m6;tpq7i4L)z$4GgIoobmPL>-*?9EPlm1r$t^K123a;XoD!QH={o z5~{~N&02Ptp6jhTnbyR_r)7{Wv~Y>O;^6AGfLg5C0}^6$Ledql_H#e>B~JYv$= zD+qL$Trwo`Xtf1J+7KhmmmmnGG&vZxr)5YMoM8At{Jirxs}UyLxw9IlVS(KT^@m0= zC97ghEW)S^R8NV!kDWD^b8t#wI!!A64aCYKrqgs(K0x# zew$UZ?>Agfy}oyY)l$^hYBxGENdLb(sj*1`x!^Sqd5<^x+beVnT9KZj0l{`Ol*0D% ze{<@t1U2T{9(4R?^q=t^Q1oNNF}L)SG!du3L|}ypq_vIR6u6k`8bV|%BY8V^B61p8eu<4 zj*MCKc79=UuFMt`vPRUK%M8+`FvY69Eh1kTLM%~7{iIWc48qZgzoQt^F>vvNVYFlI zObl@LQI8&!-|3N43BHbhms|I|^Z5s6hQr=eE_qzSMik=q&ma2Gdu6LpL~v-gGxbGi zYUt_8%Uo8kpXB-C-A3{C2PadIm20Bq8t`3(JAIohM0j5!iB=jq!e6ttZh)eo-+cjl zL?_*~aMv~oFO?uzhF>-oCT zMnXTl=QZ*oe%cgYJ{{RBTLXP?+BUhzH5)IM_8d}}-o!LA_l|#VQqaOrrc?VE7=luj zeHnIHMjsRuYLsT(Tjb9s#Wdmj7wWZQ*5~UCo}TBG>p@QL%F;;PmJT$ZY?|TEBJtZJ znpxW;o4x)?A+UQZ$GFwG&LjRp8>L?O%?-9w`OoGvIT#%b8VXRf%|iN8_8)828K}68 zE@6W7xg@{WQ?N_<{dvW$W>Ge@5e0KVIH#q>sV^bBBziG)ZPD>8g=syZz6%wK%%;%X!fxJkKRHwonh z+a)Aj)w>(UoqGf&y$*tA92FTEl6qfhz!Z=KS+5ZUux?7theoRrU+PtsfTv+uC>TTd zpqsNHtL%y&x6M!bkBd6QUa6VFT~~*fF=ek_bh_Hphn#9ev)Fu?wtc%4)gl+=orrAT z4NS?xgn9Wsho8OM;gSAKvyS_cb;H;(!qiZ+dJ7$xWHj(QYs_re9)A>|HJKEBEb6^0g5b?vI^`f8T z1??yuEVkwZo%1OQmyH01@Z9Gjf);i=D$tTym;x%=M6%j+`4JF%h!Vor9a2g|q8)sD zT@%ty&Ul;<67OG>k_5h+a13L?DsC<2zu-XH4&TSRR zeKf;&<}(?=x*fUS$z%n;)XM8H7N&Kmk45;j_z>OvD8yvCT#IsE?ixpi8uRkA%LrN= zWYTCy1HV90UrVG_r`>;(X*&)yZ8knW{2h1P)>e`s9A#X>bJiEDh*~~p7TY*#-~A@s zW8al%eLdA`>vO{eqE4yZPkgW0D+S544(NwVyi+Hup5u>i4Dp9W;1@K8&e;L>RdZ}k zKRmJdV3fvGqlEL~t;O)eQRpZXWH?Ac&)6T?`&X0y7+OG)(wCaFOy>x_1O=j$S4x|v zIWS+Iuxbgo()05RfV0M&8vs_c)DtU`BrZ-djyKJhlt)O~9aoHj6P%)V;LQ>Q+9~e) zpNld;>O7ld(>!dtXH!1#dvunD{2-XdOhmt@hmW9-m74pTwXrD~n-KFoKsX`TX}_hc zwBBOsn{%U$XsD51j0^AFvF4zW-f5zL(mn3a1~D)1ht5i7uPJ*NU1srXVh!_3?NA(- zgIw?a2uvw_9BOatqr^lwX+16rg1l(E>6 z%_VU^W>aeZ^89a#@W|o(R0mQtAuO#Q=_8`lq7)P@Aa16p-Woej&2EpF>$aZoeNE!3 zzN^$KR_}naoF=gAy+;Yl;nBBaSkYzKb4WXl)>bqSRy{#1oq+LsVQB9DHVJFRJMb5;HZBab#3R4uf%3bk~d8 zp4;fBWG64q759DhnNA;;)(73j^meD!R{8s8yG9<=lk0cd7aV=Ie*w$M4 zicennElVk9SLcPeeG1OLZf(wS94yBq1hZNj8+d=tLGSPJyouUo|$V!A95?qijJ5ETQXxw=a=k$dm zx{OSCUJd4lY0)}|RZs3J6TWFuA+h2^pRx=-QtW*ag85RkaTJ}n>n=1yXS-6{1JOYy)$=P>_|{-HWeD<=Wxz1Ehb2{_nZ%z(|*4n$`K)G+Fe{Y+6$>H z0h$8kE@GU|MOp!+Fz!kJ8A}`1iVj@Fj5`op9f;i&4T1vh-(=+SJmutJG>ox#C5iCv zU0eu0E_#u&rx*;>%2r6R_`Q0IO*cm1k+HQeSX{v!LKC7PH8t(!C4L&e%&_lC+QQm3 zG@y1v2=!gAbw5npiK{PdMXaov=8wDp%qQ9$Nlt?EJ1U;{%_y$!)9baz)QtDP(+oq9Kc~18HTPb zGd4BZ67q6bJo8Ff7>60OxV@cdt_}?C>FptSv+jqY@z?33;0k^_|%{!+%*K{R$g4&%;?*lwBF^jR)n@t z-TJGQcHzzJrABUrbzChhfeG8ap)@uyqweEx$2WGG$2mT_uM`OGe%W=#g*N%TwS z*+;=ZJgK(zi@%5uL=ZH!oUY*b453bdxMS9=3{JsBcRzk$=qU1~UTb&48op?rxN+~h zrvkzKQKjQz#bz`>S@0U}*~7BFgs$ne^gNF7SkhoBz*6H~mtG$6e;nKQw%r9NrN9Hc z=M9Lg?q(bQkUb6>?CSqTgy<&)>lorKZ?fC@R%-Da4$n?aq?-Y^iFmrZ*tUHgG`iAp z3Wq0PiEbtiAi~jq9>nt*d?R&taMMxddpq)UEOFI=uY4SJws(N7N!;Nd*?O7VKJdyi zQi=($GBy{IeSMTC>Uf1`Tdae?L+Jh!`#(hPE6}%-kI?(nLVgwySGzX-y`;~|cWC5X zp860k#n?U}zmrvb_?0n{T1u?9Zda0BLan!4Lv`3T&8XEg|EPA#;t`RF)+lR+)rVnQ zSO%oZ7eAKIulZWq&3*n@L`xlxHrd-VsaI%*$d4TUE_Tzh=Jj#yMjw@ok|+QY5e_S< z%F+)x!#?Q^WE{WozqFAWPTohG3-i93yh=GlH z*q_Rbn;wc*Ene;zKGSB@6r;Z0ww_?2)te(hw;lcXcL<6TE+TP|OME-zEj1O5EYLE2 zp51%og}fZ8Ye$Nc`t^AV^c8N?eac*_)N6-zb@+&ZX4jW+QsXfUNR_7|&dg4yyARXh z{K2+;yqJeF^?Saq2@{FmzLS%8Med!}$n)|w0Mp3U`gWIb$s5{3E`Q$WaQ7mwJdl5F z?rdIfez_Ak^TOoS3A``X&Ly9l)cU7Drfe0+;b>t!Q0jF2M3Gzj^I_|zyukqaijY%0 zIRd-dwfl>>C%-U|mw(b}M7Xk=#W9lgPjdFP1QRZrdBhlFa*2-#uh5^4Mm#419k;N* z1Dps2T22_YhxFF}*Z2y~<^(gPlJdfMc8sj`0XuCb;2`utz1$#@d76PIFpB!0)EWSU z$PoTZu=hDO{taL&h-oM;ove|?~hKY%^+s;V?lV*0u za3-`pHtG>6D392U%+MucwzU&#_lz_`mU^bo(G%6kCTU-kH(a3SCsp=ZYOHD{;!b11 zaeRG`v>DJT0s}G<%ef#2iHC0W@)tg1) zddNVR_WnaVDp?uV&}J@p~YithOrpJ#YnQe+%5Hx!gGHqY#SCx_TpE zhN{sLx`L+C%m9L}F4I>;)+<%!1GJaG)?}4x@HJbJOur4jw_r4Ms(J?Piyp80(5IDZ z50A~uR@{{PI$_M^8qB_LcSNTNrCUXij)~ad>Rgih%Xn*Fy_UzQNZhNJ%(g${`@@%+ z$jE+fagOKgLFp^v2MjdD47xzY0Ex6-YYueIprjpDW8n^jUbZL87+S!~eLJ!N?t!Kz zvErtTua#YoU;-mkKgE4V<>G^TKV%c$wsGMDv>f?CDE!$Iou=lR zFv`!I=pDNi@8Jmth59 zUwF~iA7hPOQYWT5?{VUQCjy3UyGKL{4wZy5=H;JwSAM;u47ZB1c_IgD)FU{{XT$Y? zAJM#meW4xn*wrxtmChmgcxNyf)q|N3wiG^rHr8d11si}Zdm{TN`Tzdy2l~~2eV&Ef zogTQuV-IIMUf7AgCidF`!XrX2SU#Z7XJ9Sjo?7574(!Lh+4j~mxEM(FpA!!Qb23qN zw|(+CITdO}Mtn#H7g_+j`c_SgN2xkxX=mV{+hKT)_F#5CE^~Svi5l&i;SrN7K#o{_ z0x49BXl?EqcdDAP<1^J$0vu!lpmr?0513?CKSqnTbVSU z&QRFBo4Kh0W-RyQCs}&2c6tn3TT1`ofam~vLpSxdiV8Ll?vVDxTXHB%i`wxaNu!oLQg`Exn*StOM-;5J!Pg@$<}*$EvKQ9x zq-ZbH&O20q*j8?S>X$e{{a}D5mPWa_N5bT|Ig0(`lwoc@Y|Vu51+W|g&C9Ay=+uU0 zs!?VIJcJ#Km-NuL3>u)2cp$7R1taiTtGvqutsDqpXk? zU|!27Rp;0>v4|ugivC*p1jvtmah<{_XH5-665&hH2FfifXJ@asz%?_X{dW5KDp7pB zs_Z1@@ciiK&8diC5>`|jSNS|WN_0RSa;}{s5!Xq98#RDA#sz@0-{0!XXYh20AwXd= z)7XlysPH>!i&HU}aR9I^d5yrqdpl4;MZgvLaadT!N|H!f_2VWlm46Zv2raPfyzAcL z{1a7H?Qt%r`p^N+(xs6o%MIk@DTxTfh=+N=eW8bxgX5R%v`4 zQ)}Ir$FbtX%OzXmU6V}{)5rdxBN!TcL=|^#ftOOI9|_c}xuSQc)y99nR8v2;Nz}~# z)jp;IKI+}WS`85yjw>C!zk{!%NIl_;Vi(^rsy7VWzv`c%z#~-G>+f2#)Z~6bw$&0$ zdfJu`evmz=)p)96dUz}A`(OCA;l`WAa0%!LoZMG=>#L0(u7PEV4g0&(sEh?|jhiwe zQu_Gzj1)iOd0ue-wiCCTICcybEG>ma#b$}-_p=CGeYvL#azA}rzAVV(|KaK!gX?U= zcAYnFY}>YNCyi}1wr$&ulg74<#!edBYHaSO-=6vQ%>LbEX0>Z|J@Ns>*7b7z_OfBr) z#q(P;Fh&ed;juW^&#@6r)vt*p*~(u?9dVtlDKsBjI{#Kz+*Vy6L|M!B0fm*zq<0wj zy~a_+CKUPa?uWBg`e1mw`y4eMew%o*Bl19ZVdkIe_`!+PL`$Ri>hvk7QjA5e%u z0^fQ$pM`g_;t!IN?1Gdq4CQYj%UhTFmwMqT?ODyD2vt^GG2M10V1u`&BLse|#_Q$3%pci`w zdV^X*mI_3rWaNeUa!B!(S@y5uY}XToVB0;ck~z1XvV45r(yAj$-{kYX*L%#OxrXz0 z>8O8mo{V#D0FV63&0y`K5VcxGWSx>}iR@EbvW>d_8ZB7GPG$7PGCkO=R(sK^i+5l` zS3y&@klzy#*LVtuUkcok=>FEbUm7o#>#CUsuL?a4V+#SR+H5X(B{uz}Q$N*XItl)` zBFW{$m_opRpk5L35}n?66-j3-k3pU0rvQR`k}ZGoMvFZ;enwewp_#&qX+~t-RLmU1 z&S3awaUW~#J1Rdxg{M$@hqwn459{C_JIMm zB{ScOn3Ug@lPlx1rb(gE4w_Etv~Kz=c+{WM!)mLZjA{bY0Z<>gR`PdLzG;od;(AXK z!}xClayl1i=-3QqU!Q_{-S*KZ6RYmA1)a9+UaofGIDf1SkLr}J1)Q;8IPBV5Tp9h+ z#OZy3<}o(94RB_+dkc@YjshY|Oo`=MOq@0@9J*kGt~77J6ladm)!qF&YI$V^YqJw@ zth_Y3Y=qz!Iwd5rso(ZY&H_|bJJ4f2pZp0adiUo zSX|Co*&j{#%r;or_;{ze&W6)*!=DYY1ikkm3&{9=y9ASenr1tFDII+*W(3;251K5t zMGiq;n-Vz?;PKf};x+^}ON(8#BxHbLii;b@@rn|m1GuJuK)!*$W+O2-C!*WpW4<7{ zD9h7-lJv&{2LcK4$)8}agHgG$(W3QosUNTRfyLOqBhJpdLNh#KZhqUwS=qp>n}-`+ zV*S{r@Q8wqakkC1!M%@Z^@y2(^yuj5R}SzoVPFUy@qRq{PleQEE^cD86ELWYG%X|# zSCyB83-z!{wd_Yb)s0awuBvS8?gdKvQvcBV1xJw(B$0SUq2DurkVTdJ~Mx^ zx(UWSo|7yjnx>9QQIB0L*F4nIjw!lX{a9Ne86K4#)k@2N(`fMVDMU>+W1P@>2bwp84#u){Q(TdV80qzly!$U!(ss&*Q!B^#YwJjS(4qb-hOQ-Hxx> zig2No3`w+W_qrgHuBAm#PSP(OTIE>D5I;ZnEpjhT(nmr?j^yujgN4HUchd!yfzmu# zAk=>nvNNNo=FyiXvZF-IRg{pYC6EDPg(B-v%Nz6P)A%rd?9s98@g94oq0hAi_*bcM>bBAu)3PN@?y zM~c?axS|&Jlt3x6Z6mguTXLsOL08mT0{i=Ws=uxcIf&Pd3t{nBXK(z>`Kr6@PM$}FG zOP#__LEOfqsMT9-YKMr6lkn4`Wm>$Lhev39Wn`sCK4WPZ{WohI0sU&rr*Goh z4{V&+QfxsSPY4&=ikFDjfabC(AS8!?;AW@ECkyq_dHMS_HT`DgirVRJ&|$ZMLc7(L zEcanq(0`C#XYA?tD`yh8oB~~q zG&RBtYp%a>-2c3XLc8CIoehEj^lwB5yA{CbO1JJBGS~iSHzve^l87YZ-vM?mE|HVj zn9wkYgTK(DShxxqT+*tAr{Q^@^SUBVdl68wVAsDE5ne|Wh#1LG^wV0I?5-8-Z@P76 z2$+~D^#E;B7H!ygP#VC_-Cx?TuNenS3UC#D)jF`Shze`|OWOmbqSSR8ZAOE;mae!j z1xjsIzA*S}t(c0VO$JZ{R|gP|f~6W&qUT=l;CS^8XD;BY+{AB6ME8r2g(g`ozkEG? z&4Cw5yCk?W((XS6S>!Ds5&;00`yd_LzTp_a=$KA}g!HhI=ujMz8kQ`O^-Pw2#yQr_VPEXYjFpq9zhFcjftIoNw0a1dbJ$CPP}gJ2;L|qo&|gs zwwcX+t%p6yLgPEfKoa%$jSA&lOh5V=2Z@o z*90ekjNx{tW{I&UeZFv|!)H;3RQ`Mx4@&8Q%bU!VeR^Q;`8xC0a^X_)?=PM*Ai5mZ9s4aY?*)>tiRjwUhug2IAhyW(P_lfAOE3TdYYUo ziyIB51dW$Nudf4)8ChlegxLdx+|}2ycTmc_^ExlL(T?Jn&^d@qn)&ja3%Gu0%2KVx>92HiwmAZ0;bt%s#+IhRk|)OtAp zn+L*I-_W03k9xhyx&6zwa*4}-hsh}~bYSF`g92U!si6sWOcgAH&x=&b(mY(y)NeF! zk@NAGVUCXw9QNxHxA=_mMh4 z1>v(+YrhS|#K|h#e$Ks5_Rngy;(WXbd0=G{e@QddSmBFP&QJ7~oGauo<0D3!#rx3$ zUG;PWj98I78EZxMfOol_&{8Wy#9xstV}^+23R|GZHXU#f0acTiA!8!$HVX~t_m6~V z|JTf0&u5Gu@5-M>TJ=_sFuMFU0)bN@lu-0N9Zg07j$8QG;YJ->falqZ= zUY;*LC?S%|pwkXYe9wnhq%wWBk4<-YtECVOv&6Pq7> zij#UBD1fO1_lDtUIwKY&6H~xG(Z;n_b5Pttz2|FY7dJULMVAnq!tjZJ_I*wVf6)I= zK+6*T(6A3z!u%jRbR~v?*!FZMlld+6%Eo>2M{(J87x6iT7WEmcS9fU7%FL>{k1c_T z52O1=cL9@M1C~j)rq#kQyfLA;*2{h9marBzz4)VojGnYi)S$`NTidZ8Y`hOX67FA0 zJbDOE=7G7p3a}#+4Yw8M$&7T=$e|D-KF>W`xW#y|Tq0s(rA(wRacSwekz^3Yjk~tk zaDm5&NG~cpt-LSK!i1D(yud)j-*>NXP(%U(!dM_%tsBmWAq~F}Uft9N79Bece^`h+ ziC&(V{WlDoiKwP!>YODF?Mo2AIzrEEfA%c~8z7m&2nX&RN0>|o94B*KKv_?ZSBT$c zYtMOHth%u_*Q$qR|LbISyN29-T3V1;9h1Ug_X(cv&o)-fLIRC5x^|-hENwGh+(6H=3eSU!`zQ7jQCyO z-TWpcB;>n&EI9-F3XeyA=1Tb_2+uG5=7ED04e~|B_ZTe}V36|s5&PiC2AVtj@*lH@ z&lmT572mG^7y`1!UrWa`c&@j;^F>cNLbm&XKuQxgugrK%9ka@ZT zvIP*pWBFTOVJC{%si`g?Vc4TF`zqRAU3neF@$izx>vg=wJBpbTo5^!8^ncp?$!9~T z{+&0@M24bH*>U6_fy~G@@+=r{ba3^S-|>#-!*y+ zotu&Lv~*+jg*xxAv#P;aBZ#CaH;%CN10P$Bn%ZP!aL0YXxe_20?ih6Fr0E#{l?L4W zajrH!l#f90xoxm?Z7;;c9FiwA=0sa| zML^Pi3(I&)j02Nkr(3+8J$RMo;FHyo94?>>%uab&3LuNm$niB4^Y`uc+FsC0VZ*_N| z0z`bBoK;*h_|5x<;`_($rv$G`gqmAP|D{bUV6n)761}k)Y5`&RRz^Bg1hs!NF}cT* zdJ{WxUWFMFJP?=c5$M6@jcI^--9(HhCSWBYEygPcw5hH=pgHw?W6pSm#JDcDI@3p&#*cx9aO{T=AW%b))(D*EC~HG;gS$@f zuZM~JtwVm|2$?iUleu@0W1QuQsf6C%I)GCdx-ixI=#IKe%AaP5kfnC9$KM7)g zofrR#&GyV*nwon}(sM_h3frFRaxI>y>Si(Fr;dT2Y90Q7`4;$1XN{^2|5B-kl*f*{K zZkYqyBb5ax$&vUcE6#}MaD*Cj={XxXEYoQ2i15?@H7#-FP2cT4Z84Z(D^?=21tQL~ zo_RQ z#06g`nP(|FX~nyUoH5|{#2J{pBAV(4%cNb(SE^ns6EpEj-Gc!_A5m~DnKTs^8~Mbi z3FzVu<+Ilg$l~d?9Nu@?y#HBjnu$7Nh~xEsyJVh(cvKa5YA<09&PRz)=U3lLyODB!$Ai#uMKZI-20X; zIfI0iMRWP#{G=nM%>X)Rvhy+f-3G~%04>BNQ&3jaNRKwC2e)R+@7H#=57-SEkJkP2 z@n!4iJs)3eOz;z_Ki~DO$gE1HphXWgZC*>l#WP^KP3&D!R=frRMbe`aMqk*27I_3x z$r^y#Mn{+(!%Sg2Jhwc@*;xzYLuxASRA;`geNX>TEaJ`ELBf zvNxD?yIhcL*Q9%r1R>#vY}eB{6?(nL@^8kq#821qZuFe!5tMQC1%od)i-;`$uDSNL z-yMhg9ui_cY5~c>v3_86An}`~=~1s6XO|c_m`a7L>7y@_>&3WIED;`fMd;=s19hWt z(?lQDT-lBhOCuSptI#m;^y5-mr35bOHKGW5$(*PPU-FR3ZkOP`zP<-$y#bi9+m0r~ z&^jpVHr$5znC2SG1BNCx_FbOmXniECz!fwx$nOVmRL%UUr1bH`<*-BJ zDb_VVtiR=v(gJFxf(}Wt{IA7H>F@D%lH@Xi_gr-{Oc>&9K*gRk5ce02ilw$`ekfquF9Q)- z)W{wxq+KQwLLo%Be*CtDy-vIODV+*7$SgM2BlSqha^H{L-0=5`X!=(L(G4bsD$Bxg4<&{CPpZrAi5bD@z8*mB08=MH$$M&;fxtes_G>GC>$tWo7>qV3Dk5B6v2QUp(jAG4S{P z6IfILE9ZFa(0Iki&Tt6*jc($DUys8JKl!y`!K8B^Kef<%pOnM*3?v=yM#aehBz*hN z`AX{<8bugr4!+!@yKv-C~ zG>}KNeFTp3_3A)b&8x&uUa|kSlQt|DE-6n=PrrE%b>N-5(8d2FiQ`=bmOeD04$l3! z5}cfvwdf)E4eOjACo`b){l*n!!ygk1E#~BsBqz|)Nke>rOZSTZj!>-(+L$T((-d!D3g!15h_MLDnOtK8^YbG2g*4MuPrWl-FHV76#)-4@dpZ$y zZx5lszlco?r<)}?nxzgP-mR$S%s1}k_6Mngytda49!Y+EUb&HL!Y-Mg3N`%b8nfDGIRitg5Whc2lp%qp!tvq-%N`~%6^N?dya(K(!V4F6MJ`W^On z9ZC2cTM1>slEI7YzZA}#Kwi7~Uef&)3)&n~+Bk-3EcPBM(qfJYw+>8j2@*~L6>zaw zB6iLoU%J|7eE~J#8`ldm%qZ{|N>AbOk)NK>IYCQ7N?4M-K|>K{5+NPQ{=(Zp6kvNE zFO6KOG>+~6U}rj;0ps#qfpjE9D(brW#IsJ*8>roUG~O~ObsWhYUZXusHPX;*xU*kqEzxjGz_o2SAtJZtW(Oqmd{>Pwj1WK1u($|KP z1GMP;3ZRT8+x_XYV-^nvrCM(~hLRbfw!61SgSO|dLLhJ-xFifur_YDm=~fk5*!``6 zMN9hVIF|7ySKx=4B!(bka5kdv)!wKPy!H@d|FmA`?umy6-Oue-uFdXvt%c=ZT7x~$ z-CrKMe5K)Cy3?6bmB{^_&QUu66u@N0mxLO;yX!-^%&-->B~6>XP(NJU*y`{%h+eLS zd-qkG_hQQnrS~aE0k+Z3V%>@gV@mCJ`@&#xGeV~D^%&s?X zGpkmyJE~i!xWjw`UFnZI&i-|e4p)II<_IFsUjCYG+26Ln?sYkFwN<;vBbj4hg()NW zWWzSVA?|yj>wN1AjPrno_Cp@geT13hC#tH1`*?*wW%<%(-DbX5HHV8)Pa^h}K06sy| zm4~*gs+=%j@(VDz72UIu{T4F0<0#_yjC1HPFBX?oEH@Ts1DZ=)Z6vMwSa0aYqtj(@ zUCMo3i(RFPL*Ux^g9HjM9dF1(3$D zJIJgLtcOmDN4mxE31&$gTtvm49T{5{9TxLFN-*M;opBJzk6hE;sC?%@=-F?`MC7~- zzq_xu594G24B&*)yvh`s?4LUrj@#*ifA@Z}sX4U|DTDbbI*_*wXwV?5O~uI9(xVpY zn>Fwn{QEN(gc^Pez34tAFoog^wCDhyyW0z<@NCq;Km1&c6b&4F@L+}d-eOy+?+58f zOjzY)n}yYnu-tBPD)HSX!ChmqD|#}N5+1LURq3b%0U&6~)hXLYhHDELYiIxoaM*KO zd#aj}#Q1-`{bK>c|23P`coTGYx}lDQE5EtNTFQwH4eSk&-=7k19?$lG?Rb2`z!30< z0^Z*H^Y=zB|IzDRhc?+ef>cHX-~j~g&ZrCVp4%U{WChkKn-vB5e#2X3X6uBu2~fza z%JYpkjq3|H{csY>Ss$G)q3Dw3#;1=SARu4&(qh7@g>HD$`U5+;C~Onc_aX`NW70yE zKJNf?{LmfRoXWL5_NSO>&Ci}^dqbJUhPS;<3IT-Y^Pe{>Y5nw1Dd*&g4*@G6jEI>S z0rot@VO*Dwet@0uFp)*l9FQa%`DFIE?=m}d8bvCBK}A#fZF)ZhfJ7^}U3@`vl&Y z-0vNASDe6Rq?f%N*OQmz*)%+6SCS@5G-_UyXeI>G3SFi!$utUU-gdr?X+ctRi&Sxi z8%gz0zP#Wa1bpUP*+jC1?Z;ffMkF;@{v^6G zh(X+07=AQ6i9#6VOGsmwZ$Eb28(km?VueES1qzPTbnL9JFozN|(+`rxf6!s)i} z)iYWVNFfwtmCt4ZF4Dq!AZkiYg^<&$;fbH*LrD4xloiqGhglWbM^IhBDVLOf8+ArK z^$P`ZU}(*CP&djtZ* zX@AB=p2~k`(4<$3{fegCyYwo9px{m^STB za##Vn;9e!sg7e1dnGXMoPR>P3K8GKe)7QVcjQB~oRLJ$xN5~`_tHq9Kr*w!KPK#b3 zM1n8djsEfsJQ4}X@CefL0+s5xoEQq@f9^0yCiesDq%G{WLnR(?BX$)2B}K4KQBSu% zXLVbpx!7svH4VzEVic!UUr2DF;_$`yF{Xf*lU^f|tlg|sU@T6>pdEw6NN5TkzCTNV z&Q@?G4$(1lcy~nlbGV$Vrh;ptSe(2G4Eb*`bYn*M^)$SLD7Qt{P`^ue*Wj&RYwW^)?Kz#~a>wrYgEsnv z3}oTkZS@4ZX0;kW{xVbK^#Pqm1VfgT#cUDrKl9tiVLWe5D5AsKZYplK>|7!8*n4&a z7bs{}rKYlhZFP1SI{M4YY`u$evBU@G%_ul$uwh@{V9}7*;G`~h$qDH`Wt&rHdu&(# z?f9CQp3m!9al>8f#pVCWqk`UT4h|{CeJpoX1v#b0c3faYg1hr;I^es#AB6G*eCzG= z!ZiJR?5U|n3*3^+Kd375o?hBySoLs#4q8X;V{B zLdGPg1pM^C$)Dh+Vv;1JG;$SlyM*0G2TaX zUcE^6#AYK7FCFT-*DC5XI1D&m4`MIZMwZ>{3kYCQuEVWYPs}j2JEewsL1tgA7@i1G zdnb=dX#w>N5ZSmQ{_YL+;=(^T--`Ph6~2aX3eP`$)clNi zzimH~1Gy0ku|%+mS?}+Ku1#SjC9}uC9?Xm2JjE#| zbtDRe`sqR#<@8eNo)c&|YKNCj=M(Bs2rGmNlD9XeKIPd~*=~B@h031ge()nIrmKv= z#Lr`PJ_?7T<^`@~m%ckyh8OS&6qW4gs3NL*5jA(dVvoFbK`rR>nwl)iAX_5!# z`y;ypE}RLA;)~VWS4)F$gGaH+1uLK@TBDcTRWa=YX4{M`mv!AsasdPv=5T!YbVL(m zusC->D*JD;ZEmf8VU_aXXX?Y$2q-o7Y)QM-*^sn{%awprl5&2fFQ{OX4G^Ry6U-Cv zRtM}q1i&Wl2@r}r9oBp9xeVzw3Jti@+T`$|vX5gzq{>Qv-~tBPU*Z#KNmUH->JQTD z_A3BK426ivq5{qj%qQ41USHm#z29^a=7IXh)fdba0s-vcf;vrVJ`*^Wo3 z@g>(51faZ@VbjoG6$+1T*?8ON-fBT1EzxOmU3lF2c($Y(t|*%sHBg{uR6%Os229&R z!8((3%*s!{y~+;2eA0bC5W$ll@dy1Z|%za@O=~__{gGAR5B* zl<5(BBz8(|R#7)75B+!tdKI?b`d>k&XeH7a3IkjuG3S8A(q0cM?#W&#IWemyMCgwjT zXp*jJv3$Jcds9zfp@%OZi$~L7mC{nW09M~#|LS+71!nMhltHO^o5HuqeTn1$vC?s_ zy#H*&COPr0f=mCsbX1{^gLyU9JOlr*1qA^}T3e}Pm0AQ-6k7N~*6A1Te8_BNp`!`! z{Au)>5lFowBn1noXXOXjZ{Oo+WR<=PqASKCW@8MgmijXx?>jEb8O?>)Olgekqx_r|(+Smj80naYg2s@!h0n znR>x5fFxZ}2Vm9*1*q3JEiRcf}lL%ASo zBRLimA3q^-E#F9K)rNWYE_2{nVFFtAl56PR0IX)CD&erk6*2YAAVl+Fk*XxSy~zz7 zzm2Xhe3-`N?kTFa4u#o#*VJ|gXFA9QvlrE+aNB%8>}o@mj@F85y8-O-#$- z0};Yy`rSCMPlO=8AF?8WU!0+ws__K;knC2fl2=>3J5>zyxeJ;|el^Et;TU?IL9D0_ElpPL{k603ZTgSwEiFoa)S=G5lb>zhHy|I z2*xo7BZ}@mfr%e~)VwITo`Ar=uc^q3sc7@7K`D&bCfJ{cjvO->ZC&p^=cbeZUwGPh zjMxGaT+^AYR-uJ!BZ<;7#)^taX}1G;3-`+vq-I4$;!k(76aWUV!)Cn-8V*EWepun; zCOfs*j42mTvDwaphp#?Mk4uP2QMK4@kz{8As;+-JYc*D?xU3kMq6DX~Z}j43MGGX{ z_iOM}ahXIMU@-rD5Jd}I=HWBr&EsWK<1+ha>;>(H?cj3y%!3s-DnM8t5Nd}>%X)|C zbqD@|)AXaYZ9@mJYwW>7l6q~eHHYU80Aiw2M6eYy!o1K8yKp)zUDFaon(p4ug<4 zC9UGphZcPmoU)e=Ot@KQ z4s}gM?(djHC}y8NfeRf^l<#mgq^rYd?s0lSw{W&_=e3brdTMj6>-sS-`$TS2tRa5| z7{&CxB%Dh*Z*wlCB;?%S{Affec!^Fkftun$8cA>83S2RhB^^*|gKol?-qR;lYf9v~ zxY&B7{5cnoMRfoR3-h2qij8vZvQAsG0$~zWQb{*LAHL`jNVsJz#3jI1|&~K`~S1T z&Y3ZZ7(tQLH^oYip9qv@=#q|r-`SW3Cdj|zoK^iz+@Kd$oD0w6egVI7v888feRu~P z2)~5P%qi^QZks~3Q>|B;??VzA8zl)tB9A=Dwwe2#O+>TTs=~_$5%*gO-e%8_yPpfw zX^vv&12464`FOAc$bhERdNT*5mjA*JwWOEdW>d{b45AO{p@ z-u<3^R(lP&Hc*hrB~uQk#DRun^3u}xuD#_KWg2^u>q74BWk^Iu{K1Vx|0KU>dYr{F zu-M;~306k&*VadhB;ED%>q6tcZ+%&wnv83W=J8y^&aqtpT`q0yrN6#hsSRIomrk-e z{+|*BXT9Gz+&lzLL`*mh9$NUcxn7_v5sI9s2oIsblGwSWz2OG!FX>Di%4IoY*+Z1Ojx)V|(Jwa<9MI zWoqRtZqwP{_s1Xs^t#St(W`!@hjc*$KIo7|7J{U*~@PsdfnBnq>R=MmEYA7qPcnSs6HFQj6c zvP+~;sZk@Q>A*BE1+`REzZo&i75|{db~Fe_pXfL7EmKzgmt(Bmc$&TcMX*Xi|bBWTk!5632%m+xgQo)ooS^aEtA z<%(!{s;k}EA#drhxZwJk+wJ0~Ly<f<>oF@Jwy|>J*k&j0Oho^((lEtjF$VlH zO}uN5n}rhxLY_dlUH5B5yZ7XYQXBa?mx{W89`WkUZ?siX|N3IKz!c?S3FF{byPJ4cmfBZ<*2hEKcc}0`{%9w5}??BB)Z* z>C~ke4yA|=)G&*6q>6s!=C^0|IcmJqOBdUYt>zE6p9KzhzMU8S1DAAL zd;-GoMa)%_GU-jPhmh%^KsN5{A0jdh&;w%~!vObUUZmS8Vd`?+M;gvyD_Zg$B*^B< z7`eKV>s+MrXsV#FAToQ$;dy=Y_kI1oI7i@P4v&ljguS6uSa0 zX!w3M!S+3$I19t_0fd{9({~QpOI!gf#klC3E0!*j>f_l-Lpm;LT#UEwUX%AYdmt{17af04vG>IA2mzw68`i+=pp8#`rMFGTj4^3`9!Y#32>%zou@}`l8LlIkDjGm_ZjH=i zH-;c~n^mfFIi4NHE^KfDT8&QE!mGH*6EH>t!pZzQK^&>oYR+u-xc?Xggv8#VAgEDI z3XM!$LSH@E6>1GYTUE|RU6_i(f3a7YYe80jSO2G#Xp(?Z!jR|#lWogxkAc`n{6=NE zX@iY+TMras!lu5*-R?L+Fxb>O2xUtv)wPA-UV>IkI+u7Oxy`N*%!~%=uVRd6w20~G z-@`d|T59f3(V~zEd=zoJaHZN!Binw^cC^I3ev)YM|L97{_{-}W^x0e~qW+dR zx%clX4v5_PlfM5&oFB7g)B_75H7a-iue5KY0f5>xKI@^V?s7-Uk0Isoi#ez1g+9xgS?p*XCHeFRxjz3tJ}+%8)c*46st|J67lN-LaX zNtC;oIugsJ}Tb85D(~=Y&???_>V59Kt^}&-LT`Bs9KXJsgR* zmwGWD=3Qb%Hm{U$hy)TPwSu|~SjLK+W0=J{@dR~AVEV_AQqtxhSx|9%sqJ74HJeds z?B@qLfW9qq&GihrcioKj2kG+?exP%c`<1u}5T0sPDx(oBi~*=tP-6`5;*5 zHac4IANt(>d7#9Rm{f;n!ZYj^bAxrxd}MMlf|Zar@iU>G7;`u(2K&V;HzFk@RU1_u zMHulFah$kPEu`v*E&*_7gv~5aN=v|bT{!Fin_1J`v&`lhF)Zw-- zks+0FeJUoSrM_IP4ZoI!ezSK;`mC)x60=(f5obH!wd!r=%8@v~sb@Nh(a`Z=u$Mi+ zvO2Ybw;v|eKiYU^O>#(0oE6i`n%GeOd~w3yKd5pC&SJ57QmrJ^h|?@iu|SPVu}3^M zalhkma-ks!rVS--;dca&gV4JGDb;NZ`w&X2*l1kr9+|?5nXg>VlBDNxXf?F)B%G4Y z$w9AA0}9o+>G{!84IP>I{){Z#mfXmdwfY|hLetJB8Q-`tjE__tWC++?B)A;uj>DD^ zOp?O>VjE75D@OG@Lu86g^8OiSDm1P3)0$-5-nEhK%KB>5)%25M4DFL@-|*n4|e5pw_DEsY*Z z60;?q=%NBoG!%GLS!)X`{DH{*5y#}m@R?mu^fX4sreln>tKw1T+s)KyOl&gQ>27%3 zrT#h63YJ8cSQ^|LC(epPjnoF*`9=froup6ZrVm-C;TnzF|Gw3b8psu~F*A#g#3_di ztu=p3v8Ey>j|}Pb*bdQsOUYAX`Ek=&Xk_Jtqu1Gl?pvwf4f!|`Rq~zwVuRl>zlf?_ zqZa4!YCCMmgCp)n;{9QpnP2`jBcmUZXKaP08&l&mCw7!>GHG|uCT4e#97(BFpB`_K zPssm;rQmG$G>+LsGK$0cPfY~S7)Sb-8&xvHW^6dD%Ficu{9Gjp7YXBC%ycuG_kl{{ zaXp+F4AJnGN?s1XFw}npza%f5$txeGqg5|F4IUF|R6FW(v&Cc&TK=6^Km3wAirNIh zWZdHKlg20SpGeXsri!osVsPsSb$*=gr6J%e+g|PLQu9yZv1ww#Z4)aaBu1uyL$YUJ zVdsGe5*pCyHYtLN%N6NnCrfdo{66{Q0q0>mN%)!h2|5!5+JAbDO;tytCaR*Mdprqe zv+~ZB2y8AtZ4M-`;n87>&5TdBrIY`_Iig)X%$i+RB1+YSyPJXtcn^P@gawn_?)t=a99f%pJ+D~ zWCX;Z@U*UFEJ%MyzNYau~gPnPPc@* zB}J5INAX26WK-9D-?Ripri6?5y8MVgs|-}AswCX6Vs#{)kf_g(0;N3fN5gvz} z>9+%8V?S}ziUYT>`zyp_>*d6Z1fUoE$=!<5Yyu6Nx-lZ<$B!V@k-u;_EMlhg2P78qDm zd49XPc0x>slQRZaP(Oz9i@q}Mauq5r{Cf~-&lSVp;BG{+Tw8Q>3n1W8Go^oOF~u8PTIR@#t|HxGtLU+Q3LlOClr zEFg1WD`-?RE5?CSu_zyrDbIiSeBhIiSAVlBRe1xP*S%!o`dxOS*46=!#?&wXmn{Gv9mdNzp!$4^2$Qc|))k@%IbR z+9V2bH(W8ed*VqPzF&hY>bM7-f2Zu4Ffk!|>^plv|*f9rZ2(ikjZ#yH2NaqK8BEaxO z{}da0qMZK{&$79HSHh3jqEJS-iry3V7kz+9E$G2Ht;8wzDQT)k4iyQw9crys)+hk203f!=gZHu?DqkT2RWIA%COxzV!P~maA zGklBHtF>1OD}qi!Jcf$NU~P2UtfS}5*Pw0LoM zhwpj6^XJT*zf6Y7Bv0;p@3pSAxAsmz&T#?(_*R$@jJ|;hVx+`Jzpujy`uy_GU<#pB z3UEFxtclwYg1Q>3Qc%}Vg`yHm>RO0oiT(4el2b3gucgVr`zDhIsh%=bDO!rOH z!kTijeFmyVp%XRy=JFjIt-xz3pI)dyL-4{u&*}$FAo`kAgvNk1Ae`iX@i*fl`@_;e z621k#mj;t2hhBuiChM2dL!}fB*`HrapwV%m!fOwXpv{L@C)aO=2?#MSAMZ7OI2jWG zyWb1Ua^(<~%$(0ZCD+{Znh+@+xxFx z;ef#oGc0A?rdeaxA={f?%#lMs_ zAWQnHH%k?FbAz(bl!GZYQIc{T2L-=U#m2wtfLq4jP^%o1sci?nUsJ#NwrMq~^m{p4 z_Gi%xQK2iybP02A*YW#bf9S*lAx2oeT6d3snJATM{m8@?k9)hgnLpI@5u4owqjjz( zM9Lp+G~Rvmvh)76-HiL7dcOwnz3U|_->zqw`*PN!N40KD{US;;gS}`dLzKDFd9bQ@ z>_kodGw&2DAAA>LTo@Rq3{+y}UF|VUI_@r7{7M*#2g$+d&|6q6+?~W!)#j793^Nlm zW-Z;K4e>+|kpi^yA?VB~lnw=ro7+{mDmhZdq{HN;zdFjS}-6#h|G z+c9?6`}wXDfl4)AESAOR4tXI&SOi=m|J3roQapMBew#$3E>6=Y6Eo6`*~%DGTP!BE zObq8edEsoEcxs_95!e(^$l$!&>h%rxq%D?v0yQba3nz&(4A5qdm^qs{I%#w)+*!!a z8pewv$COnFs9;HUxENl0k+PU1XzQppljcUl!RpIOh>NqXo4_X+mAGL;#4lNY%MNH{ z=GQv3>AvIHB17GLUrXxjxa_4&axgX3Z^3la2I&%-Boj}s$V!*lnI6`~%<+|iFrCkP zN|3>CS}w==?@X*al6U~fovAi!_&@?BVT=M4w{<=~$xra~!LR#Y>?)l>-2hS~cMebh zD5NFNVr)!NQ$-2Dssk)hX2$2ZtSx?=h-+&j7#O;qceU8EBqSC;-JPs;=cd4tM!iK} z10U*Epq%0#nV@}t;hkPQy&E6MU@RPhxLKCU%I03L+FNVE9(jlAv#AUZpMQ&484v}X zmj-EmuMlJj89Nn=7v8Qup~Z&TDVZI;`TOU`TYP9U_DuVh)NJqkPzOT>=YC>@O1HQ|QJe~~1_u*O^wCT%|(BRnAj|(d55I3l| zqvzpO+xvrytGY|SG(*ii!;+`*XAdOuFYDF$>F;9;h+^_AIrusK%Ufin-()7?UB3f0 zDs4~OtPmIH-J%PD>16XkSEkepf%*d01NKand=AT0KJ}y+AjZQX+7V!SRMaM(>2@rK z0M(1&D|t*UUSK++#q?#+ZZ7BiVJl>D5%e`Eb1Vr*G8 zn(~K%emU`vGa)!~s~>77>kG67f7CL5^7G&fcGNYF-~dYbZ6WIrFvR?>uJ42isMgW^6R{{d&OtUbf+_$&|AH7 z!J*){XNZ#ZX4Y)%9dPrhiiRgV6b)8DFZF#k(colAnSNuR2#J@qA9==pyqUWMzq@Tw*vyCrde^_fZy7G) z9yz1Jy1&H~V-nEx2Y;at<7WL*vRR01iF=^a+=3U;xJS72lh(p`)$g)>*!tmF>6&vS z`l^~%hV(;vPxo3&C7_^}L#u4wYzxDO-V#0|6vq!B&B=}%i3R6{8E2GkYG2uLw^SKs zuF`BGKcjwo{e&E#(~9J1{^m_pRoSw--g^i<$UKqdCXJTpAws20W>z2B+4+j^-Hz(K zL7J~$V`LKCznIPUz}2{q)u07diKkE5p!rRw{evCtY9V!Vr&uU61KslfX{2Fdtt-ry z2tFR^{i{RQ)IWb5)@MOHu%sHkk<5PRd}%%rpZlCGiV+_n&^vE)z(NoNOy-@cSGZPz zlYcGw6GkX&g_&VVmV}EvdRum!hB2fw94BIKXxNO(Y z+)U0v8wVsFfAV2eXk$$ENCb~Yek_s8GxAhbyWW9~5ZKKfw!JphpZB>?e&Y>3IIss! zK6QGsCW9zx4;nq)upPg_&8JL%=OXw}TJ>$qL*4W@Ie3Lhb3xLsSM)uLKE)=BPEglO zMO1Vw1W@t?Dpu%_P*bb)@b{bEwv9r`lV1oDJk`4**$fkrXCFFE+$MK;#?xH*x1oB8 z6&-X^aAkjYK@!$ZdDkN2(>K0Qhk< zbRao*jGv7Wc|u_~?B!%)MpncHDQ8c{^FsJQy6RQOT>TwEFV^JG490DNvi+)FWh zaLXCRMgvL80|EZ&Kve$clr+2qzJ=Lyi(!nRKFq_G@J(OvS(cxt>~qq~r#mIrqmO@^ z#p9`$u9xt@*c{aIkylBRrDoj{E@3zjQ$?Uk=P&F|NAv?tGzlN>(UTKUa2MN*xBvJ@ zXI!R3U0UYgM*}-+<$sNnMD-nj72=Wai7~^V1L@!*Xo;rf@@(>wik=k=jai-^i!wPk8JJw`N4I+k+L_II{f*b_NxV}jLK(TbjJYGfMOSwf;*2~@g{2+*dmdL zHfphwrWxUh+#1RL>8zCT!o6y(YnPI%bq6~8vBvNeoo@G-wPh;sRP3W^oJZ;BI zLS#;|-IZVAyiS6F3;frEfg<}H0Xb7OePCj@EN#A@m;TmK0v!sGlRr>Eqvi`iQeDv7 z*RZoJZW1hN#)4{>LGKZ2Md)P!l7ufQTb6+F+g*T=jty1P=~O~E(g77-m?(W-we6`P zWRTPj4IP&>au1gP%$a6B(EsV}l_CDLc~Z_g4ugcx7s=U0Jst~^FIt)WW$$}BurZ(= z_jtk6O;%IZd4iV})(v~=i{GOdOo7?pt)T!eiOKw%V)LJJa`8Jnh(8Hr5Y$;HOo{!D zh3+)|T?qA3wRx}O+OS@`t5s?FQWV1&Dq5pw&tRYpMxJ_wHFoL2O5|_2r4)v7gA=tP z!P`!*0CHGT)zl-EU1anZs?U*y zEA27}#51ir!bZ$5WamC1ui8BM#?kxxVoH_tu(2~_#XE>yNf(;Vva4^{^zj~05$?3| zT^Zr5`WP5)q@clFCUaxayyg$+Eb1awtnYtX+cUGCop|`HsDU((7Qz*^Sqha0lIEIi z!JyKFPs<544kJGA3r?-Kw=Uf!SS!yV2sTZk{a$6r+$-MSl*Zjhf!i3&4jzrxCHrb` zG)D_Q-~a4Oy~1QkACRPbIeb6+5?85OGdV7~>qC0xSxNPlntu$``wc2g)8Uc(w|<@G zBo&UZPntx4gmB}0^p*E=kmqm3sOGbyWIU z^z|Y+e@G{Kh7)omQw1h};2z9V;=Jzd$4UfsdVKxV^TNJwxkvxMcKAoTY}&uGTn5dn zqgM`;->7E|-*F%12L2X2S*hLI2@YL@T69fpI&zXlp;h~}qc#kLDR6{9=XIGhUH?fN z(6*@_WMz*Bp3p@Ddk4hW)N+Uq=k_TJI;j{tDdodUYBtVHKt*2(Uk{@6bFdqBKP2Kv zI{e%neuV|EQQvl2atWWoNWCTicvCD`bbPi!eaN>fZlSYvE3Dn8COr#kyOE5viDmiC zm}t14L_>G)(HgxRn$}8y@1QTuLI%U=?!-l2c-qA}E67z#>)&JBwwwK!;^$%Jacwo|45tLBl2sD28-449!vNU zzo#i1=WE$ltWww0oRUAd`seX6vnD~rTtg5?OcP`t92tW{I%8ixVo);EVE$6FmxdiIS;u(Ku9nXVA3PtAcQD-kTEI0hb?Fr z)njoVdE#yE1&?5o6tb-qVT9Qc=~haW&&oi&mm|B@tq5oeJ%HYU>%F^Q7W|j209t@2 zMA4oZ#AJZNBV1Z^$pK5+^2c2}+(DrH7E|lt_|r}xz^X&FZ#^v4+)lZ^bR=oFtN5Nn zOBDrd(Bw_n1t}h6I9RTe|KO72-20D~QFGGZyW(Lg;!1Zchq+o}|HW1LVwC-~%?vgg z$jUNor|wHehMqG4%#}DKoorwhU01hCn~*Vvr00trFW!OV%A?4#x^Z3bjF&9@tu_Jc zOt~DM&3DALrby{&22{w8Eo3yEZhn}=-YqqcNhF<=GaeB7-;6J7??A6UF0i=M_t+WN zIx(IUq(cx!%}5O_?+JR{W-3qwTj)1`S(G|!K-gP5$u4b9;el(;8(~ED^2TPA_OqqI z1)Yx(vh=+Hz&p#Q(|k?G&-m)zj*4Zfbwh|!(2r=?+XVVhJ9D^507L~`rv%_EfG_qD zCHGTy5${eDN89q%+he%~76Sd#;|}BxA1)80;SUGQ8qss*h>$PZMeX&@xy#L?s!=Oa zX2#7-{-%3>VEtdHTiyHg>D};qlKK0&$zM4;jwvAC3%j84?@bf>?@zW6W_#}bHPyqY zAD?^Xk`36TZRVOO%x2}q@FM0oP;*`^#;7eWc@>?J{>!7lk#y;xD=pb!8FNuszd$yS z`It18bSyLxMx*NQGi!bQ?f9DKmT~>4kA9Ag>}mS}-|J0KwF#bd91_0!JnhT7vDK<$ zW_wBTKX^W>VH$~E5y=s(9+lI5A-lqJg7Ub`vnHY0wWu^S=Q0Qdz9c7xH%|ssU9mE| zbuUjk`~9)-Amc`?D{kU1#ztS!^$X&0JH3HoWAzQqp|wS!%h-FNCCP-!s(@EBs0hLk zt1o;!@J!_T6z!6|2Rib+8S+y|k|U<0-is#qaRdaK`qO~5pTx2)SIaC7NDrcDq&|vc zKnl{a-*0ijYWIFX27AiXGe_Z6Y?il^MW7w1xve0)=@?J{_q>AhR7OM8SxF5hT1eNz z<=ehS&Msrc*cCL-aJeuG#7zVWoV;TmQB=L(ylaT^w`L0w zbM*5X-nSdsY_VC{n}BXsz^KIF`kD}o>p;&gMlIgaktQzV8sQ%a6$)tkcXF#sN!D*E zg=3$REL5lz8DGuSth~>NE}+Xc&ZHEohWPANble(J4 zb%FCp1!sA1F16+?egq>56H97tqeQRHl3cOcIITLtB`W~-`g!#On^BvdYgTd103pov zui(UWV}(Jt`Y{#HuCZ9Q5!dGe$ZEfkv#A_HG*ZH_5ihJ{!uUDj9H#ZN+eXp|Bf7K6 za+nkkd>SGU1Z};VBR(lOfBJ|?w7}dc^+*TPRKNpk>r#d1!d{NV04Kmj!t2+cS7O-R z8b0Z&na**@QksTB!TaL3o=l(Gs()}q!8i}f!&P8)8ggVlAa#_OAJ4*iStYRdR*Ure zjzTYtOP|Dk8-F5BZxXC#MGYAVP@Un$WYwne)R)hqg$yd)*-G6D6V@|-K8{0`9|J8- zzGT*Mlq?+l!vNasdPU2uIr(H*P9+yQW+EMSzN>8v->kLpO zZeo4KrVzl3e@ZG3hy^Pfp6<7<~0z4|WV39R5ldWlP8K5-x1_`oTp^Yn|_~5z_ znulZN;R9a01T`bc1!6-ggKDJDL!HDIbdlxOOAdgI$`JWgOA`Ps^&yHB=b@4HCs{eq zzgHwgc3(eI(LUR?>CCa}L)MaT2VW~8zZtX~{SRcm2C zjwE1}sJz8rqv5U00i0iaczgDAEZLV5tD3OuQ9K_A{D#4_#Pn;I$e5w0X z;nDEVlt0zYNO{mjS`SJZri##%4;(#y&NLZRN9ij7sHQBHvyTM7$Je~;JJIf(1a zXM?!*In($)vK&o4U1@-dAGcE0Zpmr3t$Ot!wX_)~lf(O#d}Te5rZ*Z;P8m@FOp z$tFg-2>hQ@{9VwrI#Zzo9jbh)v9fj1@WAVVH)TzQ3ULNNaim6r8CzEIH54l3%7zrn zCM!(}te33|MOYJpSLn+mlo9_NvwJj}G>L7i79>|T4Nux_L&%XM2O)#jf+`N1jB)de zwRhN{qJzTjm;1L_T|FZkjVG*wLN>tqXhLv8R&mcioDFIg+u71+z|&rST>WMfgk|u~ zXEAxATvZHAzd8NQYv(iWF5j|nWJ2D{IC*@E1aCf?fLf?=9|q5 zz`LE=&ex3Ae5?BnL=@&PBz3dr`qT8Pg59)|YNpB{E|Hl*Bwee2p6U1wsnWA2T|4pZ zx7=pcxuiOg#mVO-Xz_yYPqSQs2+yM{4%nFjnMqH(l zrYkq_!Z9}d*mrR%Z)Ue8Ytwlx@vle3f(gdbDQiW*T*XaOM>f+v=s&FA5&8M5ka)oj zbLd+0pU~~mm;8ME)k;sfwnUTxKu*rAVTo1eSyH2KL;ccdTlVNeA-F8 zvMD-m4|seXfkn=O!yHHPC;Tor8ydTf757)?DM_}dw82>?+OK~sK71r@h)kfIHsI-& znJ=&V`soq%x7ni-h6pr{hF9)IR_kZ`563jGenalZO>fepyIy1)c8XU`zErCxm0S6W z$H4p=#L-JzqcH}Fi@B0_Me+~iSx-zMh~J-8=CYoK5D%KZ7f$ zNP}x{4B*s6CJ;+D=qw2%Rey4y{%P~1SL>M0mFG${?~h`eR3-Fg{3q2=sWV86@ueZZ zM_yclyt?FzlscqFckndbD$oyG3uwv>aufdk)#=ltsBYXQ{q`(0uDp6ZcOc}&z-SCh z*00G)nqR5IHA%-MS7m>`gQ?v0$*Bk^zG#=}7BYk~ASL>G9Ls@%OU8fFm7BZ~I^wCe zv>8G%D06Jv>@PkR-0VmIe80MEXS+7I#{tC89jhx;rrK$Q0$zMC>p{rqF{mObh`c}* zHc%yvKLxDqJ&LWE^1Xz=E_=d6X4^KLhq!C zY8;RYoI&o{b!{hJ)ocD6t(Q?~=(0xd`8+(*6tqz`lbZ&sHD+%9zE3x`K-_DXJdyuPo<|dhI^(d}tZPtTXmAP7zVCLG z8((P2J(WWEaRR<@OB)eOhGR3!2HH#p`p#dWb#*sJHPwh2!4YA5&y*|D;WrslIVKWV;_P5T|5uC-u(cEPv}%Zu?hb8P5A( z+nk-v<&s8p7{5JeWS5&J5??UpHgJ9Z_l(NTn-i8agH}as!kbO;jIL$RJf-oaO%Tyu zuOl+)rcybcBfS|D1=kN#u#Ze0?vBJI_1fx&3{sJUEpjHFRNaP08QNB+Jln>jZn5_O z+3OIqKAd;_U}CSi*qfvZIvSx*w$@*~LH0+N_|T0^h*WJnDE!WjVR5ppMUd{-0nNZX zb60=A)Qei=7eowT*gr-}Rv})dA>Zez4(Uo)65A(A5z0?^$l|yzOo~hz7)V7A^PUU$ z6MLTNFxOBB0>LYud$|!;f$wqp<>!moSK?6MIwB1N&!PRNJO{EQvxOT2%OH+0D)0-- z<^;_s28r023hLah{70P9EUbnh!v)sA3Zg{m6#0)3$@36I9`OZY`wwTnTpFKsRUHL@;n&y| zc+O6kMd{}n>01?imuA!r1Lp&2ncLT2qu{<*QTuI;WaqqBHqxd_B-($_lrwILp`^PAShs6R1bD|3U}2_5z=ISLw(%QSdkJ5)FmL0g_~= z-W8m*LO4=X?6Z?J_L!-K);)uu(&^eyU-7)=kp^Z)=b9{u?R5(F`EZnWM3PhxMr{NQ zJ3cilfqh9eg!q!zB}SeRceVVb+AUw2JG?HuZq6Tdsq;JB`R#SByDagg(k?nbWO#x$ zCmFp+@aPB^aSEKWg}c(k%^x6o!b<>Ia5eNy0#Rf_Ijf_MfLOE_5PYvS%O0J19-ki` z8A*ORJH$NJzn`8S&kGO}o_b*8@utvUq`yj@z7Ap1jF@cZev{Zxq*jhE(MB9uFesT52?aZg*^U-i&U91`GaRz= z#Oe_))5Wi1@He->Ap>uRP1!;|>3lbMrO4t7OH2wGFNK!>t$yN%xh`uWOlm?`c83UH zXEy0jZ%xwODwDPRD%@h_OqQ$z*_1L&Qk1~y^Cz*`oRcvCQ`E6qyV!1%K!w#h(;6j@ zNzYu=MV8Z2jTbU!#f~JBQ=osH^06Ij{m?4jYVpp?ma3Z-6E(}UB53Q6g96|c^R69U zzdtbQQ_UfolLr(ywjkQI5Wc%*H|t)K3WhFaoeI@$uT5eHybV7Q5j;C@iiERd7AY9= z1%tv%|CvhD=L|qaH4>A5u||lGmwFOLr%59QLdz6a%l&XSoKDN6*=UE1OfREA+6Nf~ ztlA2tn3-xpcdT^26@gZpiL%0fWfM(Wq;uKA^82gc;oakGgGQ3sNFdqg$*Xi15GiSD zh+2`sPkN|ECWvw!JA67hgxdP!D(_RaPk{t4vvQXODk(zjsxO~fu~e%{C9r<{V?^qy!GTvP{931SwJb!84G4^1 zqvm}@OAdL^zW0PO|t*N91jy9vem7cA; z(yIg{&a2?Kc1s%~$l%dZxF5~Jp>uO~;qk;{AD3WW_)J_ThBsfp9GVx9yh|Mm`E>VF ziq8&ucAIL-W$};fCd0HZMg%v%U0>tI@KeyOtWqjqH6>a8F%bR%FA!^?$o71%TPbr^ z;fq$?*jI4+6@vEw3^d08^~c1fp7;Z8NqVj%!o5$uadzUbr_+RDzrA?oJe5S~@*#ua zrwqOR0(~VC+nUdSARvn##chjl0@2 zX9Bo!`1bCumoyI>E6}`V6arPGn3Kitoe6VM1Azx*=Hx5T#+!jQvZNA?Q};&Zza(U@ zMX{0m9)tUWUu0`bZVP9;;FkLe_Vl*xO zB}FAe5i$tY5|4up)C#l3x{SKnPWiUUAj)+~QTh1r)M{h?f8#l6rC7+a_L(^8u+14T zz^3IEhTKI1wj$Hdx7KwR0x4&|BQH@8{*MvdcNWD>VT8tFc;(R0gb48@Cp5o8>nHnPzNkxW5Wl?Y#%NS24~rRQu%U8Jd?ZX2gB)$`MOmZ z8`9q91!3V*`E87=a1*{wQ3qoeSbEh}STjl5#$x~s}ZeRbj5C9>I@Se|LucFKn)Lz)|h>#6nTiCo1tylZ< zL9M*Zmiay`jz$@20j3xd)VVp8Rd+6@7s*XMzyozrPHlV zRog0fEMLNSG^}B7Z%e2jSC%p>eY?z_&9ILD8pomn+8(<>^mYnPm_;F^TwulzV}wIR zbkR^ci5KF(i1T$tI}r&0ghY8sM~+JN#XC*%Z3f5u4Jm2Bi>94RX$r}GvBhWET}w|% zZ-x%*JjIY|d?ti0ZXB4cRi=agB>T4DflVa@x6^9aIk(foi-``>xM4}NWL5l3cuLHp z?}nNTZ6uuX#hR-9IAwFb9#>Xt**7^Q$()&f%m_4vC>Cn%+%};c7&h1=8!vG(Yo4u@ ztE~jAk59CDTL7KsoiRQb^s*UXwe>E-UvOuLZa`gu^h1{Vt%1jrz~`k z*^V~AG>h-mi#HSzFZZdnlj)@>e?=(N2Nprs8hE?FPXMd+dsWJA9L^A#iB?;@NJLm-@kv|b5-A) z9GDh`x?s|O%I%(T(-YpMdpgRCO;PbI_~^zMyHkNQav6#5zKOId`%&-*-Q;R8oeG3} zg#<%0zxs!EP=u7usOxWG9~$|xbBPV)6^kdd4i{5AQNb^4glad>!9EFLXWC?ZJk6|Unf zdVHknxX?e2;cNRmC9U~VK0h+5zrfI0l9}0Y6((Vvoh8((6y04tI2II>?b(rX12c?)WKtR255lU z>~|9)=a)y>BHe@IdgX-id&`}vkhTNWV@ojW`k|0kk#gDr6CqR2Wm7afCB>YBDl6NR z5NuaDtj+iPuaMpTmzIC`-cH`}Ep55b-7jwrAhHfsTHU=|OW$LM%SK)1J;`Ji-0>V@ z6@Dl$Z=PvK!QI6;0bf*D>VTK4URI z&u&JsMLW0N{39LWPH_WL1Ra5|7&~u-Xr@WOvq{XK+}_;qivICu$qE&0WwRNy>}JYE zmF8F`On=*BbL-}a=l=s(X2k!5Rgp`WKPY5MK?c1Ugw7tHD$m#;%V)tT`c6 zBmTdWDd(VvmW1GB&lpL8SYy{7M|XchGZrZXo4&yZ1X-72Y`TD2HW(j_ZpgyIirzQ> zw#xz|whyf^xumfX-#X?LSGqos4hS&}^*RHL1|d%Ig!5WG5@7IBX)|Q$r1Uxg9Cnn~ zow8+~P-#H~zMifd z0cp25E>{&Oa1QWNWC5wFY*ewhCj1a=rpCGBFDjv7Sm6%8o@F1Ey5J`@EoSba)8Py(ij#}r8V zs|aa~oPK`z_5Bv7%*dBy;K{#q!vm*t0m9RJ@;sX`qE^%Fg>Tw5wQ#_Ug4|H*gL-enOD@Mv-is!Va!d)=8?KDUftMcw zq!T)j835Fu_vpR}IM!(}tGl&GFfjZA{jpj)D8;O`=A#Zr; zjT*;@L^e7f zUxqU~)aHi+^SwB9eiFDN|)-Kx3g$#pCd^{|VGnc1qs$Z{L1@xT{ZR8+9rJ!0kI z;c*j>2&Xq6qg5*rTm1X0sVf0J|H!A-4);SZVzH=10*ru})s$PKnWz%N zx^5)cOIpk;01LYvu<0FxZ{cH%0TKQzFi6T7d9OaU zCsyPS`ap}?K_+fb^miBQ>C3WOBJaWzBTtaZ&IILkd~Wv;W#zbWSy1mE`=D$$p~V3U zQ&R+E$?CY2%Jdr6haR+RHe;47-&e~%v@q9goVA;QU<1zw5zr}-!WFTmQ(Dosq7}|_|u{rml z7IP)avdeC7@nI3Wo4CbLpWdf&QbEcm!LTalwWOEH!fCd`g$L#f1P3jDvFP1DD(FN zjsXRay^nw6v62RsOD?!==H3dc`Go<@Qf*CbJI4@??WaGADA-oFqV@hZ&34(6#fK_n zj#_dKLeQg|Xu`G6+Z-mv?RaO^3U$^uhb6h(g)1L!a#~_ZXGX_O8c8k%Wn53!_39mW zw+X>QQM7Sd4Lnx)!k*|!^Uiw(V3L}I8P3ix$_9WBHbB49*U=@HWdpKmEPtXEe)pu+ zZ(m)mBNDdEz7SP|4^fmyDodma`(f0W2_Y zj6st|DAqD*>{q>0N>#rUa7y+&oDEDdhe$F+!Q;-P5f?wBYAk-w7g<%b3Mx2=g>KPE zg;i+IyJi1`Vy)qFnw}bWQ`6G%q*QkHegY)s{jYWD=bn4*kimY*Rq+9P-8&o+%89Y- zgP3ss=e=v7%3DcC8@T$#%>1ybRC{sC9oVJb(dE%EWe~3X5X82b>lhl#-43>N1^9HS z;(s6Z)`h}rFGeyx3k=|b7&n61KvwM$Tnze23a*2jtK3*g$l9H1I-Lp#xSv;8IgL2g zJ95@`XkU-p_C&3$Qcdn@hsX2NV*(-AN`J)0e{^T)xTkU4h*$G_8GX)SsfLE08qh%! z9ZwyPK)rYHCMPFPZg!_;ft%5>)qw~l!s0(Kw1(P3G==tmyiiNF{xmMuyr!k6?w!Rm z&WT);$NOJ$SC2rRYal?IPxW+(gI+Nt&}= z7E!K;kHJU7UBeC9ji%E~;fy@%QT!mbwwI_>Z*z$VwCZl^TQC38~_X-WwD|H}AEgRI`b zcslo=S0ICE-~FbC^ymABDZZ4Zww-XX z9lE<{$e=7MaNQvXFkjZV?7SsnJkc&uZ1@`u$EK9rs20$iGF{bI$0Om6GG_!5UjVx? z;~*JiUl)Zz=`w?-6cGzl9|(c3z+_o6;$TLHSs*ksY7}Kj?|_oS^RxC>A#jl|Re3-O z6;Q%LcAhpJbP6Hgl1epNFs2)w%>xU z)=IyxGNEQMB!*qwkcT+0^b87}qnsvX(+ibBjv$J}48;ued^|{HvsE$*@#9*RqVUuz zxsZF~urK|^b?V>sKD{sU@Hk$g7uwuP2P{In5x0xE&zbM?-REn&T-qE4Fz|)=|1M+i z+lFY5cYE)y;bkc>q+H)52349fAu;Wcz_^N3 z2glT2q>oIirffI?yhr9c`lJ`eY$Gc;MaF4Fo~ZEX*hQMcUkxcqBV?(KBfOgx>jH*K*0# zngO?RLOcq32&()Ki**K8eT(70ukv{=BS!WeO^h&Wt5zH~4?c_IcpZI+LT0tjmkZZ4 zS38((MK_TkpkIoa8kV$^4w+tfK5IfYUjiODmuLk7JG`=V5ARP;FkDAVZ89b zxL{VB)2#wX`WvlLU47TMJJZBhRQZ4J!EE&j`IKU*RoApUWFDiM_bIcL`9G9nA1b5a z(}i?j_(>!8lA2*AP2tU8AP>M|$L7UBWn|3dQc84Ksg&7hKI(Opg%#UlboMCP zB-&?m28@3gCo4Aepr4w==lCAUh4}1S)7wD|t5wMq5cGTs;c^T^MO_bzpdg8(jL5EI z{<(YN)aG97A3rcj!{F?8|3o_T@a(DqFLg ze*Z0+46fOWOCbun*mT%M;2WE7uJ!YfF74f`i|oxOVqpx0OM!}T`Kx*+N0;l^*Gw0% z3z42cju7hSQNjpHf&Ov|tssb^@YMNy&e)CL%r>t??jt!eoI?XCc{c!fcvVxlG94WO zi_NE!PE%84pFFeX9B(bfxNHV=v`i2Ad)3wZDkfZu%R&fvu_Do2IJfdq+Q+(|y2R*& zJaS*&l>bh%J1;1DtK?OVBr@u1t<+Vjp@6QQm@OwHG9b|9m{r5DuuDR;#Q{GxWOTH8 zU_$MhAB>Prf1&>YqKM-qBUk~7)7Phn0f^%_UB9TK-?h8AOzH5I8*j(8lxZis+{0pEWduw(O72(G5PVW+#D)ikiSnRL zAq&L#8G=5mCIUpncwZvn6(bl-li+{cHFC)!>TF2QuoG{Y z`8ab7m`|yvVZY|FH}~PB=~?{8Z=6|iPpvyxTvhg2l7`>FcU-?+XA z!A8dO+9gNgxb-g_9J`VadU@GRr~dxwaf2W4=`Pr-m==8FehQlV*kUrDD!^^r1}ROI2ojOJM7d0cCz!0?rApQ1yNL_C6s zab~p|*IQRJ%5we65D22aI&wu{W|%S!6LvNsqns|Ro%JIFUwnE>C_Z)$#Y!)a;u`TYvgM{BIS}$*?6B<0pkC~wmh8VvOwYm~3>~uN z-1n2e-oZ}bz4Q8fEeBb(65ykX<>%yUeuo&)+=?w$IhP!DN#)Uex{z?ct$W3GW%p+4 zkj4>*TE5C-Qt*=p{*Ug~pnI{jG8#w;(*=z?6NR`3*5Bjh{9}qdXY*K{!$rX8%<=zp zJj~eUein2jC(Bo9q6N|%&5i7PdIAQ?M9a7zSumR%Nr+qqz@aS`KLFa{be`My<+^QK zSLNTI8R%NFbW3{;_GY<0NAXhtb3aIK8&JUQVA%8$>)j!Q>5w!hQq|mkCHPhz5LZTz zjta7khDZs)v`92F7y5-jBDCo{4M|c5Jit>tfM(yuHea_y%=V9a$#@*V_pE#`MB}sa z%@s1*$>|~N04AwKswN+Xm3J#QHpfzQ4(`GlPE2z?0I|tcY6e9`Ra>pADudk}EQh0AvKF-VHxX5x50^DS+ z@AyQOQK*M_VM$jj;3+GR)nV)S;`cDDg~hfpO0&^^ZrU+ux-8;g>7M_;mmI{xseg2- zY-8~lA9a05Wic3PTsqY%`57~ceqiTy*X7M;H(>|Q}VzxL1#C2z_^M5 zDO@a0%pbXwBfxl`T01wrQhR_OG;>o&Z8vNpwg9LvoLnfPEs&N|K>+w#B~Dr6Muy+e zpUjOT*>U6u)?0r)5n?qc5KJl8d-8@1%EjgROHvP*!O*U}V>dBLzI<>2bm4D+3YY8m z5?1T;G0Uiygxnn`JP>6-mAs5`{B|YuADus`%Y87Ud{_gpV|S(R-wbInz3(`u<}AN8 z0K$ls5=@SDs-OlxWvkE!2j`0HR;0M37L~^@-KrqtH zGBjYLPQo>Ld-VsC=HRdK@N#PjkQ#1qG6#i`w37ETF+_0`i1zM;RXPE7B}3VY5(06L zbFdtGlZOY1LZM;R$lxMmM$^!EB!iuyTaPA31520D4|>VsYk;9kQV53FKD~sM&>C6` zNhyTm8S}6<&Sb~VE0GF|9ahvepbe(LU6Zl2islGQ_7aV_qJ7b{FVG*W6!vSk&UUFS zJkb-h6MT)VptHXleUK1qRic)~R?Ti<^s(WwR96Y3tIl>;69tb(vG}R%Xyy8ozDnnL z15k3smMJWwqP#NaPQb1pPBD|#nR+@_vdJK?vyMJUlh(-{G}bXSH&XE@Z8o^_-~HPW ziY7Sra$(8w0P;!Z0yyI?Q`IKE?YY55| zrFw?=wF<~eSrx<>r!}#zCt)rsJ*u9246Jyt8bul~y5c3!yPz1*V$uSF*K1n1v`KN! z1mMhZDr(~n96Q}?TU_5TdH0lRcbD$}$JAMHWf^tdTBI8!q``;o?vj3xMj9#U?kF!SHM!GrM_x-+e&JS=58Qko>=UQ`KGg=VSQItyO%OXVoCrt~UiElde zHlFrKkP&;l%HjH~kli`-M=z`gqD*9)e<}MQ1l|mr9`&=&{_!7L;3wa!B}Oeg=-mF= z@Q~t;>Q2kWLB!&I{QGmy(8~E6vfyqMZ@O5*=b@w4vl?Iwwj7F2^SL7}uOa_2mZhuJ zmI4{=ch^J#*QeybL-}(%HvMYxUhdRWx;o_jyMzAjd6JDDjP8o|rzjmb9k&w=e8h7l zmi`NtSlE1t??=o6>|-z;mt=ON{jhP>@6;_=^fGM?Kk#LgKVRiWC8Fo#`XYUY?Y zfFd*kyccmq@wbPv7sAF&gaSH%|4=W%tUxvCg@K0N9k0%)lJOQW zNVJ%vK;JBta;IX5>_r&`KZKCc&|0kmgIislikb#OM~B3yQGq{hQJO*zLgB-<*zzyl zV$siIr`(l^=g`u>!R~N*e)lqB2_x{0#^Khx{6tb0e%)Y@Jq#=<7({`!e_2P)Aj89a z>8+ynLmRe*U*Nb1i#{o_%QbGydp5Un!%xr~7|52% zkBWF@C}YA)uYwXWX-3&|i12Zbu&mY;Vms1QYS4;+!K59`=hbV0V@)TY72wpJAJ*_0 zxCbaR>>6y65;xJfz@#pb)Un&uYn6^Db}kG`XE)ElzdLcsgt(j$)5uTia_hT7p?cbgWCbG+NSt|pNQ^TuT!nSNOC{Ql01zvK7-ckj|bsIPF1oG+^;W7)Umw( ztX=7?`|ai{M-llj#7)c*90|DMO1EHVHg{y2aLbgpH7lV$3PN`l%I+|L187U0XoDwo zTzh1?Usm#nx6Ox;w7MLV97EHcpRyor-Wp;$5|v0p6YrEf(HPIU|twihw=Zk>+5m%4EEQj2Ae&`5-!CZd~elF|cU+?9S+ zDe538nY~e5y!@5x^?;gKe&S-oPcOV>?*~K<)roU&%rDh4S2imsGi7Ln*G|(j-HrOb z-T%#5j_0lK(n(?Ow3!pSuTrTl=D4+g_J%c&zAK^;6m#9nTvP zkzLv+Gt+4$J#K=5k+L8mmH3!=6mOJpG&3QyHC3)Hdnb7(br3N;QT_v!&%}2XoK%Km zscl!yMiRb=H~zBL^57$Q5-*?tIkv}*;@gVd=As=^`7|E%t=$iEO_s13OFwa0Numqn zJ>1j2T+g_-S8f{&$Hkqk@cY_iIU}_S9(A<;zW%Kvr}U5s`1E!1NqF(W@Y7tl zqOF_pik7RERfbpy>W?BBn-?wb&IaR6nESO;>?zD^qEZ_aB)PPbzaJ`hIVI-}oSnRR zeT=$8VKg>$H?(;4(5DUt_OH)o4i3fS3CAQ3iA^Juf+otOpcEwF!-7wW*C;yA(t-U&3^?lVk(D zw^_xwT2YNZ_Vh%>0d-HbSyIR=QA~^-?qu) zI3NNfMVE?(9p4DG>ObJs?VF$Lu4YaaaxqRo|3%ReJ}Av)}q{Y*jjcLiB>YxP`tqj5#)?Pqr()$Fb}lwmz=bZDnVe|=8T!d=`n(^?2z z8T`Yb+PH(rC-9SL+~XGriTr~;_;F5WE|Pln;!*4B$fLNd;qUMm#4V?FsFvD7m1W$^ zGPn_`cR%RV_(+9AEzMBd-y@{*8WKc2Je=!-S&#WcWVZh!{P6yC=!%`XiO21)^LS+G zYjQdh_m+@osboaAC+8J^IM_uuT=QHqQo(Qvk5f+s>$~~9)=etG99>Mly}igaa~d6) z@iuML@sZs(66=na2>QX2Yd^14xa{v}{ciWn<;jH5R%nu;*FIs!5$`OtN-_NIFL{SZ zd13rCc%bJVFP1aC$Nt3fX6lztHhu8?P1CjH9X5w30yxq5Tgcu?DS`Pt0;|%EKTv0$ z1m)oTzH8(ms3FIK4fNiUeys<)5$()MZFJTKI(bcLs^X3kjRcqF6|G{n@VODBphYkQ zBgMr)2F>+<=v0)I9ab<7;cWv@;c*X<>KkB1>86Fhzwt@}yf23Huw=Gl7tLy)g{9M&Mn z)5B^o5!Jyj<4Y>LX{FGUs45#*Yy8MfoZD}09bH)~+03cX_FrvPv<%V*(A z|L0zifGS*nz2KkDZ38Ea&TIk~)VbIL<4mJ)D+DPhpcZgHVsIIe@V*QnMl4203+z}o zwoo|J#-cBh4@nu#19EqJ_>}nQlCvi!w4#+$UkE+uip6xQROS7R6reQ8k9fo(IwAB^ zb!Q4nvbCP3TF_HcN9zI8T~4zlYP|0w)|wY_WTE0~5uHmSz?=ThvWlOZjpvn{C~xed z>KN?T`w^-@X2r~c8f`us7J?jib9yAn)u%^8+KMC)8>Cg}Y7JfC;z{HC?v5Y*Y{L-7 z$oZTW);nScr6lx&T4L@G82=9F5{(2?RL&z?!MMZQ7ahPzD`F}TF@9I9a7{Wj@yhxP z>>s(UHZ~_*`wS;QFxH??i&-T63KAcfA$(BJ+riXBULp4;?o3q8`4xRM>&6%0E~EGn zEt^$u+lGqTV;wd$dWeZ@Y6@3}C&OMGieeV~1m>E_p-ggnw20VihTBF6?%0m=I8|nL z@l17w+WQP9xqZ@Uq?;Kc_w|ooo>_6|=XdA^Zt$~6u5tWCn6htmlFNK|fO6WZ!n$l1 z&nF2_uk}ZTY!!gvY`nrXxv-?bQ9G?-W+!h@)Dkg=qKE+jFE`g>NYqqyl zIy&@Sz1cr&Fd-)c^#n^7EN{-USkb01zPaZ0#Fu3W$wB~iK)_c~sn4pXdNMQycP^Qo z#dz6Ey%hP7@iSQv9IB{EPY-$Gv>H%!RQ#i7A{11TbJHF+aB*iy{rmrnwRgCjX2U)T z`985_Z6+qE5*o3ICa#ehm3&SwJucAf?xYEL9r}p>qn5nN#+b))QF>?4^Ll*?Yrn~S%eRH{6s?=g#JY&+xxLPJuuBqWRw zX%y1~wsdigY!0H<@>9f#wbriKOP=8&)c2GO$7-*P>)j3%wfI(Wc~r=1KG%0UJ%V_H zW-qN4$30hb?NZEqLhSD+z-(qc!Jld2<8LvXOtwvBv!&rVS_(Wkrb-9VKfpgKQfLcsI@mSuUcoUP2XXu44*P|%Oz7LxK#Vjs{@Iz1nL}IJJ7#P<)*edwl zT!RgIJgFUIY=~&EM@igqUw2riE~oG(qO1`%lGkSBR)%M_hhsRj2_WOX8Dno=qcLif zV`;ys4o}py!S)$Hbd?_X$_>1eGE$T`;p7@DdRLDBsB?dsDOAfrVAym(+;im>m6qU} zsVO4sr?fMgI1YN10lVts_uyR^>};{6YFcT1CElgyDtVJctR=d)3XVwOfiOAfTgiOM zRNRqfu4C{=i9)-`Cn>!H_Nz?j-Gt{@#-_1zr5MV3)hMn=AGR}E?zpY~{5s=?cv%Bd zENG-&sv9NqEb3qWjEY!q8DVFoKyo8%H)k&?gZ& zAsZN_4rx^4!irkMIb$@w-(eRmU1QPDmgzGe#}*wdx*bVZRd01`DeX_H^Tj)xk*#a# zL-Uy$!8N22wNm#s0u;VjX5mTq1wUJ?jfEJW3OQqoq_BwD@5l}j`M&TKI1yWA@je~> z<=a{5X)@`^M=BU=$SFa!NVt*2NKB?}G!W6;kdEyoURH)0?v**Y{ zugGsDJdviyVzwzk87{_R75V3!D0 zoT4!x=R+#zwTVkhMN5dh+nrN{EIXRifVq!LJB56+EFq-=^U(Nrxz~GM&rc3*Zlr0* zvW?&DDInh*1p^T<{S@-KI3;#QUfnuV=#36kTiBTfvn;oSyOYcnv67UPs|fa2vT=>L z5fF~G;T&RS<~Uw`4*p8h%xzC!etj}a?xvx+7nY1RI4Hf#(l9!YKi}B9aSC48w|5}N zYnc)Vov%hOyS9d9abGWSM%cK!c)ii@KUf0rbgBm3u85)FdoyD;Dky}HiMKBDM0+%q z`q>AiUmcCO2ARvLkxv1z-IwL0f*qfGxDrO9F#tpMOJMJqYZhY`CyQdn_xSDjlbxn5 ze*D>0Fa_rBo|n5N738+Xct0K3_tTS{rFX;-O54x3TxI=QY=2Aj3!qrkUe%NfHZ|v- zd@=gdR5y^)(ShguWgF_96&om-I&ySxpmknU&54P~+-Mt96oN1|@AE-Yd6n%wYT>=& z2D0A9C-`@fn8qIV>KFTsh!W2l9YZRJIeZ4hDc4yc*WGeqO=eVAW=n~tN6fNUc+lZD z)&Mq)!p|UO(H;(XvcVEqh%AQx0uAz&iFsw_gj~+`mwB_gwfHxJ9g&j2zV^|;oB zg7=)2%OzzKX&)Mr7NU@m{@Ez&%NHp~90+3_l{0*(RqYB7d_>nvNx5h~L$%BJcoef@ zkUE>fXEPlgroFhzwC_Q#47e2r;W13*hvrh*IS@&8WH$O0p+Fo4)j56S52)MK28Yk9 z`W=gw0)ljAy%6P9T1ZWF^&dCj1b8>`k2rh~JUc_it*%z8jYExmP(dV0_=aZoi^UOI z68xaD0v4s5F}2Z?VRLr$h`mYvkZgWN3*7YJ#ACs`kKNTumT027c52IMqaejp74SPB?mrGK&1U8?a*l!}0!bt0$S7pabT3dlwY z>5k_NcGd5`=rgE3V3UFS1Op?EnV(x8qr+{DM0s4vzG1ZI@tz>J4H4jRaTwKqgklCM5NHFa=nH1ho;~0k5Y#wRVQ<%XYGD^5bA?C8l^PI2#^2M zJktKXJB7#+)}zJQMyhFq7=j z=fZ1AoI-<~O zD~OTxP$pDc3Icl3!`9@sAy_46OWh#PM8%_!7C^UQ9mZZ2(pjqw`Rzx2m;P!w;qA_} zG9_c#gE`7O5Rv7mE1l$5hl>(E&x%SEiDfhGad{ZpMC&B+BF2j|+CWgstRYy=OUakJ z%cGBSFMey1KQ-3z>ndKqTBfw!L0tjWp%MMSH?-^d_U^@9OTsq0$-+tyvTwO>peR*Q zkK@K#hrpi{R>vo>sIgy0X;;2a@V*LcIF`ycaPO$%P9Lw7R(OzpOcS)hec1h(DNUf| z{-{w~ysBKA4N~$I7^c+JU6sO20@8*O7)}E)E__g{vOV-5gZj<|$AsXc1lV82WOK2b zxZq`I^PR7KmhuB=9{k}EGrA|2y5f%I_qeLmiF{eRGLMNvzq}Nr7~A_@E`_C%jraAe zt=&@JOv=^Kqzsm}hghmXQ@p|mfyhU=rL;Z?Imy+vS2`*!OHV<6pVwA0TRhT|5tcV- zcYUry$3jOlxaIf`swY_lPqs6m^hO%>5*#AS9b(Z)DIvi@hS3?=(Y;QqZN6Zvn@Cy& z9~Vzr)Ij&e>UPY77~(OXUgke-&q1+}WIGS$cVJ7FD1Q&vH1=Fo;>) zYwl6>O)2lHrNNy|bE<+k8yYQRS88+QP+Zvtutk%+Wm!D#3ozE(y??G+tMX}=uQnkz zoug(oN(wMP#t3iej@IQ65!g6wj$Rzgscvt*oR|t0vUOB~V zjo%UEBGC#6iWBE)sgx$%mj_j`m=mh1j?niwt~Dl?69p%I zRx|xzm8xAD(|4EVGmYJWfUhND_48DrR1ai$tjPaII3BERMxgf@cA8LR-cLf^oWd!W zdgiCnPCJ4I=ww68lEC8BzqpN+(o~L`%f1>%?`eVgGgjqeoGJDF`Jwhz5~u1v{c$a+ zGbpyxD)mb}Ie>oFmU>?HEY2Ur^J~i6L-|T7&w(_?d4zsK<|YLibu;rC6Hms$z0P+@ zz2Z~PNFdF~ec~n_K%TB`~fnS^A+lI zdZLo~J`Y-kTr1CnHSBK2XuVo7?4*N~Jswe)0P;3M76o(LMAEgql(n=UdunZMQO)dfJFkm9pw3bE>Yi z1?T2T$J;=(mv5A`=*V9j+W$W4qsPt>-pTlDPMhF!p7Ynl(@y@ZEi;Oge=dgBSjI|S z=^661j;3iCY{(x^PrKmr4O~BuRJ&Rze@BTJyGv~_VSjiDD>*@ODWOxW-GK4#L1%OM zzaSw@kyWYww(kGjs57xypT3;evI?Vt>VOAT)(PZT1UaolPNABz#}{$cQjZ9H6_uzJ z;B`4N&Y61ZPL5k7Qolb1Pt=s3Q1%~nsCjv`h}dnB>At^$(>r2- z|4$zh+`Zhs(!bSi2c-eaTl5;tLZK_9ZpKgTV6Z&ma6Zsbv?ALLUbt;_HX9L*|_UYV9R)(v? z#mLQCle@*8*NCrW&r)o{Zx0&%O&ATlNlSBT`P9O#YXsk zcXG(s3cOsQqKxCd#IV(LmHi@ss``ceO3%{b@h;~Rnl1YPZ)MF3*_#oDo98p)Umoq5 zAW|q<-Er?vgvD=uv}lR?$FWgqel*vkQKcDFY)a>q`==r8 zvFN{NR=eo&Z5=PrLsq0FR^j5R#XZ%SbnznwHQ#KP^L}h46L&q=nEI}A4(wM3Ef^R! z%PeSU z6pr*%FhvjcG@)Yc?FY>1>d#fV6tI=2&}(W$DM@Z>f`yOsMnZgL`iw-mVv{F@YW4ea zhvR~S#XUi->Yo?BX%iL1$9S*k+x1K-H*B5r1=GS0<1u7L*UwhoLje1#l9j8*LEm&krUDOSJ3MF(1U1+_XaXu>@=uyNR<(z_Ses{js6%G9ngN4O0I_xu^*~FTk z_}R#izKg%1{clKJOg=-FMP0fa8v7JCKrD1mpuyP6GC*;e>gDGDa#YEMI`DqvK_Cmy zk0;M;VaM)H$w&!c&yLuk(q`v3%^+yC^lM~!&EZa{?i?3CG&WC{c#laiN7H_ec)|-c z8W(%0#WgX?^>NRy<^9f!lcLJ}`<8}eNXj)i7nU2wDt25ouO3hdO-A;yD;(if=Anv} zBFH|wGe;w&;a73}*2FO_96hv#)Hv3Hxi>9SyBfE^&R)<|sJbTkj)%foba{#0Fg3rj zLialfm*z+}Dvhd$(~F-Le*a^#B+>dr>TTg4-EQ}?!uhUJSu_y!9j)U#mDi!XWWDvC z3#l9~q6jXFk;s8pMzeo@v&}i9^pq3@4^3<31)jS+Kcc=qjYpq!|E>^AGTJvf(8HUY zrj{nzk3GkK#)wF>7FK6MgRA^mXV zjpW`I>>9)`hjUdbe{{I;;{qU1*+Lsfe2s_dr2;Bt`PKd$5=16bCOgRGZz$Mga4Q)S z_HezdX$3SUEx;RWu$7nxPUT!ROF9;<&swiBCHa>>cRqB#Qp_{A(QU0#3@f~E7}AY8n?gXGoBvjv+Wrs18vmDUeVlZlh5A9G8kdJfD=KVJ zA9``s-Eq`1R%zGDyIrnPw?9{7RP!r0N`{b_Q<_DuJ_<<$myDEpJDf8ZuuD} zmXqnu1d}Hp#df0NY|LQmJmrFoJ$T|&pTSP>B2)XPDoFZ{bJ4~*og&?2H$_b`){lYL z)fU66I43pWYj^9r!bHRE@#I#=Q=?cKcAoL?L;6tVjyLsU#?Kf0PeV;M%)Jq1jJiXZ z=@bt#?t2uSwZ+8RT-RM2(_PUo9ydU-$TTPx;l+|ebk0Tg(>_HIqJgW%pJ5fiyRVBH zYx>PJ^_>HWv&beO5(h`8&9@(NJX5YM5v9ARsOQjg8bQEH)?jbMv|TqcY6jUVfBag^ zfYT}HW`SueP=pVn2medfS};1nBnB)XQ^SRx%f)a;?W9= zqT&bvA2dSRp!|d7;ZhZuBYW%~>w{l-)72Z%gM({SM2>c*o4v*E#YN%-S|z-byTyuj z!|t;tB$P%8|8+-s77B-mct z`Ba9C6iLb9zdqr!T!1c}o9W@p>G}2ceXuRM)s%>fBV_Wc&Qv|~xsRX@S2R)*|6<_= z%TV*$4<^pZ41kYqfO(Veo#nPq9P^ut&x9Hiw~cU_-qsr5Q7-F<$ zZnpeIjht2kPDSj4Txc;#7HiSbt!F^A&t>I`vtFD?Z+5x*JzT*S;G&wVY!2NF~v~w))VeIv79xXw~ua&+|F9^i)O+rW4DApxG>N=@Z-1|~GpMND}R0>C) zoDa8M)gN5^SrlJ9mRmyqK0`+;!O3q*YWNys#>^sUXcKo%OY56v@=Bd1EB#x2Cx#)* z*yAa&30F*VE`noTo4*V^Fn7&GV3Y z@G437Q5w0wUGP4B)=6?LAn}Ot^LG$4fXgzj?%i!Gf#fja(D053z*#d3V;deXjU)hs zQ#*y$v6cti%3TT!>)o;EdsEfz#iRC$vy4HWjzdGo*+Xp0&40E)q$URgxUj()YqLF` zTh5QIn-gXl!&d2jPAxX2n=f2)@ASi3|AeS5;H2izb2x4_^3K+`NxSZNrtD}u3*c`|g&)i`$;khFLEy!|{j_i{AU9BhYx~i{CIIt%lu$faJS* znV`VlqlL0hLBFtqM7*MsP+vzz{o=OXii7f-Mu0>B=o)#=5+*OVLiKy6?|Ns+JQR)e zrEVH--_>tGI}>!r-{?q7O8fPTmK@gDxYq7I1*w_aZ`BQ_MN+cp{*5Tpl8=J;{$;R?G}1&qlGj^f zl{On~y7JX9t>?Ev_aYq&)Pu?qo9-a7Os&mq-lRj7z?oV`-$zIV3x?4qo?X?=o~Q&F z0)g`JRdzEx1PlJ3JZ49hn^8WZye1|}UpbpIK@`pb@bfKd`dt`Aq*OL9wBx46byIl~ z#fdpCyD=<~`Lnc{*o|`C?K7JCK^rFzs3m0yk92X>X0~FVWX=4`YoxVAY|tVe&kn!_ zXaNw{MN&pD3?iX~q-a9l>OJlI@5pxUgr8$IszR$jfFbZ1yIgYh^Pu28oxy0cs9f@P z<8!ok`^PO|JsL45tXbdEn2#1pcrNxuQP{2O!Ty+t)Qx^nOw3Exj{P<5vaf~ohhI>i z_`|GqcJi-%px%diRW|)!;wi?FgF)5|Jp#S`;(l)M!{e1N2!27mP z2J>u_d&>LUN*}XT^5l6YW9<<0)%1$$#GNlYoS{sxhJwn#FXIvf6AT$ zn|^1cJE7=gU>|sVOVxV=@T{@@e-mQk*K3J-3cP#G98z*f-r`Rlzv~=u;Yrhlnr&ot zjSlWaE^zaCcFjezlNj^&xJ1PgY_QK55AFCZHLr^rL^*2Ot||C z<(Nu0aMsPNvq^J`o%0GS=gMDxa1BWh!RWdC@|ny;{poP?`}<(KfXJ#_yxIe(xsbqM zG#LkO)>TYjSa|5lUmS78s--OPjlW~R(Dv-~$L7HSTQdC?TW9s$Y&2lC6%iU8_PIHt z1j(VJIWZ}r@Xgc!2J+RhvQ8x~z!6|~c;O*wp5GpCfXs@zFC?zo^f9Cnx|*rUbL=2k z9OyTk|LJ~uPq0zupcsgT?Swk@rumO&H5?txeEhK3qnu*Tk1T^y0z0*K^Wst3zk3vN zJ^z$dhM%QY*|IlW4~!0MbaTh|L^Z2WY{j|LVU(`^I`cHIa$Pnh$Y@7C@qQB)1?@VY z;)Q+wyW;id#*xcP%OFZSlE>EZ&$qZr-Sbr6$X{g>sjcE_M8mo;(b`704!LzI|7$&E z(c^gA;x=JaVL2JXS6=hn@esZi+;kfP%ds4cTCOAj z2xwQAe&^@LRi2vJz3ML)5!LK^ASMx5^IWAnR+MBtGqcMms54uxi++zu2ch5Njysz! zWi$DS!dy9ULL)4QKWqP?^OIP7M?r`~yqc8LehM}{DF89L%sGskB@N(JTJMCM1VITA zWf0$^kC4GPB!VFI{c+*aLcvLp9DHJYW5RA9gd!=HA##DJM|E9IeJ~-oU|$ocC7a4XR{(QW$o^ z%i-~-k7pvqGBJ$V+Mq#sX%Y=sH1#Ib@nxA=Ebf9B?rco#ey~t)JxT{0ifoaF%}U)5I3WytYXu2 z3CfOcOyg^O`atGp&_hHG({Olj=rb7iKJOd0;xAWWm=+EfJrmyC`;AvPSlD>M(dj&` zq0lC5)4@JMdiROTAP-4t8RLXbv)r+ACD}Oh!eCfJX8Mk0=ybod&dv`LKPUq14p%J@pB_So;R=IN(qQ+NWRy-UOvT+ZDyX7j>BnV94;CMk?RJHdb(xLw+5wZ`>5Msy_$jXxveF!Fz8A{I$o`}c2D zZ!^kKyBYj>M3YLNy9eM%V>%D*0%~P=vB}B8=2Epxq`SiV_ARO2$FgWgn@wA9WkM}& zqTDh~Af~-`YP&Diqf~Zl2c?%lzc#?^7oQ$+hOLtVw#H;Wyi=~mA_1|k$ z=2<2jdcb|S_RGd8r>S5I>|P8=*K+*SYJX!-H*+U_&OsyKAjn{jLqNrM5tZ55ICxyF z4O|ZR4GZ)3^8STpI*mYEc=-lK=U8) zx#dRAl;UG8V+v0vCPRU3Of2Vc*%DKrZY5g(!ufAkU}sLh(-r$ssm(5ls6+ObhKvr5 z=`28%nHQ|xUiDM-Q%g>$j!QsKl>g=QcxwJ_%qwwWhy+*k{ddqGZIjwOMa2I8K=MYge#0EE{O(%hA z??qD>brg}M4>~ceyu|#sZumkYn{$M?_^d&KF!opGm$8tjI?qLo#2;EPcyo@)oL^Fx z-{J}qDE+gk%jF0Orv%P)vH4LlbxT(`B{6*S`5QkcU`q25iB)Ry@j=jFqun<5{yYgP zd(DYqD%2d<6V#tea%xVwUW)W}R8qj0R46AV1bua=O|{fa;ZS9P*@13D<)4EVo+qJd z$=rxX9S_^K0e5)yYgGc4*ZeDFP}`N&ilr`>A|<=OxPN&7;18D3ri8khKePhAe0n=> zj`!6)1~RJH#E85B9~umy=Z0rMyxNJ6n;Y{nP_N! zHvSAHq_3D0w|%PS4No-q3JQ#xJi5FtR z??7?}fIbdaL#@;qBKe7hoY0s^mV$B=lJa5d72rmGW$L{U->Vm)i zqR-@oPl5>7WR$1QL<_@&&PCZP&W3Xeck{bZ#FfYAjxmKrMy zxzz)naqp+@Hz@WxTyc4R)Rei{sdG!T=ljBOPJf9&kj2XLe)*rqkA7qMG!ar!PX0V2 z%3V^Spr~JL9y)rxyJCUVda4IJY$ACwh*f-ix_ZBVJ;8RlSN3KzQ$w~~c|jptjGxQ% zClTK@6DRnzj&(MEKa?ZgT98MG3GQ7M1Opkc&uFj;H}Jb7Opu8QX^4iMcnoxuHzg;U z`N5cBw4-?c+K*Q_4j&gq&sCw;;;zWUP6K4A1bC|zn3G4oL1Za z_S+Ge88M)H+3rxOH4TeMwTc`_x-*y*pG}p4YB`X=-M|k??-h8gH^_ri!A8#9U)1)D( zCX4HjJid4=xUVjuK=ja0Ic^=jo;-2?0Y@(}cT;^ldX#3MI*;G~n7gWtcz60u@>E&Z z6ZaXzpVY=~@=!uq+fNP7gY@iL->5=O+Y@b+FD#d}bpyw%-R7+K;MUvTUt6;uMq}?9 z*IH04XR}NjVz`9I3;z7E?nV#2Yh2PjsjW_h)wEf^ntAKh_8vK%YSMgm*p|cIO3g=)TIDm{U4vDM z?O@dd5n!UW{$1PE&exsLHt+W0Dgph3|Asd4uCcY()nzMn7KE=p2w{2sMrNdaX8asd zwK@ue9<4;FnkC8#ej^51oc4Ask^{Mwrh=4sg0wOhN3`=JpgWa>2hFa*4%k?6fF7R3 z5FPi3IuU%KpCbSFY(yesB{zzuZhx&|HPW!>!SfUy?H6jZ!F`wGl=YPIjTAExFE)%u zJ=CoobsEoFB^tImPDp1EW)(^no`d+VCCIN{DANFUhgG_4k~wIR&-kb|jZVFmpkzAH zlsnq>MKqAbLm;~oNGAW4Zd2tzI@&0wBtV{(yfJgScdR_#w%$4R7}!VL+;*84-+;&x z)%@yyMf)jd^n4IZpyTM=OV*S7Kbz&P79UzL{0cl%WzYZKq>)eP7jBFh*@{Iye1vSp zb3=KmrB4YZn8*e0+NJWNXrnfjIBsBm2r4avS{gi$4yu;hpX6R!WH*g+Bwf8p<~>BD zOrWeW{Z4%H-uwb<2BV|rOtL@n(ei&rs=+yAtlQOIe#RSkdftClx@ zNj=DS)v=ya8m^((`FaNr5#vjZTts#@og9BLOalA+@ow z^cNlzzt&;cou!5KK>Jj)G{5c$o|Ff=ix~Xko*Y(FTl&Ts70Y`{_hoy7Avv0W^+9aj z3=qNB&chP*!3hgGM;y*sO!S>Y2A?Pirv6(xa`zHY#+H+9p&HL8wDR8`ub;LUq1P8Z z;kNH?0FYf|!+l>mLD>Kpc)3oJb4iuo4ngCbt{` zr0{X7atFW=Q#T0oat4L!t3qR&UV_*vGGh_9f| zn}Yh0S{XzA*J#SGh;_3q$hT1V z+R&Aou+v5lCxA=&|HgD|fEz zt(W=bEKfF&qj1{=_pW}qp4BKQp?FenWPc*Ny^~1I;C1DQU#+-x-v6Rqml_>OpW~J7 zR({g=#1bPI=e>tOig4*Uc{Bsx`)P!`q(luxAVhUy-P~D5!+ zOjmDJM;dz2-#!mQY3|xz48&3e=Dlmjq{L-9tw{cLw$U)Kh<^ap3ku!j+s@WfwQwLC zlzf-|^A)`eXs?z=&;9O<`<-=i{WWe-Fj~YrT$#)>Jdi($F@bEIbnbqya)4J=usuCY zguzFTU-+(&qbhNarHWh@jMez3*cnWhb?bvbEAAg{7_?s+72;K@)GDPmo+PO?aQ&dt z(_;onE(99)$!exF(pTqe=iF-o{0LJQe*ld&yG2pft$iuWQ;x*Z8nT5`7y)(TW>CYO zCyFo5%yFjC>X24@`E#04J!Jok8=7B-W#b3SNTYz$BMBzpf40yy;nF|+9{8IO3`xnW z<4~42LDbvdVMt@U#rS95tA6>VMw=?1iZb!-^w&#Om#-yBjKiIWi_rbz>Hb>6&x;$KPCM_&TGkoEtBu<#441|=8y!mMoCRRX=7s+v3l`Q2LqMi-n{ zIkkeAicJSID6|J~JdiPgTPjGwf{2cLo$^D20b9`*=&gcveSAt%BWBqr+S#s4#`{sj zo<7){(pwfTyGmGF-NVkgCo8@hiP!XQg==&bHgg$#9^_uNnmya|p^}?gMuw&Zwthf~ z!ZSRNyqii<)bOw~ri=nX6B8#y^Zr*t^Brt6COiv%||PxXAPtLP+ew+W*A5y zJ!-@+j4u8=EP9d#;6UfmY6HYLcPBo{6X=l^XtCqv|3;AQeVEpGBZQ7$Xtq0}H&8v- zZi_f)Ne27jxKmXfXW6tj|49Pj_sF;k0f<9*1px2UoolDWAA!eKO>)_Q`5ImK54;7g z@rj>_K~>nYgjGB3<1zrQUd|{)-S3dIk32M#-66zHjNtp;%f=acyX>Jb0)<`pqlxA9A}utt%>Y@!RdEXiu-AI!-$Bz1Z`zqaLovw424A7L=RG^Ueaz@%UK(Yy0g|5;*x zA_;o(R@pNlIS>xg6HJubi?+{w)6GULNRF7|8#Kl*J zP^dD1K5W&3Dr2DRb@(YJmJo<(m0pV(fzLfY?8Atb9gZ*7a>0<0MmJcuq7lX^>P z&xxV_8G55FKK1mzLejOqK{GlK9GdIz;dE!6yNw>mpMA3_=!I0Vm`dN@Q%63;;N?AeMnbh^ zv1qi-vGdKNs1gp8(_7%U2Q(#-br1(>l_b6dgN%v2nC+H8oKX>9T}>2n0?4sc{%#8% zRH^xc2o{jf@hThG4jpjx7auNf2Un<65kOpsTOFc0Q%Z~WD^fZ(w7p+FUtlGq=W8@5 zd}zkC^zWJj0fY9*`w`urNJ@`cC`FJNm8&97$;cUhqxBNk%JW9nD7Ui52p^To5IP7JL7POHJakf|Dm<{?8{3EH+)DuKT!|k%;S~$v@bw$2mT@wnguv zeLsO$c)K+)Y6k22_Je>tlTS|N3d!L1hb|R#M4`V%zS|9~WvK1N6|qK?(m9sr$*COH zWb(Ed-2%o(!Z-}h-VG0BKWA>|1CUuA9)7}v#E?WMGwT>0XUuobC~KkAe>uGQpLqZ` zw?r)2E4Sx^3Vc3q9l84N?BkVdww?xpl++t5RYAu7xy}x)&D^;q)p&oaly+HHW1wjU zg!JUXXB|sT=gL1lkkv|{JHOH8ut_{pp5n#p48s!n89C<`V;!Y9KBL9!p+iB@-9^*I>yCJgN#msb)Am|W+3DspuF=WJ4|1^J?)q?cl2)O0 z=AWkb7=0Gu@Ih#Jal;UM?xGZoX6@hAyoRhtFpKYTeumP2uAT|F>j;DvoF}a&6s7WN zLUfUwda8_}{0SyUF0~BT8n)_^uSD_46>xCyt+FFy0ztJ?JExvENRw$yH-oJ9W+NU3(gW$d zdr_RKU$C3G><}WC@8e`QjA{&sTNi3^9uEh-^e!nsEim41oAv!ogVqA#yT zwESw%dmvdHvi@V|c|cibvoF(x)YF@~>sgCXZiBH;MKthNO_ICN+G+~|**4zSy0&9K zyDSIUohL&VIBGFtB^1@$tghd6(9LU{^giBLn1fCE_kUm}?9(kw5WQP?i}$wD?yh14 zBrZa&qBy;rmCO^K|3}+T`j(gL9hiT+A=P<8yH1g$wDE6kv64J1ldmNN4|Gcd@KcK& zvFP(LMo;zs97@-(^ah$XeHrOAU+3ZKt>>u$5;T+fB_&}s30pT!as6O0O#cd=(!C9taS@mJ5%)E4W;+jRCCVYdzIMY*w_2cw}Vn z#ebC7LVy2H3_6WciGS};fB-Y$UNmq>Wbe~OWh(>BCN2tm3zs>bfP!<%mj+Um#Ix;w zlLUY^$?{B_a8nPV(_}-xFb1VV|EQ2XEK9(d4X(4&s~Q3n^*=Pr_0Lfez}P10HONDm zwHnd;Z(K6kD@jV)rv59%K4+yds#%+qXxgsM&{SDdPTHVDyl?tffZ6jHkAWE37H^S%ViDndd24sW}wP4%Xb}` zM#H|JM7<)(_BqG&{#DQH9(a~FUJ{cMeo=I14ZNE5Tqa3*w8B#V+i3v?tgl9#sglwA zK$;H-?3}V3I0=HIwuvZ7$WeCed{Y*Xu+Z;FqokHQzuC?=LKU0Zj^ZXg+Mu9Zy8a=` z0G!L>cuB19#?fP104Rded&n`N?*ClO|E*y6-y8JIo}Ph(9Xeb^W&9<>q4q|@njxQU z^q$3fEkt7+u}_**2BzkprRc-D1hac81A1V5M9J%)VOk$tbEEE@xJ1zrW%xb(#yEOX zId;jPF(ppy2Dhus(rx^ZDYe|j%NLg|0pp`#Ur3&rko}VJ{MGEaXNNCE;dZD!9>(uA z;6F^YN04OiWhcB!4YzPkP-AL^KL%x?@ZhX6Kmz? ziId%BO5VLL5b&X6HtY^8%wb+&?C>}8c`*9>%jsvW&!3v4$AyNwuGfl~FGm%skGWhX z?$8O1wjL7*Y%18;OpP1}|JOu0_J^i>rpG-LMU}U}O#%`1VmYZ6uqq>+vnOyPF+-~^ z=MR|5aAfm)coU={PYH{PLLN>TqQOFJymo{C33^42r%iA7zUy=OoRD^2EX^22vHhHT zG53vnGz-#aH|RndW)oDzCD2E^^m?cyzF6=0&>onzK+W4;3vgQE_(3p#P`;HJ${kph zM?OV`#1cye*K*H1gKtGbnM<^f28GJ6NoeTx1z{H}pH&x2^KXsHW?;frs=pQvPwk52 zUYP{97}*qvcov1l{rd$pq&~kTp;~|0?a5WE8}hW_SMF3c!MP>!N+EjENf3eYZ*r2} zxfQgqSnKWiHb7N(gFVi;gy*~}xCs<{`6G0!WDPLo6sdq}slfR=9H71V^=m?+kamuY zdVbw^Zk3pt-Hd7hY-GaNU(QF>>-hrgv4q90bLbf8OyRBoQA9BZV|s_SR92(ri-LEc z6gR+yp0q_PwTPw?mF%Hbu_hL)5BJ6lG^5wdcaOm6k5G~~B1rVkBRhJ~XUJ>$L?x3d zggm7teNL&&3%uI8lT^YnSov?&YQoWO*p{wao$(Z3GJ^r(-!dYskg9nU{SsFVfTnHg z*r);EBIVJi63!?`rxMTDp8)I0MwgV7f(Wf7M@r!zHAX{-4$Vs8z+sTr6?f5RcV1K-^n| z)z_1XOAHMfQv_tzLs`?MG6kJSrIIcD#Y~9hth0LX-ZmYdYL6W2Ku=1H)+#4_tDe#w zlNAQ5Y2w6I%+6C=qyTC12~i0dnEVtv1e2EjPVw;K>u`tem>-C#`JeBjuueP81pt@C zu4=-DE*fZ9PGrx6ZQw-r`!G18RP0i#ScEttnb)n`wVUea#4eFyuTI1eTSX`EVRqonS!D^imQ*g7thLRxOBz{?Yy3w2=21WI}6 zDC0zMR3@{Br3`7y5!V}vwXCvg5^UfwVId``;PS?V>?AiRuv)Rc-VmYxO-U)e#%5Ac z)6|$zk*{^e7>FGn&Wn(8*|C51K&6m|$XaFdouNYqAzSHL5{nWi_D(unAVf;wkB?t&t}XOp_=EmdbwSXC4O45m_oug27jKixH=tS4kZ8NSI7SXpGM$#Tz~oJl zRKT?_>aK#nf(Bwpq9@AdwsPz zEj&30wr^@#I(|Q0ZDg6?>D|}oHbBbi#~X0$m7rFy$~+pjw20QctB9@U`ZW#To0Ry6 z`L;T|B6*HGEheqBq+Ysp9mhI+MC0sE08v+a!23Q4R3LmdjpO)XH2OGVGW2CRfbEM2uxxb9 zxMX@=#IaSCG4z8;RWfCvWN{uB7X|+VA_Gi5F_?!QsHY|qh33#T@c_K`Cb#$MA*FB9oCep!DeK(<%^fJRR0~dt-WZxl$K64&m&4@{7 z2+E7kHWQ`B1c~!9SeOM_8>P@onwgVRS@`)1u~J6d^E*mYXN-%DQ8>e#@?V{zf#Qh8 z=Wf;D8)h>Mpn3`#o@g*ZB@-f@0a*R;PSph8Ad29ffJN;aV9PmK9jeUd3bt^q)Ct6%;7MYNKw6+rp?QoA>m@zJ|wyWeTzK6w=UE{iZYI!;th zq;q74?DO_aLl01OVM9ZQ5lc57I}~%^89T4jDYGTsX7WX>+ zj{iIU#Z=8tm4CBA6PcC=yK1@h0<5DijFBlHQzErN1c?{!qGoUAY8Ge1!J{mgz{duM z2}d{wkay?Zp>kNn61TsVPU?i?n~g^?fW~nc#Ab3{qZG_ zi0#0oA&JtfLumVOV+!1)&u_ab4!`%YV~H0-u)9vxd2X*VpNJ6%R@Uvkc4q35mdc6) zRMRH6p4f5Gt!`Rpr`I+Z1?dIZ0*9EmuBk5gqKBF);*5;WVpgjI!XwOC$6J`sz}v?z zsFI4g1rDmi*HIF!$Ggl6+7t9AADp|No?NU-pAfk&NtJ{ao)hKE(ecZ{nza_C#i}fwM_MEhiQ*VqRE%) z3|^z>R?(vkbIt{Zw%`upJZbP+eaaO0zoVFwTBX|)Pr?b0Z0H-^4$H-c1J1w)0I8Vc z7LfdzH4U;Y{6Hc%8=-O%Zr!p?+Luihg69dcOaF?c9I6q{(H<(F^e$eu8I@0%v+g$a z-7yroABuaIB>7)ccr8^q$%2ISknBHbSfh-n$)7CjIsl6PKaXw-J+N^ArW$F zG^0w6VPdwsP)yIrxHznmLcs4LTMYcVk0Y1MlQK0aKrVW~qOXrGcF4 z5c;0F%L@u6Y#3SZDFpUpHsjRGJwCht0}ByYInF2d$>{k^JMp*0?@%rupb%bSuz!3O zZ&xz9U-6E(>f;#^h7cURF@3(k2vo=kIva&e`{;}~_rPX}4$oFpfiKTj3)s%$azESg z`!5T~H`>ps>5;4y5v(cX{-$sL*qQ3GG>}Eu8}n4H@iN%)cDvad?ZgBnCFTDr4=fL! zmPum>xepGa@XjM0V*a$cP%X*b{~HTV^m0ksL_&+NMocD$Lh|S(0cBGXmF33`WdY}p zwNkQC9M2L>ysP%z02Yd(rnz!;S=<@zxZ$DO4Su+wAbYXV0BhO~aWgt@DhVqN496fa-?By_SXJH?))ol>Yh@)7_En#&E zmdLcZdp>ID{rcPWpW6v>+6jKj&(n4C^`i7t!mme5o}4@%O|YU~7lQ|W>PFo*g2aEg zX_xLO1FH=sN(;Gn^cmwX=vJvR{`KymH9N5^3!||dm7J=Z@~o1tFJQqRJfc}$;m(G} zU9GLiw{QM|BUhz7m2kSdPofu$TW$NnkRT{$A4yvKA3e{@ygUY(HTx)M-83*(Qp3!c zR$Z~}=9@v92bC>cKWtnTi-A_2#IIRlF@vQrn&&Ww#VfuG0~U!bWHudG=NjBJ!n`-^ zloxu75c#HW;-v}bdQGt=!#%gNjh;X1{O-%pPwyfi<`uzjyT~^nPxM) zJy2u-*a2S*ce&G{7 zYY?KfTVZgnAg9ywvTe;6BuOTt^_7b0+`07ATHGa}EiU%}CJ;!{{2ZzdW zGPe>5Mit~xvWgsD*rS8IWQC1yCBU808tfc`f9~P+y>@aRK%ML@IAQ}s>gBtdJW0UJ zUt$R4g)BJEN1qLsGhZu1MNqhL1B&EfPouk9rLv}X>S~!i&$fbqAN(M zy+F|m!6#2U_r{I4V32ssr=+X@fB_@s8@hu5wBnRi?{2^J8vA@$O%clu(b7lo zg@s|dZsTD)?)FntQusF|$?#k{;+#K$!$oXYU)!QBD7{p(_g=(TxpN(})k? zIM@c-a%jZAeja>UA;oyJtC(IdxtZoO)~!5RKK zK{nNE^4D`0d@2)-=uQqKpP8(8-uM4pv3~=bL>-^H#B1W^7^dVgV)FeVnnW`(3Vflt z&+l-he{Q+(H?*3rQSBjSZ)6AjSs7wu@E==%mngV7CbL&boG62MGeKTU*EZCOY;Is^#|K?6SrTEUC)Sza^sk9%pyGW7veu^5)W7{}Jx)`r z0L#;ea4dYcMpkwWO&4hBW8ZmW~*M{j>L&3lIEDq7kC{LM#bmow>qbA$HRnW#^H=6;WSA6 z>vFO?H$*hj=!lU)6V_bf1Rn$OV(7r3kSdz3?y2WDDoIlT@P?bVjSfktc!my{@`+3& zpI48FyS6-@$yxO-MeJAY*empbFzHlgL>S>~@Y1v(scMV-{(b0G|7Sr8?kxdVBu`DVC}Fr;9`A<{z3J-bel~!r-&iB&o6w zqWfN?)tiX=A+}_zyJiF@Z#vTF8m*YhS?@+%E_qDs>`ZkN1qJ7ti>{3XZao8I} zaK9HlCTMkTYR3Mj&hig<&SCMYQ>eNrYL z2ct{}hM{L)1i+6z;d=v@M?R`f-S*@}8ispxqyid=B1v%h0zoCsG<*+Uy}BkBBMO0jQgdI&eaR|4-+r2DXy>bX&czW>U?yILlb6NF)?M?_l~l1?h0Y(&uAe z7~jD%`)@Y${`isu1((8T`i9-sR76*@@Fk*v@Y)Tn&z=PARIr3yVvmZ8LkC*9pk83V zLpQ0aaHN-=t;?QMl5%~8qt~oN3uT8M(gnCOBTS7tT1Q_h_Z|$K(suur|I&!!H zYA|1@q&P;dV({F>I*HrZ{%Hcbl#~p{zzW0QPa}!34@)yDf+W@p?a1h);+LuKeeTE! zKkD!EI)eKW8;poFxdPzmDLS1wflSzlte#rfjOH7@~IrA$(pg zWofg+U^rngU%lmDpb3rFIpC#lcck-z?pLNC7P%QfolsvCE^eZiYd#kq4vo200DxPV zrd$p_Go)Oryrj3{Jk_{a`3<&m1Y(c8qbQ`B3=>Y%K%q@6lYU2}D+~(mCs>tCgug8e2TLC(LV|r`TQT zJw>g8EhZsD@YJ5e=s5}=&YjfVZZ9uB`au51cxML^uRU3=VXoYFb@v^LA)pxw_()X; zY#%FymqHP^%e&L5wL3=-^Tp@BKkjV)YUo{VRHTLLja*K_OfmHD0i*iIKTK@izXP65 zOC>P@RaT5Zksmup9)O29NPO9qyBtyWdm_?CpNjPBo|+QU&Y%OxmY|m1lZ#lR-?u_w z@Fmvfbns|@bn-{Rn1T#9`M?FxR||x)#AgB*CS40GB+V+*f5A)UI8pXY1YfJV3@VdZ zlpwFh$&QtPD`$XhuauM5&|;}Jj7(w*VN6a;B4JJrn{s^vD(@$=O{YIT$fEW+9DRo3bo8y(w5EWv*r=&4xai)cz8KJ zK6>moR-?q}0{{kt0feb>!H%PIO3!XgJ26%0)%LM2CN%r}6jYcXPP^pl22~oP+@%p( zF%0S@DXm`&`iH=LTK4gI96fbqAcOL_4Y0@@^H?~UPJmm@=#44J(Xp_ZqILI=Hf{!G z5h@4M=`+jwlksRZJE6u@Uv%+$X5aqXKwF2^5x|Zvi!2txM@eh*edz&U-apN;6--`7 zxstDsVvn7m1arF z$=JWb!OyZN6}y(ty0^l(wYAc&CeF?Jbi=FZZ~0%1s)h^Y#|GUmkOlUh^rc=f3Pwx3zI|OLreCt*4Ysd@*p%^#E7W2P7w%lMYQt z;0Dd5sS07%d1xb7sHqR?09vi8-z9M!N52iFj0p|d^BI-ts^|Ad#|Nn=jQILaNtt$m zu<9$reY$GmUw;5EeB-_I}0wJ1Gj5x8kjGb0f*< zQ!*roUZXs?4e>C_gAO+Jx3ZOXD^XVD)t;P~1$N{lZ!Gf~gXd-47YFalGh<4DQE?eh z7}iq715=B_Rw-Al6N)g5%tElmAsIPHzj3t-Ow(VuJ#5>;rM=ga)DRh;kP&Aa`Q#Bo z3-aaN4Y{PdTDx#iATBe(Q|X`*keJ`~Rz8T^*gU!%nBb!<5yUnzan+!X6tMU9y%MV5 z6~qK$ZquQP@Zh~J(QX=&Tj}T?=p@y!I``|(BcqIc$85#MQ+Ms#s*oV7K!s}-RuakH z=Q%uDI;LS@6a8~*tDwh=%WLuq*Ixny-1v zG}(8Hh-@A` z9wfdNlPn?}Lw7G#nAy(A21qIfNdd_y4@cCx_CDNF+ypI`>*7WZEJ!giGv)FGYWa6m zm?fnBvqVp1Ek>RCutY13SdWZRO%>|>3RUtWQ&PegN$j@DhLX>Hnx%T%^tKzVDBRk3 z?l=G^ykt}{JiED512SmY>vU?%Ii#+$IM)b$7m4zReQf+#!Kik#E^S+z9M?e20 zBi`tWSXQNpU~RVDfGQrMDTR)7IDP&mhf7tJ)ok+z;gC5S^M?~Z``x6l45IAzdLmie zsA|d1^W;~fvFqpzJYTC#;!UMoRT_D*pZUp(Pgi&H`);YI)t>rED3wF9q-I}^y(48J z|HVGb4Ayk_j+r@z$9d9r(<9c+=ME!3821G$YYEuFO|+DeC2gY`Fn3Lz>R&n-p85=Z z2dW-NZjlmW7IqJY9DXK~MDim<<%5f3ns}qX`#zH=^5I@3oo_?yQcUmT{z9!5^B;rL z)8oNdp~uRvw-RhV{}zIHe@`QjR&?qie=Gjz6Ez}XFu2({t&<|)B!-LqzWh7 zx>p;z=_4JL#U=POvH0~`m!jrG`TQ~8-3z_v@*9JjVnS&>Ka7?$h}*Lmd6`%|@~Ee& z``#Y5gxDxrmqv1veMvrjjUKsHYX5Jom=)^JBV}PL;iAW;QXo?Z`bs@(x80tLYA6VF zLrg^wJV~h?@5+rPow^t-+)nc_@Al?%tlbLf#^w2`rHs&xcH=&E;o81!)_qC|ZZYCE z<>tey&2&0UI020}`CqQ+kTxUV5)WiIU7=B=NGM0y)J|?G5L>@~oau~flZ(6KCE1Q>Kc(owrl!ar^U2`Z3pWIn z#Owzy;Tt}7!ragj7Om!C9?K)NRxg?JJC*pkIT2i>@4q2bCF8_PqhKR{VSYFMiT1UiJiHwv@C+946t_XgSjK9mtVqY~DU**DI_J?Z z)$5@*RcK27u#RK2Ss;I#@}b;lg>KrvDIGdYkRpb^oTdC@0RNwSn4X>sQDYgR;w4wq zmgUdpYlV7iLZgJA72G?Hg3E9DwR=x=r_1ZNLG$IK2B}%iI~=cRG`X%KZVer(3X@L@ zshMnM;n5KpFFAhQ;_q7PYYd~k9_~p9BoxAbVBs;olRvH+*h)HFuuh@(!@fl!?v}pU zLtpTn009Co2&d~d&(jpB(}-ycNOiaEMtpRe2z(stMr)YJKYZeVxf99RRhhq?jV+Wf^%qGY#uaMcsB8 zhuJC;@YS;8ctvqMQ-57Ij}|>es#Cr|GmqP#h;Di~tBl!LzxxVqVJg1ggi8^`5p{b- zA}kTG^_=;Xc5eIg?=YV9dn}~|tsw+WA_H=P1wQ#CfqPHQ{30Z@ozaL}q*#G0)Z}of z;FDnq3xE7d@J7?>9RbJ2)X<{%lc|}HGSNF@JwwVl%T2dwb3@vapImc$q}VMQcv(-h zfMyb}=GJH26!fNVkh)Ra__Z1~Wgq_#wEyPDt+;thBbu!DK(8@Uw-J@T?g(eVkAO|r zP^&76czQ4Qb7Bdjg-Cnq&wcjg3m?wCeZnC>ryea2#o#QG#)_`gW_IK|QG33`;Fxje zQ-*Ugg=8Fp$K47kl~-vVk9ah_zVG>`am*oFDx%@d1qccl8UOEj-9Fn#VwZv;z~`J< zPbf?whfG6Hp!{NU35v7{Z=jO;oJQx!iQRG=PdU z>Gr}oYg1@F$;Kp_FC~*0L*G2?k7g1mK0d328a+?oi4eQI77;NKa>rt1>Ow8^8l8Qe ztLu6F?B{$0)$u!Y9+hItIN zB_xF6E|I@D7N25l<9Ij~L+W@dsFz=or5*8a%8LIX%>xdjf8#Kmv+M6%-lh87~4fEwfT`6kepI$x286b(+qZq z8R}q-Pkd$~7{#$z$gx6leA1~J4qooBypg|h?^iL8_ig`5`aI*X7B`(Lz8mCcE*JDyCz~2 z(xl=$K@#2ejje7FAes?SVmr}gv?(OC74(SgC?<>Gw~zgV>hWn>5noR-1Y?pyu4L*m zTdTQSI#8+G1{yfp8@Rzqj$w_BxLjew9%w}81k12r+s~G=Jk%`v>*d*mcka~HN@i(`I>>p%JLc663?bSn z3XcuR=l96MYL^{{M}!a1?W_0izp};8L9!g$=JWZ|l`9Fv=(2|q?0 z^QCHdKn26F#^j__T!g5j=@*XDDy%Tu)46*cz=e_1b+ptb>N6$cklt+f=DGB%4jx!M zNtKkg_yDDtNel$3gC(R?Dcem#GBS$eQeg)gct8~QPkIMj*+Z_B)78MildMvSb(00@ zz9GsE@H0xE$4@M(dPP9C->q4zym2aZCFXR-Uz~(hIo|Z&khLtr#Ke4{sN59jS@)dG z=PlI#6*|F*+r_92T4@kiZ}E&d(UM&h_YXu`{VDC{TmWE8i`6? zhXcoC`K_3ApNii3O;m@FV6j6mFnS6>)aw-W_lg<#Xa zs~Y0DaTij`@cYq`@>R0-lIu?wVMDx6+|FC1Mn$nz)7&}+B7%6m+@?oL+kX=vnPqy| z;vKhy5(H}P1zT5?3`ZscDyoQJ#^zw1&yW^1p3AWYiJJLO)0#2_f@L##c1rI)3!_%;sj_5|;JC1QEq*P4TFUvL~H6!iv zGohA&&0k@!j-#+i^??BihK$rJ6ROpVwmylUsq@EP`<=k!jn}6NkEu7H_P#7>e|gcD zm@tz)q9?(0blq6uZ*4v04@hQ?Z=&*jpuKaX#yg=Pl@6s{UZEdXyCz6&uq}FvjPYmu z!vssVaF*H{YBbL0#z|HoD~%%PR`cQMjV`4v!kX%`%dQez6SjL$$94$=wq3^$dpwua zFlOB$5&MpO?8_Hs5g&Va_ko~dw?xW;b*fntKqQNGy~O`10z6%r^Nr+hPfhT%bI*CQ zBFsL8bMyTv@P@CB1te!H@QCowVKpbBBq^AgPbXDYz~#i;(K6o0fYTC~aIWD_fWQs* z{%?OOT`??dA!%3)QmSK_Gc%L%g{tIfOz;dpyVPa5_##5QV|VsUo60$VFbcJhOnc42 z!w;$PF)_O1!u~DfQ_XMI#(!2^qPH`YC4S! zQu_=xjZ1dTozZPhoIA}&D4EU-!`0O^^?(-kE27JsxmPb&$AX=AucF}P7sXAmmhtIv z9E?=TWQCkf(~m#*f$mUW_yxNEGqx$+YkKE_Ck2`&HSMpq%sZkp!T+-UZ#{T}1@Y`~>yhw{Pk%WI6 znsom$o!^g1L?kdI`mk44_be_l?z~>JxAn{z-LO&nuG@rRos+r(SK6a!C;y)zXGu-JmfqKDQ%U3Fspi6bBdiltV~gc5|8ghnOW?;nLzo()xzn2s!6htvH|9} zxXu{=(b)>6P-D4zh0(>@6FUi9!Q}>@Hyx>&HWV(qoT#q?!x^)O$!N9-?yNoMWEwNs znFNE+qvv)KBnbLTmTXds>5^97P+rh;IOH+E*F!(IL7WGH#~|#{MlS^w0g;FCAsJHA zjjytL=5BQ!3l1n%L8@ynI!5I3nYhV{sr$z^>vjUGfjc;x>0rAvZ6tSLP{3lIf>Vej9QUU21Uv)s;D^TrPinw$Wxa1G}0xWTCV1o$~( z70o}CwkvAtOb4{Y0B|brOP^&9HP7q3%vuWrhpiIH3Rn=hIt=$y6j56z600_NetQNC z)v^i-{W|x^r8*5T`06$A{Bx4^M4f0ZQxdom+a6t?Kyf6Z0_z_eK`%QqWuHI<-$F)L z+>n~?*Uvvz8?lK&va*rTcidLL_Wm|vdV$$F zaV`<#0-O$~eB6LzI_Lc$VeTW3PvyoSWCAk$N@|qN^a0=#?SHF~mMnIQyz5PgLA8HW=3upU$J z_F$}anS=We#?Lw;u8P(0k1MsjzxZ#_E59JRlqahwT+Wg!``9{K8X6n~t*Uy?d@ z*R#A4cagj-5)RKBJ?~_rUh*PnuShB;7Wv$NtxQ4)}xTn2ub&AKm4EnANr>e?IS-c+)UBV5O~-Vc)MO(aqwS(eI|I;I4xn+uhL) z@uG?d>M%mSfWIDd2-w^|QoWG2oFipVGAfTet#7@I`o6G`3+Cyv=M{L^g8#zX>ab%9 zvRhxRx&OXwARF!GgaNSg*t-w}qIK*o`PVJM2l~E>$SSwld57_B%u6oPga&cFPJBG? z=h0D*e==oUv0@%mKr$fEeNO2Cm*NVm<<(VkD!;v3z9F8uUO;qK_a~V?Y{e#45=)(L zPYo@VZFpA~X@2;MXp*c~(R@bD6)W>Xn`m+^P_0-1ZaS63Tu=oxQwTXJE}9EQ+G$v% z`)@z@{y6P=_Ml5iE8j?EkgbFw*CS}lv&zMpYP*_aA1lY@_ts}VBed*qQ%EWav65$- z7JLFpb2R^u^Za&vD}E6G$8Qx{78Dv@??l98w-KSzA_Rq>-FsA>IQy3SX1Y;mWzc_% zDWIwLo&g{4IPw#UM38ZBo(cdQyd7JRE&kKPKP|9jpHF_Kd9=CUY&e`i=P@8FBH`qT zNCi)8ygm~!?0pLA}h#|WOr^?VNqp$%FZ8>JGTy&d5rHlsnXf>RXutDVLjQ@#;hUWOu#72--m#dq|b)fk$;y(*1h% zk*0g0JuAdY+;7Aw-BteB;NbJkZp=1RVlvh(y1hf@FEUb2Dm)K1wi0$r`~y!=!lDN7 z_m9qtAk0WA+ak;}P1?x`Ei0XrBepzIq<4eNdC^WtV@dx%;UHUa zf2_Ye`r^c8q!S~O3)Qc5N}skAgX`{{~o{I7Ll$yg}zJAz7eT0rvOI;SaP zJ{C8z&nc2WUfI=+~z{c zm=r3UaQ!8gZM<%5rg}vIle|XL(evF5uM`SOQ2Vb$XWABXMq6bi5gno0e=)f$FpZXL zdu6_w$`1DX{N#I(0R#MwNt*b;(Kcw2o)Dce)PY*m@Hpa_OaJg&Ll9R4QrTC0*{! z{mT3la#`21%q+fVtXZT5FgeD20%4W{jCXU-61u~vZ5Vk832v+xS-hAl$aD}5Rc7POXQxJ2C_ZFq z>}ibG!8XJLH#SSS0TcScG#OlNGp2`59#;s^=n814tqxZutUqg;o5WGOb1zt|_eT6a zfk1xk8w;}#{4Wn!X85xe%-)K5uy%sM=Y1wePr8x`A6LqSx%~mtLCF+blTWJcT@8QO z;*x!y`%XJUY8A}wo$-BmWJz~slqt6HNQu5WeT}n*b#ZP!{d>R`L8SC3FBHaf|UnLOz+O9_>riKI^8^o5#iRTiVQY!x6 z=&YY^P(2TtB^C17U(sJD7`~R*Bu|rcdG&=>A36fJDLjBmw>umWvU$<-9x6NE!u8>Q ztAR_wySH#2M_jw}Ti2PNWCNeqs1r)1`2$M>Hul%u1_hLU<4|ir;^2BUf4gAU1}7<6 zkYp_S;}4w<7^LL=_)F2t2Y!3}!sE7{qqh&jO~2Q9p|KkP&PP42%^|XNNa`>BAU29- zR!=pV!3+!E_LR*j^G@`pFSpp>5F{sQ2wsJWl$T3w!fS>Q;Xq8kX~<6534;RpSx5ZV zSxsA(zb6#S-p!l%{+435@|!JL7Xl4>cP7 zWEVJKs^UeACWMW+z9@UD*>_#FqM~25 zNY3JgKx(-*K?_nzT{no!Gq;l?zr&sxUwQKj>Hfd6@Ne{xAgqu>Y2e{F=Pc8Le(V{w zKby`8D%+%G){RZCSWLhohVYqWv=n(_``%{VM?UaRU-+Tw=TU{dh$@|;*#?p!Wte5N zyPqINM~kiKA8L81?`2%RV}JlQNXHITho(rCsOxo#X^l;`eZakxesEIo_sqF%iW)ue z@!edBDjeybt=7U%60j$u1cIu*HR2g6{NJ&M;2XmOhMQ4IB87t z-{VJo$NyTky!N0%ol~N-@1Kf@^jy!O`2x4fyKsDTWQi%lknleV!b*CM#2Gm~Bk{DP zed@d39oq4{`eq%Ck*WN4a;XQ7Z6>D6LCo30G^8*})2S5Yv$Ie~y1Mmg|cC{2b1ChK|k^RZXAyMAu`#m!x0Sqpa-$W(Qzk0K_=J+r5 z*$C1)Xp+YZEjJPJZ^|t?b4ev0ozI1w>Wb?-6A2(J-wNDyYZ%e5-VAIqDLR9q*Q4szqkf2<<7Um zz0*wGYk!cRNvQQzZ+7=?q4fMR_w!)SYD2Z=DTZ_V^^Py&!@U+EP!(_0fY?|5W^^;W zsI~sA`#Rf(dJ>}{iSx9(veSHBzX^`%YBcX(J=b_=y-O>%x-Cc(eX$}(7CEeX6Eg{|A_3rQQfALPjC`x<9@;azqfE4d-f{aQyup;S+P25I zQ9FZXvlKzLf zZ#pDHyz6iO_u(&aQ@vdDSoxMTq~r{{jQd0}qlR4@>gdsYk-8t+jky zt^{hGO^3!N7L+G3M~_%Ozp_ud!ZhDMNY>E(=rZG5<x+`kmS8um*AN*ieT02i4sZkY)KQc}?=T8BR&wXf7k3&8kC-Ykj zBhUJl@HE>M;pZimigEfY+>cDNK()YWPpgAFmMOVfQJloWw#=%1C&)~Q=7X7J%?xf+ z+(3e{@B2jzdd8bN>A-LT>WStqt4F%@;>^JP=AOo~0)*c^%j;HP=ff?(yBwi7WjR#| z9&PHO5sbx`8%EHN%GMG+Jntkm`lKQ{zc_G*4?<#*iR12_?+Tx&OMvP-rydXf3SxWl z&P1{^-Z}*GcMV;~>lhy7YpDJlrg|N=q2U$Zz_$(J$o0!|=Ad9GcQMN%O<^BbuO)iu z+6w+8$s&gT&5rMyt;8BBmc3ynnh}ooQ(UadnVe*6my1T$@!|tVr%kTnJ!;tc{}r9`r}=^=xo;|PL(d+JlRgpXNiUOiL>Pz1 zQlgNL-NBW~FMLWD;31?awLdCmr?HbgMW5?WMPxKyr!fewZwjDW^0`C2F()jd1!5Yy zQ|aj`!6L*;Kjool0x6Oew`Cgz*gg;W>4$pxH+v5*y2!9hE<7skp5Ea&(DjcSfq{ai zk)t71CkD#*p=u4AkC+jj8j=SCa?)`){Xd+^0GG{$r$*9*64?GUmzR8E(^|a}F7}T# zINBow8lI?ZSP(}1#U}TgdeW{7K6TseA0C9BHP_tIjlmP^^Ra@2LD^I z=k~fx%n9@UkZ`>XW?KORu-`k25 zNV{8&FSl==^4OXHy*_)68?^iU=C;bg$M4hDJnIDbaV0LEb{nV%(S~9wq6VYLYwl;4 z&GG7T6Gle2WC?~ID68bNyZ^~XN<<`^+>2iN^TJ-6&?a<<5I$I&@|ul4Sr4Jo^h&~t zrDXbc%~)_OE!oQF9N6{O3p(bUWjQFH~fWb>cZ;)~$JRCVE-u3lK>)hQ5 z8`HQrP*uokH-WFeU9oC-vsw&gl-OPf6g#7 zC?tYckQcYSxZxrPjff0y4-eKeY`@0PX~d9z!lOE6f1^F#9#QSuTUt`9y!|L^2`OT|N&`_v12R!<*hM4g0|3Awy1F^RUZpnKq(jXF z2ILZi6S(cSYmW;>)H{a^U-AB%G$~ke%Wcs^{;qb*|5(o2AGHS$Pns?!k^-& zf6AJ7khI{Xn$-xt?&FZiFstBt%WLCVfL)6zw^xG|Lm<>ts%PL7=s}-t zoZXx!;){ZE*hiLo!q7qqfTxwL`rQ;G#EzLP9*!Pd6mO=Y=>cO#0P(wp?q<_47C!Qw zEHP;N6Yy+u4YwKsNo0Z4weN<^{{_&ZfK0I-=&kP93t?T0x|58L` zZ@?hk+}n%muFph-i$4fVOUmU+#@Yl0*fN(gM&^FGJDiX5wBo|9ZhIoCO<{-*fWWil zt$cuk))CCWR{CRW@glz6*U0oXjM7{gtAEm)T4Xq9`QP+6!9@Q~W7C*@D($?(xl2r~ zp$ZGkWK#x37%(=%)#eY9#TK+{m!R>R2C>be4 zKMEcRbP6$iJW9OS>{CLAZE4Y4gigU9&|D26y-z9+!uC99Ka&{hM|~@z{q5QCGNG_G z=Bp!n3%S zwV5{)?PKvb*!OJCa?^q~A7@;C0_#=X3EKL4Jx-lwRFy)o$A`8a4 zvdlGU-I|bA@3loG5=EE~vA{%cr5BdOXKDTCIJjpvy^M z!(dCt^N<<)7Q(9e!G<}dR3k7y=|es>O`_~Sv+J|OdP%q*CQqwu15GPaB$ZeWuL99;*+PnId3YA7yM*-~}X%+D({H;qE%;GqFKXGdWHET+_np5JSJu_$=$!L6^%5TkfxI{j$hpz-h-{N5#XlYf^-O#%0>;7X>d zh9@*HSUUe}eX8Z6&*!g-OBl~&ac8cc0iz5@6!&Z5iYKPFQ%e$;dl|C~?E#r9xDo@f$(N4Bw(<;$P`X}?TYd>J?VoZ@?npO3^+fGZ&UBb2~mMR3!&Z%zv5=&9BSN?KdNgMTphUeF7u1~9a$#6=xQSs``m=M6-x>eB(PkDj3HLQftcqa_GIso^k$nTGX=_fy*x2r-#ownle8+JS znq>|H1a+U@{620D@P4!6evr2tFC29x%>NoEvN#szmm@NFL`&8+P*lsJDbrk{CUem3X@UC10OV@ zB9V|ZX1n%l#Fdmf(`LjHA0-c3;@r1%8r|flY88ac#g;UB&B(^hlQ@|k*wwdKk}m7C z>|(>JNKn`-YrXXEimdf5FrOfz!zb>m{lDU{+m|M~m7C*tCqlhFzcv=Urr|j16siXn zFsfhX1D9{Hk4OX1k#^EcOv6ko=KJ)>5P5kTlrYDLXR+@`bH1QYVV+Fcq{sI>x)+Gr zl?Vb;hs7YaxKYlvxh*av)*8>`c#OW~D<%T%Zm7Js-FYRs0kVdWW#3!$25Wl>gRe-_ z$^Y`2AqFD9>VIi;lV_1J4o!$cTxm6i$8dZLsrUbJsMR@gF_Z0*;~LKtVyW~OhO?Sh z4v1?BKp&!#BGdMmmd94kC}ozI8h19sN;YZ5zin?eCiXb~G1S@p=GGW<(jnKml>q1K z^e28s&e+ZguA@E;gE#(l6LW>n9lOzqgy6TmWQ$kQ`5!LdFwxDjcbUm>Ub7~yA4~^K ziAheS-*A_dpCo7IxrZXr@AISZ!sfN_^afC@PxtuMPpvZ6p?4PukmYEnA3LoXJ=~cKG(jE8g{5x__6){R&BWg4Fsv^r@>7Kubo@% zf7$jY;L`bRsGwhNL~hgZSatcRm$Gg}K@&E(Il;na=cP3DML4q0toglCsJzk)n>YQd zlSFH%&&%lIEjP7nF75O&NfyOhwlVpqe>3|-Tu`NFym2D^AXEw zG8Z+ixm(`PC^=w2Fv#ICqvbeX3Okd9-rc6&u)RYkgLl{Fub!I9Zu5@5Arw3?r`+ZY zS#6D^mgQy>3~o4H%7afa?wZ|ye~T_5sz!=&I@=Ymkbx2)=9e=4-v8P0_*pF-{ z5_*yByHglN9}v&}iUBffUytdW!-tWP#BC ztkNA;E-GnGZOxRE2|G%yw~UwUkKOJs$+7HTtTa30dt$U;n-_=t@QTLv)FRPNCHL^z$5?SItM>c%G3L$=>*0ACFSzB~ zfMv#^0wM1Qj-t`9Cy4pcSplhp~ zvmj#EJNwP)pENvGKJ*Qv2jO3Eyu^&nD1gCBs51|41HCV8Y^L32%$ln)ERUm({iATk ziWrPW!N_{}H<0^z?{lK>MhEXixfq>RPVP`@cjO+jL8#-P{P`>FW~ai=b7AH#G0I!aGk5!uq)=Rq(tE%5E|98e7w$x+e` z&7#ukYRA0|eQU}b+X1wL+s|fKSd^{(*=;2D&4~&q%W`u|nbAHzP3BTz{Bn@$y5@iX+r2MHMm5ydb{R$_ zug0A}IF6bZ9>Z3D`zFuk!e=vo3IwGujB1W0=h931L*^g;JeADpCq;SIiuV)E-=GNS z0QL@Dp)^M}jTa^tOh7_ceQO7t}PyKd`6&1_1%7% z-UwI6dgl-a1ttu}wTY)@&;9!2Hq^cEQ^x~w${v{-{WH}LLI4y^ku}F5#(=EBXkU-! z&iiudzP8i!5LsOOY2|`p>TAchgP`QAcA#Xm>qoLTRQr942fWG#=I$*YqRwY%O=G^; zsD<}ST~APMOQT$&356@s4Q3B95Xj11sOUjimp>;5w0GF&`z{RL`U9qi)K)<)Eg@(s zu5x?pPzGhoL=j|-2bTcQVmuhPt+sxIKS2dxcMLQ;(=@#;5|kX&8T3!BU6~@h43n@M z@d1x0r+>9-S_ZzqC+Zk~VOe#RL^ODWM9g4fdG5fGXT{%1m;fSU0&7cp$SwS;Ls~S@#PVH4j7lyla1M>KToOZqOos^avEs}AQdeox`NCPQ zLh=x;L3x<;>r9}@`bkZVs;>dryHrg>9Df_fWf5-}O)DvAzVSO6j21DHU=_NUOsgP5 ztXYZt7jcAHH1ZV2qN)({s31 zdE*CQoR+9Kq;bKfFL_kHH@TZmWH5WP|3+jsXQPPBSJ6k(C|9I?-Wio0{vvgH)#td4=sP>ROA4YwH8OAMECea>$ z{QPzW+aBx^glbIPQIz}hsQq<+&*z7mDYsDqL9)gm<9QDZ0jA7D50{&R$H(2*_wKx0NA{Yx?{u1=x_VTo(cli@mL1 z#Tt+;$)-|MJiU}wTF{;3&(1>qByEBAsQOX&wB1)i*JbVO=C8we&v-WLX z-NQdg!Mu^8!YmHsSD9Q@_JQ?uM{)XUA&pifh$S$z(t zIS~@QC6Dmk%;yC)HJQFs4zD)V0$`#Y#EgaLYO#hP@2fHTsMu5vyRU~7r|IlSA z{2X~khndlE!#3Y1B)L+-L6XMv>JwafZ-Qi-Garr+i`AO|!%NbI3{{&AjiFNW#G1%3 zyI}0J_Pj|}wA-h-E-eDntQa?rxhY6Nex6LTg5BIesaoI9d$|NzKB~je9|X*JKf-N2 zq=Sbusb>zkD%S$|>S=-BMbe#D6M;U!-WGRV_s1rI^gN;>o=(tiQ&mtw-vuX0#=+dz z_18G73Ip&+?HOi=^j8iB!IpIVt`K}canv@jDA;`saTPfBlzUOPz@elotX27`-`s4X z5HSBn4KD@D{gsLxCn>i@l_ZwY-@tqQ3nCn336SHL+*InF%^86-kQP7pe`z2kQN-91 z^u?uL7dl^hY3ZncQBph{S#1OA5aDboTQZhQKg!}9;G!z9iq$EWpJys57j=ce*Px`>(L()+D8?p zN=*6FGx;cQW?|2THG*T9I6xR|(ZfUd{V(X2a)FonY;F@lCEVpk>pVIfTwpp+BIcfN z=*}3ixWzoZfTF+1n&Y!jn6zw|i*sVCGbX=#@eFA>_D8kii0>R08%y;@4?q^_`Ef(5 z#ufB&rnSWWZ-(+()rWJZyestil%;xF!rBgIt8q|$#NuLy^k7#iVU+2eL{RKNA4y}XpE@S0ll$_rhPTMM21(&&tt zC}mM?atF?+^b@~4;p-LwlkclcS(N8;TBCjRy+-^v>kuZ&`6t5jJ(PXH^J0+==pBIH>o=7z(_hi zNptzqrN+^+lHBhhuPJ~FpEW%`9P^|gU;}O1J0o%RMPG8-8+Qwv1SgiXG>vs1YP%P4 zUF!zZq`M?H)FI}oP0T0$BlD>uvK&?Dv6Kw6s{B56%12-}1`%)=bh~DdC`~ zZg#bbJC={L))9dt^|?d7+f>&n{59=j)joz(x$QNQbD9esOz(s-3FLopik3+`^F#Y0 zap);UfV@-W(l7T|c0C<6wHfA}{~*zBJ1v zfA}3@uZ=f?78KT>{enZWOSO_b;ke(N+H~rF#PTj;Ca3|(F(if|2;3J{Y?K5x&lkC# zg>MEaU5Y!ac z6E-Ai{ec9Z16j;bVK9}i+hp}Cz}2b2JN|jv`?0*|tiu(K?g;tdCu&N{!L~)5kByxG zmtGKVaN!`2C%o0y-`LKdaUW0{Oto4Jr|PB&iY0s#1m`s>rrwV|%8MKJ^(3rWV9KFd zz&ur3*rDC$c6sj&xZ8#w1ZbvJffeCeX(n%<6`+@NDL0kVMekGQDXttRNA5RHS5V_}_MlD*B;r0KDwA0MR% zDG~lA8t>)H<}~YUTX=q)--2WRwwanEVJYs?XW6S=2A8t%t-dGeYNS>0BMOm`57=l_`#I$I4cksiUQcCZ;nl3V`G!gAC6TMoKFp^cW|+Z?(GF2am0w2 ztMi*}9GFVRMkzC%9hJ8JldD}XYl0BPtfZ2<%VL){}#?{qG7m>{zWulp)mkwV;Ricv+hqzPp9N@#qNQYjz9)I`0tOTy# z-T$LiSZ!>}+Tld$Az*o};Ho~NLD$icK;>mHUyf2hSwDPOqTEhrdvB5ue$nK3p8v+= zEtuDixVzDA@1Br^1odu)(gSg<`X{el;YG0NM}H*MrXCNpwg3rn zytm9xh(!+?ozo}68}orKlO`D$J9d1Cn9)FwiUF(ITj+>^tLKDu&DiTU&Qe$hn=F+y zD5IT7?AS{FtM#{ek=W}N_>Ej&fK#1^l2=np0g6_o$b8_L+y>v&`o$;hb z9bpH0vii-&Kai@xIfJKLvN`)09{f1vUlS6sdW$YOH_i$>gLp!5B?&^|kfDPa!sTrx zU_euSI;!9G_I$B&34Q6TRUi_r&C)9yO2OBUV&)@4q+gof!=8{>ctdcb;bwhG0s_=cr)W~IHGz%WlIIA_Xw z;RBksH_BlgPxqgGWKTaR!^kuNk-QNC0<)Z_;+}=XX%xLID{H`fbD}b>ezC=vJ>iNQ zu^Q)IvLOc?XUqtCxyWUBa8VxR$x zyZI@{pODQYWH1NapaUl%slWaQw}Vj1A0<)Q)IXRM)bagm+&6$Pj4ghoHLZWMt|AVj zhP@Vx43DMXiF`u0&XTJC*2fwXY1i-|(YYB7cC>&^z&a@aJ?J8jyC)6j(Y(0)RJE*V zn(vJz;7-xKj*;Y)9mwhKbbEno^UVBfWE{UOt_+`Y`{^Fu(VHg0`H^dbHzRh~{(J5F zeXa^{jcdr#*7stKb8lqWN{e)+_72B5%J47Rgc<-QwWhZ&=5FUET){HTa)}uNBoHm-UL9~~-yY>&($*gUPst8V;^Vn3| z$g%Nx9^pWfALa0!4x2x75p4Uu?~oYOHPTHSmFyUqxdPKj{ui@KR-8$nDk-Ron{RU$PS?_t zVpl+H8WU=i9`-4TX9Mp$v7pWDumT5myR@m-ZC!&ccJrUzvkipn*oh5QirVVPS!y^HUoQxkshp`bLE5yf^knMw4cK>}$~ zCwg6DPX`qUo5XnGi<>0+2_h45PbXqOq?`0J4YIg?lrjV^!-oFw zbBcRPCS2@l@HjpZI!B{mW8<&{LSbh0ma~LNKuY0}5+(6P^S@y;YNd?YSsMs$O^Ejq zF|mOHOelb$&Q76C{@GTmA|Qja+9IApXNf;iQP#kD#}pY>ruxoppVv+WBDxg2 z{EypO2G178#C^U6mV=(zbQLOSAgf$e{w0hN61CD~r;Q@OZLwhia<};omCvue2BW21MX}5CW*^m>~Msv5o^?B7t^%NlqsYw@o$Hq0<&K zzS0WAn|QlZ6xf!eGSO`M=|+_6vIv|RtzIjYP%^W4f~}jv8|cw?l%Qcs)qepQ=~bwY zN;aCu6&e&8v$VLB^vt z1z#L_hwR+2l!}nw%L{c*S`ZYfG$HgMmnZ1rybbJ2`;okXK{3ywX#4}1*9+GN{z-CX zftN2=Ge_wEe)wct7XwC0NhVLcR6rmQYlYVOjD;bsl<#1%PdPLRhp=H~96Q}e%abh_ z?DTwoED%JVS=rQFRcAeB3aC0($3Z(xgzTFLC=i35a zEbIN;***$C@3>Zq1c<8c7Dd%5VZW;{Vgdxo0EvjuM9&?-tAJ03_g!|C)%0S@X3ZKi z`9q&=QhQvJKiFrniBt>6I#FGfz3g(ay;Ah;ipaXaK`y?2O*8ympzy1_+p?>suPGJo6@f-9T*D7oIO-#bdW5t&qP+(jce@njIKruKME+Ab|>Qf+D%xXD#r zBtctID5(qHX)pZYI@*lRf4~`fVTP4_iNe4;l3k!3$59oDCAZ)1|9tGvg0zs3SuX+V zf&TUh$aIeGY2wrg6%tv%#$hJqJOZrTzvfWl1I}TU72kQDSpdnhi2XlRB=`VUJIg?3 z+miH=y&{w<@oLx2c|m9hS?oSf&is=lIcD7CeU zr&ijs7@s`xJ`ad!f1%N81~5OtG!w@Anh6e#%A)_X-ux$>Xe;SfL@dFWs{8H~N^KIn zE?KAT|Mu+svF_$kWGSsh+IIuBCmHvt7`&=K{BJoJ z+MG155$M`*K9gYNg{(A6mM5qg=h|?JbXg68Le6?PeBDT2W zj5y%nsW0LZS?uc|%U;EDgw$2$B4aX&cQ*4SxJg3gP4bF#N*aswQ9a2Z@ZZdSpy#a6 zq);za;R}X>WClNe40*{zu)NsB1l}2IY7=?p1iNkOIic(zsH-ohevZCg$lq{GK?IPr zg2)vS6P(mZimF6yM!ibpMViPk&lc|1Dx+*P8r`^zXTXM z;GLj^bdV zC!k_US=$eeK)&*yjxud`#lX6?(OY;Q3W3-_KTK@=qyXJK8ON%&sBJl}JkL#5&NYW% z5Dvk{iWO6c@?U#pGKAL3wkpz7CWG@9)&qid7xc%gIAf8u^J2|Mg3?{Bqa~-LX^Qo4 zmh55`{Q{ar{&AQaN^A))?IgIN3%{n`yR~EdJr19A7-fFqGeUzx>(^a|2!88{S6j?G zU6BdmkRint-bx~56X>0P<{>w@g%kJEkDF#P&w*R{IUiMk@C;-WvKaI)Kl8w??wlxV z*CUg?ixodr;i&T7RawdRe$e(ZEx-8cO8lAlxSzE%U7sNLAUX0FGqA0?6E4b|Ca~PN z^MOfn0sPl}Sh`Z0A0 zUD+I7f4s6-*3EVs!g12iJ?TTylMQQ(%nMaG7*v>8ia1+1T;zmY-n>iK6tU*Se2yJN zq5M{%JRV(e2t};jl`Ba6n4JvNM87$rs$R>!ASq@1*~`MvU1L(eNBaM*j&tMlzu4iAa9jBw+3gZCZ9oW&lx;V1O zZzaU{#7l1DiqZ2b`l0)Sj4;0^w&1|H*zSgE)p;jKFLp%YeW4ARU~~mdQ65R3-PNs| zET$JU4BZ=TDsm}zzHxDq-#qe%Ow)Uhgotatcju4mPL|vz7*aM_fu-Jj4XXDy!%Lc1 zDauCTMpRq|OnqFX(R)n$ov~sEOtj9dp8CNn&E@%H2QSlPZcE>Aj;kGyzv*GL#y-Z& zIj%kv)3fTcJdD|HD=5tc|$ePv9A&%HZv?Mnq7TAQgwuFJD#%_R>z+T+=?n-eSWx>l+8`m$li&c=ebul@Z)W|vL14~DcI z*))Za*uN~tVo;gH7a{lAMz$+}gg{P6G@hWTjI|yPz?n!zMOCP}DTDwvMzh88&oN^l zP9hnY_g>H@umm9-Ob%*c2ZI6yfNE%%@|8_IoN90pk8Wtc(a16+?szG>o!xg%K4i)T zl+X292*Bl-tq*sHqP}vA49nmkmQ8OAq<)@Ti2Ap_EP|u}*e+V8Wd@Kvl_=>*6kZ8| z3Wp+WsG>$bX$V54G%`}ozSy^xl5A*R1HJU50&Ab6iwrAT3VYGm;%t-2 z-mm80G@T~_GvlYZ5m`oV$Y0@Lq;o&EKqKl8NBj~L9SV!#<4kczi>#)NTKXc$r_a^% zqaqAjje1h8B~)VWB|W+daM=g^>*b~h-ej12Wed^BzTx@$mwcA1x$J(ndhb|%eCboV z8gH<@!CCsfA3^RbW!%dtIap$?>MsN*Y~w=vut*cXBhcr-XV^IG4NX%yG7Try)uhdK zmW(*@%v4-IOIA4hVP*)Mo<<=pI?lqy6>Ze;Rba0yyZ7(aOWxW3OQBHA? z1puG-T&|r+PKXUcUIe5kdINC7&>O2wiN9R|49$A~-r}{FUQ$E_+s`!tC2ct59!@P& z4t+j*mG^DCj3TmLr}m!fd3{dBV;K=T>P*7it5yGnCDtOPhXKUJ`0Q8Qg^1gLKm^GL z-9V_6l;v1WWWQk(ugf{*9pA-=B;zW%cVmEzP&k~D*IUEKdU7o~EQOxeqD!HD?-`*O z5uP7_$do6UOwUs%M{w^X)96n}h-xwZp^9<@?py8!H(=#DK)j4L@z}mpGG!PR8UEJM zW&eupm2=WHGr6_jlpgr}*z@;+T{g6=J;LB`Az&)=c?2|OXQxO3*m1=Nt%pC& zetIKm6>sdkMYwd44v=zlwx$GArDqkHg$+?*xa6Qi8FJ9J2IXIxH!`e3Nz%izDRc$z zmfb1&x!_c|9mI|Aot?5D!uhTpwG0pu(*&{n{*mIN5k)$5ydc^6TO%hQ^D$CuUuvFC z5tN&+7KjJ2=?wm?oUB#XU2vGfUgbl$B^!YHC6%#8>z!)5>`?MRaYa$mSPi8#7q!`d z?y*1fPrV#Z*wcsF@VMSAR4zue#=uRI-?`>9Ux9~V18__g%2NM%vO|}|C7tO0Ijr?0 zOS3KHH4*t>Xa_)NcBzkp1R<7jWkR0#)@o1W^(0)K&E>jS(k^7!gs>|Nq$A%q3>_BP zj6jk1bRC}Km=UNt|3)J7ch}ECl)YttETHBLE-A+`sg3F#-VH}uF?b7^dwMG>>)=2! zIOB52VT85wDJ48K8F-_c#vswFWn&*MWf8T~#X5Ec?k?4-y~-LsOniyZe`-4+QKuM}Wy9le13Ry16* zz5+^q{LrQvKK$W-Yr|*lrcHeKg{{eashEXyp^U@+^4AI?eqYNX5WhQ7@H!JQex)m5 zscpgt{Y-@yl7EXBhh<&V;X zAkpN5WuV&pHeJyW?^`Nv4%9?Uaw9|q#)WGx-K@n9{|+84f}y!7D6}`QWvBkoL|CE0 zg{&3ZeF}rvg`mJ-j35A76w}w1Z(^DY?kJZ)(c)+jDXNUOg)HRLQ0FmL1`KnuS&X>0 z$Rv)8k4U=utN^%>FE---)j>RONnGY0fP16)+-`6^R7rk|hEerJ9c*mNtQ#>(8_LGT zCQ7Q|bC3v7NtYU_=0>I9L7*HdS3`XWjD2PXn}7`A&zGaF8YJRB#i9Dn7lU=T60M;K z8cG>~r8Pajmta~#L*rsT5bC+J4P6bM`?KSQV~?cNu#j}E;*yG=$ltzjQf`~C0vRL$E@h!Fpe#XN_K5VC zE#xGbYL)o~M*OTtDIK z=Es+>OhQjtND5DoeXkev*Hp|`faTg`+H$4`0d8f*6B+Sl!~9v<;d4)P-QK7alYsiW zD{lT*_L>EO{(kZTP|ueD*`2<`1{m8)Ex0?7_P5Hk3j(y1o!fC?nF2V-J2xIChZx79 zBU4pg=xPNQ9q(af@~5Lo+f0rOndK3x9+?4UZ=_-T*`f`Hytt3rGv1@9at@7-H@xk> zTas-ZUN9)YU9JBc7I)l$oUnPKBk=OYKPumFAM&3-CygVpZV)|I`;u=D<0L)w%$l1SXi zD(XvkOs`&-SGbT@-HW82u=R@89pV!z*vf{9xxrb8CJZyYvWVb|B)07*?Si6F9gw)^ zf6=6G+hzTLYvG7S)VHU&;z%+vxGi}T$R4X|dYlNtdl-ZU-w0hjZ*x}<@gQd~JTbTXB)L!xaBZjhno~~cXzY%#@ z{WB#qn*6Scqy7!e^l&R^q70QB{;{_ ztv>^5{NJD9kXy>TW8pXzeXoeIG;`stDNX?YPoT}wyqw9 z>ltnTb#A-#{HxSy&c;3mW3GiX$5*IOYoO~qs>eT9r2FihY5o`OIlmRHhVR-K|NUk9 z{>y8ZEqGC6$;k8&Hk6i`DCGs66X2aK@AlFmD|VQJqOB_H z)^?Fp;2f5eRnkvgj>^js*kG0G)O(#QwgCjT38D_|n^SVod>J;M-&aMhZ^w%)%##;@ zN&@{3BswL2CS$s8Yv!qBH5ZFb=$aoe_d;GjP!?ti5YHEfma37PtE=})NzyQF0ww8w zF59(|kVOAJ+f`=mg>#-m6@`M%Akd1;VxHrxu@mfFdRG~ErV}xp@h9TvVeREb)gyq! zc%cN;5+w=F;JAkD3849*f*)S(4A@rV1)HAk5I#;_qnOhFOMFAq7ILlqpYx<}8aSN9 zk+PEk*0G_mW6OWY<8XfzTK*RfQH||a+lcu1u<#bL@f>~(i-F4s9}o2-Za5Gli(=BT zpl|w}kjQXdo!Q-E)8@a>rOD6<2(IF;Y=DuWPIvcBUEqUKSiE zm~!^`33aMu%=zlhO%Un&|1tGeVO6$K+chB|Ee+D$-QBPVK|(?r1eEUX?p%N%-QC^Y z4bt7+ETq5tdEf7k4R+iDJzQLK&hs2&dT=iuq1sDCuk9wCue?rX2Bi!qbATIrv8pu; zb@bpXm~*gLl)J>nai;YVKJpeNq%yl|(R%0gkQ74;J!=HI`MpN-M$v;l3PB#CKk{_`*d;LoZT3#r2m@9it&L-Nzpz&qvDl~X}MR5iRA7W7Roq<1DFyeE0MO3`NkyvDe zXH ziJ$ZX^~K?#QZmr{a(8XCe(j(VPs#F0KN4(=1{dxMGYM~_4J2kx*L1>RRed0Gj1CA{ z#$n3wpi705$U5;%3n`^+a;)irG!}=}*6>1>Hn8pmrS5fIWlEb$-Ps@p3VpvUreMhl zv`h#n8nE=brVe-}r#VH4HKI(wq_BxY#Zg589MY{b4MIdYtyi}~@l*{DCC}=%3{@0O z2ht!$BkKFh_1u;ywMLnRS9ZtLp>d)mG`PiLn`#@o~J6-r7Wxr4uJoTKb8w z%FTnDPh-(SH32&=Ppki2NR(7pE%?{^I4ocv)i$PGiW+k)`B|Bt1;G~~(zG4qw$7v$ zBK%84^4`HuP!CDo^O_%=2(>;&Tiy{BvnW3!HN2-jQ}c;gH}%}K{;X?(Z=_%;glO41 z!-iHCZlef?zWR@7dA~*cENZ|dr^t97(tnqt%RAzx@Ssn(G225SFk@2XIJb)Hgf2~ zmQjDpn;%38vB}-!0F`*=;V=FRq6=0AegTBtq;cA<<9sZ5-n@$&br?Ejjh_u_BJYf; zN)g91u1LA@0Em*fDC*pUDkhdfXim_*9sbYQ?;0d5tlqwXqnJ0io&i-*s%Yme$dYZA zCR)CFD5)M$eI;JE59xj3$aom%*5dBE@LnBp_WU1e%yPm6o#E`c7S@(+;f5+`_?kUL zxl*kAR|amYEhfdrNoU|;eT#z@xH_!fzBt#PaiIw(6L-I?L)hAmqUJf`ZuH!Mai_W1 zn=T8+TT+0pK=sJ5ton?E7lq!>geWHur5NGBA%vAilm0;1ebE{h9Q#l>bAf*g`LYr$nKX~A78Y261;bwhwtDoEJx ziDb^C?e8=tM#YiN?g?G$Z6KE}JGXEav@Q}?L!W2})YkeX)NJ9fN{+I<#U!~XAjEE)W>Gbm%IqHERo9~)6bWV*^O7!s^ zR`q5{`k_r`SMKBbJN!r?TS7{cmQqrR4v}f?T{5AbWG#3fuP@PyCg9d1g>0V=o<7!a zT?Bc}KJWRegLGPJP~WYEX-7?ignX5r6{1D|rd_sjp^3CCIZ~WG^ zPiijd0}wSl`@g|IN|w4DWik^*ccu*b@)F-Zif#pcB1Y!3;*ZWdjTKkWCbbw_H2E74 z{572$gQ0H7@U^{0OVpB2BjzZCU+=r2;%UgywVGwU#X9=A$3%C1!RIC4^p%Of&=}Ax z!|qth!(Pk}Wn}>0nL~DAKoZ249qcK{OKCUevt-Q`P;)|Z_PrCO%nVW_@<{ns{Lbu? z>ssNyFY|NVmE@@uU;H|olBoP06?p5AD;(dJ;t1oV(LP=D!NT&V6WlV+e_!Y=SL!m^ zV=`5o7KpZ%;h`Ela@Ca~&w6~=zxAAof37NLUGH*3DJzT`bTtt5RSFcBClTEs1dSXD z=>Ady`A55<(+SRT`~yx}CMNZ71>QCF1!?Z7iJyc^UMC3t_*{6P{Ww*PU6JC*OYy)s@0@ePiKT{l6 zi<|WqA2k73HNW(+6<=fes0mMt4##Pf(tNa^SbGpqG0Tz*nlApyqyd&4kl&nrz7lOFZ<;irw|5|31v^x?TFu%wgopq4xlg zJ}DyjIsW>8IlzWnrqGyP1$PO!w7L0V(0yID`QFX=p}k&+`Ap18MYSVn^<8z{k27Bf z?wKFKCxoF1#w2T-(%z43H9wM43W@2M<4?4HD?jZ1YvO3X)T)e;)|I_*yyOrvFaW!6 zNVPL1zdlP2xM8OZ&YBY??Gp>nc_o~n=TgPZGKZOMn35HY+yd|2&)dR`J5kihu|%vu zK1GUXsR_LW5c4#<6EfnCg@^khtLCDBT1J^!Ff(a2>FB}+N^vK247+-K_73(bI0WR~ znB36v^W`3ZA#=eo@nv)69f?x)vxC~~C+BAt>=b!Bv$}(c8cny%ajZ}n9L);MZ0$Lj z=o-&MmLIeQWKSF3bo31J$Id7JsV8cM0zWw}2ZJ|L0e*EN?!>^hWFr_WF%D|~Z<$Jg z1rC?Z^e2y17cx$A#F}mN>~>W-x0IiXSo(U>I6z#Td|?umVa^=L693^2zUb`e4Wwp- zDkWS%Mo)&x*DVcG#53q$Z8p3IYD4mgsUi7xzc|?lvi$x%qt}Go9ENihUCz&7WHMm= z%*|``$$(-aoG%N_NYlCEOQtbHr>NG@hWqQDSSs#)w)6mZ=2Z7$LLk&}ZF^E82f`4jhyjX_Gr&nO5Blb4pXEoxA^Eod_k`zRfC4`=4rF zj1J*8I5wTPe*Hy3p+h?qeG?Ljk^@_u)v-cK^=@eoW|=S|@2AZ4=v@1*(Tw6bWkx%MMBW zj(JShxZ3Um-43A*FNKcnbiKMGJxt?RkfLT2$O4NH-jk~!qtvMcijLLR0NIjI(K|r_zyZwp!Yx05* z4*F&m&;X|8BKq+fDhWLB+kx*wB+REv?$1~|!I8}+NUfWQPp?+|ePh-e0X^rABW@Om z&#>_q8~8Ts6^bY?wyi7~i)D3EcpLIhC03GL;A^4%c5;sA8T$aDUk$=0quw9;kL~Lx zy6xBx0x$P%;lsNowA&y7`<9Ql4dU_!q$tD#Wcw*cbFIW@4NfHiK3B8Cen!O9tQi2@ zm*D%p7ru?RV_YrJ@;S+wXa3~#9MeGx@R9U6K<-=p050A@4*2V9XF^wfTWR5p$>0zd z&#|g}rGoFx5Y>7QJ7T&SDW{ug$8AYg=KA?LCj9 zrzjua_FO|D+($E#G9YHc@4~|su!UAlPHeE!@-rnJ6XQ|(WbGmAW@)BPD94eq|DzE( zTJuE^!u4niMRf=$f<%@nO;=23xyNk~O+GT!-L9>FRjk#+7jv7re7B6>V;Wm+XH*J9 zy&jmDKK+Q^79FsPUP5fU8?&v=M8F~6ZETAQ5WppqGZ1!Ifwi6OQGBfss6|E3t)j}H z;dA4Zb`%OSEmdY)lyjn4F8nbzO#a$E5@73bQ;y!W+Jva5sL`$$;vVZd%ek)C8d1)I zQWU}?24%QMw9q^sHYEg>L<7L(UGZod`pPE6(azix?hXl=G9L3Z(O*E(k+PqU8~$)} z2mf_~u#L2OBQ+4do81Ob7x%$i(Y;5jAAn_N@(l)!kzX6p_NTTAp3;LsjgGQud3g1> z%#wN#Lpf7b+73p34*8=z9bSu%ui!atgD>(5IQpchXe3f)S-w8N#spyPKVS+F9ydvt zTPg|=3Zplq-o}aD`|OZFo$t&41PSY8uI`lcoG$YBe-95kN}tEW)aa(fB-YQOu1wXi>_|no5u# zr_I@E2E0%rB!4fdDIX>b zwfNuKES?Rfck%F7LRonFIydbrER=TpOidU7o}SRM?v{8<+4Sc_w)~%>RP{<_41_(7 zeYG~>1DCvC8?=9I?jB4S=4gkr-irRe5R1J0jf#3)NI<(*1iSJBs;CT*+$>=G^!>NE zbL9Kcy(f_4H10LQCw=HCM+}{Ao71?vC=Tusb_M(=)+p!9{uJ+76pP`fkoN|kz&7il z?8)!=>~_2dB`d}qrd;)s3fYc$OYV92f&s_|^cN`fy_2T{s`*{-%&*^eAP)Aj+9KrY zG*3G6MK5m&Z@FGl?T~j1LHY(AOg;r)E_TAjtZuyY|^VNNQmP8srq=~vBqbtem#H$Dyf7!9S z)xw{&W$zm_wajTYMB^!&?2fnQG|J{dDub`@4LiZPlHC_LTCj$JA0-W1)Avm01=bV1 zeaLQp78`;R9iqBh|BiCq38#Mw|@F<@8(KDCk zUN+%OS3F)G@&6JYwA2=R26GCVd7$Z%KwG@*i%_Vxh5@0Ah@6zKTR!;PZDze@R-8vJ zB_RYIp1HSR=cBq4szws7A@2*U7ZeG)PHQ~_UO;KWHp4CJMzj)6?+fW!G!X7;>i3Me z{7YGoPDCQ)71sXZg-^uh=J_9Fsk9_V{@&u}_c9&`i>3ri);9sm-~!6QoSntZY|}pr zd_Fh*e*oc~On3(uTIM_p@Q~m132l25w-4L&8M3*vT1Puevb+0tZEPF<@EZ`rr2YQ* zNx~0|j7#?N<_-OqNW@1GhTin_hst4jy!9oVFh3kuDNDsWVk}rrE+K^x0@CivWV2{8 zoutTLZH{oH^~wy2e!h06(x(LSm*VP9^-%xCzCzI>J#RYjm~_cTDYl~2Fq<(wDAmEK zV;jd0o6>syoy(R$2gcYScFvtN=3!BO4aQ#FL{Omd-!TEm{j{*Tihcdv7b!o#bLtYR z2_aB!P)3H1#dt4Y7o=3=`quct>!k~devBe^ku_}J^cZ;`&pSE#&m|Cw4};|4%-D~R z7awOy{uYj|v$FHs|CK6xoRV~Cd+#v-WICt`sSUM9vvz3-3^Sj8l?%Hg7Fn_GRyJ|V zKSqTWAU;n((n{Ww zt~u2Hk!CHiv(XYZqaA|}izOsrPiRDI(|tZHmp&8U7qjnOz4hSn@3zf$y2Fgqi4-8p zE#gb=S5p#M>%-;mfqZWtjM}R_J|oh8+hXs6je!)SJYH1Hm;-E^?+$SXREOI(?uZ4t zcpFXL8Jwz@6gNVWP`wvnKsn*bU2QrWa*HPR&)X~(+>UUwA*3px@?Q8=U!yBYR{pcE zPSZ0SPUq8ShrXe$9s-4Jso)0-H`~yM0I>SE4Wj(~>$IWTqyKHtpFtC=>PCCDPQA|I zYVrNRjt-S+j&|6Ek?}S<4XKR^*0H zRFnUe#0*VSJyoKgd5k3>IA%`kLSqw~MkJ7K{huT7$-vx}z?OG|tAJuS9b)$v9FVM}Gs>EF-)m)4moR;z>S zcAABr1#~1f=oByr&4V9Ny1dwY25;ce+lQ;td+*K| zuZS=+s9aRF0hymh8?;}CW{1=>yFz)r3ul%8`I_D*`N?A*(lLbRA({$(*%7tc^Tfp& znx++Yw`O3XmU@Gt=XZC>3}a&-x>4D^er>|J zlB_%6t2QNcunnQ?oj_nLoa%kRVaSG~4~IW1sjdGIe{HXoQ%vU%2B3c3(EovgIFe~% z&K9!3wVD8(w#k16jd(9)O$J=Xi)}tGUVwx%12E*4JiEo4pY z!7vDtALbVl;LkFl6#&P;a4VkwZ$@&h2D_T8x9NOs&ZEm!#&-UGs(wjrt>&_jK@LXt zR82{Y(`^LPQ9v|OVBaS*z3)XLLk{u4S5Icb3MF{7%ZH*zz6nD5_9f|5tK&xtpYC$pY{R$ttG!XweouJAiFWB?nMW?GS$x5JCsQ(NMjgU_@(K8P z7QrO-YrZ1^%wl~@yx|;=g3)4Sq!To2>*KF1^dzhw=o2w(l=O(X-N;*IzF29h%gAgw zQ$2n#>dP%CR%t;x;kNRtSP_K7rUw{68WIr(>nGgV)Kx)uexInGb^V`qOm_^e*5Ofu zzO~oW#s&uqK{g%-$*5l^LhUT)I=FB&$+`DvW-B)_eH}mh7Uh&YCX<;;&gULZXxJu9 zuu#6{3fG%40i$5+dmfo7d-Rw1ML)vHS!2~{^XA<=S^YJ@>abp6@A8Me5N%QRl0^`1 z$tc(KLoB8Fw$oOWAH8cCN#9M$vmE(cPqVnkw3-M_yCv}G9PtZ52^)W~!(fa_=i zvg37#5+T-pehdWTZdQk}y1eMn{$ZQ;V1IJf=oevWcxvR1bU32k%*I)(N?^~+y&WCd zVSvP!Se4X6#ySohz4s=fPnGCy>inltC6_wwE0#WREUbtA@KnZb`L|oYLgVxk(pLn` zBOAnT9-&YOq*|6|pBB*F{r?LV#b4b6nWPxBBl^`Jgd1(FUX%JCE@XQymPU#+c0=aw zH#$52r$B&)b~L99gBPkQl6iQv?v9Nfs#bWk+A&mj$9bso`R!v2t>Sc5*`BFAXw&Cv z>ojj?+wFA2ijX6-dePxzaWO4fLYXA2DO&`RdtSCigmd_TdT1jN)*w21;UzA zI__fI=QKmH6dMZftvGVKdtmCg_@C*`Ym##R)DPSz+dGuLVb4$(x-5-yK`)%=bEgAo z9N9h>8#OTO(yrA9?pjcN0w5CBO_F>fMt^X{O0P>KntaCVcGL4m8RtUaM(qpmZDhbd zoWE(QCk_4}w|sxv@Qop5x!L~n=S~QuQL6$oQ4q?W-PRaa@O=!u&u8-&xn;%ummq|n zZ<(kBC>IUL3N)8k$H39JRxy!)l7o{Vv|+Q>v2s*=gkggX;f)n>i*07^@vB;>pfmwY!D z7IW&m$$CG5?MKyxVBDlG;6A;$M&(r8n*VIAq7}?F%dZ9_S#6&RW1&$IA^@Jk)fNF9 zEeZcSd@(28*MDOuo**;u)At5C>`BB)Z`c2yI+$Zy;(P@86 z)d=?DcVsUW#n_U*AUgl^CaW1<&_3D4A3=>96JDuPXysJKXJ2CzZzE@jN z)Aq$8Go2LtKwl!Pjo_P}!F+5rPg?q|5`~*V5+>;!Xe&+Y7qK`!TBw`l)Xm(Nw^^Q^ zBI?oM=v#{G^%O z!03d>Bx@o9`c?-I<}H`BvOubhK*}+%Hn=l|r%5uOl8kC&t*s0eH?W3HyE>pwa!guN z?bq#yIlBVYKzc06+Hrd=DcX4wh&}MyczRFf6mKCVUqy{2v`^Ooulj1P? zL|y{X$?WW~;bG9z#b203_(d7g9(6_(nII>+LlTiaPLq5;yN~r zBo;+Tj#d%Qs@B>qb9^`{V>JeJ?OziFVNt&!|19Sj`V6O54i-dw3=W}SU=p|%&r3+h z0H3KEx9_QxwTj7(It) zs;NI|3O>1GQ1RK2W6JU-k{_FrI29v{`5-hn@=@$Touk?ovX(7 zutVO7_T#MIUNquw^i5shR+KRKFu?wlKJ?S|ov`ksI!PBDtZW2k=n97TPag=&C91cw znXzNq3}e^ze!~^+{Px6N$bbxZ1&)1XyAG*T7K-YPv?rs&BDAtG2@WS+_`ccO8Ar>O ztC{*=prfv zeNc=tjCwJu)GD7ycRb-TpZKvyXSmiEXI+O`WJmNB6Nrc&cC^}vx1uXHq4zeZVXjZD-a%!VPQSp4*6J-@sh{w2jn#%XUbE4)dHZ-N_7 z=q0vcqC!w}*XT0Y(Xwc_T-FaFhsXh$Tf$tQGS*2`=?8d{9`>)znGuElODCA&CcKXJ z=}(I;yf%Sk7)@=meODTtN0Q&2Pz~Bn*1rAp9E@n>r?4dKEMjPw&}aCw{7QkZKh+H% zX~@JU<~=YB+t!gQ{d67YRNm#5G+R=I?m_yB6OqTOdUVj zn>{uEYKHYeXzX^LqFFlq)h*+S#d2)XYxbbAbiN5!lm~ys#UEaFVCHsY7>;5~h;8q4 zR=_ci0aqCkHlK->vIs*Ty1SPBd1=0dCgg!Mibsum+Q44)&iP?CCY&T;qTYVUj&ZF- z(M6raa($wDql4H5!L@JVh!2J}ZM(9ATD9Yc>2~YLLaqV*P3F!Q#QZw}58P5m^pC*0 zEtJ@JUA_BMxSqZGR4IxmJMwWX259!)@@*R;u%hGjx3o}BEfexLii29+U~9~p;@!w$ z=#y2#gz(@OVR89NTW~z*_w8M#03ficUtebGG{FO*+58&`EaP99DVAM!&>I=9U`xw>5 zstPlvJ!b_F^SN9G?*ZN*|L96)N3v=b-3^cUj{iV&V>XWBdwDoqM_cxNw&_3jG!k$l z!ER{=wfu*zTPyVKK3E7fj~?0;Yj5G|3Xv69iUW+^52^V^X%8#G??0Lb1$s#0`_KhoniF7L> zo>1u+U(EK_gXr%}(5@V;E-$Z4|GrvS6Sq`(i^v7BhTqgv4_oRo?wG)QA1h8-&XeYs zYqcVK{Jh*)RGAbb)5|D6+fu?YwoHVM+Nk}*39?d3`SvNwhgq~A_&{j(2%ajdJ*uDc zI*h|J$j%X2m%l6`@_S$QMi(ND`Wx=61()>H+btZZWSndth~VylHm_+POhYWIzHePG zM>prK!8TG_8cJp*A8puvPK#QO0HWN6?hu&TW|kav86Owlu}fhYA3U4pukX$>xp@SW zM^=OB$7O4LWEz$yWVa`c?ItH!m4=7Bmac+>{}Hd|R~N zoFze)?dYf6k0RJfS08dYx9_>4l24fZw6_;gBUx}u<9m03DoCJpa(o%uLz#c)-{l(o zjFH#nnSUk-v_wzCpN~y0{Q)!cJoE4{`CNj}uG$l11#lx4^5|5{TpsOI zf0j%dJ9<=3>K;D19Z(daBpI&N4tIH@{io5?6k&u6r2OV{z?N7OQb`9R&GijUcRCZ2 zHvx~zP(gr26nEkB0%rf-XdM`#-dNB#M7C28=WyOpt*oz&Z|e<2*_{x!<5k58?tijq zMm_}(a=_(3>PttsewsHmk6#w+Z=Lw_DP>$KiZ0)5R7~C%v5*N}#Q_k&E@Ox8eTzF3 zyX>Q!RlF@oQm(00i0*%8CN+tT5|{sFniBC!2Co3j>3RhW^HZHYv(yeB(TiHWB>Xi8 z+@;eWhL-9m2#NQn<~75)$alPTYiQ-7eHot+*Ix4s&+QO2T$+q_-SAQ}E?+I{9Xrr@ zyR@1g2X}(PX$O_H!dJLga!eyDmvT!2-8@(~HQi?PSCjlc4zmgcXA(Dx*))<1rQ42l z#=|t>&GD{jyo^heK6k%HJ@}={@4Q{OP-9~iPT^j9o`2`Aku#xG{pkfrfB%2pmeeb& z;+&b=*XqM5lCD*RnN_Ulnpq%k$u zZv)-T2jZ5_1cb?1ObF<)Y5%i_MwRv=lZWi3G>pC`v?hQ_!Sd&b1qY4^sq*ytCs~4) z9{|nn&4(@t>6htZcC_M3*-9NKipf<&xFX-%3O+V-yS?fUiU|%i68fD)pkID#FJ4lE zQVL|INkA6M*8(o@K5f_;g0=7+d*};p=)Wb#UVT_tBYS26jaoak|GX^J!M42nspmVP zKaoA@zWfduRX->^b5B5@HWQKoIuYfnxo#8yzvtjDYB#`09|*Wojq*h}qQ9hj2@gflShjCJ4VvcIFA>DCj) zo(shp9)~rknIqf9LU$4iv|arky>*C7z+QG zTmt%$>gOU$Rem)r%=qNrRB!+3TukN)o1o~ML~j9p5n2N43g!AJ!S^~mK<*tXq^c^a z({9CY6FSDUus5^hx|)Iwvh2RZ?C5%*>ATBP-5cltY{`8ID5_`|EedXbeoSe(Z}434 z`{179kzni7El21nM_?hG8f~8J_V%s=WiRMPx1BAg4atj)0VrOz|fCViF8Z>umumyx9X3cyg-Q|LN)D_ z@8nFj3qmaQ6t~^CwSB-+>FQ)B*5yAtc)b;)x7GPv{hN^g7(d(hIYw1L?~-Me%E`rX zz>hq^v`L)UCzMd9_`VfhvjZ0Ys%&rBVQZQkCVQ{@j|r?P3DYJLa6N?g(3_`EM`=^i*A$`cjrh(i0p4Y|-WkE8FdtfGu^8=XfP??j?jOsnI-7U@qi(O|Zyi_t={urm3Go1g-| ztw?x_$wSzshtmqVkYv^GM$$%4r7mKS+)XsJ97KXZ&VlD-Tn}0h*FzAIU+2V@R&4mN zovTYO|M~ioAYGB-anvE%RFII}kpFGe?%;iB_;QaK-M)Bh@{v-D--IZQ%f=7Fyt$Tz3fukI6= zrPfFOpRU2lLIpB2b45{WIu!lsg7|vr-)hrNqFGR=V#`@bbRAwc&9Q^?=Xt!=HK4nb z9|)LFv}6^iGtB19zStLs3d`A~)qN@fAW3;IyibdfKuW#4<%(zdn>=L1wVxYu(oQU>`ulef8zLbP;-4VX zGMUAyoI{cP71r`Il1bL~h*c+?hT9U^ zX*o74j2lfKcDvz|KGt>vhCbzXLqegG0gpsMaemOzul^P|$yuvDVW6vRUA{t9#_(<*JHYy6F4DvIjd6dp ziFvbgzQUTB=y~sxZmp`4Wvl!b+%vL3NVs?*6$$~$2zXzv&hav)+b4xk&I+Tskq)X` zKLt$3{S4BJ_0M|nc57bqZ@VSFrXOgd@MzuekA42~&vV^R^zYQ_Inr7NOynGlBbkc@LAJsWdGXaZwR+oITAmWpfVMH~4+sM@b>VcHDZVimN=<-JY37y0 zW^Jrn@`R;F?s1yCt}m-@f5v?erF@njDfWs=SDC&)Gg1kkPZoMzX1rVAe*LPUE5x$W zXsPr5(;Geyj-Jeyp+U(aj8FIY{xize$6@rXF{$Asl0}AP2R^FQl3V7UaHrgm+|^=j zd^UIXi9gEQtwYvsx@bWWD=bbVJgAa*<-OZunazpXNKKyhTAkLcE9jp?jj(XLr@OHq z+An*r+Zl0LRQg!GWsT-Bzu~v&_b@ZO7g#>9yPl)H$ULMMwi6t_*lhE392~ybhWol^ z-|<^E_HZ1r*vwd3?0Dc{C09uzFud=4@u)`irn=!*vKEn7!pR6x?nA2ZwnK}Qp=;=Y zM%F}0Eu&kJamQv9CIIkq@e`BcU zxsZA2Nu0J`WBQrVEM}b%$at+iuVg-BvZj0YhXH{Y%QA>+ulLl4L*taVh$kalv}EEz zyOrV&aqEeVAi`s4`qw-PN>=R$SxCD0Zv}j!$yTFdBvdCN4mcAWp6;-XP#v!8+QO&t zB4Fi0Go=HkCTY2L(;6CCbjEJ7Z84)%SKPr=ENr9pR#ZYfq|&hpAq;M@Z0voq;IlCW z_r^WFgh`i8$IRlfY9k{6QRmG*CtsGKOLZmc6Gj_XEIY~)D9B6?lS8?tt$QAmAArup_t3DF$}OV zIm~{qr=AveBp~OyhUC5#%UjQ-UhoI49Avj}UgYESzG`so@t*E3`tPziK^%zM_Cnf@ zrR|X1!v;=Wv)BFD@f*YtY0tgv87n?O$T7Yynfb-sMg4Jl#fol2>9v)lkyMgIPYJl# zcJV+Q7m{EzEeJW{U8`b&Q|-!eGC3rRN;HT?o8NGVNUQET3jee5V0X6V9`(DEC__eZ zC}E<_$n%~g7o-`M*J8$Z-?y4Cw1%YIcis(JgLwL_HeuTT0apvoACknoMdc!LE-G6$ zk+;UA$FNWq8aG_1xUEy_>G7aBHakD#noSsp?LKN2U+v>*aheceo&#sIsztAlajM-W zFELz|EgO(}m50e+_q;Tg+)n$L0E_(d&MW&Bur`a4{mx zo;AuVyYhLv5Tj6!Qxz;R{XF|~_hmdztPXp)_)7g&Ki^}!&4i{Hf*Q#~mu#ZT^VF7fx z0miP8@*r1v6Tg56vTU+-W(qs+!|_+cv)0R%r`OGl%}EiyDIrJYbw`Uz$E$G}1i8u8 ztG!tc-QTM?W0pyM!?k~>t5)}HKj!c8DTuTGsoNgWU?3Q zRKy9Y)W~2;-k*|FEYi32KVE(Wr7iCyZNjE6 z)e=vfETrV>G8_00`-%M!^@LtOPb=0e<6)S^mzEj@|HwBpmB*mBT)>Vw;o>c!;N~K_ zj?EM^?Fg6_u47Sg4dkfpc*Xd23e%G6C=D(%yC>Z0Um(647rVB)fPIkmr2jZ@2t>0s9 znH>)=CDwl>-$#olpKzvU5?{LB@@K4#l){tYSscc19(Q1|s#*A27RouqvK3Q+sOnYx z1%6z(@b;k253H~OMSu8k8C9vam_3KdT&`P%WRe7Dr};S+85v%}$BkDp=kfDQ2Vn?= z#E+el#t0(BZ}oLKH1O$g+sZh~4gQgxdH?4$=~zlTY(5G*Psrqx8vceWsX)Vew20m& z41H?y&3cyfZLHYgF!k@&-+ildILhUh6f0~W=?DFXt6QU)ach;q*=DVGO6q&AJmo%&$$vuMUymqn^ex(f-g!4;XgbdP%^&9q42)Z21n z!{Yuj>Jh<8Z?%cE!#iPoNcpu~G_6%w0FLw;|9T{I8SsknBh^4`$Jtg&KSDgeM=gJ8 zL#p%^cTJQZF?5J`uswpBz1~6cj<6m-FNxK-57BeU8#_)l*`3uf|F2;3a9azZ+;__D zu3~mbv_-W3`cRI;lrw%i2*G?xuLt>i*$Y{2K~^uUcg6TE=&_)RIoC^XYx^uLFf`V1 zD=udzed{)K3J0FZhnuXRigi#aoos#XXdVtiJ2^w*g_OdX!X|Za=1G-7dXbsAv-Z!J zY`+Egda6RtSN|%e-ASl;cf^-r?apd^J^#RxJeeAqz(rcITHgbgivR<>B~7|ttJF7I z+!gT8XC${fWShN@;c4zuF8*D;Vh}FBrs!mn;Iqr;{*^>P2`UT5iBO$0viuR?Q~UUv z%>(5nUH2-eGi@89%3 zq|)nj674Ni`H}+E(>>2F1}{-AZJDx$hJvFRD_=eOQ1#eVklnri=xh=N61kxKv>ppB ztZLfFqAn{|%aw9^C8Ft}vZW8HbFi6z5`NBAR$#}gPM>5q)wk+s;{Tb^&*8ti?FvOq zqz$j(@HO^a2ThZRBAAb7vv;-u37-c)aJ{X^mC%gq96YL|pFbkfg-C$^f_}a2EJ_!K zcV^cQ_5exAc;)B&@d0GaxP*Td-|}e5b`lUBD%R}6AgG%|m0~996rEHMIXVuCyqtd>L=nrf`JV_$a3eTip?hjyWV!}D`6wdKN`;A@#yBmf2vcieWw%);6^>_kN1^Hu8~GmPhMHMcWxT$!o+TWd{uy(oS=G$=>K70lccuucq=iKqnTSCG2Z!n> z$Q?Ayi{7V~Vc78N*dU`Db9`Hm6Gy2aQQTcrRO%dB>ifFEKS`+}SJh;n^B75lon*b% zB-=d*USWrZpTmdnL!<6MLXjV3zJ4W>kdTN^Pp1e9W|doBCYV2Lf9_A<()<#@V0xaVK`JWHn#bst+f3u>-6`xrM=R> zZg4==ioZThn;23dy0PXGfLi1tKqI{qE2zUx5SjWGSRl}p{6(sDEeCL6MnETK z0R=nvt;psz+lJt=xTCEY_3w)rV~e>o69}=6j1yDlu=Eda0EgB%(n$gDC+pjj*eK2= zG`*UiY$j&d?AY16NL&Jrr|Vh%*e%8Cbl1BQ|M0$V>6G((<24;hj-$B`beI6;dUsSD?NU*Hk3(Q}y)vdRRlP$OkJ1>%;YL9rec;Kbs#C8FQ*2G}F(@f13)N|F6Ja_tZ?kCC zWA}0YYfz?lj8-1mwbu-f=%M54`|ojiTYZCg_lmB*Cm$;`2WSbQtJyy6Q0$Z(qv+8c zMWW>bJg?YHyzYuWf{<*TI$TlOxY<#pj%Nj#YD91N?!z;jwAIk+CEt%7t00-I>qMHK z)gjsWcO9~VdqJ_$8k6@A`9xHsLr%xg%IhY6fxK2+4><;7jk(0o`FC$0$xM9_ulOTI zZQbSMe+ZhJqY%+R^qWe-1#oZZJ5#i8KyJG}5Ut30Qlt?RP=48k^YkV?6* z6ZVp)yCgy*8rq7%WK)HrUpEz#*Gy>=8=YL=b^w)LmiuB#3OM8J10S&v=z>@n)lQRP z$o*|!R4V6y(3R_e`^w$%RX+dW-k`pN>EQYljOyq~+gw`IXI>>Ry5XfW!Y}5Z73<3S z-A9?K;K{f7H{f6V7i&~O2elvAdbRKS<;Mscgr4Vd)2I|^yk*CV0@Mtn`8Q?22a8FEqwRV4*&V1xdc(EECb6)!}`&lkC;;| zw}arqfIA4%nn;0U8p_i)opaBEa#29Zg0W1>-p$BramYTiEOzoBdVf#+eN5@&??N+v zHzZj&l-wwG6wG&A=Tx32wKr9Pv94X0mx=4qbroPs(PAFfj8BuR#{s%U6@H(-?2<2Z zXE`X?$ZNP;k1cHQ(vD%p5^@>{WDI5ZY?i7UO`$`r7$}1?n5f+!Jg!p#m;vA~Y1{n= z=fkbegf)$oUug)+F-Me~3FF3Px?a7=_B9ePN^@QI8J50q7^Ov`@0_nyr~as5*dNWq zy?$$x<9Yr;MxBzVNC{1E8FBQS=9oe@(2pi3!?VQW2wkfBF8Udyt;@2<^@Ti-S}n`v zY!)*F_#6Q?&LfRBpqe(g=mAD5GU|J^j1wxASL_5e?t0fb&Qp-sD4at4k6oFY0zs~n zaxeg`*W^xs#nMKj;&-DR(PXj((%2J-V>wK*7R)-#dunn%&+=lU!YjpJZdFnjl)tGU z+8bejVq*p}iPMTDcMZF##`f&zmEcG21X`a}QXq(gQb{;GWXUJy{r`unb8L^Si@J4e zr(-)6t7BUoc5K^r(s9zUZFX$iwr$%y^}Of9!4IhVP}i=oDXylf&>-oITK@5n!$$PS<$R`^$Bcj@b`&lL<{O?zJQrD@NNhsl zUlC8}nNrv!c;Z86UNil|%gSkB<67P=gJ#q3lX^~*u8H9+3He~?$#s%x)VNIgzls-= zi9#MkEZ9Ed6M}M6%o?xmxLN+W!@ce=OfZc3`R3jT&aby+xw$BedkH&u*a*k#$U9gc z2nJFbhF!id9VXr4>egs~{^W$gJH<`skWpp)suSSHz?|zKr`&g)L1(t(kLg2A7c_f` z$>xA^GQ}e1Wxzqs2_mItLYdAHBaWPE@|%DDCCc+<7H>WBU0+tpgbZ1&Y~qSF>TsB2 z!@NyfpC#4S79Vv}(p)QK0C`J;5q|v-PHa!+#c`{oI6vRpk|S#^rr^V9m_4+0OGi3T-518RyGCxl>PffVOYmTp}Iwv{1#QQEyiz{^%hqvd|=hqyt` zUnZvh^4bK2w`Mf+tu~CQOaZC@R^jY0nPBhM8lV0lrj`6~Ff0Lx%(lN4KG1MFN-_LC z`nD#3w`tE=Ljs)h3w5{g@JHVdI|j2)%JVt*DfMBMismeKoAj285$?V7<>~^FP^3LH z2E9MVOfb*K7pwKcPXLwjAF5T_0FY$_@fQ2tKOmrzUUFlPR!eB?BR}A&3N^V!oIrWH z;z)&IJYo;XlOxr$>67DL>!|f`qyGL4%FN6J;b5~8cf1WpAMtvGBas)Wbsuz0q|<@3 zIr}+al62{Qc@f#e3YE&GPwiWD?0UKiPHAdt=v@(+!DJFKv-xO5WxEEgc;E_MCg9#1dy`G*m~gY~Q;*4OhY2F9Q+)cd7xK`?T&EiJ zv4kzTN;AA<*TAj^rz7+WDYMtnfSI#k)+xvQt?fmF-r9s=YDdLYT6k_BpN=0LN+QDa~ zs?CtNF8BdcMyf_9YN3VjaiTH?Vavc-mC@f_lMc zT+{JAClq_yJp6VhfF23nasX!IzlbN5GI@NTHe;^DDg`_hT-V-fpRb##k*ZvcN z5`g@Z(6u+!)s!Xa4bj*ooRI3VBZTjUc>VXw@xXAcJv}Rtq zAP^o5JV`XU+fdp4+Lj7~%^vX6z&taL84ti39Vj2Ro^k za(tM^Frt!99d327mZlw#x?BIcjP7+QG?ptR(sn_coJUKF$c&&049MN!RCowG)Vos( zWW4wI?D9Kox5R9yUDbn_dBeuu5ok^}9db0Rjlg8_xM6ykJYB4QbNDA_afg51`+73^ zKoV2Ls5}!#m5IqDemr7L;Lkz3O`S^#j_IeL+u_E=PXqWffTD?qWtjH5NEkmLX1#ph z!9>oD`pNE3++=y4&0}4uP8B&#B2Bmw#dyB#Dov?&C+b<#MId|NkfZrM?Oe_&fnK;wf26$RfhV znUoW`%{mIjDp8z7$~WaaRT;JuHe5ccF{A7bq-a8(7%!w^Ns6`XYrD|Cm_wN@U~YUC zp{qZ?OG}k~gziP6KE&+r;v$4X?b;m}x$G7M`TX@5UcQ@w2a-O9AhNGFvI9;OJKD9v zSCjO&W3$c-J64^1j~k;m*R(s|1C8;1mwn>Wrm~3I0jDSPCFsqPe?}Wkcq@KexFpdJ zJXdxghkp4cekmqCb+7UhQfr1^5l<9jVQK_`|_70Y`bR@o(qD4?A0_L;F zpa3p7%2}l&(yEjlP)(bQDIMGRJo$?p zbcJDw2!+;e7ylZ=A6L~%zK>)KW3sKcA=y3Q~@LY$`^jx z^{%uSBj4y(?xX9}X(Qj5SND4VlQ}kv=ZaGcRKbi zEJkDbf(?%3%mK$1BqWNLTK^%Gg z({+AufKFqL`4K?7`yw*YID7Uwh1V`v*x^xSmgwuj6*fh-WKhbl&(S7<(#qIR{OF$;Ra#TKrkQb&0w6il-tNn*pLv!Eq zx;O~DNkD*rdC+Szj+k|-GRVxdI6xPH%N&N5}CR z85xZ1E6kB`AE9kYT|I$?61%k3ak`ikA-@?jh##CUSq;t4lzFm5qAJsU#(kjfi>gYpZ!p7%|R5lF9q{-!yTzDQYRG}34m zkh!B|nEfu-zTc=3T~htxocVdd8=-mbM@PYp_n5i(urU`dz)dTqW1w*92A(547CcEg zbE8u#XxhLD@SLvurKLT?7q$@#BkH*wz{?DND4ilihSmcS8^4s!_zjfPyAXi#gT-M4 zHMkSCY~~lHq{jy}(EGA4X81>8%NIqmHEv7_rCk1R*A=FVF+ZGGLyo|Ylxk5iw4k%) z@~BT5tvC!|4>zH&f@YhxXp?cZ!@Ei|X~LKhubt8U-XZCkXlDpw&9grti$#>-X=}!B z6~#EnaonGI1$B@($Ra3SJRoD^YOr|`jCxlj0jz;%!xU6f5sGLGXR$Sn5+1B`f!V}Y zQ$D3tnt@{rIcozyV2LSU9dCh(%Xl(Jii_LzYG6Z!>@JQ32tWh%*L}NJ0o)u|V4OW8 ziq>+4ND>@|;y*b8SYT%uy0S6_vB{%lsYVfvtL;kA@A8}zB8bMuJ0P`+W*ITw+&(zC z@_Vce8rhpSHXec^DvQSi%VEAoM_DAoS*d{A)!dwziyi~;d&!5V0 z?EMR4>>X=;HQl|KFS|OQJ@_A6DZxfTXh8e|k2ohgnj_}p=lAdL-q7>z=Z@+)4GXF1 zk>|QT*82>59uGuHFUDT`X)*2Pt}tb-X(5kUW?91G$S$2#vI~ZXi^8bWf(Lu0OR&9* zvM2>L;=gZn9S08WcFRwE{Qz1{6MwO2h|!&hpbSt_DyhT0HCJ~JX&v7O|<;9_ffXl!N^>m56Y$YyeOoz{)*T0iJS5$+# z(Dm;^IEHMIh!k8G>3klU0V*WF>wXardrpRh5UJ=oOUIl@;fm8$5h}kQC7a6NBEcdt>^YStK%U|CT-&?0HRns== zDNnpYu8e)q&Om&VIr|tzd9{ylub#4_s(E?*LNLef3<(26gTU&09$1COySI7sc z*e&4w4e8gxSJx?P3hS?e2}R<1eBM}dS9uUTE;y%%MH^HHhV8614`nrRv+kg!yX(|w zB`^F>mZ=v|;qa*tJs7N6MzKN}D4V-`{)czQ(ZTnCsOGPZ;(-JR$KviZM!*(w1Jd>6 z;`4;H=pi*UvJR^IBg|2BqPb|%++mH^DDK|BO>*MHhH8*P>n$*-nt2j?dt=7hNa7-_H zKu>f4!QY#AnQs2O8+2^8o!mcB?N^-0WzDn3lO~;iA|5}eq(&Eha^nir{{``|gKX zfJPTkGtrNpVpz%V0v}(h8ty76*}Z2%2p?$s2=myVxF4F1mi2>$m*6P1x)^wSJa>3o z7|j_{m}tAvBFt7;-Uu$TS~7UwBUMN8JzbmwD+vHad?l9@v35q0%i;w#0v8({RjhJ49nsx# z=Un}IGXqm{#AK&8CMKiD7Y{kEaliGJ&E|!Ce?E_pnIy_kFD?N}>FTb>TnF%EnK&2E z1uTJv;km@1+1VUd%ZkX$csQdm6Q;+{!a0iW$|`Erjmf2#G` z^cnJV_{3)aY3Ij5E4Hit1YOb+u%wHx${^VugXJFRRxPfZEApklk%CM+9UYmH4Ss8y zq}F=l`2BV$Fr(WAR^4GsHh7Bp!)&t8?svE8X*_3#;m-(b9aN`57}^l&w=O6b%bg2Q zo%B2Mp!ZjUsRgP04#-g`4t5*R%+8ye7Y*4nI#5uaMqAK-GFTSrN>aa@`oj1-MLo8s z2zCsON483KEvdI-b_bp> zf=;7Ki6wV;6n??Q@uZIZ{c)K12#1H`3V+7_sn9^{WNlKrr$xPBP1l+ZD$UAumM7Ue zJ~PC|Mw+n^!52%RGN!9kNBkQY4?Jkx!J|xNn4fR5+WKLNH8ra$71*6XCXS3lJ?6!3 z4HKH>1)@geeuCfQUpy)Lx+C|(Ga(%%GV1(rK(|b{79Z(qUfe(zX)m9& z#`BbP*7Z|!(c$dH;B4PYloxU6V-?sodj!nf-PzNiVeBb*e`Yf2DCD>l^cw7YfkMVW z2EQ`A`fHK@vB~SPVZQMg_Y_*6h2iOPNj@flgyY|Cxc#HIZvmUY_}vulvR^`C8U%^3 zrAY{KQfg`cPAXw&H+ni}Ym(GZupnOwr5$?X4qUiTs>hv3a@47)!4`4UxjUC_GU4Ow zFf6Lj^SY2aJ__mls=q-|gxPKYWDOcG_Da@^ZwAs`(CbAD6cF6c=yhs0ZRJ02V2o?i zALtY5V6$p(9(@*M(y3*jouYa&-$l{ZXd%?f)izIcOlYH(=XPv+vT2786|EDTCiAn# z?i$uzD~Z^wEreZ3B+bAe7~q`lY%(s(#r<2)uLOOQvKZ;p7;1^wA>Vbq7BW3A&xh>2B! zda)NwJq#k6#w(g>fWl(qt+*rRG{G(52h}=rtRq7#Xd1KcAkYfOJ0})>sHx6y4*JS{ z$lN6R6X8WyP&ymgZ%K=rbbB1UjQuNY|3q)8tAWv4;lvT{t36qy;&b;#palRl*Y+VR#=n4@}K4&MwR)JW4?~CAX1hyTGzdO#}T< z)jz&nGBWMW7U9_h+_`Smc_$p+phJ^e2pB(o)OFiKsO_ve_D-g*K>(lp!0yf+U!-Xy zhQLdrN&8$YsfqL7L3LcqUXZR6LivoRh+>G3}JJo+)LoncXNRgjnX18yNC5oZs+wqE)zv_$v0$Swz z<)$VJyK(HX1@C=xh9=H0rXP~OCL4|j7mr7xki&D}_`zBL{dVU})^=Jr=rT!yF++T_ zCTr|}mD&S3kHePy0I~7Uy?nT|j|DT+h-uMoD0aAEGVB6xo(4!D65-uqyN3&=^z^@c=c416j!5!`jo0g)ndjf64i23zQN z9Z&xPWNl|814*7V1g0x^|3v4nCd>7ZlK?u;hDLPVEksX7&jt`Bw9U!9`#XrJeIT1A zpCw8d^?q7!rrzfLss-%Io~SPC781?b1_Ah`h!u9cmxLvPzx5BvlabaKud*bP>#BeN!c)A_`8=nr!&RHFLH6&l6h+yFrh8%0 z#s8wYX}lp}gJ?-`i%`FAw$ol=bp8h#dE6iO=R6TmIa$#@oZQQaj{U=ryW4$71z<4> zGhONlbx&nc@c%2?X->U1{EB?75uwovW-W)qpp`@g0N0VI1n`#xxTRv=GC%k97LrtA z(Z2Ad3{aIsrPpW|!>89fgix800|P2}iCMDUtnbu%j*JAl4ynYup>AEt?^=Ju zFhs}fUfmrO)QA3iIK6m)U`Og@YK9L1ext8k@wO&UNY4=04-R^wy%PsyYt^oB6&Xf@ z!Td;4(!(_jHGZ0E4PT7|7h1&JnlThDcJ-aqY@QCg+Jsrges6Efz1O$`W_wX-$g_-` zWi6kd)%4fMpoNK-NknlTesu&1m&W87Z;RIf{t*&3&5xW(&8D7N^x<0;4mEdn zoq9Pr802483xC3ZO~9JfX-1a^0zu97lrHDHVevGMI^MSvE4Wr%p2nvv=1L`mNOlTB zRrN8{DH;6mCS@HCm)x9m-QjDuA6~>J!_mNU8GJ|9dK4T&P!BGyJdpj!xVKPbSWrqD z_M^`*V2LWcytN_+T^`dZ@@`%e39P%P1QJ=~S$9~}Ae7i(HyA*#!#}^GgCDl9&Fh(z z^(W$NT%1DT6Ap+*&Rerz5pUha2CC0IrgZ&^mkrm#KSlKSL-w%o1!mKy73xTRjcP>^ z0I7*;vBHP#S_3Qwt%~R-w@Yrl79I|{_i(&^Sw&%xGzM*+ZD-qx$n@mMl(4oT*l+fK z`AUbPZw*!4~519iUKMefXGrDd_EB^GvqlOrnJPcXHuoRL#^oFQimCljN9=b z^)x!@jRH5@AGaI6F<6^*Di#tv2&0;R1_p1L zSZllgNC-KFldrLE`LX5Fc8%&U9WCi$J?_y_(*#1OoReeUZQ=d>ONctLs^N?63W0|a zH8@@<>Xs5YcK;%T6iUIDA#A_f1S)i<9w&EHaO_x37{!%e7=-U`BXj%dT#HxBI9*Nk z2A+&^8l5)dyRA!rjgevRFVcxs?bRO>ps=$Py^`R~BB|;}zG%ioO65f*fq+W~Fp;Pk){t0JX48fK zFN>TSlwX7EoaVmi>|~FQRMPR4>CM?8*GKX(>++IWbZJV#vSfw&Nl{SxZ7Y23aA2ng zS-dcl9WgsQK0h<6V=(&}sH||IxU$&2RJfa=(4-)rEF(81KTOT3Z|LYSdlvrg_WS-a ztZL1Yhf-2{Ro568=Wi&fn4}-jbZ__4=e>lr4MnW_21d-qPwaOLL-F#fMNX?S?6azs zlljNlnP2ieLROlz$(VQ}VJ$P-zFQsdIb{okFCBTPlg{+ONc^4(3!A=Ru1Z}(x~vT3 z*J)%9;y*kl4BoFjr#5Ch%X^sOEBqQae}rb8XD&7qUB;eCH9v|m6E)*Pqu^mgLCuLg z|9oxMi*MyCE)um%t75TFIHi;Dm#fdK2TsE}U!R+2J+k{ZINb;jhT`VBM;|kRkD3Yb z-_edJ2Y*7BqYXv}cB!?B$@2qe&d5*Hyu>J;!)@@>M#VTk;H>V%H$+p+j=78iYF!Aki;628WTyWd~4_C5SaP z47I7pjG&Im!H-j-EWt>D=lVq%V?)(S%f&zlxP_g`eOvq&_aXkcSSE)yYDjl)aY4=% z1zhws&}+s0zzban5;eEoj>cv2kdN{mccdOq;jy4s->Mi(`6qd0$d!1=L6123e9+7Y zr8M_et4vBVoLUFfulyGK^5H^Q-(mxuACN*Qg)d&NBC zof9VhNJ9DCgRCnRcz=9Q$a$M;gAiNmpQU6UseAUnqVdR!1QJW*mG%eViU0u<prIQLi^p`2MaxAi{f$Hced}Y=|FkFThp(BHE|a6qsp)9x>HavUl-YmVAP$sr87z>| zEW=da#n&let{>CntFhl^^V5)pe}nC@rMYPLxd@>)DY<~%q)5^3_#dJ(OY*-2@M7yq ziL<$r{8P9XZ`c-yrly{18XNmR-;ulKD3Bc@l#fobGgnDYW5!rwjeuFOMudI9;yFxA z(;6zz{qs+luIybVmX^p)9-G|GezYUXFG<;#5|{yRkFd*if&Q0>fb#H#YpR#^x^ArBMIrFPrmb$TAZ|0xizk%J%bdPNUN^eSl9;}!w$ z^g4Sc$d#I*-tAgpY%SqQMnmv3+6iqg_NMKW5my4@rNQ(^QAwFWjU->6Ye$zLx1-2% zx&w(@@muv)BrkhB=-2RVFF_*my)R_b?aVc9?SSNeo9x4392 z@;eVGxVuYws%crtJX~z4B+6rRKt0>OD_W5Jjk3)Z@hbb8xZS@^%?^DL)m~VZaEhN+ zFKISDH5BEI5fqxW!WVFmy&#FcA@6qmX=uUA=u|hbRW8M%`^r*OtKoqjkG>xlm;8s| z%(C=%9)9`n3K059f)QzX5hZUrc7TmwT0Dh9ySNK}VXH?{M&QYanR>k=mu~@=mFzUX z%NfLQ%;5Zu0-ZXO{6novi&Q)=$H~PhuJ{c8aZAf zn*%1GHwxI!_@Wzroz2Rx&cp=#2M(PV&jZm+<$4Am->gVGo zf>TExCx%>V_BEG_U_IwBajDywKsB*I$hn8&EOLdS{pKWpDC}&%5Z|t9XEF#QTu9al zd=z2~%HS2Rvq53G_>4XGhOg$sr|2xON25BWbO?P+WP9!-l<*U1Lx8vv33LY|E=y9#f!_EDoXn#u&_9FGxw1kZfi!1B(HEgt2!FQLluT zTz1Ny!%rY7$E2pm#3xag5w?x(`Erv6*z6jh?bF&pKW9Ht$}=v_XdAzO4u5Rd#T0?~ zg@q+f6OC*s^^87ZhD6~5tm&=y0Zk-631Nu^l*AWxu)1|$W|gG4Y6(D@##eMqof>xd z7-Oc!mha?xPTbZRpY(%Bf3a^+v|lFAV;qyyX_xmdG6Ln}0RVi<3qzxj@dfUO_;u{0 z4uLrlb^8hHur_Dx1XYqt4_oh0E8Q3wz|Jbu0WBT`;6RqUg(|m4Tt({*Z;W3 zymDp}`t6}p$g`RIv;rk^O`GFF0`8R1`nbUC6P<7GI><-4 zj*D_-Q#7~N1^ZOn|17>hRN%QlkHhBSsv>yT$EC(|NJD$cUN}|=1+9XJZ1Rht4xjJl zESVLqGYxabDbk|sMQe%wUK%0sun{xuGLg;f<2lf3AQFY>vJk>9iDYH2oMkAHI+*a+ zd+snDqU-`&Z-9)e``N&y!WXvYlbgm3(uO>A$CKlbj2g!Qr^jAWqyUSJ?S8-(Bt9N9 zVdN zY50cwkB`!vK1~_gc*sf$*|1=J-Ory{UY5*)3FyX~xC{z(7{Xhx4XRbjqmss|=v`5{td5 zp_`gHHYY3F>2=1IZ^!ctECMXM=jp`aA%QC(hshk~Lo%5G4kl!j--jDsGyKVnAvLBa zmI|%1%K_15tyx5hMvvEtUD(@}?3zzg2gwoc92EzTjEj%cTXN$Wqrr2Fr@`}36Exo# zudH~Dy^udfxNqLRl-e4_&G#I&o6P(%QeBkaJ69 zHij8n?{%jl^Ay%&A>y$KLTZ{7LCT<eq2#Z;ZAhS++=6Wx_|4$@ zdrXr%I&>t5C<7<2=czXF4=HcBHxi>B^2=M>{vf=o zg_b9*o6q){0JcGY9JQV?#)kqi#dsvcxZnnFi_*gAfaLHx!J`M^>!^4}Z z7TxSc;Vlox^{fvK z7%2P6sd8Y}OjiW_fm}e=9l7vVI(siO8j^02*^Y-KjB2%JxKfc!p2MOgCuK<(uc1PY z9&3MkxU%9|v)*i}CnCO1J7{&qCW^-(gw>EdCftHJxgfr7fj=*;nj#Pc`=PiMJH8AE zcisD2naA%3Yl%WOX+%3kAQ{{0ewvR$sXHJp;@inPra*+dP=@Gp$v5&#Qq)(_XQP0`#Y8lh-zEtVo0iIVP$6~j2OdHtP=KEpy)OGE_WGmcoMHDB1<%#7U8li zL8=`5GO>!axe98rI$Ghh;2xXDK3}Mg^l5vKn-m;wQMdlBB{$8>Y$*GZCl*5n!4Ml(>+W0%1pp59=(vzDkx55RP9^LTNkgOL$4MI6)W*$X zWEhA2g;0*i9(IE9^Pft;EzNXTblx3|b#f9bwrBH`t+BBgDc~oL)s?rTz!5x|D*%|`er^XP4z z_Tpc-BHulr#)Ty$KPhm|)|>L+KAS9yB4!jeWxIU>7DlM8kKy|lLdzG9o-WTM567#1 z`?bHZD-LxlmK+yTQiVGrmMd3UCUG1Bq@*O}e+3*x6XbWWG2}%QJVdS0k z!gr-)aos}&!o|W`_}OB4MC_Xu`#<2q81>Ym?4iplA8L|lnlXinf-;QxqWEp_FhfNp zHWh_2Y2JIOLI)i~YD6Wg9VPt9c7(iFAtPuY{g4)Bhpt*p*c+b(=!}$?5KSw)(Sj|N z%?O6*!nZmE%c7uF%s5jk{SBH?`srRionqngWM1GpiAyc=?zkF}Jtnr!L;Ux}ca>@*oislz7r(kc+lHYcfqw7xGX z$4th^MYOA(XKwYpToK05j#n(?7s$>&7;kRdbJ>;7s{8ioF_wt|Z{rWaU#c*y{_#SY zu*F|{+X!@kI|Czf+CKnzJI}$iq@v7M9#y3Ibe{{&uus99hHbreof4-nggJUS;-x*_ zzDh(?Q@dzRHCj!*s&~0B1+8tCZtz0hRuB5M&n=YhuRGdeMEsEU5+KXXZu4~y4k+6Q z%^n=>Tf^<#hLi=GTWQQt@bMsm6;fYE~MwQjC`#AyNU2eEnf^?7`$N#I_rP6%gIx3^@a1ZsPr zTwE<}`Zr9lAY6twC@q@vzLOIHWVfFpEk0*dc=G(jF}e7Z4EXm|z+w)>i#+dKW;9y_-7( z-mVAidvcC~PwDi;UuJl|znzX)l`{KPsc)EuWZv(cs|Y1JPNjk}^u%kDm`P8pf4MX# zGF-v*<1Ux@d0~@;o|T~KL?fuPP79+}K z@>68q4#dmmR*cEnl9TPKfhxtz)?}QO)K*B=A?YCjpk(h3NnF?BiNR%kd1F~nE!UeP zsbhYwlF^Ko0;Y5&9dCM?#Kskq4iKY}0RD*<7LtZNXh-{Y`7nVKmZn-v?=rw zbsMAu8a!m1qwrp;qXlvp@fcEsDyuE14&+v514Hm;k}l`<%9(Wv+1z-w%k;4ZM=)7M zRtn8DqY-S~87pDt5-fQcL{DQjC|i50fO&CCsv{3_mW23PzZj&mS$;G@X-G)Xgo*5= z#6O=4$8X+o5dn#;N2F^Tpc>9!Csm4qpXG~+0mk}b@fdnF6)$%b4-b#C{%2~`YJ_-8 z6@!sfc_VU?f3~)K%q6|Lc{{=3lue1pZl(ptq=D~ql(<|C-D09ZtGhy#sMJVIPQ(Z; zN1V~=ACU*SpXD0xhhxcuZ5sDC8*`{!gl-T$bq1^EL- z;C!2(eE@>$)l%35gZ(Sop1;+i8-H~+^`W^%>~{RfQZQf*n#~q_f?{- zpn3{!d3A{%A3RQLa@h;*U@E069*KvKnU^k=BM&1ZW~;n@G}gQ(E5`E0a{4#+M0Y)^ zk{oap>Zjv8k-a*e=Sr^}-xfnl`g~!>ZJe;Tyj!4`(km;6AT67U)Og8?S}e}+_~+5l zK=pS9aL}}V|6fd;$(0Bj+}-NdK;p?b!^(%Xp!Dqr@0A2T9Q@0{JB!vuds%6z|JuaB z`G>pZw;3L-UU&Q{hViM&mX&(yi_vJsJT|Mn09$kF?rlHzYBP~^@PZusWt3={4f7B( z-?P`@-tFKVPogk<&+m(Ks{ipP>h&DJUwhy3@$%xg4%8EJIp9b6*->T&TN4we9CiWi ziMzS+1e&TOIDZbIL8qvb%_P|kxFQajL8plMHN#&lj{c=Fn))`c7+jP~%`2p@*#bLY zr>vDkx)PB8Fya_|jnwWbS-ux{_v>e1!fAh?*dM_G4{jP~bw17J;8zA6htuiz6Ry1L zgMC+pk}CU^X;hP|9*b=Q1j}W`6Lbl5yHkq!98GnNJgRe*vM*qc`>x+z{0xEKb{jeY zE<0*&tVbl)?rnm;L)q>B<5a}oVZja{5NCq%&(X>}=P)Psr==UNy%=U824^i#n86NL>0OmuUQb$t(bnjCO>k{!e|W5!@d#&>^2uoG}g zV)4Vn6^`KCAMGupb?3oPCX7b&2_GN1eSlFu5D=fD@i_mv+!CSAf3;ua3CSZMC*BET zF4z`tt*b?C19oTqk593FYGdSoo2wn>r~bXgn((t-IA!~?GN@}69Axyw)Dvf%1dFVXV^j`ETg{VfBVCJkVFJBXcD_)49*7h5=RskFLvc;e6 z_bKsVu{QMz7Yxjh;*r~0VF%|5JW6=G zlvoz>wGA)%YJ1c*_Lh0Q8z|c@DXRU~zi0!;+6q{~qQq_;B{a|BLl_(1OYfFt9+Lsa z;5?O4AsBy!ji0lC7--oblpx*7Wd0ysiq91~J{8?^eEt_fPqX^EjMXF~*uF_N2Nm?; zkMzUp*c52s>XXPZV-DFMd!ZdzAwD0dftuZ3QDDi;H(M1j1_Le!x$tik#+w$uA0GR` zyUm+$u~~`sKh`Nr$5ci-Y-BJbe7WulL7(zM|4;l~F>0{}~Y3nVanHynza# zukXM=8Fp91 za&!m5we6R4>M8TQN%6F731qC&5k!p3=wO@KlFs?r8QczW^Pr96`UisV673$Wu!x8l zQ41sK*kTF@z=T~EDg$#}-=?B+d&aVf{yRGd-gdQdR&8>*08JZCK&jL<{snvqBDn^10kHN+)HXm82g z#0z3H^oZ2nMk|w01$sYyQ7mbUUa|3%_#vYK-_deyM!*yQX?be5c9lysJ*TH0&+GPO z1IZmLNCF}eb*@Jf|#3C09x|Y0cje!I_UEvdFK2B{;Twph>bxH zvxt^Mc#&OX)?rUmHeq>)urtA)xg&__ygrO|GjPPo@n$g<=JU3a>7RkdL;iiC$@{MP zPamG4^337ddP?_Q>HG1f0Y69mFWW8|t}sV`Na0^q`#VDksl2jSo!me?Nsb3H*733d zo@r$-AhzCMqy|Q)E2H_MSu`cJ_^WlYd)zxGzI_QNxL2lo-x}?J-lIrGUgRNuuFt>YXvC(g$X7T(QX8Eau-&hy&F9zN) z?HEXPMcCZSuylKEiORh4A&>$?wB+LbcS;P@TTLrL@Y#u1&oaOO>kU+dDtaT)3~dt3 zg+68uQ&HqVb3#C(^H!tfqVxZc~b*}Q>09=YQg z$zi|qnoae=B^HDn7UMy0>%lu-`%V7mx3~ITxpf%2F7v^sXiY?h+!xLkH~DFrO|EfC z7NH+<-Dy)sZLL-hwEuvrqTBJZGP}*H`0_?_@ucYAS_Ia=8@Zjo7_5F&Mp$9cg>UoF zU`|T0x(BVk7nSx-lF-JV3%JKaSN(1mXS-tl=%9Oig>nY^;#XJb@par|!pWp?)9aFE z=f$`KaD3ama}BSfJ!aWtW@Vkch&6D1lu6=puyL9bMItKof`j-07eCoN(_>oNG< zc1NmGGC*A+p~T-#c^~o}?UtquI6rQmNm-gt&G;0uELLhjgd$xKuY3ld>-b^{B?gCIC9jl@)8J(jQev}+ zwp|<4t8*L^EK5cK^{b1k^@o2gH$XS)!D)I%`QMoCBlh8l8Oak0;c-sSIAOxjJb)E!r?v53bED7LU21;sB}iSO z)4&{3uM~^V!ppD5HEtXSx6HaVb#yt@2N!2Xlp;HM6neLN0 zcOO28$y+>)2;j8U^y^({@O7JDAvhVOTI^t7YWIq6Weyw-=O{Z6p+!j-3>166d8bw? zSF$3WFa0}Przam2|Jk?tBfrDz0Csw#B>KGrpPZuK=yxE#%)97;sjRV3W8xP%y0rVH zh~tP6C~u|2$7Z)7jQ*cBvXyPhq(M1hX~t@Gn77Bv!HP_|!u}y)Y2QlfYVG*PRSj>w)xx(Ta-N3SSXZ zuPRQME2gVW$@EkrHB3fSLQlF>#()j-{ew*e;q($FH7t5z9NKENJbJf_Sz*QpDgg!< z9ZtFemk|TylV*e5Mk@{{yEn4FH_j#{KGQPafm_oW=Oed$Gjn1x0UVv?@?88zy_=;k zVdnuEldZ1MOuo-)RW;F#(W~Evc=J`DKIvNqSo!Ww91~ATAp4i{7#ne?dLpXjNtDM^+|m=|vDu@P&vvBbyB>VR zi9Xzwes1cele?r~ym|cV4TkoT3oMf25k`lJ7nvlNs@oZY{C>`G_N8JX!x{Cumfy3- zs{+rCQZ7IQ2nrqaJrUTOuYNCnMlyFAMPXyfqP9t2BNo1bs(W1cLWHNf6I&edbJNN9 z@fkAU`uCYpjbt$gw2~MW_DQDik@IZI%Q2RYCR-iMZq3Vg=LU6qB*AOg3 z_T{jvm%mLr@;+}6=~nP#h$M3KMHPA_dw=kxJ?G)7jLf)uQnUd&Mbw+PSvJut@9K#^Ju~#V$7N_|5o4jD{;cv5%M#=p`R<1Z0F%JXh2;aL6wl8< zkUkc3BTH+tj^6NF$GuPB`(g(lZ;`hwze9WOC@;>AJ(hJlB78RrYh(W~6B2d_=KDOB zatcFsyju_#{p|u#@$w3DJI~5uk%ElEUukDrXT+Y?kMjR0yThLU?!?P#bdKFJ%J0k9 zvemmCp8)DAJ|*ZN;FtVp%Z)#!+aG7wucImy8jXX1_2+hVrH?=?q#Lf#C1Lanc$E@T zILD4sPuUK<&e9E6-g}g0Q8-kG0o`qdPG@NTC|cmN~f3&u<{YKbruliKkJM@(^np$}&-w3UM^<@u^dYzjHT zr^tW&cUKmTozZLPOL0aUa>9{(1emOB6bL`wJ9G3~W7o7x{|%nOWt_3-h|gmNBIL|A z0t0HW4go}h|-lSTN&s;#qa8=C5(Z?fu5vapIIedr!1-oV-S$O-!**K#Gpo^wL) zH_P)JvN(H0MvLZ?&tcd`T@W6q;rXOB@CV@!X?ZC{^aDQXRQYcBA%2Ukp1*VY=`@cA zA=T7YP<8S+O)ztU@5jT((0gY;Z(1nFg91pf&`vIZ)o2&*C@8jv_E^+GkRsP3e>AIoheJ6B!5 z^*HU_{s_D23?0v&$uX`Ls zON%^~MuVnbJi{YneyD(F3PeRBLKX_@;eHbYY)CF07BAgA#_oA9AZbKNWloqPo$hjN zUm6V}_Kl5P(EV|?U8y?en>s$f5xd;EA5g5%AxKFIw_SZxu8(m^acX!n%6^ul+RTxR z#m7YKZm}15L+Jm(mI36&fmeL?nOhJlR%b+FzbRErf_;77kh^7n4R@ckQ>MRt{UOJ@ z{cB<3H$>I7KlUh8TsqQA zNh@$98O?-SZSf1@<(&S$nzLPzXsbQ^D3S&_rxgBNHutDMy!JEf1~WD}AZeEH&6<_1 zi;7X`!KR&n_RM~JgskbPE60Pk5NGF$t;kSjjPp`_<=J=K>z1wPhUGc&?SARr^o*tm zFmr2Ei9g?&5BnsCl2IuFgT9&J*koNTM-dy58o9U&2gjaPu&`3zs1Z?dB}%CVu@ObG z@#AXY`xSb_o`0eX=gFxP`IQ3p!44y1|EeRS&=}~l4d4PhX|%zI52t&X`Lq!>_B$s$ z;Pm){+Tt3g)A#P{%T21{h4B17ICD_&DK3fxyuGK>EZZW??~DdREdjsD1uK21TF!Yu zxV`?lPKm&$0PlVbK8%o#xAv@wrhZ#c6~SuwtLiIKzYjQB!X43GNDAWWVl~s~(RfyH z+_t2P!amTGa29>s@vYli!(!(Oe(`Mibpyuh>IL-uQhlB-wnC%+x|kf8#Pb(1>{sKG zS6f!90?l;*eC3)3}g2ARq%zFH+|=ANDUW>`|c zhY6-+A-#dR`1$yL>bf9z*NNmV{iCZQ#-)bbmvHLK`HQ)z-9oUDOmE24N*2$#oVfs!T*pJm90xW{^s zH?8gx@7GAdqk7h}tWw+0x9nsP#@;jh-Ko(&Z&Qs-A~l?`)p^meVm;-u3v!o?8bq1X zwidS&R=c${;?)Uw9{u9srih)l#w{WzF2;VU)bm-aU|p(ub|QIVm{p3CuQm%=8`mo2W&I#lm?0Vw#DwVvayBYBZ6+>^lSSu#D(YHDJQ z{&7vXYG5$My_$k9G|{v3FC6l@I0|OOScj#XQB_y1bx{E&v{wlkuPVPpQ z8sQ{TI5aamUe#(7-{zEAAg8o9~0WGwF$3tkmA- zoqie-`b`M7x zgB|Y8o+Bj{`0^5Y&*+M7{(3&5di{(!VW0~JAA>Kpb~q6%_G(I(N=C{WXjTnUGL{)4~6cbwVfUyST6sna)vBFwXRa5}%itB@id=q6OSsqVTk_&KN|?@poCBm zU7%Gi7poYD#axz!-&@>=6vx9&p3dN){`gGorQk^n+Z2+8H{Y<92&ANet&M3+*LR|u zdh<)h7H{3@v#@l;Alg!ZOpc$Zo_Hel>$iHnpso)4Cyk{t^wnQ8?^@nD+y;FsG&!<` z1yDe&4=_)jm0nQa4X+2KGEs=qnHJA?o}DQNk0m>HvbM1cEOW@iOhH%CaZ6Df_$2f# zFq&8*KH7XYAvm~!x&gQWL5sx}62?pcc^CSe$6K-0x!Db_&ApJNG&`(aksblLEY|Pi zP~c+KN?(7nBm1{Q$P@U|QUqb32tz@Y@7*7BJp8P_=pQOv#}4y9l>0(^AH-q?Z-GNN zTp?SAEsvb)@h@7zjIP(#5tpE~Vzy7gnc8;9Vdfd(=|dD|991|S-X9`4W|c}sFH-CT zE#K}A+;{g!>K$0vMAPbVSotU7t}KK2Q{npkh$QzP`dXY5EKYX%u6g`06>X#9_JKo| zo5yw7q&!aC>=ST-(-{g?w1TK8RDRH%s+WeVaPZ|h=HR5YNPz3yPxdZPf6J>%8QyVT zIYYg9w>tGWCawXNYiT-!$@uaBO%`TGk%r1++AN8zC>ZS&8Nt74cD5w#nV%5VZVi2-2+^!5a;`-X_Y8 zy}T)*#*ZC2XNTCsZNUi~$!ZtADAL5nFhOQSEHk&kq!5Ie;@+#{8Dm;Adw!5;IQ5za zNAb+isKuI%E7>R5IiHn}0#YKZeQtri$TLfawL`=#L1&hB6H9nH1C$S_$sUUXxMhc4 z(8rV<(8B#%uWT|%ceseE@qoLw0L|Gtiq#a0m@3;L<4Xww3%t4KbzS5W`LluU56Y`v zsM{%!Li!k~lXnab4{DJHI%-*x;BC)*gXOLv+NQ;+1c{x;C zl``_^wi6W(rzR(>xEoZ(?E-Wu5kH>w8ECYDhsM#{g^_*@F#|gRlL3qQAAeL!NaC`e zcqu_sk%+`HwlY-xWQ=Yi4xmZ2cw>*Z!=24%qAa*2qmy*%(BnY^hGU{Il_^$mXrbtp zwHn}Ws`~l7HvJb_E{bb7r!WIjxaJcvJdwCy3#ZNXZ}z)4A*J*+^dN=MPj6_`xP zy6*6ep1yXV*Xq(8M|cW<8}xziI0*kUR}5^5Q+D@xoGGBMc=ldx)5slD^30y_c_7;m zkat}I^FVW7{@rLd>;R$H?SLb|>({ZoZ!0RBd* z1}dbV#+-TMuF=#PYy2S#sLh!q>77=%Hu^jysQfFhmWA9Yb`c857RATeySewm{)QfAz3SiKfJ=e4#din z{BvyiwW!M7u~uS=&_~yG?dBIjrI?74s!aVl;!SarY$9d?a*<=}v&D-gIS>Ur(CN5p zzLI~--E81Z_7qTMqmm|qb%#w9ZXq&p`b+1&Rp6Ap{V6K0sy12 z1V>Xl+(;zl@u)}VkPC+(gnQ z#B-cc{3cJXE^=$>&_9sju5%Mu=nnoyCR;kCFZ?&0V^Kbv(%;fG3`M9VVbpd5*}D(_j7kBcXMi`EcBco|bDzfp zXez?OqLqZbHlED~Z3cV{kBx}xx}b9okLAtVcju}D0DRl1gHWF(3iK~4C$_I1GmYUUH)Tep0_H`bW~n^LeQYi*<}>x3C! zX~DPi3JX@rwHdED@)w2V6ozoW@y1d`77{4(8VzCUY;@zScKjLG4xxLDlgpE9RAs@n za4e|HDwPBoJAIna*=8-M}xN3smxjO@{Pg?Xh~ z6;HMN&x^EnWE}j9zEPMpfN4qCRxNXau-U4WpP$I>$jTlV9E&&@74|tZD}*cZVs*1w zix|Zi?W9pG@U5*?b$;Vi*3YxCv|0Q6TKww>(G_)iH1f8xd{nB)v7V%%B{MoeM~$HN8PP*wSmDtjq-157#MNm$^t40c@tO( zrWd6)DyR{D`a=4W`ilA*2P1RwCN>n0(UZNVr6Pe&TBk>pRyj`)OIxyM18+s-7h?mm zsk=L9F)^}b{+n;te5@crjY_1_gLOzat)G5loCzvX0dxUw9tU2a%227jJ|eQ21p08Y zp$}qDBY4x+2Pigk96e$>&d_2;%oE?^DD+!1MVCJTUGoZT^21S{5{= z9%NGc=P)p*BxC~ZhnG&K-gWot3l1_Rbod4QJ# zHw-ue+^&O^W>>BRf+eLTB_JxJuY2dG z5hScVg}~P!iGDze>fBc|GrNj#G7X_{p7*(^_nh4lh9f2!=K0@2ABcbim?Ox*a4(;7o;b+Ch@Vwgzuf!aBsI+uG`eUd*d!b9AITw< z`XkD!7gynuf}W%NrEyH}$I6{Fb>w|0#kDvnmb_DwrUa)gmhtoa_{2iU8>^T)XP{Ab zaQHgk@ou2hkkbo?WIzec9V2x2by$0uvxcYhtA5&eL~vZa`&(ZHSNy=k3iAsRmQNS; z-FN)_`g3W{q{*q@cvFU>4tk*Z_{*xmYm`Kq$8d3*7Z!-R$cvEfRva83?5uU_;kMZ* zj3MKaGjtupQS>3WPPfG6V}K^+^|1*msLN~4{d#vdMiSPA5@i#*Hcxa4H@8CU^$C7D)!vZuM)4~C z@q*1e_Tel1@WBvgTp4@R{^h^aq0Y_KDv*+B!)$WkJHF}*k;=3s9szYjE@v(@5P7vU z3bd*`ZwsGoZFK*JN&NF4sfI1wA5OHi1bWzU_Wns~LJVx+FeB3Bzsr1Z;}TBqC<@a# ztP-Lcz-eH@rSd;*)<_qQsN>SL)pA}F`!~0E>ik&ZZdFNDX5H7yGRIV&Z_&VMaIm$i zz~+w_L=uA0ROqwvYW?>!fl16pGXxtlobUoeCj*>utpWwxasxsuXH1frbrc$P#^qKE z2rKnca{p8-HKF)bv+W|x35?j}q3aXjQFl@myOpTtLh(X#Q&AoBsWm6YlyY%My%#5)gUk|vjF6q z?D0E0Ygtxj!_c!RtAX4mw=tp7_<8)varlQ*M5*MNYMu=b^+bB;aYoZ{o%7IV@-4ZB z1{w-I1{W;}FDt5+<;nDcRC0sR3SM`90;#^ZBpDqao>%DoOs=$X?Gl$o zXzZ%JW(DU+n`s`i&tqsn(!B5g{G7MBe&@$)VM%DkB(ptHlb~Gq+LgqhG<537kXuJP zD8tfIJtSTwxO4ca3{;*c2V>ww2!*;|m>!-$Q-{Fas$@?BKJM zM=+@opDz?)na#6z8%UK)AvauxH5n|FP$WEBQhvZqWdHveJ_=MA)9`BZ+N|I$VZoQg zAo&Z)z$}yN?B}+>(oGt-?f1T~^NsIHuW)fr3$#I!C|A$CM3M?QqQ<)% z!0-(Z7}yvRTng+^&iS#5?LSeIHZRh(iAa+Kh+at5GEtlaDE7G2#DIn*@lv}DV0th* zj7hM|OCVx7#p8lz z**yWZi*%=#OX$VJS%bJ`%h7Sz4QtkFoEw27aOj-ebl9q3IzcP@weBC#;(dZUG8b#u zsezScic(J%jUPg+fwekH!%W`QW(qDXnDsG)&hLXSj`oh5%9JTKmcn3RC-d{-G&wBV zOQQN0Av~j=@DUOSjqB6ZcLg(CeKT|Dc0+`O5vw@zS6`bgER!f-ioKNCFRwN@ZBzj)9 zl6CoiMmhcWv!RA2jhu*p>T?Ycj-Dy;RS8}%3PhpQyDKP%AK!OhSMI5<<2vG1(YWQI^>TkXs>u$w-a!CA zH3kj*eQCVNCoE&_45NqYq#KJV}L`^Bj6yS0(_@ZwT? zmEUaAS$|OzvR;Qi=G?5*A5XV&_|hqZv3;h+csB!bpF)A4Qj4B9LWjs~6jX)6(4w$r z8WLVqnX|<>xhFW8IsTDq{g~yWbBot3HK}gtygF#b5z42q!>p_b*wE|*1vwCuhyWjh zBv1LW|FTjThcEsIJ*a_SIf(V^n;1-GqqdvE}2CE13IyN*SkN0_|i%H#!x*4 z0n)uuJU$i&mOuJt^a7F z#{VT`QRNxiAW>nN7kbQOAGmcxwj3DW0+e02zUQ!wLNS;8v2MJu1DXVa7iZySimh95HPz7=9RCXgAilPuX>ldL@rO8FEUa(BN^{gc(aB&XC<9%N`|W zcHqmP*;*-A3o_cy<$5BDr^VA84rgLFSZsddf@cixV!P07GmN43<5Dz&sv}Ggm|42R zl)(56z&xSN?S*{~ZVi%OBB)t8^Y$Q@1}Vo)McIOFjdnn5g{NSUxIgUhQy;m?`ch&| zB3fDInvo89;D~Z!Ze@<@rX55jB{=Y%mwd2GSi}y~<>?$%Vdr}Rg zGoV9Def_b1GNajm&C@?+(&8a%JL0tSEhg(P!D?xssE{8ycZ=}PM_thO=PZlQ6r}zdR-W^n3DigsnYF&XCm$WcI9*kBrL|n6qP0Uy=jHmasz)r(~m4-Uff4+SeD7bRh-mLRr_Ucob!7{Yh1b-kZ_kOgCorq zl-%zu{k|D3vcveVMLf35EC3b{q^mdMS2Y-^m0%B~Lk^E$qytppJln+I!bq}(*nVre z`W~g3`%x*s9Vy^{kEBHS{zeA*FvK9q>;0K|Th@u4KcaY?=}BEsbk45pCMf;nD|o%> z=T!XI&og<1cCqr-K=qDDa+!et7t=LVA_F#UqlMGUjUb#eQH@#sllVSXw;$ZvxX?qB zIMu?k%Yj+8VQlQ%%OzLbg$sf-r?@ObHOaq8=#%F0n*>XtwF1K1R?DCuMNpNtoW47; zF+-txoO|A{kZ^?ZT(3$->NzLa*Qw>84JgH)%~MLzu%^P7{|g|RiYWb+ihM_ zIi~ID;sRFU1H$~0c9y8)^4@i{8Xm(4cJKTGUpYlf`oO_20)`Lg6ahMa->2a}*_IJY zhv`zQT!>wCtA^fR#m8#x475wwVc7-hVy;`#*ek2M0jX%Skp)CwXasL*<37nes?_&x zMU6g;{z+9AwHGF$9y~s>79x|ABD?*wV6j z!})Qa=7x_n+q^JjP_vAX*CAXP00cyQ+EsO&kW*$Ei8qnn*2D{_o-|)=4v^zw326X# zKOJKX_DoT6GX;4cP(2IdVBe)JYdU`h`F0ipwofbecl=D{q%>H-_8EjmXyjMI<6L@KpICX|K>!W%|_6m?SHj|AAd3_Q?6etGYr z8j!sEbBg)U1XGzvK%1h!g($v_z&4IApgw)dNWO<%aq-Gee6S32-EAdzHBFXwB@{%+ zuom{l{)>r$_!<<};tNN&n-L$x2T<$+e@zb3<;T?2P%&|hFR(&(SO3RejM5*qsO1Z# zh!4R0&@Df#^v+aXlQhl`i|QH%&%0vzFc^y09LalDR>JFRs?X%-plPYF(n%N^akwz| zU8m;udxeCKt4pLA+>1nO9}uaC0Uw7~jA6|Qb63+pxG zmG?VM<~{Zl25u(d-#}35r2k8Ke?gW>%(n=Xvx=jil1GfXstfYvAeE%~J|QVpueU9B z*g93WKjP)6#%l(`MwRnl5nHx{yQk>w2iy8hw12EQ_D!9l5NoJRj$r(CX9mcXKl*wb z0TR^HQH>jHFwl1PaYFnkwXyvAhUxaN#u=_JYbZQS&N~=AU1$-Nl{@*umM9U;lqcEu zPNUg-i_6p;rTdxx`*?M208zujMD6zxX!FVE-Jc#!%T)6}Y898OfMc@|h};OV%%t>u z9@50h()7q@h9JYtpEvtgtppo+-?o0NCMfv0Bn;paxMu)P2qkXz95n88Utnvv==<>o{aDH*(VKkloj0>ojIJ-g0%jFgM#* zVdo)Ge&{e^qj%ZM8=x8T=Bf|et9 zyjtaW_D$%ZUq?3~!TcywzJ!<|86lK_6PdI8hh>mDgO}l%8NqrxT0~HbZokCWorl=( zz3Y2veA4V9Vpt%0$sDBiKuELRccu<1IP0gTy4{w+NJrF zxOWf>DN3)#azKLJehW=6BqsQh2V9)|X!~Ei2etJ{zUNZcF~0-(V@_Mpb)n6-S?A=& zM^#TDTB2Z|5+*Xz3kopfguJ>d0&!H5)z${7Nq*32!U&)Lq0NZ7-6vQ~QF~O1=jXzVd3< zdwNkcR%HA{Sl9Bc;wz?_4V}Y({`Q9FznRlC~CWvh1jkGfp*?d4y z>ML8`oqQ^kFBnp^FPyR141RHwvQefhY4DeA3Zj~<+FVvUmf@^vJ1?247ynXN(v^#M zR?tfNV@2-5*=Lhzb*PkJm5IS}%YG(bU_ASRx-$y)dss3kp*~NPMScCSGZ(~V4VYRn z_gft5@mD0hD()>dHb@Vlq245^F0cVir5>Pv^kw*~gtL^#f#P(M*MC76?WgSS3 zWnJj8yp4fp75VmbB9LCHOG>vy3 zqsJE!su4?k4SQfcRA%o|yyCF+_cPDFj^nl&%Ub=|)W6{l?^?W;&!%K4badG6nBy0U zw){%?K!)PK#bNA|X3I+)aoR}YC%t+AIE5xYa7AE8!rU0kcD|AZL0oI%OT!YcT`8u!qp2ji8u{mYNss3wY<4f_V zgQ+xKedF6GFrvQ%?()^RXkdXS?W3zdYfk?oTR(}Q3JW<7UwynELK<)6Swi&{Cb9Wc za0|kuUKj$BCUp8T1L7HduLYbQfg%gR$p@d`0>}$J(cuy4O?D`EwP-cb_lS=l(8v@y zbXyT_znbaKgd}}^kICUpXqKcmwbn3}UO%8B2xyV*Wq)m0xZZ0!!)dEGbg#fVElfQt-H6Fv#7pPZbh1$bev{=g78Qlh-`A=9ymAn*C;m%e%@cu$_ZU59jR4f3U{&eN>zvDM|Ni6T0d$s~XJw)fnvFM#szH$m2md?r%nFpo$=#skHyvHEpx-=38#Zw#roTlwJHCp9>U@Dd z+KtL*iic%E>~iOvgSjJHCczdu+lj6fk2cH)=Go7we`6lIHUYmlBkB`>PZ6vgnL(;J z^-mCE?YhSp%{=E(|Jc=-FV{uz)~Lb~9>pfJw#nqg!{~;L1F}CDDV#Sd;-VNt*5hW! zn;WGasbm9isaDg-Z)ty(!P^(yi5Yk?VNj-OT>;&CnHo-GX&2_hUBQ38=n72s#s;a) zR8mE|wOa5W6&hmER;-F;$}>`4m@ux%6ZwL8UxIK_(g!s6=Ul?0w-~uy4mb%m>v2Zz zaJT@f2nkLG)aAgXX-1@qSh^up)csfADWn=4i|Q8%_);q+pa6DW&Y?nHgiE#~Pr;sQKKD;$dLP8hwF-`D9wsX-%eqbg2BLv=pd zZhZ0+fSQE97y%z4v;b7a!vjdYOQr_l*t|{JpGECIDB1t&K^?4AT;Bs}ca^%Zn3tWW z7u5bzrPzF;(mpx4h+j7&E{7d`)X}ZqxJY3UnG^rz_B130d%3c#uD?!6Vc5|*`|%G# z#F@-`cXv(@fHMatGwABy*&7mqm3pC90n;bs^Aguh-;Fd=HJc3XT-pGNMXjbKoTnT% z^!Xp-*}%@t3F}>~LdOvj{W1BX>tDW$BR|iO~>i58GwG~oz%Vs!s~efU&zWeSL9#U zVLN?cQvlHmfFWPYkeEPBlffJ;BJ)$D%rFu+QC07XB z?l_UB@sWM|yjr8j+g^n`?9OQb z4K%B_r&k0At3=$}%wIRp2`q=P_*9HoPKDhSYi>J@W47yjt`MrG!lRcyuRY_VGP<9@ zV8B3HINCMQZZKri8T4CcsPeHJ7Gm2FbB(G7Nk!D<3P5H}<@P)v(l@xwRG8|#OYk2x ztkgR`efo4T()TvvaZQ&woByMlGN?h0C4?foTA<4mbcUP&pywOYRaMh?#oR_SF(<4in=q zPHW2ih>mgZV5&UDT43@TB`e>y{jtU6B_gc!hWMDoj6u^Mb$-jPyE!m?P@hFGXAg@x zo8YOAu~y7{`CLH!&JhY|KCm?WE{r7*G-F@q=NFHoqO-=!quU2;AC&*D9&I}qse|(3 zUtV92Km>3-rujsNA3$? zYTeE@2`IVbm4$zI9ufINu$%iqswiX#5^Qb?8VQ~g;4?c+8~%HW&0q%I6ztk<=8~V7 zE-+_GqSiuXGn*9c`3*J9MBS5Ip)pWoYv+90V0rzjs`eq8Uf+9EOMUO@FZ$$7{p%J! zSPS^zAS=)Zsu-p$L_aL6scn?R``NngZaFay%?g-Vu_4?El($9pZkWXaxH`cF;p?6g z5sxD4864I`Uf(7;wyOjq@RJ?A-!#w9)sa*!2wpiZLImfMSbnyHHcaV|O$VITO~wIu zD$gpQN)Wy|dLJZ|o`Pu*%f8bRKOPU+lLV)6MQO05kCIUTci3b)UErvbWXXdTEm>|< zu;{q%$SP(QM+RH=LF!BR8}lkL(Br zE=Tc867d(`xr1hP7s(`{#;_v5Ba~f1i1QH{ACxap>r}maADy?{?CqSMQch|$>oha# zev^BSHMw$jEV?EAS$$3it`c)K1JxxtRp5WzU3;kSVmTwjJ(b1dLVlUk9-=YX)lyhT z0Ens4{lh5e)QR^`RP!@DNryq_l#5H`G;)Mu(PL&@9uRW{qbr?~%av9-a2&;BRl^=F ztgH(tXZF&Q%Y~hHU<)O7 zV|}h9NveE}Nk2E}x&2%oI3tR!p|;PL2(j5@Ce5)jmbR)f8k&geFP{(QYzz1~tELSn zWSDGltn>^cyu)jSjcvE>pfE%0N+0BpRhORX1`Wy&76RY8P9qbWsH%;&$YbsLqsi~Y zH<0Hw_q_v=78H?Etu7}jL9^@3S5D{4j_j7JYU2pt4x|P7-zqmH@0;xUAWPNS;ML40 zCGn$$7Dh3k7HMX03qhNyZRUJyB$b1j7yCcOYpu+KKVc zeXkAmWV*{f?40m^Z(HUHZg{$a<>Q}{q@oq_dx*%b4V>{tr8&&K)@O!AQ~f@Z@jkiX zYWD@t$7N`UHZiBU>O6UW*_i=bsvxrNjLv_Zd$%f@U$U7(O{`68!%gJ1CB%2fGj7hE z<2UHSm_uId3YATe6FIl1W9-{lhpkcoktjw zTObSkwjczj*%QK$D(n9C$d)c#EejK6zY4OD9xYO&f5xn*k99c66^CpXyEN~HvS#t} z2Xrz?PifzmAXozt0=tUp8swhaN4W7Xk__2rBBVs4LgJeIZQz)l7f=TO*_~jGpOJvA+ zNc`D=fBE+$gpSP0NcwHiV%7qLwlOOb1+HoD`DG0Hx>nN2AMN&85c})Vdisx%wiod5 zEfvlwD~EWJ8djh0!qMTHpT0^B!MJ?kUvAzVi}njCCw_Bi)cBKaMJvR_TQYk46nw@3m4yp8_7!T zGR)L)t?>?HJJIcTVVQjSpFe+!N&+b~xkf74ipI}bqA<<|QN)>KG;vAoFPhscDsUPg zFg6}8IN~olVxaf~m`u(%dH@%@hdevm@j1shAvb*YrzB)yhb8IYzo#5c$o-=_Icj`_ zS^f>Dc8Yun=NF~?g(|zMT}1RP1C*HpOTlMCn6ViCYRW^ikzL!V!Et^Xtb8syp-8&1bX25)#@c)@0OA=*d%6DkeIPm-u?v84}-3zd~@)*ItD;khU9K|?@Z|*&% z01pJ@zD@h?`&bI!TD?6IfvCV{{e}m&E!hHH5nEfiUGAxIIc-U8;$`N{$QJuCaX9U! z_3LmOY1Jhf(>ZdhXjW1a6B2^5(g86s-~YtK&)hTS{YBF}!u%Ci;KY>5e`}#X(NN?M zfKf-u!h4v&p?J2mdA~U9xs0%+M?zr>_*>LEl&ttzDOB@H~HCfonl;=G-xbsN;g)EZ|Qcas2t!h_CLO;UofXa7smuFFqaPAFMv7bVlkb z`k2JLbVN*b24tMg$Tv(BYX%Jn4({Ax>ZqWOUuo5LegALadJD zO2HVZEOlG@fAt+9FdzTTPc0ecT>HLEYj$ZcX4i|S*W0AmyL(Rg&BaQ)9DZi_rjY9- z<}iN16~1>v6?Qnkk#PQ<6B$~Zn=X5h8_%c;&^zUFaEPV2cgqlwoWthD-D0|$Ym=U8 z>hWbzr5?%ZS^gO@U%hg?7%dl|P zUTAGIeP_Hje;HeG-m7VaJ=>`NJ1mIF^}r>^XD|!RgY65zF)Sap{;9a&5CWCc_L#Nn zB9`pCj{VoXVdnZA*X%=~cE%C2xm@=K_8Z@UsZT7fxjo0Wi!PYG`w|v&{Tse8bAN$r zPT|;g&WY+%`TpzJXM7u`-hZvX&qyl2;T{Ps15Yf_xr&L~XiYaC!4&mVSb5YO2i?M8 z7FmGnAvsVty^T40u3)i_0rpbgOzBzIT_T`H$ro;!~8DhP@fm>xeA@Dp164{5;vS9u;aWl=F(g(JYa}D4v(n*YZyMw z#SXJOm`nX%NOQ8s`ThB zGmoA3%OqN}v73)#^0t#$de9hq9UjxOUcop#4_Y+d`84kN)Q?>kTwzSl;jBe}a~Xf9 zWf=ftT4&B$=Jzn4#>kofE-v3ieR#Lr=t=f_&E5UmQwj#E~ov#>&#>3^;$q<;`M%0pVp7d7t%V+ zr7>$1*hBl7_Yt?F@uvNkG?oXLv-dj9?^Sw#?jtq#HC|*4<>eLi#mf>p~36} zSi9>A0<6p>}vNPjPKk5jYr9&<`J(AsJbNjUvkHtVr z`a8$jA92QU2tM-yxAxn>P;CoNte+0u6=R{ba01lkOoY<(v5=cO7V>juKy||==;)rt z#VeNZq`oEoQ{V2{i#=ZCZG?O?4Wu8Qgh1u)(4Ep7L%NJZtIkU>eSrqfp1X`dRwUnd zLLP%H{@l*D&Ot7;7d`OO!xV=Um!V;e%7X74*`=2-wlQ$)=8iqz7D%~lDIPp}C;=%g zEzt7=fXPn=Qd(J|cfWp;;gI-z@2f^AdK?bRqo>iQLnqPB_(>DtP4&5*j{{PUg{SO7 zhmC_h`VAf=+8@(z06Z?=5bfKZIEMkmvvd8?Spo;gmR%fTAS?-26F{-~bR|BXWTbesgpA4j=H5@Zuig?+#a~D{j zIw$7P>$(ZX4v@g8efsxKz73GF70kEqL6M^meDzObVs{Dj`>8<6F1>o*M9EHkbx1-P6Q#f4=qQ3K!3By?pE3_BQzl~LA53G1W98(LSTR?L`* zb&Hl^v;0Qv*VM+f)8}x@!W#|z7^B8&-Dz;KuY$kPWiQ`E7Gi=;`x*r0`V<0zu0yZpNg6-Vs;IL?TT(#N#}FBc7LGz;Pu$FRUeKvW_Whb37G+oC@9`f z-z)RJec}#0bj5=!hcSD&L{rL_C=IQ}tB_&sDk76?oc;}fl$&Hg%6fINXWw3AI@`_0FyN?9V+t|8%HL8@afLhePmNsANO#4J2Wx52U z)X)%79&50t)V1r8>g$grue(rRC5LJiE1`O=n$Vzj{s~CAy%?^4Us5wOph;t|!N5y;w%S^GB;E@|%7e$) zxlsi*sqLyLcIdmU+qNMg@e_cQd$duzZe3KSc~o7#3h_So zknaBw+Vrg2G$)m5PpGb3gE;TINWT|^Jyc$Y$}3U1%Gz~^3wVf(Ct=Xps*bv~YKwB^ z)oT&!ABc?Lr_kA~hPpLsib#^1XnkY3Z@D?xd+>k^NLdCOr_MsmbxR3Ixm*tQs#Ze< zYDa0+8btf~BkNHp_ES6TU7o$%Dk^V6bbK7LUgkpgfZkgm<;IP85f>`}mU@Q|p#hDx zLfNv|uy`3>_}oQya2WKqYocNO1}I;;G!&-Jlz^0W?l`b^1M#M{P`+F_$S+)sC|57! z_&?kcB)@byB5(U6=jk&X+ObnA=L^Ui6!1Ly1#;-QhmIVUfRyFRLQYR|-}Fz3_U!*mk`4KPHpRq5k(_RYYX+xaZ+i_9VRvLU zwPayG{Ijj?+vl$fq)bjugqNo?Zd^YDOY=*3{Ln*yM%h+~!o)v4`+MYX2c*o*Ovjzu zo-j5%4^xx#xbN?Rtc+(ghcworA34?!11aVhe2Q5!>p8UjVh`eTomn6$y*>?iNrYt~@@$;}n<0w3B{o!pJKyu4r z^d2_{{bw$R_7!W~iOYjy;B(Adqkys%DiXh68>;KoaNFe}Y>nM8W6B(H$+TgE#@L~% z4gXtDV1CU36Gl%FmkXOWZ3&(2dbm&JhG)z$y#H{aOKR7nHxAvfLLf0lmz{mle~hHV z>fWI{PU>AipjS9f>0d&(E|N2|e%<=u@`3XRz7>pX=PWUGzC1dP`~%B04!|ZT5|8O9 zIBVyPamy6ZY19m?-E|a>A&Iyf{}SqY$I-Cq?*gRo$D$Rm^$*A0*j#8GJ&%^{-Um|F zu3H})w(o&QSQ0$LQ?OQ5168V4N0sU|uy&gkJfkz=8j*}8iknfMWUrdF>tdtke)vUY z!r{Sl%wD!u04D1O6E*-kz*uJv}bPvVZgO|{&lcY!OGkg@z+j<}{4LD}> z|JZvA@G5iWefV9$-QC^Y-QC^YDN>>C?yf+g)LTm3-3z6T6t@;y>QpE#^!_F}r)=3} z_l4bs-S7W;xEH@jyo5d$>iVVD;ABJU-B{-4?D~3p>9kWEOpZOWbX2I<0_Fi#A}-Id%A4 z&&C~c{Oy91u;z#a^_z`2s$z`L>{rMte$Nka9WZn_@2`t#KIReGP5cUIwrOUxn*+>{cxn zxBETfKsLde((i%fOhW8xqXsy{vC&jTstmceaN8_0}mfvH0$ zq4lIA7`90ZYSh;s#ZleaG%sQ|bTRP9P4>GO0hluSGT?d=?{w!Nc+V(o8Zr{?TTDgY zk=t-UN(WBCKDbHtEuVodxju**>gOXdJyPB5d+Ifk!x8V}FCyB?0_XM`HULs;aUi9x zs5Nd~btN8rf!p-k{|18i*8(YtglnAd<3q_N#327_7?L%t;jrl_RHm)Q!G-&=>YzT> zsdzxmHh`L4$j=u8DXF~}kn*|>5G#*T$31Y{Ga0+Ocfqt~y)b*qMr@U~gzS}I_y&gK z_4fu+CiO!HKOr>}d(Ozxc(W0^ zrL^Id`~VpR?_uK?hZP5yJ=%ohYGw$z^9m_sca)56(0kxuH2(Q#Y+SJk{?1{z8FmXY zr{&SD6B~b9V%JGggx!9D#HXd)9(C;86|LH|#bGg7MBmTn_UWjEJX*JHhxQ#izKiSS0BqVrVHAV(JXVwb$B<8R zRK*0rw_ftTqC$P8?|{J^{J(zZ0r-<{nC~K|XMrBQ`=Tke=Z?dt5R&-}N#uiwsp+F* z_a123v^frLIfy8aI3)PqfaFOT^dLDIKlRja&=9U;=DUR5dVyVJx0^O=PW`4AB-QnC zgYqNq7ve1OK5p4oEZ%(*mY(6bRZxRa^0|&H8}Yuq>X10>LT=!0NgZ6tcFtca&6BksW3I=^lN5O!k!N+r&K-%l4hcXwuUj zDGhie-ogVa{~+%@t|#Xp!ap5hP7w&TxrPu^djuHT!QaRpQPxg);NgLqct5I?#$IMm z*?yDH*-=I;{>I{De>mQ-@s7qaHl`)f*q>+!gq+1ay*1F8HyBHrwZ()EBQSf_No?14 zftG7HlG%D5vyt_-y#6rvhW3=(QX&SPtlyjT7l4#cjP@gFQy&KpcHoPmAj2MeCGk0LhW_g@evOu7x zJ6_T6s>xsZ@OSS8WA>2fLqZVVMMt58e9^lWF7Q4i1-;qpaC+7jY}l%R71EA4W#)$f zuYf-Xq`YoV@@k^a_ZZ@37C~ZkAIxdo5z_|DB3rEt5i1|=%dz+>ZzERsf3wwp2eBJh zo<55fEd{st|Ls7^q$Diohw%Ks_re5QU!vzfL{aOw=rvDuBlWVbCfbx{2*7Y~w> zabV{zn!7f_P?}R-R?$Rl%57x1`(WFmr5r3cmh|!QM#_{FtXaQ~>tovZ2?%g-23{2+ z`kD_G3HP(iU%UvBv9SUmWk?8S%n|}ASFgtPl$$)?!`DX$r2GL}=sB5JeNmf!7xo&u z7}mEx-%GV`$4=ZM{;G5GU}a#0{_M~L7SkeqJahC!g>MA(SqzUIp4z50B+rT>@9upR zKY9uk8Ck&rMJ&#GR0PE_H;^9@56RsJgf~+5guam>Dk>}ZAps(%Pw_Zfzn;BdrLBv1 zq?`MRH?W_0VDtK6efz;)O`Gc`lXSCX$#MaZa`*^bH8Mxttp~X7?15FY=5oJe`m9+9 z45l%J((eK(SFA>=3yqEG+3+?r$0V8~av&v@{nJ27Gc)w+(}(PHQ;6-_hnG?-B=6GLU8Vb26AO5bNkhbL_=@&OEGVZ(KNg4(~$ZP?!7=cOvh>+b0t)URiJ@ za`(!F8xi~bQu6S<1yBFmaJ`lR3#(Wt$ob&(31^5Lb>g3AMO?pvTp&uH;-_43?zAhU zge3VVNa7^%aL^uSk2%6rKNM*yPN)0HkE&@UK&H;uD-X?1br~Rj_!jGQ=d!aKXtPA&E)2 zlami}?|BTCflogt*SDnq0V)4|iN@UjrGHBjw#mOP{SQd_{~nMsE8{sdm9Jsh9Cb_` zDT}$2RIzftK2|R<;KWW){ocf%OSEF19+uA1#^M>8STa)!%jf6{|8L0Y*T4H+;<8YA zqLuUXv2^yY>lDb#%6<0t-yzOFb1I`DEWe$0yUjfFCN)p4m``y!@~7NhcrcX~2&r{qM`4Tk{8e z3hHON{-y1H^(^}S_0JN@@;M%Uli#3?nB4TtPh_mu$!F{NGx^jn|4MnLhhMiHm2FsF zo60L)e+zkfPmR;6aH9lZ+i8mOUqM$(1H*<4L9dSOaCXxQWV=}bnGwM4D8b^}=N5tf ze?tL+#W8*YECW*7;)(7~gm3B&^Jz`7dFnU}SbPFQb{j)f%Mls=-hxG37P!2{fRt9a zr@0kAtGYsWd^2pCIuXNHoyFLrmXJ1dMOGk{XA8?LnEFoxDQD_o1<@iJmq*W1MUVLk z7`aIW;;P0-@^eB}avtZPB+)Q*>Lo{^YG@75h+t$SN1%iS z+SzY^cR~Cc5Cc*QZ=^I6KZ5?-Wzkn+F*WMpLtfRsLe6Ohv1 z4^!Df6c>-p!o_sBC0CU)zW;a>iTOVmb8{R?oEAv*0hR=bHg3m9#e-^bBv$HG+9q&j3l`7z|A6Xi!puBh% zW_O*2>4Vqe&{0L$xLrkLVmO{8hv8kl-}b$1E%S5l#&CO^>1c+XO9f+o+h#4HdGI94 z{G$Xw%5QxmrH(erD$4Qdbv`7er2YmVWj>_k<yO-!xLpZy}LaR^qopc5K-SDtiv0*e?PFKJ2EJ{rnb5c8V$k zLw@m%lthZk$|$7zi-;#>RTX}^C=Zy)%Aw%pD-`ABLz(L7NM+dx^YZlDg5n|+lP(y@ zvm^1yK%a8bGI*W)lIA(Dp-TCkNSE!JwSe6Ay?E^%iel1*+P)*`#BR3i*a5PN3dko} zzX(W4&(hG=MwcGl(WYrLNNwAVJd(RKI2M{mMbM>v2LZrx$6mZ5eU}DALF?dgbZyfX zt;q&R%1GlCwSO7Os7>w5+Ml)eIWcj(eEtGug+`l#Mv7KCkwmedc#sC>?|XDBZ&fgbVMgZd$>hn>EkLw%N?RIji9 z3y_lXU%aIJswx;58S&GQTefI{v!_nu#gnI~C@tf+rS$6Chu_w7X6@&Zb+Jfq2LD3?bT=36^ zM0pJM!R8$=%HKl6h}}rppTCDIS1d)O(D(hP)Bk{!|4aWZQJ?)klY}DwpG^q~@i=ov z1oP(3z>&kd5E|?zjH#6q75*mH_U-BK1ybILkn+QaI+T@F;pyW- z+(>)``-|~VIOhR5@vCrkNJ7qY;RZ5cDk&+(rAxM0zkUstE}aKmZ3Pbe6`Yq}M*7Ta z@a*qF-w{YjK6Kv!1JHzgox^K4;*nn{s;+0iOhyrXy7xeH@*PjCSdGV5-0&eG3vq!d zkg*NL{tJv^9Kg>shwR+5KlaL*!X~j0>Q`@K`igCQ4Yx=CVK}0E9v9*ZplBC`33FEB zhyVP5exoNr#L^2F@4bhNYaB++S;l>#A>(F1%#8AHmO;$I2ZP7Y&UJA#D?_m`G5@#IaDL)kQ!4Gksc(=$b$96d*bnMj+Ka-z7 zcgrDYhdzLHMg9IA5n7 z;$Rs20*?1 zaX{A&-3AWBPe1*PSu1ux(={2^k-69@rbF}Fo@h+-o&{SEKtCu8E>8f%#Md}^B^F07 zhC?;z9xmUm!j(JZ!-hP-G1~|nyA%zr*jKppfc2GZEZBYwO{lN5rM|LNS|65aWzdOu zg!w|^g*N?a0j;3_uQXSJ+A<_=TuKpAvDZlar(do99XG~9ZPhu zf2|QTPFf?;)&)G~_AnH<&*F7Kg0;JPVt?0DMxbDg>bgI_$?~&cN*3R_DO`t*zX*iM zqSkRIf=|rE{(=24x=|lY9Jw5u&+0(I(I1|n5y(lP=dyJ;9%o`}R`o>B3lyM3ub=nKJy_2!0duPmO)&sLA zZ@^|L3rJrMhIc?XUdOWjMZf({K+3vAAJoNBndlYaV=d(Y+lI+9|vPYeI z_2>3z&g$LJa;GsW`XzRVX$!{RX3ep9?-}SvKZE(rVr&xEM4OIX(Y#e#tUM}1h zB;{lEaXGYT(+;gWbjJF#YA{PKfV2bjC>4JFQ@M-gL&Mu7dYjF0w9|n$RH$VM=F*BDy&Ndtul8SLc+aA4# zjNxPY=cjl2$gYgsTW7fuf&&!~*Rx(GYp8ddXJ)E^+FVy@p zxj&*qcJhRs&>jVoJ-YiASF+zhBm5EBq9`1{7zw?Q$8b(9hq1>^EZum3->ArRxcRg? zEJ)Y7KDV%7^I?HK>dx$u9<0e8X}a7Xo4B3dgve~pz;!ch%oIrKIdb2{hWwy&&Nl?M=~^1B zQj591jmdX8OZsQNfSmt5*xaSQLUwbfya_t@W@}iDNH_bTN%ocbF1r-X(6MJlmcxanO$rWS z7(06jk9YPNHU`IZFTo+Z8eX}8)1CLYc)b)CQp$0WZ2ZN0A8_$L5!q4JpDxkgcGSL1 zubPq1A!1MO4(UmTd?f44GB{<|z{>9ll#~K-X75Fu*kaE2jvU);f_-a@uzR%;B=(rY zQ_mh{5oBwaoniKr#pN2>(uVew0UcQkj>a2qOFws;CAU2+?nCt?I0I3dfaf9HQdt7U z8ACCxX%CF*HU&$!iQ}vl^^1TAWW|OFZc%1qB?oFYBxXZ}RBumNK6}<*0#fcn(8k^{ zn9vv-#tcW_SqIQ>vkp$E+R>2eC9tDeRG!~RNtD74y^Wyp&jScjBWGtT9xKd-%G}Wy z-DV_ubee~yTg0GY=LBz(Cp$Te<`j%qrjrKx`GlxmR)zy9*-hBCxaVdGLs6s804dE8 zX6=Y-HvTeOnkLl29}+7=#6Xm{iT^ZT)CC)%+~xr8O3#PY>XBGCs2@gj9g1<2R$|Q| zd5Ez-=)a354NU9-4uy}ti0s`rtSJW&^Khnfg;6kjvN1Jm<}m3P1;aTlDFaKmbO zcN{mm244>!RIyki>mOeWq)gO8o&Ryf%PzvX(Y-ORaeGYfKNBnWE8_&snJ#)oAp4&H zQpPdash{0pdokE5JCI*kgtOuj{4h)wJ2aqq>fZ{aOiaXb;X&22rcOn$2fY_1Wr*ODU)vqfRt3)E1Y*{=!8b z^JV<(TeTMVyvgrR&VrS^3i|izjb@~$lWR5#Zlnx|hW^ph=-$2qTD52i$$f{A7aEJ= zs3fQyImtnkEEcLFD~IC8&yb(}0Fol7(Yht|l^#8yPkp7LLI|Whdj`!~G)KQKU123D zhj$5SxPQ$b`&X_dUVg@~p+jMBZG+m^MaYbe#}=V(#*H3>t9JINBi^rvMPSvErCiqO zGiQ+O^j=Z=T_EM=Ei~PT0SZgu?cjt-W5@B|ZrHdHX=!PH4oGQgieBtc*XGS3cH$&n z-o1~q=ef{SQby-4UC^FnS2%bSMG=W82#<#xeQ!(ePKUN_A-(@Fa)YC3%zsAjbv6*0 z%-a@)Y<-Io^bNQ=j|Bj2;v-JXw?7sq4l>j)prXn=vF(MM5B7{DJq8@$`1x5-e zguX||K0;E$BP1t2=ETJu4@!uNu^?AagQnDU+$~WzKqG-ee7o7_bk+ht0?A`3oQ} zCyS88WG*vXVgKIoYexSAQvUlAjk*6z|CS_dlYd>hMw6cD!kb#gj2?!|w#NT3kdg(U z=-Kb{;dSjYX3Z2hSR+S{hOO}vW8p%9gFR^Q z5Lj4P{wW~k?aY_ZRSm(Kg%+4QQ5P%c8DjfdGwfV%&S}TG?@K$0c5Sfa&)%?%-Q=Z& znd7A}cd{H-Ez~C7EU;^%HI=cZ@|IsjJJ(zB->}b(%Z;#jrV7<5EvR#W7PhT16+Y8~ z%731(Z%Osf-niU=cvI%`&LQ4ba^C3wUm)*xDz{~+5w@)~#o=Rakh_=xqZ<{ldH`5u z*TFpXEew)Mp%U>DGC@xv7oG#{v^O4wUSC;l2rrajCW9vFZ?Axk@7R&!8pAd>h!God`Sx( z(g}MeuZNyxLb@@|sD?&-k)R&>A1lMkGh(`x3(J9I0JWVzwH>vgJeARkCf-PHMjDZM z^nAgyG=$G$)bLrXeiM2Qd!7b8m*w$%ArBw(>&w%3#uv{g{qTHxh6d@G$!9{O$)79a zxj}i&1YvpMbAO%B+T(NiO#a_1&-xF~r#52k_51lRcssH7V(r7)iM1Ewg|&BmdDbVD zMC}ljgZNkPIgs-8D|$y|WYDyATRy(;SU8h!Bxg7C@QvmFG?4O>MO5O2@xzFn zT=#3@|6}FoHy;;T*(cQ_3|w*`rQS|NZ0Z5?>CLcZ+IS3HauP%L7(-0kQEy*T7nJADB9X;XVT^vH;$f1PQ-{k{Q5*_)PRibyzft+>?=Q5d|M9b7*Yci-WVL zV%3=bm@%LOhIeU#4j*~@0WJE?#GtKeSf%C-Bl|F9hcUfVoou1`bG)&DC<|I%XMxVk zG~3rijn^rJo?U^{BSvCr`{7tMVf1zNWFmKjug!@t-p>~Pz3&4Uo zg4-JBEm(jsA@DNkW->N!-OAgA{jZ+>6p)ho2U|bkH&WIEDXDx=DD_cFF$wWlA_Pp% z5&|Z9{#OGj6Mq3x4ju-7WgQ?S0r3WwSUyg8y8Jf*QeMaE_3L=xcMA1EZ(U>5-N-`H zMMtcjKAZQY$&B8saTS(PU!ZnFYP}r~=>n0Aw)c!3oyjyeh>)0CI+qOohwr$X{eFt>v)D2y` z^+Uh@qcLvEVl3Ho3%KWI*Umi5~on|4L#8M`t0v@MRA2E)fQ z6mQrm_&m5t^qc3gwjh15O+weN(x*8MEV6iJxd#@jXJB)e!C2g98n$ga4QVR}Tnq?7 zN=yi<$Oqtd`+Ed58L_^@-ocD8Jn*~-h2wiMX~I}6m@xkKuY@l z5lE?|Bmhj(vlXRfQ26vYik`iIGCPTtojlsH0~CZePx6~8)l@lPvR&J@kUuAh0xDnp zJckDvI})D^kSV96h}W!q`5UO}XrmM9k^?Ds?#FBYNE8RhK<(f$bZXTANLf&TH`F#} z=4Kc)c3WetWqv+DM9on>P1*ts; z@QUeT*Sc|SNu?Z|GPWH*y)>*?~~@uxt_ zavBRh1Elnegx;YO=-#FsTGCh|CL@iU{5*d1B-=F30F$j4U{X{RIXO83Af+&$ojxym zQUouu@1s2LH4HSg(6d{29?U;0E`}HM+=_SAFfcPkum1f6K*}|n@SFvI1EU2uQg-T$ z7R{RB)RrB1?h}H_sOvC3B`yR~w!$e1aXh2>W@Qb{zs=3LE;*2r0VWxcQW!Mt)3*p@-E3496v5QU2z}|f&FHx&S8w?sp``=4fuZ2%!91nIfXbKBGvtZtz zrgs9}vtVf6+d4daU4^v!h2-wOLVVgw{+X27kn)i6unc#eRw3%f6Nt;`qksR2Xw|$M zwrtvk*cid>^Z#^WYvERwmKZujFjmZ*w-C-gL0nF@O8!p+DRs?lFmQ-qQ|G+7bNMa) z|4ZLDk?#M`B%#RvXOoYQFU@lWYX)P-4u|~}b74%4y^;R}(2xPs{yrcjvsdfaZzTDC zARA8pu8smqiyzW|n0#fwdiM9A20+U9e=m@dZBjgV@GzQ?pVhuy8%RruAm@1o{fAle z@_!wWvSE7nu9n|M$zpnJeOOK28`*bQKl~-JIf|T|0{PvY(75r>*td5JvNG7(7u!Hp z`48Nn`W=CkG=F1cpnh;$i$>|`_ZUrbF}Hw6RXY{LN%cb z=lt$t%ErSSNZFy+0BlgOg8Ge0h+fOW_!T?&8g7??Bd}G|0U9aQIOURzkqg%IHLRW^ zCSteYHE7Z^k6n(zkQstCwSE(3KEWhvgS=edh35|?87(D^>vjH9A zT`T9>oHDWZAX4rtz{0~Q@sgd&TZg*?ZcJ>qE6q7_V_4fI#7u( zfmFaFOxq#=3o<<|IjPF)Fn&b*JRp9v!1-f*a(^tV>ZJM1&@M(@#rb?M=ASL38Z0zW8qhmH%n z4;jnX0NE{_XIxXEe!Y_9zJN}Bhj4HPYgY-MY<|G&T3Iu+>)wajvnj@{+6@tx6zGwj zjfj8U+r&Hd3r*@DjCV$wOy9!3Vp88%sO=|jILP}->(1S=Qd}P@38j$qe~4+DkMX+O z_w0{#@|I9bu7sG^ZA@6boAc6Tz;J9)x8n!#o^rX#Z8C8@Aifnai-(#0y$!wJ;$)8ho~Y)PC$A2E;3qOEJC_E2%$s=rxe{*AWZW;mD;J z=#adI479`QpuYHf#QHBI_FQe=mUTiseSkW9F6C?8p*pBOxuDlLq!fuYE6g!}yeg)T zRKeUS+C2VsP1hbJ;lg-bN&spT{C-31mM!-Ap6IiHkA}qKi$Wk}j13SVjvCvI@H;dO z+xri~;KoBSVcdG`qj`vdR{(-zB9NOHELdw~>r`K~=E&B==<}}tDP@+zbzvLGk86YZ z)8?bkYB|g}X#-PhH{?hA3d%E`u;;PZDU*o-T4L>hKpDVpGZIB-e*{uqK(v+luYi=AeC<@{?0IClT@|bkvbEL! zdH@`i`BwrdnatDayGtI^^wFnNRl(%rlO@ESs@$)4y z(m%M7ayJK3_QdQd8?Z&%5;Atd@Cp0}Zlq*4Q8M5M`+S%J)K-ws^&XzS{+K;iFwU|# zT73+uA&_$ZoLLC+rQcF2Ao3ztM2qaGQpyLY32muXLwiKyKN};JVvD%F5R9`;_*g07 zdygM@zDC-F+bK47&fIYt(!o!8`DJ9kT6O4xrmfmy{y}NTN4(_rX~AJRG;7@sE!%hI zwnizI?U#9uS-Z~iLl3yEmN0;7qQF*9724`HU3+5*)hQoS2tzh*GuuQwHndIJY)q$d zRFB5416Dy8G*tkYWOinssSlU$$c1nWoGOUl4V*F`hiyXnSh&y37k$P|=ih1 zC7#=(oqBHQK2%_jMhoo`JB)pc>LqmUH1&t+t?GMSm|Ob1LCOeb7tW2R#}*)I*U3BT{V zP@nl#UtxP(R*LI!{+#dB0e7&VcIa%lWA%<^wPtGkvhW#`+w$+oY#O$CV(@_6srJh1tPh`7X@1 z)%z}b%y%Jvwdng^^8k{Y^_3scm3*rWr!pQ45=v7{FZWA|82q2*zfz9n4mK&X(5O z(+?~@^SSY%o*LR6c7SH6GT^cc8M5;sIb{UKHXVpzJ?CQWJ{c(4d%%sxiMt7*sAV?# zYiud|{F6Y+S_Y&v+=svoy# zRFC0k-)kNwEjtErEh{+t1RyOY6eWpNKjZt0+vH1$@kD)t+j=)@J3Z2=BtAr{8^Z{NYeBS(2`gdJvSWMuUB11Xm;=i?c-)gkmgP>P6*3_J|$g9-wImL?t8I z$O?`a3JON>pY+Bw;DHoJO>nR*|G(hnVB3HVDIFJVWUQ& z(GNdj-@;|MXXlRUka$>$N}+$Z9%$C22~Ltep1BDQCDlKE2HiV!M62d4Ah~@H@;rl4 z>>Cc1-3R$$#w?zyqN%|Tjb(?v)*rab;>_$|R1Tzk!GM%!d0ea?>4t$---RaNK8>~e z7q8&AM-Ll43ii%UsQvIBnORxbvURHfNJ%nWC0W>BpX)bna2c7bY@b#Ao}S+YQtsM` z)U-5S#@ol|<32OC-{`YI%H3Z8q%<)>uU@_QVXtE1;yeagR{jQ>x;p$2;P#{^g&q4) z7wH{)`2ondNd_^I406N`dbi2hc|{&5@q)^?WDm%}h`CAQ z3ON~-g0;ig8`rUB%O?Ct@6u`r3zt-s{SlXv!w(N-H#D-(&&JO_L$8EX@Qzq#sNjELx<-9z#x%j9RinpG zg0;OXkBRctaN_xM@vCo1|6U;FGpI-hV(|=ZbZg7T=N4RN3zsj3i(lYBdNAupAm!T+ zsHpuPkn-P)5ZzU9Uz*SxV%aPMoD*@tW$PfgJBGvcN*Ek$L*Q`n`;oI< zIIg+G!sSW?lx3|kXWCA5Y&{W!`z^(xy&AY=9SJY@40yPt!pSZXPL~t@E4ez{;JI2HMpKvhqNbeaU(SkZeGbaqk0~T z4#{GJls*jnZy@?rE&T46K+4t^i%%$F{b_X=T<}L!>|^)^--48`Jr*C9!+KUeFd0#= z0lz2ZkhTlJ5?20{8uZWm3Cf4whO~hbmK;^Uy3-oax1s#V2MDBkR86m9)kzgh*?AV@ zw~1iJ9&v0xrw6mk!H9}_2>+lg$mlx?etSj(dc;Fy>>~t(-hqrB$6 z{s#m+semlw;kXjkifcpHCjk+8l>f8>a#sSelz3Y!rUhNkI7H^wAmGs($WeKgzeZFO zI#**5@vI7g_evml$?s#n_SNW*`SO?if62cVi-??R1U`NP1&3fP6H&pM6DrWQ@I*w! zJp_f^hJwBmmK{;RYI?4gM-0NBRw3wqDHQ0r%T6fsdbFti@E6qxdRz`g`yebksf^WU zHGat_xfGp4vFtpZV{~0@+qGlcM$_1~Z8mlqtFdj{X}Du2ZETy38(WR7Z{5#$$MzwDD$Hd~j8%Zm)J`B3Lvdh-q3NK2cPbbzIv_b!7rR_z*@B$uuvMOhx)sTB? z`Lf7zFQR>A4+U!>n)Rfk^rBB$3Xhy%6)G4IgEpVgXa<+APF$r_CAz^&WO6r|7~xo; z;9O(;Z270~kRXU<9`ZE~<>W_KC{yV_o*1VbW#csmRy%4fR5ke>KvcWh`HC(IQswN7&WLI<01|Q<^c5^z-8Vozm#Xl96y= z+bZ$nIX$IT+y82SO;l*nD8EkP0^@Bv<8AQ|sSZD_T94`Tjxt>#&@wTxd;}{;<~|+c=5mbpkAG91&F= zXy9zMz4ux>)RcJd2(ETBRBxQU9iDs*2g?>!rl;C_VYNM77j>}k+0Jafs1FfvI^uCbseLdxRx_@MG3jNxQMU&^lH15={)HOAhjjYo zp=Fob4t5q_wH=tmU;P*2icCy1hwN=MB3;}Y!a|ZL^VtW=hhw_4fvfy zDR-k=i2yw1t33dG{)~MmY#|$9#&bi>>zn9@Ns&fy;MVDKU`!5;i8Mk6eUE8cScR|t z>>F>pP1@dxYcFfN>iU7dFdTn$U#;JT0I!j^c)JK(;0mt~PF=w2d0V90uCF zJcN<^$vAeTESB9c%GXuPcLbKPc~VGP=;xRWMoEp}!2In@nn|56P-^i+F*1r~m0wB7 zZC*0@H2@{{H$;+b@KN}%lvy5{XPfbws{~IQ_>AF~zjN5PrEoa;hYOzr#nu9aSV}XN zG3^Win}cI;Jyyzl(`FJYe8zp>gYs6#j7-F~&DCy@?Ka!P&QbuKwHr8LbeaGPOvpe# zpx->TCIpVHx>!)qXw;+4h*Sg+COS{qbk_^|&9XevTc@Dxq19Oi9qdtEdzS*LhC3PM z{lVg$Q2mYiaBRrq&_uaUQpDGMuxi8BNI+`U*o=AMqyPLZG9G%yUH^Si_v3H(&-DTQ zbGS`bz_q#>uh58@@AKJ%n6&w9ef}rj`nxdum(iuSyqcO8+iIj$b9YmE#P5wx(ThXi z)~QAT6bFFlTLvWLYDWq#*0d?lz$`6|I=f`~+LeXii?^-@pG-R0{Uc#5CB%s1-d7g*-4TojO|i1@rfjyTN@UQ9bA~%P91X4B;67((XV8qsuf;If{q43P z;K1%&mj(We8mT{-T#(ge)Hs%ylhDl4ex&l}Q9-3`A9wM0>d>MmZwz%?4wPNx>h+A!8ej(apFTeuN+Zx)`f@MHEl^&Uf>3_oYFDfB*JH_Er%9hR`) zEzbLYu>*=+i{&UT7_DsnTUnnzB{2`}G#`AGEJqA@3yozYgefDqt) zG~^LpeXU+Z{47R_gwC3Mr(7%iScvp&yBDHs$(HTO@f$B0<$?UfIDjK`|1TxNF-WPJ z?1lSGo0TZY)DNljv%@`%Anj8fD1L|VC;Z-lLOk05lvw1Vi#rVyV}UG0MfZ``nlLr^ zJutv%C*)MMbs?b5DN&r?kb!Qj@8;_*HpoL}UuYC$L{&}cQWNrbsT-Qhj_ArO3j#MZ zWZ9bd_>-$IWMG~ts8!GcFo$F-RCt{Th`pZU6N_)^wo;4A8zCzBbb+i&MJLhNN49IP z=QqqW5$fHaW4%GCgEKjma+x~Xz9cdgWf5ZY-()3uIqqENO3Kh=+WZM`a{W|J(UGPE zBj&<9t;8?r++L6jOSZ&L46<{^#*1x_rmd!was*vdTU>Nb8LiYinjS3Qw{v5E0ms#Q zTXmxsI8ds<$nBQKH_?$5xQz262sCXDSJ-i_pC>wg!c8gzp3G>0<;b+vYCGq&oD5(vSacMIR;yhL zJc>6gFK7epB&i=Sc2nr8yqBSn_TA>3l>1&`4a-#?Z~P|AZt`_v6_}V2KX}#6Omy&h zJfQ$nV*~p>ji}LG6&_Ur{le1wbl+TxXNI(R z`^LNF+Ut;Xy3$ST$Zp{RX`0MKmIz(+0Z&F5LRoLQHAWj)9{WWX4wXr_%jQ7Z=)s4| zS-Mquo`qEeg(SuQbl9y=w3X~rA|+BABSfz!4p#mvTfHl3+C01d zMsxZ$-;4E@p!RGIxoDEv0PPJ&p^1T(uR*@dSKZv%9_Aryj)M51zL|qZr7zf->`m= zH!DQh{R3Cxp4j$n!pisup~*~>kiB|=ye(_cPj-icV<#9%HI-c~UiNQ=zA}owftTf8 zZlDPHwbvo`biRo+US`3U|A7)oAA`kbOsX5dVYz+|2<9V{Sq5sVz?3pUvDy&n!M=fS4A1oOi93#}c`TM`4G56d zgG^*T$qO4|=KYVsG$XcjB;Jg19Ju zW6}{^F;DNyJvC@N;~`jhs}OI=TVX1MS^p*GslL#Wh-s$iOPIWw#vZkG#;GEMel@et zQuc{ITc!_F-#3aYNL3%Q@826a#!haA3y1siGuReOY8nenS1K+aUUI?qM^p*#uXsop zRMMGcJZdH#M7K-AAO3eFmW+SrJoY{Pt48mhZ3}#OA>xymf9Pi)>IuH?l?}(S2CS3g zNehP#yxR~h)k`cJ`dy0`Q{gqcQa>2ThL79#M!rNscNHCi)GzErxSj>SJNyxQM==)j zJNWW@=v(qkj1Y22Q@zz0_@>sH$4St}O?Z8WI(SnnwYEaLNpBjHAIx@QDJKz0XNaf- z*P-3oM9>#OSmY5|KYpH#s_m2&?cWMJMtiVQ7Z8{Jc!*_ z21TOQ`f^|9vFR=!o&^6oYW3esq3b6jY$t2NWSrZy&Nk_jF7@AwBA?`pCo@f}cPUV3 zgQ(kbNXfG9P>zn(=}J%<3&T{J+fm%$Ez602h5jXBm$_^ST!W|ASE&!HD})rAB1Sho zj1<9?LLnX78U^2kd3d`WHrK*}VmFouH1_Yr_p%afdX{(ZG7 z44w0WBGn^B!Q%f$MWn_OoE3of1@p@_9j$i#!|<-C(Jz>h|7pd5t@T&X+f%bPj~V() zjaGj(dviTc0CpHaj{DwF+e$AIDS)iM?j&x?q!dpaWD*x)?D$ z34Ob2m>OWM(PmJ04ol5KtbJ9@H+%DyolJzJ6|XQ%<{u+C?`^|)HTbbAh|k~dHuUGv zPo^cO-e5ZU67d=pMY<12_cvO?Eic9FK_;T3!+B_FVmoqD+jDtrR;t;|xP-5{Pnt1#fF8P;TUyk|kq1>Ljai?b8^c@~Qw~z8rn{w|>NG0kY`QDZL+rzoe(pv>G>iIZlBRZk~Sb2ky&x z!X_n~T{{idUskc~*lTK-FViTR#?7(^IAdbXTiI`5FgB!o5kr~&y@?GeQaF?+&NLZ>Hj{#JTUEVL13?>D)iy!XT~OR=(>fiH?cLlvvh8#zS;-EZ zqednVGU?|@IDVE~(W`W3jb)Yl!=#ex1ua z{ipD7Ox7g*xYZYV$Kf~uJ}sXBF770D$(CzXy?m`aaEA>9j(gDe$ZSsf?5o=?F{l{O z1`lAAGf__D!S!L~Krs#M(CsH|HUvw@t>RR1=Yp$h7H3)A$asl8n;zsoW+LxH*`6My zCK=NLYtpP~ zNPme|loPURl53Ej%REcIR#)D5Mcv_Ro?r-*d}H4{%G_{RZX;~YRUHi57w}9WcBAyS z3+;|Uc-Ds4DnaoE8Bs+*-x|m;c_o`PMG3zsSU7(MDuqay;jeOzg|@JOni~}Bf)H0{ ztE@|Yblj$ICh4{&qO5lGzhE{M*Y0E1_gtLl;OcBhyi^;_U(O6^?gU;4R%4^~jsH|y z={RHi9&Z?YD63aa)DSf3Pauz?*ROB`A>dm3gMa+oI`O2enWJ|lh;)03W^D~$`B?A} z7PJ03SCubL9NC^$SU=a!nrb!gOi3j3%XTZVwVDe#mlKo}2YxJh7mo3}D6dtkXFod? z#$!tV)dxQ$E$K2OEj3qP`j{E3>oaXEj}IxgCqjnJ9#v~pjxQV-_DyjA7{6M{nw=>s4z1MO{U@=C>FYR zYh?S%3<*2Tzw4I>j!-83h@TUXB+R%l;EB9(bLO#m z4%)k0XSPYGBOfNcM|U_gsJP$=l4vYxu$i01ztK#KUraUkrZc&Ipezw-_Tdv6tvpL@`*Ym#9=9lH z1$1=wh*uk-H-wmx4nvWTE)$-v@n!OvQ9bVA7tBshp09(`u7a=b+{LR!N5&6#LW_|d z6gh%{e$|VRCPz$F&77}<QA)OC|_^IQw*pHNT4xk@NFQ)%v#cJfTmX_@5j1 z1VP>!+J9jWatn<}yDKjQ8emBUO(@0t{-!$nXJEJ9rVc#FLJE5h0{yAy1MCajXIYaC#^T1 z()}(qQpi;Y_Mpl2-&`OFc-xZcD$|8n*OH0M3MFDe9v*I z8^)ByksjQRJKf4G4~ULu`#^qEt2Sryykn)&kN?tzNM`H>;lN0_E$W-CrpteMy*3|i zHTJP`)tiUg0nk{=vm#?Y?+2)mGj>9nYJl;io@S`D#CWQ?=BZ(B25+^Px;9e2h>L;5tFOgrlLwq% z;;mt)9v3C=DsTlKKyN0KVSGZE9w-yL^k0jkBw{@V{xoBA5T-w%&p5w6Pa*Dn*@_(U z%p{#UZmG%(aKxxCMEHCf-^o!t4Yq=+5BP^NhXIi0;xo&r>c$=`%vw4W7(|5Lp$&m!Agpis=Wy5Eg$Y#z!2Q!B=1 z$S6*Op4DCJ{o-he>_e{Uj>v!8GL5}JNJ1;C+`YW2dRa4_Ze|qROvyiax0zmx;rw_; z@A5_oZO>+McF_h)&3+T)Wi)qG)zrN=I$jr7m1(o3WXgp*65T-In{v$M(nZ zBc`*2GL445qCe-xnXv+VNeqw?2``lS<1rI-S8w3r(qc1%M0N5L*T+Nh zk@6G8>|<=kD>BCfIewEFiK-$;B!062$8dT1Mr!dRZC*TO<-tsTFU0|u;Irtw5pTUb z0@p|bZ3Yo@B`&CUgscc_8Ug-egaTt@A)ro-_J`95fAMhfiQdd3VZSU?T4;0ElSSNj z81?Q#_?zQ4hNRt)SV0qi%%AA@xhR zQ6dac?%k9Vt-Vkl!)LW;+rq?kAEb@;I|TEBg#E}-YGJ$xN;Ecg^Bj$6POLos{WC>$&Db|qM;$d z5>0UEnsb>|p*cIf-8$@K3Qi8^@=jzBd_~>ea=D2~d~MGRu=F|)h(6UNy-nkEo3-sV zzWe@cqwASuH0q@?$1YOWH*k#KRZxEkW#}1BvCNNA`KQkN@i>NLut{L?SzkMEY-r!i znawN9m%+$j1k4WxMUvY_IQ{c{(YEtTm(?+W|73=$+QEf-{#M3|+4AN)nc~IA`GM^| zcG_I%+;PfVS=;j{JyQtIeTx5pbP?jcS%skV^&k4!N7RcHjAQBd65B2CM9@pVim>Uy zZ)63}9^)V*UP(%SJw+Y-gR_%j+ZjWv&0}gJ-8Qh6pNvp31xTPPWeD2UFL*t~^qIq{ zXk?67GM2{hQb+|Wfu1Cg`(s0vm?VH={yBzU|IA+t@~&Rpb3w} zy(_eX?iRo%nSkoFS$@wcniWSwQhpgevU+ica@kvWu}73l6ZRFdIG^j+v?tZ(3ESP-0vxx$TnvJ7>AH}v)^4EkY)J>r`~(H+BPH6+5&9MM zP3kBS?B^(X+6ze2-K}EB;yW*Eb^Kr=2@Nx(-Gg&K!pkK4$6R{QHl9rUe@ggxAlRfax1pNgL^_kCod#B& zaN^s!4m%Y026M>`>vix=P+wmr=PSJnWE2~v~*?6N)r5yhF+qS%_ zLR3v(K(h`jcbq%xrmH-m@x}-W^wnP=tapNt+tKu*bn5GJ0^VE)q21SnJ>s~5(bDb# zyWykF&r30o2Z^Vtd-ORre`_E(U0V%hw@|}xhJ^Qov_gM1)S_xq)K5(^%8n#8!m97* zJNw6Ra_&t#28S7Fock4<4*~J{b(CD)Oq@7OcTkMGDJEm{%8j0CmsGG5I~BKVgzi})?+=Vo&d!s65c zoqVr9ZbIQSKwnL2D#0v?C7%e!zldBS)yg@B95l-&{@ldYgJ~X^b>EmPnzFhh`VDiY zOd~$CLkD4%>y?QX?O}xNiGu-)oXjMv%!&R$c0t9AIo|E%-zu4 zs&N?}K~!9eUjuDU8x78Ldn}JB zfi^{VE>cH?(dlj)GNFGv572fHq4f-OxCGaiYkEY;e$RGW!J}#b7*J-;X zw~@_IT?eL9gA$)Vsrnp7p4ZyCbJ{OzcNtnmT~m~LH))JPp6w79XIs%6dXiyM7!?G1 zFn3N>A+$YS+LBpCQp<5Cn-K&n*)*B`>jPz=`&*PS*(mz%`Sd_OHTew*ZDK9uch!FS zPtODV*v4L~{}Z|c0W<#3=f4Viuef9fZ7!Z!`6FHntZWht$wUeK=DbRH%Et1dliQ!hncofg>ofS>RaNpz=4*FA9>Ba~aS-bKsON z{dRY6*9mFy6O*@Obl}bAmAOv|tEbZIHX?gh&PW|kUM+)C!x9s`2GVE3VvW=bgn`U_D96V=u}ZGGlpDfvLJw>Bk!ZWJhsRe!gM;SD*8b@}SpGc3GO6 z&(-~li;$BA%bQ30yK<9OQ%e9x(ZGEJBq_T^rq*%GeP%=gf}b=71`kVPyE!PSs7yBa zaF$naoEX9z-&HwNxCUn$qx9FmBB!77fYGUY04@}PZ2C#qh3G{%Y%#0 zO5?%IJ|U^J?6v(1Dn3VdN$60RPW4?6`bjsF^5|tgtoqUf9hlmO6H;^Y17uH<^N}}w z{Xn3Gc~_!e&i#OqnxUc4*jMRpN7%Q!g@lzRpIc#X3QEXmgnhFHnP!9YmJ{38v!i)x zk@0;0{vAr-4idPZh)X36N~KNs@hM9T>#vivj{f6}u@RGG$M8)Ysw`vRko8AWc4m#7 z5OwtU=LcujCB&Qh7>FEjIJD1rbF3!Knxq^ zM?F{NN6q1|gteZKC!+Ac{ypQ5$mJV05;14Ea7$jh`z5$6HX}%gQRL;;H20f|c!v_l zOcC$Os=-L&KkNuXEea>s1(IJNFSVmQLGmj5$aSxS$evrQ4pc-gSdYt9M7BzTQSWaE z&9~&qhUSF3IuYQ)3*_4wPZn?Nyp!cIc(Y|<1oeHrum;0GjLL3fxsM(#p#qT~R?_SR zqL1Kkk~L-9#yEz0N2e9!5PlsZeZx5{dB)XCFNB>i%FF3-J$MC=c}=m{Wb)d8annk|&vYV)B689@(noS}mTId~cq-!K)&&#Euo3h1BBk)ZPQF3XB-(py z7|kSQf7CX@-$bpI$}io?s46&yvn&{C&V(J0q`NMKOdxqL1GB%h-Z8B{%=KrZvK-_a zcmKG-2&k4?wcb#6tXEfBU6}nH{=kJq#0Bnn`3Nvnga!B#q}Qzva{r1a;0D)JTE1#M zhkV;pYw&+{=yv*T(!r?TNc5oPcNJ>b_27{}DFQ_(T3EOP)vdcVO>$-7_~UWaRmqr<&-e z*(dX7V8=cv92+8|A}&iQb8{a*knMzcu(l^+Fb;KAmL^R3$>95|G}-b3;Y^*^c6tmy ztWTy)?nuRj#Y)F8-0L#_-l!C&zq+{~aX}|BJ@Ru|(6QHdo;E~NZd7sT-a&6P8Nm${ z$bVAs-PAu}AtY5@{E2-Zl!p$xL*{zsekkDepu-*@o1EfCMm`0Q$g~*`i{0tfMe39> z_wRPTUILl#pkIJWFk%mYLIKnAnkP*-s&;e@by}g%BB8$%lFTzDw;Ef~rS&F=*`rhW zheYUaMy1Q<{@4mCJYF$UOyG5${O=P;rccpGo^iwA)7j-s(F2&RM2t(4CN|MR~ZiR*%*HnIK()-a}W zwINQ#yM;4%0hSG6GxxRy8k@d-0h2ex?a`EY21%DtJUzW;^%I`(D{|tzG#Ci9YzQ2? zT6d*t6$si?6Sn@42Ms6CbC77yuHcL8JyOtl@V8*eWw#%1bf6L}JayP_nMjxmNx1Lm z(GX@9O4kWlEmiYA~$V0OSbZU!cwd=$FphLqVBy#En2B6%V3*6Lduq?*aAj7FNv8bF>KQrsrk zb50lx&u)2}ITt+Ev~B$_R2p5UUW2tiQgnc)KH%WZ9In$78MU9y7^n#i5`6jL^UG_C zTmrN;A~+wBokZzf*nZ)63?()ZTcg{gFR(4*9|r9Cyr0Xug-7|0%m>7tT) zq<%86aiahT)({xZ{G`Yw!@+8`k~2PL zg6vsVh^+#Z_88B_VCfN1lVDJT+LN#y7B01o%=~KcS1*71kQzD31&vGJ zu1hhl)Y}|2Sc7@yv)f5rGodVq)yW!*pyLS#&{scKJPw!`Y-UlqYeuFN!Pt(Zgb}Az z&#Y^#8JBTSQf~#m@7>6+ALmoB`>8!6#cbXl$HdMhw2APmKNJu5=3GiJtH?1lVndjC zdF(wBe1pI1KgNp0CkPvQ`|Nagz+y1*?KQFqy7WM|6#FdW?ahWO+n3$3?`h>_FYSr_KTQkdNuVQ1v61(jbv2$R{8h&ly&&z?FSfpq$!8<9Ve&$F?H@o<7 zL0kAWSVGSvgZOaBt=etr)AKRecWES1ZP@4me3d~Hp77pIzefK_7WB8k$)XG;E-3e5 zNTQz4JN18Ct^zh*-|eL?2J=#krSIvA|DA$M#LPsDMt)?@x5Abp=|sj+{~>c*#hng9 z_r#*VJLt|}H20IkQx3r1mvF(*vD0lr2nk0XLFE{3ytV*TDI%7!2fctMLfSA`lYm=7 z+NmjP{eK~c93OeS-blP2{|ax+g{qO_SY2n!oSFffu=^#9AS7g}R?pC_7PD7%R9ugr z^*^hMs)SRmy6bHV0+jQWp+_cUVlJeNI{lp%CX0=>IKa_zqX#pBLWJaz9pW$36+-yn zpv|tgU0mNK4bDMT)QU9c53c>k7**-J#@FR7;Hbh`#k-PNBjx$@V*Gc1%=cfb`G@KE z`BqII3e1PfHN2$&Fj(e)dW{&5wXMZ`JSdu$VZd9L>>xYN^KcM_GA{6=Fy(l&nOHZe zGr7ogwBr$NC?Q`o>>}i_Tb>%wdD9L?nQ6m83Xo(ah2(Ub-}Y7~^doc4n=9~N?oW~F z{lq&b=zHJyHO{xXLtGc&2BhKxyJG%Z`aezsL`A1P|F$HyXBf?zk8DC(4|2|TL`M+F zedV_$>OY~@gzR?IR%6>&#KfB?CjtKtqHmm+#-UNrQ~5v9#ss~1dG%0hX; zgS=RR-oe4J3FOpNLCxo2MmGka8QCw7{K?ArLZ(m>)gD&rKtIo@T{ToR){6Hwam-WX*I z)EW-`q7C-bqZm;NOzWCp=2lDY%#ShhllK<=z@TuCIXe3D;8D#CWc%=5q14K{SnX5U zbU*G86P>4vR)5@F@7aGS4`Kr2z)1`^ZA@guOi6&8V7ni>5Y7Z83VNqbs?-J`v4l z-S3l)bB0`O!Kj@9SmT}kidN?#G|o%y$VkDIHgtyG7hXV7W+i5q*A6=Gm_x(lS9rH) zIb_ZZnQ+Hdea*YR!^Pn38f8A5whm9iZdOA3OY)~!8dp0rXZCaJ%h9SCk)U_tk%!|N z6i$S40TT-bFGm(H6_Tgh*7}9Q_8|3E}x>E z$O)3N#ynqJ?4>a)d!}ZD#mtrsHJ(EY_A5z2Ty|3G_NKPuOizjg}o% zR?rnSR^yq6JtroiNwQb=d}|t3`Ih*e$?T(qG)4Fxxs+P>g*ha8{)+|%ej>vLAA&=2 zd6{92-ZfX{4T|XVZ!j7(R7RQ}$rvgXwPa~89rVrA*?lQZgek($&~!!r@S@nSn^?F7 zxd#g#>C3?%Wn_LR2mQX3yw<;L){f2mb>?iRBM=r>8zt2_$}C6iH-flD(aYhY!cYHo zSt+*LEA=F$nH=1<2`Dg8k*1`KP3%`OFotIr3FxMD=X~6e<{9ZGMpQOpl+)AuPG(>T z$6%y?mO>GvZiVNqhpx#cuT|~e(|4BmDq;^Q-{|;sm$)w$`Oi1o%X3f(&D7{dn0gp# zsDI9GwxM2>_i#AeL4GawUt-o4o>kQn^|2vcno-IZi2s{Q)L95$QCo=K{&*_x{e&O! zi3~V!dk1lu{8Vu-gVtoIHNsX3XCEQ*e6)9hs-XYzWL@X5vlC4w{xgn8qL%;OmN!C- z%K!J#sc(S{6-&aqn63|%bj8%zf<~1ER!!&~@G|}*0(!mIBx3blVD{oOcXJP+|NiI> zjP6CGxosLY<97MGE09I8F^7@GbmX+Yhd}bww&N8^9bM$7^7A;M-TS%QwIM#uOu!tf zh;A5{_2_4z(|k5;;xg0hNHnjvwt)J7xe=3(uZq?B#(|L1_Jvlb$b^qpQ0-+H${yGA zyo6~%*5&fiAij+tG)Rrr{654zzeo*1BwK4$E7`n|xO5)hYRPT;R!na_YGbX(bnRIX zWhrt_#HtTRgW@{up;DpeRZU=Ol%eODWni-WNRp#F)bo<&Xpb`?bM8&z1wqVLX+}?c z`>>^@q?N^DUK|dGc|Q@Uz$OUK2xGq8wfV zGhEW)ZDJT6kT3LH-m=OB&hBdeO8_#=r=Z4&NUGDa42<`oeDg-3sJCipi=F7dP&_Hu z%jb*0RCz7(fnjjB!l)~?KZE<=AVjPHz@Ze%7a%JDC4!elVCv3QBc@^ zCSsw567gqERBK&nvl~JfL^y*-Lhh^Pg)rWq4?{%9RJio=qJ*gPGF{>j6-4@q$EYvy z0eDKmg=q5`g=Vtowa>K$0)&bnD*Vdxj7hf=z$PRy%gE;Y)7Spth$+~!Xn78NeDQYp zPIm7`M|!#!;nf82U|jlkB_kuzp$Z0yi&z|kwq2K=n~uo-CcNlLV)sKrj2U6>&lJD( zE7}Eu6eLvM5r^R&`nI{%q(X1Kc@mv(^P$wFq@5CJCh`USV1m1RRUS(*=0y9nzw8HX z$>1wAs+%HS1|TM~k%LcY*6j0T37KNO-A}cm?9CYU-OvULvDhyuJi)?Td3VDD{M6Mb z@9LVA<1U>pcjTGRDv@zukhz+Yrg(K7@v6Z5WJpY2m2`+X_DSY`o^kNRbx7b2Y9769 z>@)L_|IUwSr&~HZUCOUZwy;Xj^4H#Jc>*2veItqRG)k>eo|6G?JUF|h--_r_Dk_JL zts6jqAiJ~Yi2I6ZO&ZjrgtSzdwS{sr=^9GZqE1CY&QNSEV0dJ`9|`CrUbIN1=P)*Q zBx?^D;Z}oaBA?mG*tKhf=Q}eNa zf6=bccQ;kUV||K5c&P%C2)jv9XhZ%Wc%9(VVkM(oOp|7$oP&UX6Nlu?Jx(|wD!>di`S=K8xC~${)MbWPrO6H~#{mYc!pu2cCLk+Ux*C050r)ywuM4>w!cx%LC7_?M2jifa{mTJeGkEkkT>_ zz>oo|0RfoB2@*0RW9qESZ43fAJu~%a9juz#^D;Cv!0nPf;BWNho$XRwOS?_XKSSKet-y@$rBfqBe>8JsW+3RQO){N*#pSa8ki8YdcQ(sMyh*n4CID5AcjXIj2@Ro{@`z zcd|XI;d6K)0|&_(Ksb&YcOj#^eglvl#em(p*$zHx!Sd>6$Ek>rM?gI9mFfxf5z!cwdi^!c;3G_5#ybMbn&jI|KzrTo?JA+k8^58-+ zi;h>j_n1ZGvgrZ55$n4C;9<1lkMMEfvS$lP1vaD^nF6g5C9AngN~NECVMnN>g^jIH z!`?4|ovZ1P$L*XaZ=)+>{0$=W_%w;h2N1WV6LwCBvvcZwA;s@bmbJh@`fVu6!~)NZ zqNPYIt0jclbFV*~-yMTe74?4gvL>Z?-aVnC9yW1~56P#S?yorUFwsSor|Jnv*K-t*4eTUbyLntwD5qA1ZbzXsl=N+)K_^(BJu z%{6*)Gw8M>A6-BudDr2!jWLP5gnSv?oD8bjaLdJZ8Y)dY)NUEX z%hAd*Ti2aXwmKs~v?E_@j(@k0Ga$4h_dcg1vUA)Xqi8OD{?DL2&6QTI+XW*o9p66< zDZPz}KLfd8cb~VR@cciI_PyJ~`H}hM51=QJez|&}T$RGuL~4m6O|Gkva}B(VAOUbepal$SXXB%%l7c{)G~-|aiq7N^p03td-OGY`5k3n zwD!vjajX1qPk`g`8ExUOC-V1*vB&qI)cYIOA3GhVUy|!`6UI0>1C+YMY3a{UP)PZt z{MGqgDSLEvPmxxMn^j|n7*-2Gyl-eLpHkIC{4D9$uia82J!yOLG`N>-)y%R>Vj4Yu z;x}wMw%0oYWsREO7Pqs{6wf#8LVzZR!ixPE9}j%6+l;|On&@b`<8cRM$4x9)RJ)NH z1q;$l-~Zg(@_KA42e!#|v5x(|kF_|L!f$>ZLqfnBdsq+#fG!av5REM(#fPkBxd3DK zNP6AifV>iiR(Hgo*e`e+o{$@ZY7!%YqHY=po01z63V$Gvhvq&TZ4$?oq6_ZMKZql9 zdB)#O+ZR zZev7(EYUz*mlE_hTOE)8pvAL2I%_H=reluK$a}hUnk635p z*1D)+Yl-;0p%Sd~R9|ZeGVB;wT?4^ncx{Fpa^2*^t_6D|WhkB*uXRwS_UXVcC8C$B z$ViP)Vq#lbDbML=NGBd>LIvJKcD!2qEQ9 z&93)d)9X!+1$ldT+eFm(&@#j@^Qk#mjbUTf@BXnT| zsk=~))a4UBDKO2W{^TtST8TV>vq2{99l_h`=-KI-24-RYX0Zg!6jSpDXD;`@tlCj5 z=00)e%Z`~`LNob`g29o)B3xL>MyXTLB0ZuCAp&K-0!wd*-x*xw+oP`ML@aRPgs8)V z4Ym!^a;SVHA8@hpA`W8p#(vQ?J@3nIbj>JIgX8a#WF_nUT#2O1Ft=sX;+JV`neKlH zs5EOw&CV~yzv&}tY)`tAVOgYQIx;4(N?^_(F3{MKXJE-?s`&Sa(h6x>`M74)R;!{XolRcd@K zGRQUADmJ0;T40+Yx|(bI=1^99v4m8rnJ9?&)_pHC9XYmB42>8&-t@GobF)t8^PPS$ zkaxA=t1`%D+cpFsB<3q?oHKBO~uQjtvG| zkQ>7YyWxly%F>=JzlBS*8>R0Xn!^xr$g+t&KBS&kB_lz2s!_^l=5pf-Prz+pvH@p_@d-L&~J$w7AThQ}| zUVXD`g1*a{-eRJ%r}&;-nsF|r56G)2dZJK?Yn+9UrIb~_|CMXlUMT$YmzAQBh)*V| zaM%HN=6hJ0dA7hwqmP5{*wZFEt(c_IYu;oB zxN(+tM5Qyr@E~7Ovlwb*pk$D9o*;M}Btt}ZPVSbjNxyZPRVy`)B zUIlaLQh!6{9}Bz}x7?@_!WogVC3J^5lU6rDqHjntWA;wsn}o?KD1g0p#&x8tO3RqW zB8U^v)}hD~)m*usSv8f)pMZ0J{WKPHXF^wKFg?a74>vB!+3WV*Qy$);8Q$7`b_`(O zc19~{N)Vnm9Z<<0-8b){e6eUwy&kcnZMt{(;|_B-KU%=0PkgW}aCNQ*Bc|vmZYYS8 zliKxTew*&?Z+8cuMH7Hgo4-+;Ytt~C3&jj@VD}~mr z;=-B_DiOIRBfI-7cFQO7{IqZ1>KmI6_iV)50&rzke-qxhx?21Cha4&A@1#b;k5}R*yhXK+#uwC|h889#;Chbr8G4L11mJ0DP zZ89$|5G_au;COiJ2?hXeEh#C42Q*f)lB>jrZ}eKb-liC<{Mr1;{v(RngOAvbS%Rcl zxIb0oU8H?@x=+8`Ei2@$+>r5!1uX>u-r9?C3b&thWe}Khh)=+J%tQ(N8YllqUA=#~d;kgUklJQD=b88+scya62 z3Lkrs6dC`?pOl?FK2a}d5&#DR`8UO^?fv`7zd!M9FL=re3FJQ&1RU^puZyM2E6cat zTLCB^klfA0Gwk*qGb?6zn0n(moT06U^oogjChu^*x%qo(c5QBb^X_Z}zubv14h1$| zF9FVa_5I0>U}V+f<-5pu3&dA*hAs+QF8h5ybEw~Ql;|*^SV- z^1*zqwojL}q^}M%hBe00)vMsB*Caxa#f*1ASH4T{b~RS_G=A7;u#2t@|hB zWv9Cq;zMm7IW(!bcFmdOHNurE4#>>R`cpS>zRoK_d~_B(Tp|$~5Qk@vvMHD8wfKS7#1V%RTepZj?g#IrisgWWM-vP{$ERlMK5U*;tD-odDvKMBK2k<>6P_i zwxx=JI6ueJw)b$^&R;8yTHIu8|N=rlaqUs^8Z>Nrdi!bAZ5C-HMUL^+$h_# zS2tK#nBqgtTfAUE%43J|;}8Eq51Ig396FBoe&Kjw=Za&CmhwqR_m1shuAqnyckd%7 zCLYJOZRMcE?k!uu?C43n4~jw#2U4!!Ly zeP~M0ZP&ahG-;AjbvqkHsp*hBas*9({t@jO{S1v=`%vi)Et)}H zTpX3R?xL8=%N#n4W>inx)-9l>qKJypVw5l-?)v=F!q+Gl0x4U!Y=#y; z{Q$WmM^T!diSo?bP$j*!Y1{}csD4>#NiH9ghsnj{U~)2f>+{u=6wsFHY1Zf`o?lGu zQ^|ppid=uqs6C`5#ZgrB8kN)!G}M&Qu2l=JcS$h@fP96jH>J=d85uCPKEE*kCC}H= zQm08|8xD9qw`K#1NH=eN!=Sx;KRQrQ{ZbrRvm6K4ug9?+dm(X3lHNmO*jd{n&dUS&aek;{ z00BmParQGh_#CkXVBvx_3nIr~bmkxr#Uqy3zQT|Pi)W12!0d?{ShK(oqKBPfYY>YF zmrUI9%0jMB3f=@JqL!T$%1%|i;R!@vLao06y;B-^33~5*O@HjvLw;Yea z;m|ak=+hd@8n?jAq4O|jk0!s7@|yrD>u*I%^1=H^TU7h$p!}*1jdxynY5xe%>|Y_* zGY)yd`nc=52TsSwL8O06EN|Q#GY8Ga9Cjn6mN!hk2uRt0Sa2{i3?CBQ@WxIIPsAr8 zd}B9A%pHXhQ?{V-c22yW;0tz`Gf{B*)}q;S5b1u6+9?kq&Tg1HUBLgMX)_QhEFXID3g)r$#OH#g zOMU@TMn_?u@Mg>Tlcyq_m4Emg;a8k7pPt9^=gpW&W1I)|i+n`T=K|p^7z}_J7Ea?G zrN0EEBwiLT6W%;IZUTbOUq&7A98EkdAsKi+=`u*T@cj1yDdRc#l>;fqPlW$v2d=|- zPaiCwE718gD(_Eyh1K)zfRt1Zl}}2(fz@mN9|Tgeu`He1E}itA;DQ=IV-(rR;g!Y#-o$l}TX^W5i9+9GREN=f%x)7-zJjVS6Fjh0 zLY#&KLe-=ZYOICG%T`EqwZknRXFLmXLw*?PBhnpj!W~fJYlJ+9Gq|n47OsaT;_!$r z82fWe3}`z96BZxBI)zJ6xD-Q5A3uen#8ea}(EOa{@aojajDl~+7P^PIF>C`!K#<wxjy4i0ykg`i>nj5u- z{K?ZONX{w9i&QlWbC3_4Lg?HIsPNl^%-EQ6Z17CJFN zX3JKPJ#>tG^c#3{GYe+&${6&k6Ulp1Tk1&4pfEWdC8@WdA$ks7I&?&v)~z9R>J0K| z{$G0kF*If6(S_t|Lv>0WK89BbH&IIEwZx^+wPPo=roJM1>;zs>J!M(C!cQ_B-seT5q6ek&TQ!?=8?nCIwDxiC(&S**e zh)GBY0F!LfxUL@Gq|1SnG;hzLe!))l)i*Xq4|dvX(`FE*`d=j8MERWuFra#RcJ6|f zRQ@dWZ4RWYsDOcyA$s-hjTTh?%>ILTemxZxB(I^8DtdMAL386~IJJ8pp2sAiGBX>- za!Ooh&8hsUU3+Oh7Kh5T+c1$;MDK2tPvu2+?!nXWSV6wL68iM)Nps`IIJtTQo;rG= zDj*uBBL59Y*&GLt9LC+d%txkIIQu>0+9Li6%RzQ(>(Lue*ZueJ57`Y zz@8RYAw2#Dtj}MBsi_G9$>(A3^go#%KP-fYODdFQJg|PbB8Ku(^=HKdpX6mNs#)u%-V15Jn;0%6CvmSOW!Mz?f5^Fgd+c+P5&k!C5uWmg zJrd5&j&N~t#m$>3+@^nR`nLfo@qzj31*occj?9b%cwBYmn+ap%;<=Cdwdw8KT0DPR zinyppurdq4=5^v2*k>+A3|@%C`()u@>xWSP8wl}Bf{#Zuysv(qJl&#j)hPm=u91j~ zyn)>3?AA`kE8~eB0zmEZ)x>_wj%CQlqld}x@^Z$d%NG$I5ys;P4O3km^~Gyx*tg|8 z-<+eP>W`<7Ssd_}#NsBZRK6{dZpg?b2xpD>m{(*S% zgdIZr_oOcaQj)K^Y8{@~Uqwx91_G!}59>H$pQar=F8lBs3Tlb2*?MEY`eht8azk+Z zEo!1NHL7zhn$o*7s83(msc8V2cksk72>Vz} z^2Z-BdiZc$wYDawArE)LBCvUdU=3@^xN!({a3J**;$~nFRtneb=1rJ{=nIzs^4sHW zFJsAM!2!0z#?OSZffM`^^KdcbAx@flW3$?2h&x2VE~5}W&+A|q`viNH4ztlXE`lnWQk#dCV9+maj3cU$Fuip<(oT6~Oz_C5#_E znzzHwvD!4zahN5>GwrV-yxUnn3)7W@GkA3kN5@%1*T&pE| z(%julRSh4Wzd&AEI!^7|kES#i8aQYmY%kc*SXYCm5ANZ>J^_$&oPOLi5m-K)SUEi3fwKbXfHCNJFpb&q&N(9rh0k~k?Pzx*|gMbAhP zcS*+VM>Of8ziY`UZICJ}D{(b48Aqs(Zqamrv}+=qZj~a4Oja-rQ+~OCcjha&dw9X! zKoL=9(@hyY^;_!)jm-o(c>eOLbd)+JMX@Rb2P_u{(bY@8m^6H^*@#^ho1vF@ZABrbWw zH8>18iENFE`Wm~Di+!@#AzN=`YpZO%i^WgbEq*Ls#QtV$Z)`n_t&{#)Af@aw4y2SD z(+ac3&OqmRXEAQC5p>O*@FJG2sfJSB#1~utWZ=BCDB>v`h_M5_L{VqH3{giXVbAap z=+SIE1`b<~J>r@;@9&M68xhD&4nZAT6Jvb-?%EsG%|6+>UzR^UBwj_9tuZbfI}6c8 zd$DoiQ7jlIhgI_ppe}X^sn@RIJp+E+W$UrR-~NV}ZWxiQ!~<@U>{-NfrVdKIj>BvJ zB#3lvf#prxW7dRqSSVtN!=}M-^9)0A9O;(no)MG#kJb$NGgvv|r@no7d;Q+;D4+92 z<=8D(yj>kh4qXoZc&mLQdejhR$1g!e#s_Np5pcDS#A9~b6I+{2BVwO_q*E?4 zy^o1rK%^n{y<;deS_$iQ6EVNj5cF?75pxzFg1FH|TnY)njf8NNCJ`^JzP}^>`X$6- zrS}9t%1{$kET775KmKJ*VYF`Dx{tA?hTx2a^XDNfnE0iXl~WA4E5X=ILnw9GoE` zE{ej}uTWE60Rw$q@@WJ>N+o$Yet0%Js9RM@0HkDVb2?B})as{>3wABHrT~9~;A6TriWxPsx6*p}9l4 z%T;c7e2vX9f&2kBrf*-i0{6Tafa)$>G;}bW>=C=6^Dx-4+ZOCvA3S!w3$0LYdApeS~6VkSHa^^HPrpm`E75zbzPtvl1(-ixDfXc%l4f@ zqn54Fw-fm;=OpnVB?I|kQ8=?@JDN3Wi~)lO;iByY{>k96`~)+}DkeVUn*CnMa|jr%m$y}hw=jv&@LWbzy+ zT=s)6*?ilyB8XfN^cB%dp}53s)00{lkx#JC#0%T0uh<49QD3P6YN}voV}T)LFPVKj za_AslJQmpM3)bxR=zhGfh@L&e_gB5A@lq4&Iah^S1T_oFh}QTk8sT8(f8M=skEpu7Q0<5hR_W zv4#50G4g3_LLR^`xe(fxo|rUM@Q(BzIS$8-?BVtJ9q#7Vp(2IaH8P3p1^Jc5e>9i* zfP}33kiFoAjijgJ=YwID@B;oXfY1`Mr)5Ck%Mb91z7BUQ1NiGo;E}U7jT`RtP6y+* zt0ydFY$3VNg4tsV)%519)*;1JUmQXuk4QttpxTbrB$Ho{jAZ9~b>SO3gw$ue) z2F^&ZvO%ebDjD=+cwx8#`U?hQL5q$U-*pTYZ#spercN;P4n;;RjjhafGCPq;pX~PN zhPL$McrDCh<2W0W{tA%NXdi+$_J+ZP##ldkD0)rbi{9(Ca7^VARZrt^CY8NS#Gc7) zMrs7 zZ%c2ocbjZpsweKcJ3*U#mZftHF=nI=#tat%DfgNq_<}RuFk8jsU_J-?Ed!u3z$UAU z>4?R3Q>nf%h*z4H2&z9Q613X2kwaWgFU3?(6)~@9KX;&*8*w;WVQ>1yuM+;}-dPej>WKaKsv#;#Z-h z84Bz3QKX(Q)G~X=Y$@aIk91lOq-1?0hQ_G?3B0%6h~T3$v2*Y+3~Vw2<3_K?K51PT zd((VAj{F{WyEywTv!#D1^WQ|QPv4EEz8HlESI^_@mQ`r>)2I717?6?y6Tdr)|J z#0zXV+mkbO+B9CzUj|b47TD@DqN2#j&qE~xxSv1&-+`2}vS>rLyj!PE(34X{`TZw& zo}5PWqXQg>*tdUwSe~~*HOc+p$rBtnd`JMK9P%q5=?Y|e`lB}U9_+OZFl^u;!HtwlSK^+%5J<^xr0m*_13^#j-iv3^iKs}w z3;lC4=-#0tTD5H>08$neqPYAGRM-JUES^ewP?3;C@x6!0zm*Nilc#t*v3r-U&{tAH z#pCCAMss)(p>Fz7U$MGy9`8Q9$NeXdv7hON=CQ*D41~R@1!{Bikr@$#EvwgZ-p5Ut zAh?l|?Qv-cq?|DWfx^DR_P%W1vgMOD`(hxavol80`18|`KVjE~O}HDIh?*C#aKXR` z1BJI~AKkMLk8h-+>d6b3sA`~BH+ExmD<0FVkBxo;q*PYoG2w!e5+2)a%MR)#l0I`5 zxmkCBH*aY^nn(V6IuJ(olz}H35(84Ekgt?qN_O@QV*SZSS-FbOZ%6j*jVof(s11!r zZbT|fFZpAKz7r%|!V!Ewm#@aLU3r4l&o3jky2gloFQQIWA0x`Gc>kMN&hJuH-A6#? zlcEYlUr&LPiz{46zsWbQ^EIx2L;5!XDcN)Db@u3W86x7Z!@xtf{|D}IR61K^|E>*uRM{(g(CqMrvZ+Y2c;WPhvdEb(}rS;|Nv_u@E_jNPqQ|Hze@=NX@uBt=r+QhxAF*c(sJcoZV?hD&N6r|N@vo-Z znkuR@hqvkLyjvtYJH;{=AKvHv^YXqWXS7r(Z*d8#XrjXwGXGMlCTp8lLKE1#xM_9~ z+h@*0V{)SV4H^gwYb$zB-r~vACpdQMB;WYfw?ijboDjv^fM`5%yoM92H}C*sADUd4 ziAmvYL=v8Q_~XR-&HVcNKApS5^prTNf@AU2*$XFEt*43S&*8l;R$%*8H6*Nw+r&Ayn%=qD#GHC;}t}c-yLW{6WbmgJN=SR)>vpE+vWZDqVIlH!av>!xjn?hjqB9GI?mL1~-w5Pi3xYJ2 zZ_~6H-+0P4>y{Q2;x(0*m6YO}N!cb_H8nLFCyG(<`ZZ)_WYCtL+mYHsRaJ%O^G&(@ z)|0k8UqwZk`d|@Cii@GIug^~{YS?xIx^?GmRO%gu!fU}$*tQ4ln>FW~Zk1_rSyWnr zLaJAhCR6R((*%kpR*H&>C?r|fMhf<-lZVO0|qB<8WwlAI$I90mD1BLXS2r z`G)Mat=pqxr(WnaU<`&$U4vO0&SK9QU09f3Mrx2J%Gl!G9g^pk07&`lPXQ@=KyN}b z?3g(YpXs?#3l* zcO8`aokHNLS&-=87ONV!z^uV@F;@trH2W4n%8x|6UH9S(oSkYPft1-_1Efp|rT!L9^^lVEI{Vb zAy8g41|xlnkUx1WRQT(~#k&&3USa=%VnDGcVw4{EJhHxWG{x{2KKudOWKQ%TY!u~(@ z-U2Smta%^bcX#dX?(QyZ5d*usTLHnwLQ+5)QLz<85RmR}P*K6aP6R|k(g3;sGv|I# zblvZ}?&_|;|GVeod>%Z{{hYqfoS8Y-OaSCdB2^9_jsW^Ur@scI48tgy!hJ}`uJARq zL@~8F)Z7}Qdq|hHhKv{vA6hq@z8R2`=60;CUO8F|p04x`&=^LBhC-2^=X?g+^4xPd z-vvlXYnj*d=lTEt08+~M4jUC2M0v#-?*a}X{lsS6)SM3I<%6(Wp*^N{YJk3th^N)8 zEF6VYr?X>?8g)^>VQaMPJP18TE`s9X-Pp3n3a+;2@HE^V?>O>vBCYv93#3$%6F|x> zz3V|?)HrmQvjxKzZ^X`JvtYldKddLT#q#cT(X$@$|0)W?U}e- z6WetU!QI{-Nl~77CxDb+d4?(Bv;0Z??G5$oijBRvj5TGXF$VP1BVMbG5d-wGZ1PbY z-W-I>hwdQ7=`Qm8FQG7k#z6euoj%?0KH3>?!yJ(xbRM~Z4#*95LLTw8eB!yUBi!&d z(p@-6D2nzX;&YEJ?fYgZ^4p9XCR1QGswJjZEeE;kP0(}Td<<1Sgw>|5aCP!RHiH;T z42z}v@P~=p=p;Ps76kYp)iHti>=Qh*O~7kvE21>;=)?>ho74)6tCq#cs*N#d@WMY2 zq`b-?TVK5QKZ3W1mmpyiVudmrrS@ARfyWl8k(MPwwFc11U#-Cm%lcbLXD}QVLm4OFYk>K{nB$^ACBZFku zbfVq!m!f9H%BV%{+@q@_$#3)n~r2`ZUihTW_WLN_mzg4;$92;@P7|$j!`x39aGAoKLc% znwlD(J$ohrDNRg-*ZxXu3|Cgc(}$0c_bMBvW@aBERjN#$iKmX9$oG$gxymLnW);hq zhsyLhc;Z0$)P9Tgn?K|$Pn(U$4jv!!n+d>VIVeq;iO2SCLf>PlvKh_U=**YfDgSdI zWjSikqGd}EA0IDxJioS&UuNUR(=^;keI+9Eu|bIGOU9z_PgF10omYgESNV96o{0>4 zNAhT&D5SH^Kb81g66~Ld%}RC{HP8?Py6lEr*BuzteJ6(Z)x_8#hL|XCiiso5Fo8b* z5{)nsb;$SIi)o6M0$Ax6_7D$VzQ(hhLOe(-z@6m0Pw4jZTqF|RrN5uP$ftL|0J+(( z@#ghAyf5Ne{^t{qVOHL2JV?zD>+N<*7M{F%Bj){|TXVAYMt`Sd7vMz(?Xk4pSReTA z-Cws?{F}Zm5%2h)NwO~g&!!&qbrzn|88qKN z3f6n}q1T|%=+b`#j5llG70DEAZ!z7x2VE(z*N`!=);GuN*m$J)24my=MW{^Y(k661 z-LJe6Z#g2Rtuxk+n@n~7iq>t~;F#3`yr=X3-OF)UG;bb$`Sn+HY2Ffc+WPdO#UuWV z1E!A{DRfVLI(31&nH9;4Ax5Ze+S!mv4AQo-fg`n&A@swWI6CQyWI&A@3QU2yFyYS@3Z4{-w#4!sCv~JxB z<)}TYr_R6=4}TP1jK^uMz3A4qohZMA7)WWz@@dX1Was5Tr{uyX$z?j~+mZeFDPG>a zk8LDhR3TZgA<45MBIUa`LJm>hpoR)0f3%=6KeS~#-o;$SGrusXuiK2)-Q_T7>U?XY2p z@S^-zIq-3Ef}Bh*J$cA*#GN@0T)7D+LsRr<(@tCto;!OsZe6~NVj9=e)`!u)OjwvqPdoFe!-r?BIb?nUt*sZCJkpuf- z`jDQm)lf!3sDrTAWCwfWroAKLY|i5f(Y3SZ5pVmEuG=}_wxc6%(5JV>S?p6ij8!u% zFn@v>7Edz6@@eLu(85WUm_2SkmQS_7G4*|T;j{+`Qo%ia1?cv!3q_Ji2ej;sVe{27 z*VqA8j=p%rQH*Z-P@n1Z7JV`Zgq@_u(f=>AUX%5YL{~YFNPze}=@~!#86ahzr!lVY z91919YS`YnBKo!MiOM~eq3u*1>@hxxy9}hf9SGd;m-KCy`FFIRUke68PSAv|L4lqe zyykbp)V5tvt@0o=?=~MRcNpP3)phM^AYNW61*H63Pxd*nZZ(1S<>(7YvC%@zrtz?w z+6y~qjZf*b9^*!sLSy4;M7y}4;1-Po_oKwI9fLnUl*Kwe9vk{j01B!7aqiTQ(=?|0 zknOq_uFLvBr4*1-LHUpXQaZQ|PoANO_{520N6@AX@oIVpe-n{X2F_r;R!Ukb@?Ym;pRp0@%Yc+65h-7N zMMTQCuM6<>@k1!BU5yIm%b^MJ^TU-i8q~a3&2z2O_6t= zK~>uXZTbwwe=2{xe>86F7=+6D9T5=@1(|cwkv;n$^uRG%6W8Hub^rrAcNKho;<$0R z92`Q&+HAPkoJG%W-Nc?gXX-TEh>AvWMkdZ4I*bm)j~J}NppggE)}rDf96ov&EnBq| zk*Ji`uEEns4~4vclJ>*?3KP(7fIQTV4k97>6%t?OL;Ju9bfI%gS0dd5r*MaORNTEJ ztX#hdm8w)l12OZRNu7B2cGv`!Onna(Z~2h$i)Uk7&Y0bi@bw5}2ncK9Sl%bd{4$t%F#I|xa{ zPn?2dF@F9ku~z2MnZW1?nfr2#G1KSKILTqgN;SBIToM=HnJu%+u?Ly2 zarpFEOr1CmBYSnk-jy@(*x8!+1D)-n{qexr8CU2W#QgMgUYcO*fJ6sJB%XIf#L;s& zyz@BJ=I80O{+*31PGr2WpRV|A_wVPq! zn5CGs=LB}0_C_>=jRmixeU<_D%uD(Iyhrn1U3$M}UdsH9_oTl9q%>a&-x%uhDy%KzkblDV=u!=A&^#xhppJ?}(<= z`=CbSi5S0l2M(UML&W6(Ji8izw_gWM z`T|I)y2J_|bhb=q9(tGhOlJu3+tNTv9wP>SUUf#Hw=rH=EX1YtgJ7xH36tBmLC3~j z(W~D?%viA<+xH#DS(@AH0UpR@2L@M}2l4n)`K6r;{9fBXfGGJlvbhoSL-}8{+sWEk z;*@~TCvh|{*N;%UwUKsy4KA!0g`M5{U~7*_uv};cPt#ajb-0bRKza|D2Qx3dLiB&N zjoZY$l-n142)Lkx!u^VHU(yd#T6IIc3VqR~_dKlAGQ(+qPsCmhLK>e5__zOUp1u|F z`Yjcaa_a(GdsQocvfun;5h)o+871AZargH6hCoVLL`vF!laiDFSVYRSG^p>|DQs*F zs@H_kIyK~m#Nw%&KUAjA7Qp`IG#&y-N&El32M>NfB4ueH<#sK+_ldwo^COtlTRO)K z7&a7MGAHDr)PIFhlGB3`45SPTlkN}&_+#MkVPe0YKr(pjv9okuPJq*16Z9ZH$NY|? zJ0@JbghG-vZH}KnhfW<)hIsfA1x4IB?I-~$RkxyL-TEZ^R)o?zB|N!%4|y-sVZ2u# z4T0Gnd=3~y;~PAM^%=q>(qrY$&PtZSMbC$ z5Xv*=(Abngi`K0rASKPsT{<@{r8y}>JiJ4bX0XxRi^5AckYMM6S@NUt^H2Xlk3PNO zc;SLrD*{M4UIwJ(yF0SGKCy9^F_Yxu(sTBQft2=k=-i#w&3}Hzf-&Qf=;VQSbS^l$ zTN|yLG(|aDH>+u0AA0zsAnGbCHmajZ?K+Zk*sWW>45TcHNSTo#0V!+MM6JqIpuTV^ zo_mw*lSndY{C#mpOGJ3)Xq2Ui^I{UD=Sb!ZCmuX$_(;(w*2mcH&_q#S3~t%FV$Fip z#KQ+-I)dxw?HZ-Akdn6R;On&fymAL2dPo+0+ija|>j~nqx zu-@;D>Ekrfzq=AvuCRn>&j8FX>0#)w;g~dW0`2w3 z#UA}{`uh{TPyePLCCTRGhe;oGt$ay>*%OO^{Gv)x896E?)8_`5?@e6i+t<(NZ;mL) zEc3UC4<27@==rq2#8pLqdE0vVq27PAzw{}M|8LVD?eE7YSfpoGz{b26^o0ZvefLJ9 z&)e|5sicqmiDG6GzfIq6PI=8p5l#Q8IpwwcPV_g48u?|V%%AD+hx7@M@;K%V8i8^@ z|ALln+rWyIPb5q|eDnxwm6asJ83_?qo3^7M;xZn(`eNP8xk3ThobvgCT!CjO9-VO( z080ij@a9!9>lyDuR(>8{(DOTXOX2jz zrCg3mBD;Lcmv#T>rCk1wft1agH~(brS~YG0^Nrh(6%>th{|M|}x(YQ(NUBw*HZ*tb zM#j@5q(6QN4fX8;6v>xy_w3p80WisbGcZyJT&01Ov`+Z)uehK~f*4=^&CFsTr38$u zLC^2ve9Frp!A+Y4**Yw^Q61cV?gzJ-`^Ej>esX_H@_8J1Ja}9@Dl>0#dTVi=M#Exq4=4|84aH7P(6d=Rv~5tE z_K8|(T(>qF(HJ*r*aWRwcR`2VW6@{q8cbiI10$0oi1Bnn1}j||+;PM2PXQ_K>d%Aw zq{i6SvmEC3ZiBA!lhHuXF z`=LYsX&5n81#0SsID7gGE(dzxd6W-cv*PprQc{*8Hf$ENvXq}?!|gp^`r88mR%dC? zvc#}{2I$#E2LpQQVye6u_O5h7v{@W7o$lg&;8hw^R*+KP;#}x=44#lFGle3PzPn1} z!^T!NL?)gCZkeGdU;{!n4#oD?)iJ3|B@Fr7fRseSiz~J7QXujIW0B%?3rTi2kmnOd zYvdf>`spBa^Em8mTL)9hRKU>I12Ienq%{9CKuY#B7)4{_rUO__#*?i*VLYxoy0;vR z%1x$W(3CAOJ$xJ&V|%HKpW+gz%M+v}dJ{wZXr?b@G1*9B15%EWDQ5+Nt z7fplj2c)Fu-90=$Mx-1)22lY)D9*`)r=t_(sC+I*aoo7C2U0QslFDa~Y9D}KKjjHFz8C$KXy~B_QSaaR`-_<3rC689G!vKU!WMp+3IUo_zSaxeL%C=a1^u7a{wP zQaSPPHL=3bF5N}Gg5p>NM@R-`zmQ-IlK~?Ydh|xHJOX{`{eArwLDVjJnG$^Xh!KAcNGVg6hR~RO z08)1DMtwVgVrp}!)lrP@CC$Y*15#4Hmp8qulmw7c2AJe1q#TV@xf?*~!}qaN*D{26nB2Gs`d{49`sdK;p4 z&%&7n1ED#pBj)#Nfx&GWqEq8KXj!ionlhiIGfv}r_0haZOSI|OA6*8|#<1yIux^Vf z>`tA*gK&4ezRre6+DnPoWS`eY!q)&P?KBXpJP4--SHkA*)geDn4z0&5K+o|Duw?RB z=uPa6UGnWPr+X9hZB_?u>eWOOI-@osd7*K$4rtj$9zEq3W9G8$Ffcv{KUXK*3HL^3 zj5pqkzRT$IG5L%HpXI-qcL<}d50X4D;DGv3OjR&OzwUbI)l~vgu9|WLXSWC9!O2^A z?UhK2J&NjJV<^q*CFZlthp3FJG%h^H*NHePBVVK{xxgd5xbhg#cX9OHRod@w(Y_R? zjpXC=adKiCEUxwohE=JD9{pyc-|B-{yw4Sm4h*EEx)?~wZT+kte~5S;uu=AkFAAf= zkm+>|N%o0&;Y2(nl=7nwBI%?uPEG2LWmU^#RMm#`ZZ8l(O69KwQt}y(`7B=;3OWIt zU55hwAqZLC4U78rLG1>k(6IXwEZJxP7dp4yp)t$4#uqsMWZ%AqN&_hq7)beq#CPJc zMYLgL9pzaNm2jf4!OT*^SoAaR!eZa_-P{{%=$vaZf94P-uhjG&L)!WS?`fUvb&LqiCAx}OW-vrfRwb(J^(2zRmKJ-WhC9XhuqXO z80+puqxuZIr2NgB@Qmusef=6H=4Rr8^cR7YlwYY*C8%yt!_(yF$b0(+rdIpWq(yTH zNXeJaoqdoW90PN;EgylDv*zK6t1t3HVqvji+eaYf%(-~%LeFzP$@$G1G?ajplV{+u zotx0vumi3C8%S9J3zjUx)vJ;bmlJE>7Ga)d%AL{{>2U zU5sR+^mmdL^h+rxy8zFgWZ>TIRNP5S!M!`FxPSM>cOkClc~TZ`U4ITcn;2|XI)~X4 ztuT9%HI~gggVl@e=<_t@Pd|d$lMhfn(d2`e^B0JJKV^&sCXO`4qS;4bu|E`7?_~%t zt2`z=S1jF>5Nn-TD=Wcdet+EfZ1vA1u2-s?*#uiFxG&sZUNgLwzRJV!H>4!0_gQWc zt>yQkOF5$?0`G_{&R205RMsD`gucr5f63{R+{Q>q{7@}>P)}pT?NiOm7 z@}vD+>I0`tl3VQ0#A4wLYih4CbauMp`n69lS3P}_gzejRk!(O`v5MufeA!&wxXwUI zw$l>lc>i|;DcLqm2d4LFaK3O70|rP=!@73ujx%S@elvdc$AFX-pf+PJp0i!WGX#5= zuOV5c5-OK1i|un4;iZco(%k~EbN&)kEnf~bD^-Nj+mJBa)qC5oLZDV|z-V19s@<7esP3CI8^y4-VcGd-X*O>m|c3!Cl)By=2k#r%auK zYjN~mP`&nNY|yEFTaiC!&TQPgb&J|lgkz^qp-smQDEsp-SVd#=@Qkz2HJ{wNTi87K@9?20Y%WUk_hGnc&k{|g}XqBoYBa@tCyw6BD5qa|XF_hM? z7xv(mb?V`e$`-t(dTyVv$HHMFMfq*1eP?V=0q@@8#E$*F_^|<#0Z%KI|T)VhV$A6y!?DIKn6IS)K?A{t&Zb;U<@2~>7skH zmg2JQoCWi7{l*OxzI!JwC z0m+oLYobzx@=zzaDxGa@Bd4p&o1M&Gi1J1SSNhDOzE!gQ4i z^1VXwnAXa=aZ^y9)=H~(ZDD=v=qDGaxh;Hg`plU#pIn^g%b%q$PV)uUg$us`q?|q- z(J?V%JbY-p2K1M7x?@KvAeN)iyf1xT}1x0DU*@F@)hOV+1d&aCzm;W)=b1+ zz9`B;9=*GlZUP~ffW(Kih49^nFQ=zZpNhy}Rr#GF`E9-OIsslPoo**4V%f@7_?6zp z>J`di$HHlN;eA%pnI?uvx+``f_9fzIO$^AO&pVEeFy3$kljMytxVJt=43PHm(lm0Q z5r*_N7JuukF+}{Sy?E`dj)c8aU@)LLrjcwuxJ6&|o4f^M)z3ilkQ*+#yW?G?htMGv z(kDAzdl%`B_tEYsCgRV+D2dof+6O&kyq^F;ybU^rhlXrTVs^Th+2815rEx5drFS=m?Q70d_6guB?JXBp!)ZxxtgBxUW6RgX zpq`T;zs?HF&Aede=!ch~^n12NGI1F(lFjtf@@2%jz8GnKiez(BdVYkhwe<6XxNTmP z?<47lw0^fg{uKWP5+?Rp^P%^#-73g9%WWxfSL%XJ||J$CGOTC}5rHm&*EH zB!HCk?#1~cmylT z$@f1>fRwBY<$DqAw5;U*4Ff3|z`+3bhYufO_3G84&en8JI8OWT`@(m)lXwgB=gtvr z?9i?a&e@y>F$vthejO{8F2OJV`8XC#<0niIHa1S7rGS+3U3((fQyTf-`vNSfM6vrY$77@+c(;fZ#^peJs@-JVE zC6@mlj_2*swR1<2KXdvtB*e#ydO3pm2VT8w*#};IPyi{Vu~Ff%p|eLW&A*YM;Rh#n z>f6e7yVjb|} zD}j`c&6mM2cDok3V5Bqax@tF44ylZ}VS7L+WyCTH%ih^zM z`h+r4cl1NR+*VlLvoq?~AAwpO7h>i*U7T}0PiIwsq{sVz{Ox}u0i@)y_P{+Sd+gtG z2(v~TVlc^|{k!U7B*~F$=3C*k#aY}4azlEYH{MWx#29cLq8{Q?mt3hVM-+t~LCOg= z#HtR$nX!$qrb`2KXwVT=o5-Wbn6=obcM#{@JaH||A6e{Voqx-=OZt3IJW?VWZH`d8wej%80$3=v#H{i^VPW;=I6PGYiB<{7 z_DIItkZUym+)wJ?MK`Hkzt=Xlxw5U1$Had>aAqlT_YT4NIXy9|bsto#J`!CAufn!{ z2jCg*h1>Cgc*QnY{_P*I5q}dBKuY2ZH-hod`8akgnT>{ZYJJ#im^ydvEP#~EkN#F5 zCCN%*A)zEA7Qx-s4gFC zf4SZPg9k|ty^G!>G&n?n(m0=G$l$<06w`RHY&me~P_bW6AlWhYzzM$eD*mt|ii)%AG7ygD}*#zscMhR62gty{MW8!p>m z1(32{UE!EkYr!&Px(0~gCR^t$L{%z-qgWcRS&uxD9iNoF>JQ%MxlQc5{{g~tU<%^Gxezl@gPUpTKOa*rJNk`Vp_K}2C=k; zX3m)T$(;RhAf-Jz_vnsae)=YTEfxLMmm>#5lBhrI$@6{8CwEU))M2SK6`=C-yrS|y>E$h0J|*l(=SoPRoXXi zgQHf4n;*s`I-_u(Sl?mKYlY4zmk*x6q~4O8+=kvwlf%dHEa#oje6ro=OSYKrMJZIN zOiPC=_n%?4>Sk1|Tm|LImci;(t8n-3UHayqPYk3SJg78~^87ysqIP-t+y(bD`69zi+>dGX+-c{sI9W4vy>@Rl^x(4`cT-B*)Gc9+b{L)dlB0*dCXYL z9(*YQ)8;P3PqdfSu2mBnvH(Q?roTVY`}A-6QIc#uvD8irxcJjET^K(t}gCJ9JMf|AibBjo1f z{NF&zEn85fS~cNq#&G*CE&a{vcy;|YQZ8P_wpD9UjRcN*4I4;6$~Of_eU*i6 zni{A^!brW!RiU?V1+tv|kb2$|>NDnj1X7w9Ba8a=f}Y=@u^ZKENSAfLIgqkhbC_%D zAnVp$q+d_OZnaGkV6s|uXezBoM$8qYN5w&7jk1VP$dM}dP?`S~NV%sJkW#bMMO(@thnm_fGYmpiqhpf2k&{NxtdQ@jM8pmzh zwE?ib1( zB!&j`gkmzHV+GCt3YXu77m#l!bLA9q){J=L`2H32U5Nb zvc_G*1@M^C4EwtOf|+fqqg}gBsM~oEI`tog38N=q>C`DunKK=m7EZ_31(UFKwmj4( z^~K7O-7uk7NAzja1wFeA!RW~=uzHsTjE*}Yz}*us!>I3URAfct6 zT4F6#GZRMPo1ewrczRfRvt|7%)gODvcjJ z6j8PgD8BUoo(GRYu4{JzbX25rBI$WfUk#-6@xfpj0CEhKA9nr%@c22rPuO5^uihem z^f*P~jgFH~V1NJ%iu?fs5qkO@iW48g_vk4Mm3dDcHDoA4?478bWcZ%4#n3)dzQUL> z2$o&k;fqegWDz43-^LEZ`_cGNJLPHYgTkce1L=3e$4GNAqGLA%>X}kw zA`!UP3?pR5#^F>>ApIWqo*;OJe`^w+I%$6CvpD253Y|5P zVZ8*A+s49hQg6)Z-X02_J7GkBIgB1P8k1<87EPZ7r8$$KHfI9VW{$!7>0_{Z`cy2O zz8s4eY{hPMBRHQrjeFtl$W5TK{}hnY0qOR85W9W|P7SV%jUCEhNSnrJ*r5*^_ZSYj zp<^*;!X&JoISp#_XJE_1Y1le%95zoM3}wZhm_N8PhIi_S?rmt#mSbUja+{mU!DrY>(Fpy`$i$hCmMyp zF?iz_fLj)3upT`UORH4E=xX&aWXQZf52R$UDD&IU)4+vID7I3>#r6HLwqFl4tuX*i zT2I65HJUhj!2yvM1CT^#!neZd1ONWB^1dbg2tZ0Yv+FNjCC>1Cmgh75cLP$Uy}*uL zyHK6#ty{eYbd=SQ8G99Jk(aPz#TwM8Tm^Ng9CjY_r-76tch#i4T2!~@hE2%0ahv3~ z+t^Ki3;B)e-J`6EjLY#zzjzIM)~!das?|`FWG@XJZKPAZ8PqpTT^-?xm1Vo#tCjFF z>M}B-uRwFH3To5yHK>kVTAGslM)C|B9Ba#--?>f&X>ke2xONlTBsbQfIvIE=fRxnE z%-kFaNJ)LILC^0{Qo##)Hs{U*?AO_gHZ5CzGIs(%GueaX-Dft3T6Dp@d z1#DQj1W6%L$c?)J<4x*lB=h#VVapag<4Bbx)0>$60U#yi3n1m2*D$rTM3ZLC#N~69 zMN9BB>Jsu3@55X}3r(p#e9>5C*$OYRgm+~8leC4If@i;b4%Gcd1A`GyX zVRn@D_>40OJVRi~fJqUlvOJWg{vMEW@h3n^);98KIsH9^C({25NclWZ0==<;k3Ge* zwvKdR{nS z>W`DwVX!?F4LjR7oU=>78N2H^X>$cfj>q88;RqZgI&?S^hmQOOqTla75C#j&P#irG z1K-dGxc{O+j1L>?O2(-)i8x%v5~z|jsb5NTwEBk$eE9pEsc5H)_CoF{hMY8W@eP)ySz9a!D zd3Vjn-8(T@wQ|1fEb||%UcE+K7XR856~*%NBvDSidbOdiw*y%j_vjnm0smPbxLIdt2z~>gupN++?Elwg5^oYKDv3AKxoID(kAirCP z4u67R{|7j6#1E4vE=IX>mFTQj2J6<%!~J`#`{KEzgOE72u$T6~i+C>CZkh=<*OTbo ztFxHPHf`GB4UUzH}f?!Fh9Yv};sfq`Pge{eyFQG;xw;1f)jf`7&k(qc8TAQ{AnUC#o?9?W+AP=b|o3Z1V z5?iM6?mftR@B~ldu0d(VN?{LfS*Jd%l{Vq6M+g$FZ7^3BakEw91~_YO1|&U4qJIFU zkCcFso!YmCvx6PAwixkomoRyfq@(*?Amyl02$g{|yaN0&V3-U@*=GPQS{%iDzbH8D z)S+=|C3NX?rq96j&yYmnh{vZKv5wZI1f*>D?SYi^EbBHod3t)FcTdSd-{}7RaM|6R4zX!)J932PTe8Pa znW%^xR~eZ89u9Wr(4}){QRd9qGjZk0B~cCuk3j5oATUHdV-UOXQ zmd^=1aXx{E&NfK#b3i_W2pAZ7H%N*o6>%I%#&Z!ky*|tbRK%433Zyi__1zQTFuEqT zxBD6W>()kvI=xV%%Ty>X-Hv@$$Kd7WjN74J$cgrXaOQT=0YyPakaltlE^ioubBgt_ zv3Dc%Xg?TLyDmkqX&TsTehl#u?s#?GAMdXEd>NeZC1ShnRoZ)E+)(VY2XDZfr2pe^E@oRAs9x>42_vR;o!lttshWkkE7C`tm*ya{)p z?~l-Tx=6NP3Hyb;u%=!`j4odTay=$s_-b=3F?NNGohOn4TqOG)mGL&x9dD_OqUcf} z3sDadJL-+3azoGJwf||na6g4dG&hgj&LJh>0$xRW&>VT8ke@ASS1gSo{f>bm44Qot z?m~atQ2WjCCU6UacFRMxeR+(fcVvA_IRvVmL#|^6iUN|6;~#`{|1-!6K8w8Y3wT4n zFXVQW=$K2BaH1EC;>Zht<4(LWQowbkPS`P`J6bhYK=pPDF=43|&e)$r;uSw+CD2@z zfKvZ1`YS-nF=HrS+J9XxIH6l72|S@VY9ubj#tNI|*)uk1E8B0TPnm@43GsMWSSY{{ zUj$MfutKxuwEvbb54Gj1kaQ&hId>nyV8<@huU!Y#`L4#UU4I!!$qp+{pEyRWrV#fN zZ(#1MX`+p78`g)tnK|*&WZVl5!7>@hzcfvlI8ity<;48w1CX*$KLj76b#(n6ybm0O zT$gTwe+eMvl{leUaB_9|2&9}d2MM=s3SEQEIa_q-+zDmbK09MJ?uJF-?Zc-yq-TJZ zjhhI5s=R(Zo<4gf^nZKz>Y{qpN+?71Zdtk%S@8)dNW2X#WhIFpmHQQ%yS5|$RR*%t zUtp*Dwhur`J}2c7uX~dGTmU`6W8}fTxus@4VZ6y8dl!@bUjrg1ZQrg%E0L^cJl!p$XMaz~#hp4i2Ii6m=hTQv) zVYF)x8qk@fDxFQ#W%qRc43N^w%1YF8_s$(Gk^v^0HLQnIN3E&3?{JUS&FtASN2;_g z&u`vNd@>R@4xPj_nZ2+_zdks3{+#HaNOzwKkVo+!08$>JccE4DCZhaN!v>QaK>V*% zdY7LI!+rZut3f02jAFm;h&n=J&(1yX(|dW7_!0BXFY!{&V;)4r`*ZYhJD6`i3iYK2 z1npVSHWtOJ;-YzqVJ^YE~A zpnb&&0anCMtsHUbq&=RyoR@!oZm8X1CYp}ej5Ry=BhbqUsn`7QCf*P4Kk&H!LcEv9 z)0`1seBp5xfrbXKT)q(-Ca7V?kewJa$N)1ZSVCRpC{CE3L8z?*lIUC`c!_X^%JsOQ zF!%)WUH0PHVPynwo&Yn&j#$&RA;yrr(Y@ywbRWG6^OSXAebffA0bWRo@xU&_TU&V zVZRd&Bm_ykl=-~mc*2c%DxKjkAEL7M(7As$4CEVPBJD}@sx*SlOl_nbe}p36OcVrM zrgNMfGJ|Z86M7!6>5Ra3T?TA^GLB4aPvvt7+Z?@2@&4dUBya78V^ca~P=~>&*mMSZ zDQbJC0J0eH=}*w4%Pp7f&uDM?luE|VQc@!c3_Ix8i=g!`#8=-;EKC}%R? zBf5O~?*UR;Sl|`$t{3U)P~Xi!${J`;vlfihwjlRnJf8Z8L1oSYRG@mA)4qPt`U^lx zTJtAQpGINvdt6VvB?D6ag6?hG!@+bv-X}hwnyFul$Qvl{woZT z?h1_N@DeudGl;zQZ_<+rZ z9Vqk)L&AZRm?bw<0#f$t`w>Wa@ggS3NYVk85cm7P0x91Y z!S;M9AmuOxBpy45cQhVHH*QDkhB6@KxXE~U$^iu=2V1OAMw99_QJMJje+5#~Id3Q5 zMW#HqC95x6h37$Zj(C_t{Ou7CPHp4plT4ojDZ{VQJIT(luOQs?5GD;6Ec(=~bz7X* zG{AfMO|-c+RV{0Pa>!2x}GNscf&&>8pODU!7ciiI{jlMcCG>f3)Mc1-(*hz9;^=tYqNTr`PU7!QU(#t_jCzbM>l`s*xD__2IKwye(LV~LPKom@s{o z7%#TnZ&z0rcSHV7e}AGm_&5D1Nj4`xTq-VpgZD*Q!Vp;WjzMSt1n{ghQT=a{#NS1Q z8PvevR}lZ1R9yU)`upk!?C*2xZ#p%Q4QqcbmGqH~pGBg7KUN=$i+|sH;-5;z?@(Nn zO>_F<$D7l4FTZ_%{}7P!A=a*4D*%%%nm31)g(V7da`5opeXNs3s^p7tA|j=4gt#!b zZt@INELZ;bBT|x3X-VZ5kf889HAP(DU(QZ7LhoP^oGa;Q@s`gXVbz<0-X4b?R&hNJ#>Y$vQRUdWYhfgQxIVD}a<7 zsj?*hyazT+nT5(_%6*JTS&)yUlw@q!^jSno>ih2kDW5;brp=p$K*e5M4fXYrlaYz! zXV0*i0hZOPp+WT;Fj%FGY#NhfS3hi-MM72i3L=Vy08-}VAtmhvwyJLvio*INi0SL< z;?>J^q&$6wZJW0Ug=xL|^|9B$KnSj>=`XR31=o^@l#5m(i-faO2d|G2DXE=$`wWql zn?r)`OYGRW^TTD`Zw{oS`878&K^C<+J@ti%9$AxqSF2JLXf9li4EI2!6KO11CZdqA z*PcC8*H=ZPq-S1o8(zJFmX5Xrq@;H9C1Ih2r?!;J=(NeeNQp zx&q4>QZ!*ojbk`NI88jQfNJ9y9L5v>6-rvq?963O8Ghl`%tZp1Uz0e zdI+xDS_$P2{;r6WtdwJ*!Bu~}4?l+jTN0MFMoagn7m>qc54~I>98eY&X^+M#7@MS zErHM0G1#lv0aKe*M{nBGI(3yt&)K^$Y3C_e9COA!e|MoY74`j5gBXJe;)$+%B0tm_ z9%iSoZnlLCNU4YZ-S=Xuf(eW^AIDV(7rePd{b296|0jTizPsp4M9*=w%6J&M-apy=J>=*9A#-{BsxokNMYugvY z7HIuBASI6x13cIe8)!9++Q87zj}a+5cSoRs1!cz29=9JOdPsoJ;XV5x(CiQp7Kb2{ zgOKkgDXWH$Qb0fut!GMq3P?$975U*|7$sW|Lk0~JeJiFmhXw{=v@C+pod5q;L`tdr=-7)GJ86ojw^hAbIIw9I-ZGH# zHm$Y4D33#TVH$F%m1(XeJK)NkG&1IEtBV&bEkdImUq zz!ae;&2agYAub)>jc~I~aM#%gYdSj`sqV%REn@_pwZX%1cVx%Y+F_>!e*#FEVXujc zYQu1PNM&qjNpoEDS5&W77nK@!L$m%r^L({ZT$86YJCMVa4*pP@~9Cy2=+e%nxN9?%UPD|bQ5 z#-lKKu{sQG&%-?;5O*#H;7!RH;Cu4f9|cIcWVJZM^I4wH^xqFiNwOKqLe)vet4lJT z&XQHg^bAItt3P(kS%exDDxpq;`q191_ve9>d})bfE0(=9HTH;zCFw-$v_{Bpm8)RS zq7}&S3PC#6y=T#Kk;hRiHCTS5@>%W@KuVJ7Shm|ee<@y)yu>me%YL=#`5Gjv?P5nb zB-e4>+y)`nQJZ$EZ%5kmWMt4>Xz$TP9m?mn?j-p(ErXtC`G>}&4%JzM zG^YD4EzzdUr_ooM)~yf2)hglwb(TkvB(v41DVz~)o4*99l>f>r6nmGIlI5t(lxImo z=4RQOWpD;evbR}|Mp9Zf6F^GJPkur9dATq!HIX7x(mL2YZ4Qzhy^!M>0>kC&J_0F8 zwtt?IEL{#alDyge21rS3mDg;QvgNU1+H52_c_G&~9LCfaj)KD8UImcyIXy1}O#UvA zvPlyONU5qSE^2YUshQb_d=(`nJbm~G`LD8JZft_4l+VU<6%`dcdGr|h)E)~n^AGvT z^!#Ifp7Yt*-m0b0>nM$%g2!iFghMS$^a^cd&xOVNT;PITY-vh}7#dw}y z{6z!NZzzwbKr$Sq(|gZ=I5zZUP&t2<7|i*S%FLqjsQBNcqN4Y77Q6wqHD@t;kRgWm z(G#BCC(4_Cb7EkHf}8M|fD_b=y*?f72wIgnD1 z+R~sw9ctTuFl*NAKNXR(sHhmPX%A0&ngiDhVVF931=!W92dx1o;Ky(Y*(_ zfBPO1t|SoejQ=9txR!uBH*bnhAGZh?YB^%XJZsFEv>)@QT4BkoLtjnH=2&C-+#^^# zi=#UmU}&Fh=-+h%M#}BRtO@4y{ZXu1Z~`mlAIIXEhcQ{f3`2YCVsH-~5ea(5{9{mE zeHI(mI6!Hs9cE25LaS!d<;kkmex_ zdGD?Sq&#bbHk}wq`3qK!8jpvk9P!386elva{Xo{Y)`JO&=I^yF=zItDTbAL1SE$RbtrUQk0BkD zA=hmi1`MQqca#+-O*)KavyVb`!7-@JJC1d8PGH;8qd22+05?yXAl=0f_s-}e*unrl z=4QBLcM{1Fu6TRX4|qgve;5Enoj{7|JOq6WkWyn39LChfjhPs`r!o7Ij_gasx;%D5%25}+4S0!kgLK=GHKu%UxIg0?sz`$87-e4gT&i#u*RTH(IyAtd>n zLwc|)a>G3&$9`OXX`LoV4!sskW8jF_HXD(;voFFHG=a*{ZfM$N8fwa^VeWbp_*^)T z^sBxoO7O$`|CfN2qf14kbaO@TUQ)E_i4(?uh)8L7?i@OI>>%>z%$`*WobfwA%7X{c ztYr&SEL#?8v*sg-_Su}Ui!e}9L;dPCg?^JS*8f!?k_4il=*lE-bty>8vZ7OuGd+Hg8+=$CCqO(hb z8Z}Y1Ms=vu8HIJ@e+Ecd5|Q#g)wi6TVo?8D)7m_F{FqobiHWx`cfmYSPUnV=VZU9I zUXU1EJ#-3FelH^BohK46{R2SCBZm*81Dz?k{4t{x5G-?uTaxnmTw-F1#w{f9>9iqz ziO-uzw#UySQvMzL&+)eB1zrD)m)<&0yp-1N9Y-gGTAzj0)`M6#QyktD$Voc4*jZ5=Jc90VN%4oU%EO8~(1yiF86y*ipQ8*T&-m%iz4Y7u35{#*{{t z(XnlR)ElOZo{LSPX=)>$FSu`*kwH7P38^YM~F#OJ)#vRXdNcOd(GnFl!QO^>c!3(c5NcFZws^58}1v(=m)Sb@i z9>OufhjubQWv2vTbQbeFfP5Dd+&!@m!RGsjwOSzJ>?zz1a6xjkH*$!V7O@SlgwIFO zIEFhQFUSGuRQF4t)w85GA`;dIIA zU1uwj8&2Z|we3}y2i`=<943?=XLj%qPiHJ@>pK?%Wa*E^jg`${GPW)H^caPT-B)4Y zTpb)favDzmsSHh53G_hM)mCJ{g4J2(S6b%mGtLDBEfqo<+JU%Fc~qIX{eA2>#P zUjpqvRO%(MC%j9a^+P8LD^5sByT% zPKmt3q==ODiC0tmm1fPw6L){)gB9U3%-&BmQ5^bSYD!BdzuaF{G2Who%# zrHhy_WwPk2T*oe=@1QY=J#LGcBR{<>#(e%yN2K(RDix8k3|5byf`=sk7Ptq&Vxtf_MU6v9u+(K`2e6SA&yANkt-wPrHGUR zrHGUgkWya&DPt^-U?kr|qy9FawQR8ekN{G$=JW3cQhpwha*f(%RH{-H<>|b-hR&@& zN<_-@G~YeCbroaQrhP|Poj5D(WISen1xT6l4oE5dI(k9%u||R^>h?X%q;r~Bza+aU zDX+tmr*z)>0aAF-9jLB6TPh;u17Vl`M}U+xQ6C~wUQWRDd5ee_{37mB?9tE=@7%xX z?@zRD|4lzilFi8vmx|xNK~Z6*%wQ&gzdkpj{k_EXe*{t%zyIsN!qTbu{aY%RjjSA{ z@ki<}gYMF)eaw3Pnh}eEls|4CUy^XZ?Bd%P>Nph_zr*{&S5*Fw++Uf(=3Auy3rKm` z8n55J5fLfZlmID7SUPBZ2yYAD;qkMlSi4>sW$A$5w0aHfU#p5YzTtRc=Zduxr=r}i zzo2RDI*GZI+V&zOUXWvQ;_&0t|_ih|5cBt3YD_3KsWFj^T+s4jEW%_s=Fge1=ZsLfq~ zO4Q~ib?U-wohtIZL-G8)J5;C6LIpbbH>^<;CTrGz$low|1_?30ph1KBFxJ;cUg`@Z zQ`VkG`8hUkmLga-Y}61&#>U8d zosZP?G;Gs9)REHlQk%Q=h%Z z8toI&D_*=z!#4G8BCL6x8a1$Yw+3>OQ;_!X5w;1yXJyo>Tm`x-*CIP83TeKf*s*XK zs*}*h5kL28X(Ri23SK^XjGdb|qk7e9B43XLzE@e9NT>35mI6}h=x9@ZmdM{#>Qb(@ zmKI*o`15Z}OpJd6q+}(w#ePfVnwj+_rM% zMZ^&e%{?Sor6VhoBTq^|N*1g%Gd1KV6tA8=hc>mXb}8Ux zM*2(9K5jp^m-D&(+z;+gNxrzCOZ}DdGoh#Z5lG4TFFDG{t1Rg1??vr;bx`%!GEk@a zO5;e9&c4u_zYKLMR790(Rj_S`I?`UGB8LH!^n3}BQk~}OMQV!3=XtD8^UT26ZSxo7 z1&u>)a5M~7E2AC>RF!G|HgDU4RE{#jmvy-uYA3H_H8oW{PfEhu*9ACp>I6m(8HoNJ zT0(o-Ogwfz3fu?;5<^Hr^ML^QeV0q@tXz|o%}Uy9Zg>}Hjgn!`ggfAE z$YJEWYU0s>WpG*A7u&j4#pDJR(6v=hG#s)9ofnv3qrMGdJe={44Z*Ao{zC>a*;rlk z01{l07vca9(-T-X+gt{u+>8F*^)YRfF-*1|!F5*$6vflCH|cl(4dlSUz*t`*H!9zT z+GLCZA65AOlR(N6x=eF&#aZw%OE@LHsv~_U%%N{sc8XocW(ql1R*7w#*62I z%lH6db@Q!&lCBBXQI86X4*^Bod0aC_^OJ&7yzTkvjvP(6SCQiU5 zHcU~zzpoEQ$rPz2#6Zer#DA}!yFhKYPVbc&`nKyRF7`~CIt3SHzjb%}2&81C{83weK46I|v zk3*C!Vx*^sJC)N<$}U#%Jj|js!Q;T+mC*EQ(-0*yREC9y zK!JY4`NIYdg1;-h2YCeur8bO|MeiI$ZTAcCm!6LZ$0*tJg9ggMm&Te?M0hyO!ABsa zj|>>eb27FRkdo(s=OU8oXN5kuZBYLK@OGj#Mq?fo5C}yD2}H`5qP%ELaEgkI#JG}3 zl>-LAO8_j3#o8$WOv=eg087q~p?pP|_uqbf`iM2kDJGhpml+b+KmGd|I2MZy&rZtZ>E2 z7SAI+kb8~Zo14^^I9I$nuZ{Sx0#fe5B^i)XwFQl7)n8GuVtrI>(iiO%mSB>KK6V-( zhr5F#9tS%kFZ?Xt`k5lrb`vgZPR4<;EwHRvSqy7fA1%95T@!X=jH)$`9=FHyaCQ(t zdp7g--;B-YR5Di7zU%Zm;yrgAZDF?Q5T=e~Af>)=S}>e=?%Fw)aJD>+mkfwyJ}bud z^YZ=xiD-?I5f#yAX&vdI$Z;jEXpVx`;MN#Xr8auh?Sf(B*J7cr4fdY#p*@N{$NTOiMg`fyF-Y+a#@2a@#o3TWn zbVqOOnX_0J32Rb2HFR{4PBPjjKuUUkH_3Z1y@HWJa<=A@m8f00YAGP)OOowau9E>N zsotGbR~nZ?@{hIvOx8sW8l#OQdov0`BmT05cO$XKLJwG zS~1vXD7?S3jJ{>P8j|llKz4E}$?$uHEH8kR(`7(PkKo?|DU<&jkkSN=nl=^XY?v_@ zN%Y?421dbnttuMTs3nU?xf#!7&wmw=GC%)QASLHhd-C7DfjP)yD_EGD3w@4=NI7jb9=rLWAR-QyTXvv%!$zo3t{jvm&-fHb zS&M;`6|r#X5?qVFCVOcm!%ylPAccrO*&y^qqO&wdp?t~4wu1M#mzs|&chc!tl|o0) zWW*$-AohCdpQae1=md!_(%Rk^DRhHxrUzcRB_e*PX-6ar-f4 zv^i!^w8Fe82fsPZoqPaOseA@jESq~2r;mi=MGBjNrNkHM`F|V{l0Vsa_pOP`Wq>Kq z3mfZxH&Q53X+YrHZ>X;PR*5yn1%<^(fBmt&{Q0H+{9RPi4*omq4UJpTx6^C?o4!5~ z@A#idvM&G6CI<1agP_WlD`Cjsez3PYEbK4R^^Fpn=HEl5fs_yKU4)wIN>r~_h0bd= zv0=kTaXIg6)1^z71u%!td|f)XBc82`SDE+e8}1+5yT06(??Dofk~vBNGG5++{@$Hv z+O#3cmMw>Q^X4NiPP)|fXVc@y59pkuLg&U>Xx_X5w6!+j<%=8i4KXg!U-riR;&+HI zm@ubH$L$+8V61lmlSi3ia8EtR_tVF?VMc#~jAdkmu|xJ@%wPkI9%MkDhQjee$@hw~ zPj;LzWt2J9YbNqW_SeVozI*9;GfW&|1%-i{Xj-3jIaTRgUt0ieuf~O-xQLwvXCXf) z2{~C${x*7B!0R;!`MJ+=-tIUC4wS%xefsppxpPwV!EccyAf*YKw{9f>04v8#z{9i7 zc+Eh{^;^U_pB<;F&6tDdj-JTz3K0%V`K({Pauw`cuoN#{{gL4oAY>AbeqWcq+bf)! zh9Skp4;yDMK;;S*(WFiZkTM*PJ_k~sI4&-qGGJ`sf(7DIYUeJUan8;T@2Rg>6B00O z_ACiV*{UsEwe|ra7ZGJy3P>qGLg*bi1^D}8XoHZg>+EgOfWn z(e*Q#sB!JOFj%RC43>##Otcm(6SAEEQj#2(m7b1Nk_Wbu94ef@R;v!<6-vnS3=vLh zl_pO|`Lbovg3kAcHf_gSs^_k)GnR~-DD=-Anzew<4lNV~#UkODEoKiHAufFO?Ar$y zN}QU-#tQwi&?OF%fRwyeJ_k~Ug-Uu?FCQP_u#fX6^&5yw2Tq_kI2I0?`sm(T2Bef9 zjq4}vQONz?vJ34SG)9?nWwC7aD%^h{0Vxk2I3O+uvpt&~#XNiZRLDuJ8(;@G)kuEd zK6@cvxcDMd=KO~p0@bcxPuKz3v8n)4ZdV6K+-O8=$ZV||^8F+6#K8mWCQg$9Dci#O z=uuiLZ*k`iAAyvVUwYmIkW%K@&^N#zgJt^WalQH>+Tw_Coan5v7rk4y!GCBS z%$Pd|*An7IU3^))Q^$^Cy-u4s1JRdCfRrRm#oYqJE=xelT$#P%3+dUjr`WVv>)xY!)PB>Fvk>m6HFdvfC+>3Fjh{7_ET*P z>#L3a-L=uXt2Ras(89I_n()xmK>Xp|2r}A*<6C#(z!qINm>tALR|hUBWF-V-4=Qw@tZ?!|s{D}>rw+Hp?eo2 z^z2Ohj`)?4>R|y`72|({^}f_M24O}y(3&}gcYfALak4`ENmE2w>*3-tbzC~W2A;Z; zp`+LyQ)`w(zjBq)rQIO(nyQBJD*LcU+ZY~}hPZUX3>VKFL6p5M;@zB(9O#C(+^5Uj zCmKHne?(9{ewHY9+>NJ)Hp6|F8cdZp!+g6A9FHBt#XxsFjPb*(i+(6(JurhPBWS$^ z9mOk;gS0PMAoj>UL?6+>#zF!wH4#u#Z8n|0Iy|pgv|P48gCo2bA7deji9V3gI#!yN8GS2YVqq zbB&9WqFmYA+oNmOt{=b|H;Eq=%D@?=fs_vfko`6GA37);Z?R5RZSo8}w|7U5dysG@ zUB7B|RIgPFJNIY^Cu_e4q)d?kDOq>LIxllGGXY$A^Z`g&RsdIy9yucM>N~fEuIX3e zS)H3S$2sCne98XSX$P$0yGmuseli~8$Bjb>jRS3*2qk``ATQZiM~)nc;Ls2;9^Sq_ zkdrxn6?RhMXUx|wTyR3qo;}2#KAX+~3{EU6Duj*gSpixs^Piuwbd)0QopHk3fG7dZ zXhG+V@|7w|KuY3A3|28VH$%gwO@#iBBUq(6`wB;JT8mbE9MHXccd=IH%$bAh z3^M%;NV$CZG68H9y!!BA0V-i9ZR?4zK0D_|`)M$Ymamf>kCQyJefKV*8_)h7ASDBY zN`RDne}?bTux_(y)20F_#DJj(bT;5M%4?O^EU#T&!#5LeVcz0J_=Wa~u5{+Ir?c04 zI@81*I)%yoB-?PeKD}|u&K5<~KXKIk3LxcCIv+6biq{a!pFx3=4Y(w+Uf0-+`qZW^ z%KZEjW(^-ed!{Mz6?%>x6VN;T#drR5D%rP~Py8M)Wj>Nf<=yZR4h#*x1 z7(_gjdFe<~Odh@u6Nl`@xPiJD)nA8X6KxFaDe=;Qy|l1wq9#tO??K!_4a8XPg59oN z*uRnT^vn=)?kw&EyCdzA9}0+{=7*j~(jhfOE$IN8A!V_qe=Bs6{|KZE{XHP%>^3mz z_8-iw{}Y;5sf6-1nxSTg;pjGQC8n(21FgO0@Hl0SYYzKy_pAnP>|X}|ZDXJ}x(y~b zs*GNA{%zM|EV|9p!nEC|aqRR3B!|%cE(4zaVS8X{`&ifsTKF3Qn`ubYn+fB^s+dUU zj@~^?(5;gphW0VQh6Pp#K4d2W920rG>8ucQ5-)8wBTjV?Y)96?+SZlOrgAO(T%ir> zc2vOVMeDIdopWsFT^p^7X*;#1w%eI{YMWEHQ`@#}n^W7iZQHhOp5ObOoSc99Bu$z$ zd6K>Fz3#QHB|h~X>QTLWFNPr(5p|18FGTDWu8y3;z(;m%Msgb9A6v6{3NO}CeD6+cgY^MpC$FwdCF)ZSA z8~e{b`VTOcEDIqt4#|$A@C@o=RV(F;VZSnhtgH+zVV()zYqI9Bd4L#YK8WZ zo+bl$g9{KkJpGv~*~>S(;tcP$E^1P7-V_?TKb z)Mbk$A)=e)092yad#(T@<{J9S7Wn#eZ(-hf8nYi|DwSPB?H5`9-m4PeY|*CI zV?vS17;5t|!QE(A0F$3>RpoZ&z|Sn=4xYq7m%y3HH?jmUXVON%YsRkV$9%!xi&f&d zlRKux0Xc~&Wak1tMz(rb-1Zz%K>jHQs!k= z7q2FZ#Z*pL`3<-4Ehcxo|H8H~NS3eA6OuCqQ+nR2wML{tsOB~$SS%ZrzKk?FNopd~ zmoS&`P@M7VFldBZ0LW@F(OF+ZKj+hb0Njg~{|CU8`~su$CY|2s;v40S|Adf70M@M+ ztG%bk$nCU0`}>MyYJviL0OD({AuKMtK=10CvzXiC_p+r*B&b`+gVA2I0kt1*0oyGV zsEZMDKOnnb6My#FEpW6yA&7)!z$%_pPh=javRXw5Vy9AedcmF`Gfe-L=kHLj#q7BK6Bv(#W>;@`B)Xjr0PVUf74lqy`&l()xRv z>{pD}g^%>D&zO>`G&V2K}C>$;uwW?hqpn7a2 zE6BfV+1~1f+9nQCIhpKezUa3TBz5n>r6sKGH#o{%tX0Bz%3O>H?%zQoy;$#)M9^)Xo)p2+#ZL`6!VE4bTY(-NcF zm9eG^9_Mv?>dll)DwG7I&kgsrTVc2l9*PwzILv0PnV6Yl;{VZ(?mXJNw`}}}wtXrh z&3YU8IBOF-2Ss#@degyB(AnU)lSEV?#nmBfIKLhS&8h*2{#&j;lWMg)O-H$)hvvz&Q zrw3v~Aq&P)O%$D2fC1=t=dqXJVL4Uge>H4d${ieXIiz#D)uEP{$an9DgO(R78AX~b zqmw)f^DderRjPZw7e%M{E74Jq2Dgx~j)Dg=SW!mV3F#iI?!RORgpEP3H*iJ?wJErq z>qbY=v!*KiO_1|8;2Ry%1{fUy?r(>E_G${44j)*1r^*5q*cY;$FJkw&U67@zMr^i= z2y&g5Vo}!uMDFw*VxG{vp|}*9>$91VOIS2HTV3en4yOT-ctts%F;XdQJ#e&9k1k#V zP}a+JlsIplY$9a+Fam#n)YinWrfi3_Z`WPZbNe~gP0*KT?En(fT!pC3*Z`;w;Q{>X z#uuRIXu06H;n8W<#pt|XQWRY!mZHOefPCGH3-K!sq^eCYq%PKJ)J0)3TC*B4#LTkz zB+)(uuicBT4u+jce#Ygp?hjGNAaX^u4td}S(B^KJa#IO^EO#|+39 z`>Bn26#7}5`z|AEoA4`)1hZf4fov=G*+N`m6bZu{p<*orz&inaot3iWZ$RUx<wf$|6qc4vGm`~HD_MHhTt-_MQK zaK@rP{~~@R19CxATNwzG-RHhIDwQ^v{Hsedzm*RFoSHV$D0i^!8&ViOItmnB#XRYa zu4g=uV2|~`|s|J*MkQiV7Pt2d-Oi_tAA;-UueA*C^9nMLE z9+KL3qw!eaX5b#(A8~t(Eg0IX;JU>RghoaY5n#G)RN3yE{a`^LnC_yonF?#ri{$touGDBw*a1gv9#i!}8tE zPug&*^JnE9e-V4)Wkh0mJ!L8RxqxE&ISMCgmtdykN-$*CH`5 z<2!h^?R7Rk!7ZJLs2f6aIHLk3SJF1@=b_UNH_>7Sl03*LMZZDYIm5UVhZX z2YfA{*Le-S<`GK>RYbJL+3D%jS(!!5jBWwnzFjOdpmJ$0yK^6i2I`-SjWlo7Ns79# zamrgURBCmGP{mV(+PTo&r5<`{jVYK&*?WC?dG3(ino4$H2HNiB+n#B4fv!87f%4BZ zo0&#JYZjOk;Bht#t<=?Lp=0cLPD%>3ruWono^97E!$;HMW_KjLI#G!2HhIJb!^c>h z?>T2Zm+zy!RiPw{8s1S{6)c^bRB`(3qmEu{ui}t%m+6?EaU3tWBBjEq`ug56SF2xv zyiaBIb%0sg8yY%7ux7VqfJw%S=fWhh^&UmdDLAdo)9BSm_D2TxXXau zWU#rfJ90tPNkP#&=EnlBB4rS&rHPt=vI2NUl42Ub(mvvN(?0!P&7TJEraN?fna&vZ zAku9qXH0#$4ntGwz;}lZlTvNM=@7NtGctr2y5r^2w|8B^7v#~X1Jo02ycNstzwq`c ztSNtA$A|0K{LK)*_%&^JKuEy~k;j7XKg!SB-1bUxB&aw(HTssmoHFW>^kVtrqAKUr zXYJ?u3MWEqABVop0Qs@R9k*L4jADg_?eK39j6Sd!0x3i9GM-QTfo9Y!M-c{pD(l(K z6m1E14L(M@cTbI`#vaBOhAn)t7Rz6yw-9ryj@ez7P_?L6LwX!QhsFng*CtxspiaPbG1dQYcHauPjLUu;KUy@y=36 zDKaGkjrO2>$)##_VW|WXV);o;KR775{55w0{Y8QKQWa=saq>CB6)NsGG{%r`F}1WK znu?QFhDz=2c6eCI)&lk)kn@#V;LKu+TV!_;&+5R8o(V|vzf9&-7D}|E4YGeOx2Qpz z)+onfIcL0fVmXRsR`4HOspJJx7{kt_nRLhMbz$!>_}^b#%jTi9Ak-tR#a1aj@iTh-dA7_q-n$tSa*k$(0=Om&7z5g zg$iC)xYKWT!bYCXqlr|)r?Gr6{9mR8Br|BrxceP;A^Kq!C{>mq2vx;no@w}DvDjPC z=)15B3U=Uoz*_Zk1C$gF(~sns1Pr=?y%3ds1$Szu`ss+sv4pC63wC_%&LF>`DV-8) z%7_Cn9d=U={0w^ z5(2Bm)?oy9+eS{o$;*;SGzC*={fRXSjrWZ zA><#ttlOa;x!%4B+A{wQ$mK%qd*0+Dt?#^P0F9GJkegbYhhSAr9U1S0>>S{lqID&# z=zZe9;`5CH_{ixON8Y~`YH$yhqt_3Of?5d4B)*Vc^HEpATPR{97(>Tf6jZFN;Ejz# z#Z`AXxwS}Em7+I?%%W2Iv?GsoaJh8Ce+~3#hb+g0)JDOZwZHdi!9x|7W%N`|G)`~o zel6rTtsz6EPEITG1{>Z*(C2Td-5*yY=?7c)yef&7csOI4xz5>NPH$X5#&>L1=h_Fx zR$~06Ws4%>cAPHifIR$D8#E~`bH32-gB9O6^UoX;djZdfYHz7`b{WAc3i-Mj34mYd zr1PRaH^tU@vbAf{_MB*ZXDKnu75*)LM8R5(n68@j5s9MRKgT*R>M!yj{PIhLa=RoF zJj_Etx50`VTd~5AF`>(A_eXoR^8ikj4rZOd1ZaLaTqK0#_2@wI7kw1Jwf7*q)pB8&?e}3 zyBtOU555iJz}4pVwihf@xw87QTjEl=z-Zl&%Tp@eSPG15CH6=iXL6MQ`UqILWAYd7LJL6RIUW1i9Y@wrk<99&K(q4Gp1 zXKMu3Flf{Om`?eNz3m<3h_Yhx#YucU4=Lxx{s^j#-0>S#4X*7A zB$6AlSi8*E5%=vF)x*ngi;Z_=u@-(QG;tXo2>H!6d)QyfRirPKxTlI#uoVY$v8WDF zDM$@(JQHM`qWT%8T*%(F7nSv&_5FWGSol7p9LtH_<}i-YI|QHJ`?sdJG`4kY&^aWA zvTGhOA1yhc>niSB7Qd&~HR<&gqou4l(*`xBg+)aUE84l`sMKKLB4=&=#A*UG|n{`FtEo8b)MRhml<1FlMv1#V)52;+{0;)mt>F?l1lXbXQ*%~R9{=W zihgv&_AqFJPqvBe4RUZtv7m{c{WihaWdBK|;tb9*v53MqT8RfgQ_z9`0}`{BZw0$) zic`YgHr~rQ@O989Le}l8-62LlFUTf?j6TnGlg$!tQHB7rLG`1?;tv#MeI?+W{|acW zKP*+Yj8Maa%qv)TiIBw%E{Ok;!{W`dShex30ndn@g)>rCR1~^;IJ?iB$qOu754QO% z`*~kteLfYUjy;~i`WcQor4xbU_0ZLxD$E8~3pei%= zk}7Jo*QuD<5+SA3h3|+bcCLM5)^y0~LW3R2pDSjF(>kY}{v`kJth~X`@srnV4w;kW zL)951>3BSW?mQNAdA8_LVI}zZl8bm-+3X0Mexifn+q@NIk56U35a3YRZ~2kOZI6Ub zX=^)$bdeZqd+e(#OXCYogpl%^MEJG?7`|_g45roJm?))C2crr-|JJ$(LcZ#Uq#JC- z5wKv!))Uy@-rPRx(4})2GVWGYTi$Y~Pp;*uvqFot=zMamOSMy7LaiWWSpd8FO05R@ zbxjwUx2v4K;Qy+8a^g3bY_Vr8GHzA}qMyfp&R5C9FPJa+1ufzm7#EUIglCvZ0wJR0 zo~Q!tql0Zj2^5xMN@yF^j#XwIt9Had>)V@%QT-_fgBD+udrsG1#_8P4zE8ee}>H1l{4F`yggS!ErJaBbMO)iLy))6idcgY2lj&$L1)08(6k zZ;$5OEq$uduyO82&DP0|PX^L}zN*m8YHaYZe8SWr29!#fRy&FU@hY$R8XkdwI|995 z6yn(F{YQRghfkB$C%tBC>ZJREY=aT}`TTNV`90H*eIxy@0(P}otdKLBoFplnzSU6_ z>pS}!zvtxASvA|NLjDZ3Wos+HCu`XLRgFGlJhifRBiD;t>`qDJb_hv;g9y2i*61@Q zZ8@T4;`jr#RT5-2N|ST9{=#&IH}*141MQFb4onBs3AOpVbgq5R$e!p-K{#+F6tO+} z1KS5Q^ctSU^vz^+JtPEe)MT@|pv;S|dJ4&crnlU_FTNJ(>Kh@t z?-oS`iSBgjlC>8$`fS8xWwR7xwf>~$n`3{g78-+;vuHYKO3#r=F{K=4G;JPAU?a8X`Prr1Rm|vfP>Oa&n6`t-^+VI`=mBuK|br(Ik44 zh9lefs0WkTlKJmtjo(cu?DxBSK7=(E*K>otr-6=p`_|(~y~BcWg}jqL7?t8&wrKeH zD)4s;rzuS9NZf7MIr^NQ!;V|9iR^F4fA-V>6Cr2+(d9CzoRN!%4V8vby}yV($6eA3 zbmG8jMNn306Mr~QAA{a4(|GRPcIrxeO{~jGq3T|Z*P#pL1ZH%D!jRrY0)uQOGg_&+ zVs@o2O#DaVwWAHc1pPvfahdtNh|?>!uzPA1mW>s+ywp*T)q-OA-;}U_`bj!-MwaP~ zO@b}~1o)_Qo)eR!d7(#v(=D@_;HE=?}q5GI=zM)*#$SER>n~vRAM{Cqc5r6EL5NinS z8u7(Fx=__|} z%vhTAmEi1fGAj&%iWTzQ1V+{ND3ro*!}(>>Y>u7leFikLt<+PEH#pp!K7zN4HA6V= zDlCW`Q2&m#yk@htR%ch?Yi zJfFWoJ|2?|I`$p|tPS$bv~{g>1K12=f2UX-Xwln%H5 zh{l+)U2fH5F8y2ir&g33(|Rqm-Ms0VG{r#lXZ(dBZlJ1AKK0N3jbOy;gu{Heg_Rsv z*kw%2yz519(q`9i7W*~#>N{&ESs{H?9OoB(Ai1-#;~C>`u`XJ^0pT`P(8fk~4|IM3 zX>)#(lL%I8P<%+?Y(gGn^fl)^=!Xisg`dmKhIa%|@^RD^l{Gk1rtd&ESboI2>g?aKt(l-6`g=l5;)@ZT`NB!>kI}IqKUIaiyJ!pZ?s2#z$9v|Q z|8RAr`xYr5-aW*Oc+JfzpYETRd<^TC~V(o_gM!g!5xxu3S~^GH|H-)&B`2@j&b zwZ379y%^5|YYPBTVX5o5Pp=gp^Kdaw?P%2NeI9baDcvLmX7++r>YUJ^4S93q z3M8u4DyZS2rz|pRoYsYM%FPrju&I|yw=*6ss>)vf010h27f4zCXwAim6^^@DTsTDxB<=DVm;&BJzRcp{7rh>b`v zK#_M6llxPu=>J)}Fq~&Q>~Pmt*%B_>As{?o(6^uk?zwMd3>~;n3nU5XwoXq>NUjnS zy4nDova&jCOBEwT&Fo_n-{{|EYg1p3)No}ix*Bt+hYmg0Q)AcjmCC4dj1rf`R5195 ziedrJ615UACa2Q5I@95i(SXLoOn|{9g;Fk&aJuTov8zYpzOS$U{3{w{-wlG_8E}7v z#?ygb&8+$>-*YuZu4#ki??2k}ssM-{pgg#xD?Ll{V_ou~E_+B|!)kOYfxD`#NNVb@ zAW%*DIuGOuT*vJ1f;Zm>m=L>i1qST&v}Whz0|b_02IJ{O?+iEaPS;|j?*<{4JrlI; zsGQ%DXog)f1KuMXFip&JogPYyWgnF-HnYQ$>!VArfx(8k24;{rdY zBnQ^mwD+M_azpqNa6>~QnaD&&0XX^}qG!u)JfU*>_G3x!I^AK&W&=N5W2I**_?{>P zX8H~zX1G(PZ=OaM{cI2M^c?2_IGePTdRIlnVDV64Cs(_e&h61of^rGJHiesarP$(e zHbq)tWef)d3U7;N?IFl3XHhqOu7q5P z&%)?7J+6a9JX-<4@b>Rv&XS-b&I2T_F0#d^GavNG;2g8F7R)+X@ZgiCCE7RQ3pbF> zcR4~b9O^1|1@B2Bx@S_ZKL~mo)N@ll3FP!^c0WRKp)Y=Z1SJlADT#|sy%+P1N|tv! z=D9u&rcJvTG;!P!ovV%;TqN)jQ^b2M%K3pLK;#0-?%7jIVD7e`$o> zX|*FvVzTz@JV@oMTyq&;)rq($;@;WD3!vC(cRFeEhK-3kOiQd3Z#p)lN!V27Ddds@ z^2GpK!2rWzk9>&E%O=)HF%svZC8!kWK6RL}Q2mdro7r{=wn{ z$>iK;Sm7R+Z&2N&<^Wh~PMnET^>KfN$n{$LA6tzr`0v5j?4_JAA2k_ICP6ps(1 z^#>WP1ZL`9a1!?~%g^JOIU(I@78K`@l%&f(vdQcbNE}2{hbppLUW0(+L6F7*A|HgJct86KYW^3BDoSj5eZSmo5{*loGUk!{mm2Nce1q zT;{2%y93e{j@Yv$C@-yg3c0W-xS?eRlmMZL~7wFodjme?xT_gLy{KKiKi@NM=`#jfF6c*>^{>`AF1wd4|=hH zu0nfDtXKB_&GRcA?Rs<4kW)6Jekjm(REw zRm8pQDxx7sG?X8Y;oG zxu>S*@RnITYs006paGl6eRvIT)6*9KVxWB&zxv{h63vTzgG)N?qRHdmh9IijIC2}# zC&7C6+XYR8E9`}OYDf9TKd$xIdC3&Fa;DiWjnH+ANr=u0;x6ZbAy?R4v62-m(v5B~ zZsN^m^8svEAN=~ZmH?&&uJTI#8hGj2Wrc2`3kQXTB#1lFA=QpyKz)yf%pz)_1pgdiaZ z>B5GBz33)AwnAMjMLA%-!g2ah?ViC}sSn~b#sEEAjOr_rM4p^NA2ws-1#Zh{^7ggW zZCHAWZ?uloVne8w;NT8RTU+~U4V=n3hI;6QwC&i$jOb1ndp46oA1bkL21~VYW^oa{ zd$;l0i4nF(9;{2J^AZQaFuViuWHUFlHXjt>m?tgWRK6 z2yw>+mMxU~T9Sqrl5-E0C7&#p<&n^uL;H|{lZ*2lxbC_F6uNv{X>oVo^L8%Gl+=*# z_5QRH=J_$55>mZr##a! z^kOmh;L&|7;!zk;^%|8fRiTd(l}@e%4$n8aWFrX69;T(W!* zYHF%Eu4R9F`zmJxN`O^?Au^!s_bddstVsUC@}3PnV63@0d7_M;oGsrlAX%6@cdqV2 zif$OQq&LeZ61iVMjm;z~7pGz>Jh+3{=v>pEZOGZxi8a*)ZDmM8I zva0sB3)aw3BH^PH#2UuL+nw%&Tl+4hokqltpCR)|GV7fC@ohR8-nrnvi7_khzd7>n z|A$Yjs?x=}oH5c4KJSVMP|nI*L%+dpb|G~SZmk-25_lpK8fsawTy9Br7leN{J24|o zWex0IoRMZ9UtMiIMTxysUNdKMb&|beJC9&YW%loEq#57CYvU8S$?UzkD~@Mg&-EL7 zUH&HJZV6AjSwbejhz|I5(`-w#Q+D!s(dGh#AuBaIVZb#>?vGi%GncXqEzj87942t$ zuX~E$#Rk9KyS^DQW^zf0+R3BuR`CRubVjp{!CmI~bFZb_KHMs(p_Z`OP2+@-IOPb| zDFJjASfhyFJ#ngwDjaLr;YPTm9M?@VajK%#cAKWunWmBao=JHc|5|s~xgA`zU0fuh zsyl^u!(H57-HMgF8Y#VBrjf;J+3C`o)GFjeI>ONU4egy0QjM4X|v^IxTe439-}gCGCChIk$?k<0Xa@94sZV9ita?+_)mHWPC4Slgm3(WsV>e( z;;1&08ZzP}XS@Y|@Ej-8bfd0*Lf+j=tJ1I7^b|21b@EAko`_3L(jzkjgBT#YFDOL`{MJusgx&*K3(dlLIcl1 zisj!iD8kK&Ht_#hayvcU`sSp-+@lkLoiPP*p12R34 zjdkI_iS!5x$^iMtMtb*84d`Kifpkts+=?`2^eLIt;t1muLnP}(nlzz!4F7lDR-`aaJnbUtGd85*wGyxySHjIE4d=jt? z!6%Po`r&@IE`FH8{epD(r*W_pI+Z@((Ui9wMH=A^0#@{1ApEBExV{&L4 zDmW8o^Fr}%57YUkob|_SKQ@BL`|5?&bDE}Lv}mQ9(M}`BO{~SY#$Pw9X31t~x0cJQL}noLe}#Bc z68nuUsK17Wy2i?PH<~D0B=0(3riIU3_8xZo_2TgHc`qsauGm@HJg-`3Cp>SyjN9NI zAsP?8mec$R0$9w0=aCk7UT0Rn7rGWq#VGjf8U0X~=8KpGp?tXTb#i>m(SJHoX_iBT z(#$~qf#X-C+$-DvDiH;DSQ+&sYD8$)2T}}g6AQ>@;=b^4bsT*8poa%qY; zk$+E(rdmjsi0g5Ace136%@Q~4rYtIZuDwI0WeyBk`f)Zjyod$*8Y)toE3z-L&IQ9F zz}&rX?|GYid9f7TXkd`CBeW$h{(7^|q#DU4nS8Jb8 zc#|heEOI!_L_Lk@|N4Oba-PpDambgDp@|T4lV_?xK9=6zIRpXa?vM;hg)x~WdX$k? z-+?i^a!3+m2Y-`C>I5eBR`swWXd-=;4I(d{8s*@w+-{HP6AFhr1c>f^a=TnK*q}jG3LP-xktScB@iLCsWs!MMPjf79f6u!l z(dkg$tdkM&wq5)d#iSAN`v-I{W(#s73x@P(w{t>jd8DsI!SoRD`i`~Y^8DrWMM>lj zkyxguM1|xJZ*+JD-y>eI@0tMja65aQ?GgG#7Z*N7RIcHiNN)PT@4_91HRIpEbvmYy zB0~slKUdKtV+G!*o(6i?6_39#ZE6Ii;H&U?gnI5sk1y6kSDEm8PkhH`Udob7mU95l zuqx~DZB9mmW)*5qs* z;_lnk@j@#N7o3!71qI>dv3Nu*DESI>K*l0opzemky(#0a!B4A2&fE9eQ1arX6F@{0M>e1Ko(LS*3)n90ksF9!Yn_kq$24_c>3IE~j4* z`T4gpc)Vei0DX-U5#b9?OnerO8bKx7w9F{Ca;49GCx~WZZu+LM~7)Q|!*MPqPTNS=jxH8)LVK*4XwVfU37%(Z*{<=p1j3z&(3_&*a7k9&}t zxjq%9k#B7PB=Q6~a-061!UAve2e-(SXnf0Cwc=1vs#LpA1{qhLt*d}%n*)kCdiV}| z4bNj^QNuzfzS=!OAV=Sk?sN*3EDrDYRQ*<+2cN$&)=Nm5*h~Clt;{p^R+dbr^SBeM zkAlHo3Ji9oj4T)H!S=?Mw(QfWBXXNAWBLQ`cgh!2Oh$gmw<&cSHy}urlJA13-E=!z2)LYx`YRoyLisWa5yD_Y2?3cOoSAuE3Z*o=eX{;g-ky_ zBeF*S3cQ#x%SLX#aMW*}&9xeqD(7LJqAZlk#~NVmwm6>Vi9f|2Gil=OHR1Y~G>}-$ z;f57QE}6cIU5b*9=;OC`&#=4H+EYdh-V1yUc1+j4m_%eWLAO#&bu)8;gxcy3ABm;K z_TPCt_|%2p@VS5cL+;pJZR9xJEH6A?@yBtIGT1E93*DJpa+9_26zz;JCm0OjSK97k zEao@-)(|C}i)qs+(7_VSz4ID{;YbIHPjUUBC=XZMs%9|OsX1JNe*H7%X8MBP^=pa) z@^OxX<0{cLoYJ~Q4LEx3T={Rh9x`D|7Z^teoXA@zJHw}}f;Lk(-{*~__yV=b~6Q}sDu2N-y`Z} zqx|3RSR~Z&-f`t>c8_m(|II&f&-)o=H!ks+fr5wV_mxbd5UDZ+iDlROM#Q)BTHPw5 zi123%7*URZJ{dKC?_dyDURh8Uu6CZ%C?aJ7ysXBJr*3w4^evRu2+u>|&scqGHnsK( z3U@{-)?~FBu+c^nMPYY!iHQ&1h}X$FyA&ZAFZkL=4zJ~Y7#WffaiG@~#%W0DPv<$) zv9(UC<6tgE*h-H0 zZ(O;xAXYEr`l|K8j1-q=VGRMXUdwftOy46{;a*VlQ*-F;N9UQZUwotY>lXbR9k5-J z%1BOk2q@Y{(6R>DG}Vf3pb&hw(MX8{020FZ$?j&E4g&E4e{W|+)Wt+53qj@+iQCDI z{J8Q0Tw{|USk_;*Uu9_9c3!`Iq9F7=7!{oAgR4sa<(vd_T@dH&Z-%h!J!;N?w`l*P zS*Ev^b5>R875{&prEwwm%dQhqfH(O9ifU;xup(qiNh^T{Sx1L8`^n=LWDvnr*rbT>J->&iRjlc(sj7UT;a^ACgevTNY(Q_1|0LGF*4r7g05{PJ!* z2b|@;@}ATNh_zd(p(syug?R`QR8M=JJBUn0xm_NP=QxE^z6t)oEV)>rW2hUtgr({t z)Z5Du1u5PuxMwtxW3Cq}isjn;$cARN`ZnF}?tOpC35VVmdChbUQ^^4(==eZhf4mOW zu`kjqzlJO0U$v8)fsq8Tuy08znJU*n+(y-JmVZIs9DP*V?);pu{G^Jh)nBrzX7j?9 zvD?}i%{x-bX+%al?p`T2!aFWs2@%zrX@32xc|y}sqFsCIa-XVc+gsk)*a+R&ppl@W z1b#w>%x-MJhxz#sihZ9|p``46)BcUaaxrgKf zY-w^l%UF(OP;m{xQTMyb_g-YeB(k~JDAzhDfPg*W6fb_Xho0?AuM%7q6OMuroo{71 z`mKLl>>*a@*iiwcCpx)8Yi0yG3|L%@*-@}Unv-p|(H6fyVO2$R`zWgmpY$~rvaLgQ zs6dw22T@g}|9aSx%X9rV;}R_=bs=quWQ92a{DLC1gx&H0QVypJKc0E%m3WNUvn(H` zXq)sk_a7O{6EXco@w9!eIzo5wCrVb~ok>t!Bgk(h#=SR%Lls|(h3!Dp}i6oN~ zlmu9$#A>sY1?2=Wz{Tl!uG)wMg9XJEl5Z7v#8-Y%&VKWTyWw(r)T6{wBQCmSt`(;Z zgPb_2qulVBrLrbpAoCK2+*pnz>2G>gVmII}>(~Ez7U##<`gG!Nbz$n)_{7Gz(HnDt z3_Su~G~J`)Mzk`e*NNX5GseKYlJ=<)kgl~cuCZB~_2m{!|Tx@r&&0`2~M0Vku) zp$Fo$%HedXy;QAOeO2e(4-J#qmc<~TAoc{+f89r@SW~_b`p_dHy#a~Q&B*2b9m1}7 z^H)CwUD5AfqA({fQ#Sz*8H`e-X%y>6{d9XoCj)cI57W7ysX_{v?uyyQpeLB)tns-B6M(WM~TTu*kQoxwPE8yYct0{f?aV05hW z0WP_*8LtEAVptzGJNHE)5Om&V46!uWzQ(fzW)7Ejf zgEt?v;S-%nHF+`nh8#0{ee1|#|1GJ!szs_oIRj-Jc)r9wysJL{H5)q_ZoyL&y# z3-8*ryX~xnlUld5Jzg!o%;o&+FYeqR3$yd_3MApL{C`E-y*P7y=!Ay7j)ZCmSLso` zN8@1WxQ}>;4JW}bs7rcl5SAfZ*MS)_n74UiTIE%kF8dU3=ZJ)m*hWo;a$ig9(f#rF z?!zt#zNOyGoxqe3>uzvy8d&lh!e?aR zjI}#DQM{|c_OZKO<^+egV6D|9mKy^Ecnq(BY=y8%SikaQ+*WC{;q&a&aDCw^TaTx3 zCnwkW&35$Z%ZgY9s=IJ4Uh?>P<&oylmX$?F znXU_*9VDP_KfixOZT>EGl8sl$M^iqqgQMCWp-%A;mN zLog#x`TZ9pU!zs}JAQ0GZ{)wIPok7Iu^8`>+e8~gxT6C$Hz>VJ0nlNanb`xI8~DSM z%~~$*>qv=R&mFXXA(6o{a@w-3)3nd2oY`FWpy~5c^xo-&%gr_mX3YUY zx#_2l&@e*+Ka&flv}@sLeRU}*UYa3=b!KYO{O$)Ncm6yuve)r!)n5Sbt(=LqZ9MD= zS9`>$e!VydNn^_fWd>4w`5o(%5KElLm~jf-58u7(Y#trM*MWSUwVk}JS+ymkFHN2L`Q-f+9PPQUO%~=vrywq zt6_WR0o2->6$5ko6Hm{}qo}6XO(y)A=7AnlA>R5DUSVEC^OYbZE8~?S?pPiq>L`cE z$Y~Y&9|9K~I!;wJDRd6_>IvuBHk{vYdYJGT(?a8S)QU-odls0~9{|-V9Z+(*S zU)+b+FiZO~;m`^S8618HNeJ>wm`~zRIX1&kQHCptD@61# zk7?hCYcROHNYRz+t)h{V97Aaqn&3?-+?K^khcV(X z)z93x(W*k0Uq9!eznGy7euE6c}6y^;K3fDT^JFB9~K;@IsBe){E{jW&yhW_qj^rJ?b{*}rIHfT z=!T?S$@AW)YN^M9AApI1s z8Vs?gWJAp~75d#L0gq#Q)|bTPQl?#)lrMbt&H2n%np6;)YJ>oss>B1`ftq~7L*Wgu z9n7vw#19x2xF{$?Y0l~dkk0!g7Oq!r?L@;i=HSpR@lkJjzZwjDAg3 z!xTHPAv_oe2Ll2QtV|4p**O4RrlL*u_%OO@pAIur+*Y;GdJere%{hCUc7;331~a2G zq=(xL8mJoXr5v8I=@%`~|@3$U)>GMf!wPzy#eM4||pbp%4ja7?7zs zHu*YF<9k`fM#}{}+#pb9$6{gzixa$M-a!iv6?gcpn2F=VbWG90?}{$<);RPnv@An1 zaQ@V|*S%cjNg1U-PCt37)7aVsqah$)s2KZ6{WA4x`+~IE3gLIf0uOxWMr?~b+YsG` zc=<<7KMvLaC-%~?y{avIKCgt3@39o3+`q(&Bs#*1JCCaW#|rI>sb%IVnTa>s>SODU zmB)bd(>(K#{0oDZ3F*25>iklZpDTJmn`i#(0m}3fNsP+whP2h;QtVTQHRMx-ut0}N zR}}HPRki_$+~1|GnNHw~C}1$r@vbNK*1rPM*#JXNz=RwL2&GkP}G|RP11+zGi)JoryX9o|0mTO0Gagt8- zPnYxdui*Q-z7`-QlW7ApGQhRE^xQ_SD6Y;j|vdCAFgJNgn#k6I1JaJb+OXz5bk zzzi*X3_b5qcPtMQ(^x9DyZOJ*+J%ToYZBEPauV;MybvMMUS_7u*nw;|T84Bb z!`Q*wtc_ry7D^qYVLwr;212oBTys$3qwCFL&J^*g#cO-Mue)+h()CO3&W)}@qEbkG z>Ph!o)hiRNB)A0U%?D3hO=d$)gf8>5*9Obv%>RZHz9fp_@WAeHd+Lkn>C5?L2eCjJ ztzqn~v25!zn1_$~+mU13(&FaT6MPo`XnT8yY(EVkLsZBT+|k z^6(45?B)aYHq2gt81vgSFG+!&oCYQj8IFH{`z?0v-;b2^47{OwZ;|dznm!Yqy7k1# zv%<*C$)kCCJqjNVj@IL0JW5RWDFbTuO7Y%Y3fYpXN~7`Ua@3twT;h5l)fp*~V#hGnR?DC0@UK zkF?CkIB-Y+q#QSHJZ>@Bkgg-%mUQW`^rX7PNZ+|nX}ydJfZf9h)eLeY!}j( z^A|26JNHW<<%5jJIC1tOI(O@hel*{eKDY<@9(RCyVL(y{zwPQxgcn|id-2bAQQrJe zH@>FB*0X*MwX6lP?|l6=K(O|iM*n~01*F_Xowo*xjE*5jd<86bOv0Ht1F>RwH;n7m z8vQ%}8@dEaZEaF3>nS4Nb_>%hrzP-wfFI^sBqIm>eWqfTmKz27xlwl zq1BjsP#nvTUxw82J-BmV4lIR6;Kbx!nA*Do`gUlCPVKvp-VQ*Y;j=Jd@jk3OBnL?) zGk7^TqA1Q6^$&v3#MW>>t%dy>VrzcQYo4htBr6*<#9AQS+z1i}bvcl7+5`>Enyf)G z(1o736*65YAB#(7gi;3+#km1NI)MFAl&LSpwQVD@d{7s3|JT3K>i_KCH zmc}BsPSL4j2XyYx7MYtwAh5m z^HXtpMqdo0dfO6Tt^f5c+O%%NHN%&QtsZn52OT+4_?3mfgs62_Rb?4b|i4(Wi4)bm_s? z<>XOZUWt;5DqNM7fIZP%BrZSeu={8Ww^xF82k1on>-E%CgynY<{h&03UKzK zD3#G0<0ego)veojL%ckAl!?7$8#r)f!bI4RZFoy*g**kiI4A z!cq{dXNl#_w_GhVpg7mylanjB4^nG_-WkuU|jD{wFRe zg~HMbl-9h2oRTtnQ2#NKBimG3S%booGKgQ26s$@2>kmzBT`Hp%IphPJp}N|VzB6!! zv4tgG)qlVv>Wf21j|px78$-6*<~C}Hm*j`(*s)Ilq?|%F)j>`j??RIhXJLyii&ml? z`7Vpsu7eNR=sL0sAyF|{vtbkWY1VGoj4-Z4WUIY=Fni8iE;FGG8xfO`M7q)lJ4a_s zo-&1(zjOB!C^L;T#hWrNY91whKf#8*c46TBo_ZAAPI88Lz%#&&MgPCRk)LzRCF z)K6YO-!9$A#&pAF85tCj?^aHFp`)jdAwM|Oo%sM3*Kcuo+<0inw z(u(^8tiEH%PjEe8I?HsI>2NCP&F+H-X^i+569)~2t&A%7ITEfrVEeK)TplwPFTpJ* z7yd5rY2@Q)sEfRhy8C2P%U*DKa9c|9V&7XHR=)YQ>!gnYAmtj8Ye0zLM$eX~w6qEu zng$p)ast}6YKNUm79q+)4~+>d{uYM%Xx~q^lo7M7?_<~js`TD}ZYO^UeLiL|Tgm`< z%$D+7cga3Ka7JUOF)D6eM5^otI31daE6avqve z8(UXdVCzcbFKp=snxm{;Vu)2sOgT{h+~UJ9TsQ_d7j=Qyk_ni;>L`Zp)W*T9)(Eh3 zMJ>Cbn0RSQ_QK1c8_3o@iNHN$VX>$?&aRw^rF%|c?y;*lLH%cWco}TBkAu|QepoWF z69yAMUE6g;$4-6F@mpZWTLCeO$TZvs%XJfQ zyjKS-ZvAg=uex+>kGAdGqJ7&o=+LGW+O=+lwnS}yK&}4ue;mlzu6<{88NCpLHeAM1 z(d*DKb3tYckn$1f)dQj!XTVJwFj$Q&;qj1~H4FpV(|gx-F=lTOf&2|yc*KMtD>WK# zQyB2lktQh0H0jujCvx+lzIq5|5|5q8mbUu8fAgEynVn}qJ7!DUk_~Nn?`Dpi4AWD( z$hLZnF!Luka?u@wwy9&uX-(X^M(-B`QnCXRQpuij`4MmTuK|(DNY~vDlNA$iqF-O^ zm^2@|Psrk&i5o0kgOM55?Dw$P<)68S>1)Jd*cstmo&}!PP&s=9V}}do^DV@HkvDGK zz`J+v{#qdAlo^OJu;f59ZxtQP8TA(fDajTao)g1MuTYe`_~XjX{pdn=haEP`Z=|fP zMNv@^KeUqrDQTSk9!QyoW2c*el>G<5T2c-TVTnk;<$yhlR|tTVGp57YnZ}^^ACQ!o zh)r9!2!NDh#=}q3kaYV#B8{!Ea%%G-5UW-poaEC)?e-4{pt;gw0g!UmJS3XmLQ_OC zTopAil^r_s?KjwUU_Z^%GSEQsw!L$Q$9$PRI&$SX%OV9pj(Hx(bV* z@k2>jOpe9yn5||Psj$OYYbq+CB(ET_)iifhQc*_Dt5+zms^kZOI7;(s#>?1o<6wLD4(BnA`fk^rJ>1WjN;cKS(Fsk|mx*z4 z{6PDbd9{DDt|TTWW9P12U-TLK?e7CpUcm^mrR-4D(-(yCw5Sm8s6UO2jrk$197riH z{_B90vN9+sV{3%;RK98kQpO9wl;O!VKBNHAG}Nr*MQnSrym0;rO>r|4}Mtg`9A_kNo{N*zqavx zBO2?QiGBZt)I@$N`=lWXwI6^N^jZ0i{E*j8D0$I9^r89l7tqTFJbxpQaY@yC6g_x> ze9vddyOoE$>$%9YrqA0?e-S-xrd;b>Jhpg@O!G|SI^?1%wF(VynbY<6K5UGR4u6cx z7aXv4jS<8}oZ%gmjr{6*R4|AIIe)zWf5Abte*}F2q)bW2u7gL>n(TCcvZqQ)ivI&r z{!I^!x&M3qDNl2s{9_)rnM3ff02YlJJYdIySe4Czsb3br`lE@}`M&NMeJ>$i*pTw$ zhYf&?e$DfbZ!dic`1$ekOWXT>4rZj7>1V*q<`Z4HJMy216O&)R!uq$g_tWz^kka%f_R*w;1q_GL zBTaI;em4Y%xcOdiP7P@st?abm)j)z53zg1x2`oJb|@)GB$2IineXqp(i=dCzK4~ zl2eOY$OE?t{G&3b^~Q3?8Hg>Ooo9r)7r&sy0x!iGW+^Q#c1d zf#Qu2>{Y&ngL+QT3D1QWy&^Xg^0ArZ)}Cz~?c5y)k6nVJ?<3stxR0HC&!I#6j#PeM z9Jrzehs+nan^u9H7o^dVpMcd1`^6REkXDJi_e-%$_^Kei2c?TC!2V$+9Egrl8+#A< za`S56fkSabQWbZoA3RAyq*mF@9cB$pCe^7ya#82m*y=mgn1$z!&z+KM=u=h^G{=;JE+^H)% zcI$!NA}oIy=a2Ev_+@-E{#iR%d-jVf@%D8jx$HcDVh3-C1IOf49E0p9F|l=w_bg?er!5+0XO6F;7$FcOZ|0--W8^oimr)p%6bF0Cw0)E z_v@gx9rjSVjB5hyvR=b4_dVPLb6~CO1B=VIU?h5tpBkzqat$iyEQl;&arFk`Z#tkp z#v90@iF9@l@F+k49QZl<{8YQd&rh{u3s+PQqXc&#)&vNaK$X=_Snr&Mt-bnUO1q($ zJ9ig$%bG*ZE)agf;izGYzNu6uTa;yiD7Ikv3E)A*0)j2zjz0=Wsj(YAoBBa(Y8z~r zFdqHq9KoP1>Nu-(i;DN4c2eF977$~65Zz}BjgizwFCai2u-T0gh5681JrUD;PDGC` zi!gufS;(5+fLl}$(o;h5ir>yjeEx6|`V&z*J^?9RfQPqH8+HvodM2L%DK)+TQfB}E z0;J@9>_@uegT`17)Q35t+QSyv#+Hbk~*rpHWk6(=~=d~bm%@=pP!tgY*xxfDGU?$V!)F3p( zdn3-y0vZZe`NsA;`X;Chh(be1Jnl%!WAdP(KLS#Ey1QZSEVdB%FHBjo7U~Y6@TKwR zdP+IYS^8p!(hZ2;3WoK)GI$q!#7#=SOk>a%8FP$Xu>-9-b>|C7i#P6sp??azpEW_| zh6hGX5d=VIuh;-xk63u;H$e8fJ4UypuVm?QJYA0RkC`gCU2xV4A?UcrP+gy={|hsh zuZOl^SaC&o+@oK!n)(MqV=2f>Hgo`*FMz8-)biZ>u~GYB{Dm&1qbmqo%eoVD=b z>EgG;a3}p0)%yWg%^ZlInS60{#*#JAa*5>SE86&C{7eCma{A)c&~%P~54BzKwjajN z5!^62ZTdoJ7&^h5Y`K!wZA_RT0HaP@z786m)ZQ{c$uR^I<_R{QOkKDF>W*Q&93@+S zOqeYwXCi$nP2sGrPQH*+D5fu6!^>g1ENkV?%V#oV zI?d9DkDr3ehPUBGK8IaqEiT(dQ~5V>M&B7`UJu}%PzLM3#}GI3=H;I>_kv-}Q+QE( zeMwFZcM@PFZx2(k>o6c&t3$R{UDy&zXDpz3@fw^pZ}Wo;I3O>F#w2EMe`r&Gir8jHj_ZN)_-pi4?h2xH@wL=C|&RDg9<))t<{ZW8wlc&oHD#g$nE^ zyP2BV5DwC0pNuH&3tQWq&g%LdK*}lxq!gYDn}zLgabgclow5SmmtMxa6Gkw%vPUHY zQnFKXS-_0(!%i4ycJ@B;8f62xi=xqZHKI;W#}Q_y+fBoek(;qcSQ!>1(}=`S6r}|J z%q@;geylC5e6sBi*@l;!$Z9N*huzfZnrU5zV;*zk{_&UAA*RW5WM*-fRwD=?6h^#>CzxaI2dc;vV<5EugcOZ z_Ui`?EiHaSVQB>eQVIfOe=d-c();v=3Z>V)euawa zYDmj`2BakZTL6|aa)Q&`e-cQ^(i!lQ%3)wfIb|h&t3TURt9Vfi)v1qAMI?7o6x~^% zt6O&{h)JM2JquN7S^PT(Oj3S%VNp~iKSVW=;&~CiDVLSSz?qdb3`i-ssq$xml*BXR zy_$GZ*3#sgox8Pd2bmrFP~qT%8W(@495{|%Y%WdZNQ;P}{9y)OKhA@RiaJIP{qjWe zLH)mjmb5JKn~4`0*-*PAg+ASTpbPz$11VqD;AQGm_qU;Dludd5Z= zIAkz7v}p^GgGW#lm4Mo`$IvH!2KMelbJUKwAS&`bkkZHqgD5`(OkOy21ck9lcuV{n zDXC)6fPrY=x($RkZb!jg54`n_fYDh|!Htyd+vEI^V<@2f@3Qh>O!LJ_lU-L9K`M>V@{#E+t z#PS3{N;U$##gps=oH>06-+aRk$ZCai=guQP|4ZP?e|lK^1WjcBGE4FI$F&4NO2$JS zswy6njlWDjWEbwMZQZ&Z$;rv|w_pG8w(`cdw3S&!LF|%&B7bRHTjG@LAXotqvL&Y3 z64(5-)M5ooVRcOdg9ZuWTuYWNL{#*b8>fHxJkER$huaA-(g`A;BN~A|=?DwTgqO=b zSX)HEL?-~cDxT0#aDl3<6Okj-dPdA#&bivVmr{V7wkI>*O$Z6YR$-awNb3_8#CQgWt%t1)N14t@a zVBzj_*l63}z>$HX~;b>KzwmgD9% zcf>~JK~>QNqlS&)Yw63j?1FXJJ;c$gY8sr1O&66gf6qCH8{9?!^>5S2&DAml8Wfutp~dH=!sKTRS}f; z5*mmqZk8yuDco-zLEfDpr4i2%ISikEKAH#=@ z9uEc6+lVRofZL=i%QkEmZ2p`$1)5fNNGbaO-;8pI8Q5apuCo}kYBxr&+(mkG3g@(L z!1F;d?p1t*;ayKmo-?1zW8Ul~G`6@SF0KF?>XsNYY6924B|=+qGaw#u(;l6n{YS{Zhom7a=aa67fZKh_7fO0^-XXkwBkmWERtjJnk1@ z?-_A)rgy3n$!XuIi}1OZhbQ!Y7SVXc@;Jpm#Q|X*nsct8dER^+SbYjNWG&#W?*LCd zdpK#_hP|2%ZYx>CPSqNrW_Eby<1Sc>Vz*DRI3>HKlC8`A8cL_%W(5h>VDsqvQ%Za6 z1|(Ra(Mt*C*Dv6n<{`LV-VWna>mk2?IYhQE!MSZ~A+i4yl+I{FTg)1I5_h04aSM9l zH=rwe9aH^t3GCYHg2TfH3n*R8~%jcah6+H!K+I-J_F8Yed{$MN+`aCr3s>|eDI z`!;UCo`dIcSWFuVTDK77=!_Q(IKyJU>CHgOU@J6TKaA?DliFxHKvcfHd>benJh z2QF#D$98zBbb?4BFo=du!jABt(B7; z*rB`8=0Kn%s%{>D-O;7kJ7zNG515V3>yJZP#|k#yfk=u9#jAMYi^VkmYTySW9upvW zlHTNc*}_Ig1sBer$A&$JFlXaF%-((qN67Bj#^xZttP!`Pv&bIlHQOUoIHnZx@mk}C z6UI)S&d2M;Yd7OoP%^i7%Gc~MV%#J?{;uA(7j{wUh%T;&jNwfT95xcITDQTb11I2; zkb}s=w-DDfMc+X~(3aA7Z$At#vNa)rX*hR^{J^d~(6MVb92S>_e`YxXvMO+tzIUQD zc5~0^%W??Mu0T}LTgcz^#Nq=YSbOpcbe%$wP*R5=8nZ7O-^Iec)V}lbF!oJC9JT3A z*nO6N&Th^Mo*l|$KPc%{@w~qp!`iR54?}fWRDh*JrXmt zML>2X63PA>dPYO&tPB?J6UJ3bSA^%kMpRxkF36~&XYamzZ_xe=Qt-_x=eFm>6=lA+ zqzl=k6ErpiWmmyB{W%Vtzl;u@I-_Twez>5ZgRrNs;c+h?+mD{(w^a8hdvsaX5>eD= zPDxL&Vc#))+p0B&(EB8BVng=mJ!~T$V#U@y9E3l5$Vh0&=pZ@#F}yqyaq6-T=8{hA zmC++z$-+Id`3{LWI4p0-b>*;v2^^9OxDD65<%0231-E!ET(c23gGpD&rl^u^Mv-io zy<53?H||h!QG@+6O#=sdXjJ|JI! z+D*RP_DgCo4@g9Ec>^-ZR;FguAU>Yn8D>-SYWX`Qu%%>E>3b?oeKOwD&_O=r6+J8N zJ2D+wxOzRTyd#iCawYcykf=3w=lJ&fOV1V=G=}nn378#au{w5u`Pb~_e`bOkQ%-Urze@?kCkgUbG^P*}Mc;^YHvpCN=P^DdIVqY0t?W>As52}jM_aMz`FYFfit%@je3SCAyL z56Lpy;H7d7ruy2@H!*{=i5l+dUqG7Le)x!QhW4Q~kl4NwXSb}u=^a~e{@@{8z9SrkI3IhbwHN$E$E1uVB<0!ejvdN zvZpKOX+i9WIef12eqE*dhNce#dUgWOh5&1_)Kc2d5K=ys{pmbEjib-^o}xYb(x6 zYQfaW8zEt#C}9WlGr2Qc`e*Lr`5Lvv9PbCBI?NS;w@e{_RT3MwZbRSi1h>79966H5 z3jcB-CA*PQkNlC41b8cHV-Ah$e=U%*9}G`l#7nn8l-qmb3i$$E+WZWV($WI;G`WA0 z|FjuM*&1U84~4b5HX1T=kxu^8p7k5Jy_hn70-P<#moF$mlD9uLH6Qdncj|Qb-K25p zDb05sT(EM!;IN%#3l<{W#RE+x74X07fcdipdz3aTUWP;mH#AZCuKK2!I!Z8i+{F&{ z%z4aLj%*$5IcTvJ&DV|`JBsYQT)zHbLH+j$NGWm=g=M9v{rCa8#zyE*?d(Kyl00=5 zW%nMUCM^p}q7s4wihB2kl7>2J-n~Wn%NLN8z6y3(2M1E>>!Y^59)-_KAS^1v<7h)k zmn_t^@IL<;a-!pLbmwkG zjm}%N5dQ3dZF<;El{mg2<;4rs1<&yA!+RK+nh1cD9Xk9mK+52F0kPXC zV^hhdWeaY8enPX(tU^kAfN0YFO>-9r=F$vEdA=D)nP1750@*4YU)gQ?KF;%-V?7KQ zS5Nc-C?#T_zl0cwlO2)AR+;Y?6yv0rI9hjThi_W_8>h~kz>}xH4@lYA(1>@X@9;9? zC2CS@Q1hS$FUX zm&4vZ8JZeFP$x1qkA|IdDnjE5kX8JegDESi|64$ne?>qIBksxr^45a)I zpu1oDeE$o!731se{UbnwznZ>iFWVaO-B|`&u&%PrE-hPsD*S;0T%4PfL86=qidgm*d(C?)tG8X`{nY5$<_>T*mnRnUebkX z{7Xm&KE=X)7kGN7o_(?M#8t=z6~ZV7&?8<{h(FFV@vg=API?D5`p$VyuH*a~J^~~h zQZQ@dVYF`B9$or;2O$Y<`rS*&gcM=%Au*ajbVTP~{U9W+1?Bh`kPUr?#fQbwrb8!6 z?~nCjnu7GOVoI0b>5QNCBtzw>O2|^XmK+jC+m4;lxkq2DJFg7o@G{8x=VR$XF|?)p z9Y`)qk4r)(nD{5hR*&SVN<6E?zZURMylYW=*mvcG*HBHVgFfXkq_$r6%)q=|XL!9# zzH84aLMf~i@_~g|e&jMgQLST--dJ-%1xm41kdG|Ga*|cM&fTcqo>+a3@<&xbKCFb& zub@3o?}gQ86`)8?u_FC#gSa-j_5Tt`*}eY|tU4nPY5zPJ(cD1)v4CeLUv=V7EA;~> z^%OxG`y0!{`sa#o4(~g5LT~#n-LdkN3=|?tslGB^CgZDpXG%YQ1qwk$Pz))->Ql1l z(6tBU?}im8rJ)f1ob$){XZ$k08UL&utUWF1?K*eG^5asJ{+y?;Ij@9{-FtI+&EI_% zlAf7dj!d4P_$OJn=sDAQrbnujrpNfFw#o!j-yONc`C%vZEj@Y}av{&46kUmR7gf=z zM<28%y_vh?BrbbA;^nh~HrmCN!mPJ(dodq?U7pcDA_)lpJ zyq3ZOX>LG-9^iEr<%Sz!xp^8^^&E=P9VcSp(nC0-c@0KhfryL>MRiLMiEXO?*@Z8v zgAvR3P{8wV0aE5@?1nc3Qci1&Ez_r9*ot!)bI<@{8h4QDOEO_WL4HGKbA7B$EI7v6 z6`~C|9Ywj;Dwu7YhQ+L#4JwPZfu(DuH3{6xeZ&dN01TTU0Q-%ay8@@L zhj1C5F!#f-=>j0-kSX(UP}h;t8#rHD4;w#|c|EU}eSYGf+Gj-LzBbi+K>ZE|GdWW^ zBW5qdsq4YePH*I&?Efr%ILYVKwLo6}DXSoi{4D(>@yF!M`eE{_9|I{TF5iN4)E36W z>Fc2wNo{87gT~FkJ{4>312A3~PydN$O==Tso6f_JTsK-|$Yji9&1Ax4%w)&XnM_zZ z>kHN=tZ!If51Bj{#|+$gz2}IZG4lk#PL}_uu_v$hoOL9|EL_XenU1h@Ru0o0rbDcp z@5W5QZsnWMBwxUo_|i@T@kut7Y@P<$*st@bX;wayA=7nM ze!o!@u~Wec8p&^AoJZx;`}C=Nvaj0oE@{#y`#&pR?S36}$j<7J&d9hH;JAbjwyZM1 zx;d&?Pxh9bs=8*OHjUq!Shq+U(#Opacf&z2UbDa>+YHoVN54ibc9szz>jdNc7XUj3 zTuY&Ggl`P70fJ=#yM0Kwv;-nk$6!jEVVF30DK;OIfvk-y?1Dp)72AAsBOBj;(bz7i zmv6{v22#Fo`jtRRdpRVYp97l(?I1d(H)hXWkMA}qVEIKW+`Q?87qOH-jqhj0?{FYx_+JI2WT4iJ2+}XoedlWs*|!yg2K2|oQDb2) zA%oh$XgqUbbJtzy*t*T12c*={;6b9&vQkJ&3Qh(8(}0xH(x1{*7+{jp*`hd0{{l!! z{8Us|K}J^gXMmK=C#4cUl{M9nQ&2#6c7iJXMp0G{)um;qB9d#q*^-^EszAT3E-ObB z@hMOFS&*=MhmMdxbOKd=;i&eDfa2kk=s|NRcDtn5TX4 zS1+Ngsqy`dl)Db1!o?po9>GvKcmloH;X^%pK$`NG6OXT7yo8C7F%M*a-gkor4uqDv z25-lUib|*{DWebZ%mI^EucC~2cuD=LPIa+<_yR~tJZR`>qwj$4(78=JNbcH?Qujc- z@{fe(iF4@3HXnEEip$jR&x@YnRaG@K)zs0C`k(36B{6ZH{<^w`^S}U;ok%|ig}Hfn`|=fx7{HRs z;Wr5|ASDAv21LO~SOSCl^hLWiZE=44ZWK`Y@4^ybEGC5^{rXdS8=T*=6ZsBa0wCqZ z%NWw9AKJ2@{iaRGv3EvOYz7jo;~{<85u4YVW6d%n2rW0jhUI$Ar2i!?)5EIynpiPM z1G_ew(YqFi@PtAwtz2K>fRqc=fUl zFJHVRdA;X;```04@pVhKLd$})Y=yh>BMa1l2h;w3KwuMUe_~nkH?LRzA&;PLCN~CG z;&-$$xz+zek2SddPPC*F4Ql{x5#mXz+?&uu7n@42>U3zY>f2Yv_kS z%2Ou~H2X6D#_2O>@$@N8et-4DVzY0keN}??HT-?;`yr50L0*DTMkQc@CQ z_;)-kMn)E~Nf49$t!@4IL2xT&6UmFo>^Bo{>j!=Stf`3_1`O!U+q!VkA_RvBHo5)O z^WptRR9C&hqqJh&CI3iD#0es&ZDDTUhs2mv6h3`Il!Khi3}mH0`dcVBI}61Hxts^5 zJN`JjR})i4ox|jj7qMoM8uo9qz~P-%IJo^9|NgVI)dD-#8S^!lV|%Z|=2|pLi(m3c z@BNB5&<@GMd53$r>YW4AxGGpBy@Y0H5hPq6Le%8}G^5IKE4=~w0Xf)sR05s4_CUK% zU9jeuBs4<`p%wNFYk4fID?0V)jg6wJ&`GR;TEsIfKO%_fb?f~dc1Y+zKeh^rUKv=p z?Kr-rIb^Rv!?91%5{9X7A?uZnSsMi#8T*eMk0V;PFn(AMDVJnSS-F+3Jq;Q$9w!tm zU`6F$dr%LBzMA>wo&lHQMDL~XXFAxX|90khZb;9!+L!^h&Fwk?b@8>pYsFn#@QzNvTM*eN(+ z-~^Mj_qb#qhlxuBhp7#pI*%W&XOjK_7jK4O^z5aa&oT2>LgY>)OddDk>@`0Ooi>lB zPg=MRmv4r{IQ|8WtJz?{$ceoCNy|4v{7w|ivjM#a?;+`v&G}Re&WG89T3BU%fL2Ty zF1e>egmgtUz6R#RyGC3Y)}52%`oLnMt4~NlBkDP{;wrHIoIJl7vSYWN*dU?;?W7v0 z$2`Z%I$VDOs6>|HBJqCqP68*UA4*}xu%fnIr*@n* zu*a}Tg7xO{^H)RsRya&jYH?D>9)reC<#L^{cs)d|Lt*|H(C6~V;qs6V%!Tp2SGf83 zBd%vOz&!OG{q`+PQtNo%m^^CuL}nR{xKRMyEF_*no?`i7b}(;ut}Ck!UVv6eF6>GG z2O4g#=Tn}b=h%D64YOul#pL1Vux$Dj>|U#b!<&q7XprWUSd0n zE+)vZH^RfaCU|6j1KBPP$aQl^uDb{F+}-Gt$juc`TwVF+GanBW`+6eP)&bWq8{qgV zDJ+|G5i=)BV)_ha%$}!(Rm-SN)VBT9#vQBmuzj^I#P;dIL&Xr4u4X{Q4ZMrCLurI7 z%7|Wv+o2)s7V3knQ0-$*b8}PVxtQXKi#eXST|n(!F%j0tL(J6RnYsgD%Itq|hug5rDrc$*%Gk8BMz z!AAhHh`NIhAvaO!X@P7zW2D*`BE{Me_iT)C@3t}S+nONtjtL%+j33-F#sga;q~12f zeH#PHLu6};6o*^5@8*EVer~7;_reDTuwZL@55sA|4@N_P1!|3VSRQ0Q!mwA;iF4tcC%LR{bJirrD_Q!Y9k?tCRN4^dy3Ux$ntfxTc4BW{M0*t46{51ia zeJE003jOU1FmLQ~3?01#TlQUr)h$~j#|Gm0y%5y1b$r%ue`ehACyC^l;LShlQbJJn zFoFDuhwzSj2rcgvh|stq?)?NB2`_2{n;gb|G=2UM=3_u!G-9?jo=918wq^X|RDP8lO~ z?>`u=+qA>7-DjanHbj@kwaw=g(YZT~zhsx!oVp6l=u#T1%dz&14Bx-esap@MKY1B? zQAN0w2WTbKK#bWYw=|yDD&sxOAHIcBNC6+?E_+eAG@e<|czMY#7E@PlAzS?|`j47` zBYO7Smdf~LV!^IcoW~a1$Y{d+H4riLg-QHN`t-w?nS#ScN6%f3i?>3!onrQC*p#_k z9?Xt0d&b62X5R+#@t5q;QXz=l4(H?VkL(fgYDM;6E4G~5BT?rEWQVGF+YIBLWAk}g zezPXqi?Z_AC8$T0Ks&w?Lc$8%Rx=VhuK;bbQR)%JJP+GP)TLKHY`vrfJ+jrz9xdK; zR$z|?48`tddnE6dg}Gag;G0&h(RbJw93o%C=s_K>y4}aLwY#`I8bImCWKD1_t`b(M z^-v5f;5u=o*>|~4w!<*xC8Ygw1injfA&f~^m<*1RFEnV}R4%iL%QoV&a}xdSBaRz7 zV*vR8to#{NkF zVgs%?M&cIvI!6BFcS-tTw~#TG&z8sZ@#2^=_97O|xQcBnHF0pGA-AW@mhKbMr!-w` zS)t2gTMWd{ZmHz4$R|X<<^c82?dhWyTiRkzY3xg5do-MAe6_{L@SAuOXoU(N3lx&w zdhB3?w7W!hmdJ9tL-vd8Cby-`rV4Cni#;vybVrGwCvxb!ySgPV?U2X1X(E_I?VLVU z9y8`>V98<~Y*{0)rMuSZV=J}s*cM$_UNS(AjVT&KuAw2?7L}3CcuuzTMT8^XhuNSZ zq=*FHBV$Z z*+WOvq8Ui3PCkMf2T}?jHiLtu19IYg@jBfPA0LoB6P$@}TU2>mLxG(MvdKn1B3sG) zl2rOkMjZpxGMmM2k$w<{ z#wZ8WSzkoim1zjuGXSUNPC(yL8_;#eS?s=`18+AcvhzWB_b>z>*?|oSq(^biK%_1C zDA!TyZjQ{mWY@`#es1T#x25!dTOFj_)k>>3h5R*#Gcv5Pz%8EEM6O|hWp6{*fw)91`pYQ`76&uS<4LJp+0y@_UjEh6qw2T zZ?UCB+?J9bMt;ECCl67Wo5OEwW?)JNL}b827As+~l)n^6IcYkgbjimIj)%9R7Uqoj ztAUjLVR%*qFFk@$?&ymv+xPtfAf+YhKQbU?K8{hn{D$OFqhM`y9Sv`4k$&$!_OM$Q zzx@VNhK+=?vKAWSQjv814mQmb?Ae(+e?I)YsZZ+PBQh)uD_05jp)8v^1K}pu(UkBI z{(7dEKS3~;-XJ7|#E2*~y?O)JJ9d~liOr?|ja~cpA}uRZ0Hn0DYX(w&iz7#lAUh`q z^&j5zc7Fm=UcA7;kF}JpYhr}{g9f4#*(u3A2T|q|ikiS^C>=e;s+@Yyp6i;ALMhYlFpyDuy*%iuk=HOJK#N7rpcEAp?#PMY{V zkn(XB2Y+%PCCSD`MH@|V50GeOiw$$;3xJf17r{SBpeu<`>3anb`w-FErr0!l{wE-%g|Gzbs1J}^ zipCf9sC*BUH3Fs02WI{*VqiEbr<6M4*HGCj`bS*}{a}l08 z77`US6Z`%P$UYxW?-EgY3`F@X`!$N6*HQo0qqwAw#*?3?=Ok!S9lRt;O2~o88Pd6a zqcCyU1gI&fqO|0BbL;=!=k>b=APec~50OKTZcWYC zZ*2TE&)eEpD9F!5+Ji`BK8i-!^K`tgE2g^tu09oPNo{$4t z#hu*W_52V>xpXDkv}%Q6G$}H@a0zvOktn?53egRl(W!MSwCghvYtAS_Bd7ot>40`3 z134B!CiodNVya-2TnmH5*H9s+>1t3RwR+gQjxDI_@dd|I+l^p;G5KbT>hZ;dMy3%6VUp8tvQuC}X+fNUhH$EUSd!S^RL@czx+Gzk)8acVT-sW9aL zrHUtGFpBQpgv%Gl1&lA~B!cTIBT&3WfYGAM{Elskin53mW+ob{|fz+z>z>&reh4t8@*NyaOZjKh9PK)wX;SbD)|U_4J8$-0@ge1NX)%)5((ovtUPd{2uJ%2f)}5(l=9*r8XFXLWt`OJ`W}qQ z10YHtT)v5* zf5{8kYU*K*m(eWuCAcD9hsn)<2E^V1B1&t_VXuJoMLcPW#q9@rhVt7c&cruSxn~E^ zk}w|kmQDEmK`ba|iO;?)a-oR9^!+yqI-C=n766sWW?{fQZ53+GSt!5%p4JCOv+z=j zuM55(x-u748|*91;uwSX3TMIo)iBK+!Qp5+_j20x;S9eb{NN73^j+PK9Ik{8LO#l% zjfk55RS^Wu8o?C;GTP|2E{#W=Zee19X{(K`TBR zW03!uA#btSJum|!2I|*zU*li1i!y=(T)`E&32jbnI3>q04pjskwy-n0kWM^u%HQ(3 zpQa>~>$#K@YtsCBf02eW+y8Sy#+zP`e(X<4W(q*6%lyu@yfbxE-MV4@#WQQppVu7q z7zcanG_i_E{u^HL#I>Q65AXyl_B#qCc?Vunkpqw}%|O59 zw=qj5ygFRWDCLQg{ga426t~l$+q0@O9r8<`>hH1`+4LyWPl(BAe&R{x43vr*d`pFtqf=hX~{O!tgvJqeA+K#~D~xClDW!~~-RWKs*7=APKl$v@7Pi!jpDxS^D$RK`0e9GP8v6f@H2 zgZU7vgYuN*_3ChqAka(~Nt*~f{ZOGw4j-$w9seka{5%7$jUP$>R+ z5m}qV2W1rWL>KEdcTb$xnzSb!;Yyo*ivj0&6hVS=L2Ml``o|6P>nw<8bJS$GLyf!G zIl_$vBB`IW;uBcixY+b0kPtNFCfZ^d$xjPz=pJmfFLXITFnA3w85%}LTYsM0cw_=m zP5%OsuB?p8ATZK_s-)BU@&rb7WEy`T$&r}P<}0VCi7DK=s$7dN|vF-1K%kZgoq? z&e-{-!6A=;z~VB59GDscB_byUt)V^(eKE293o8pdj0v@*vvRy1c<%*Y^@>bGRB9y5V&3bb|o>#_rIs;th~!(dbK(p z<}peJr*HKK7E9V=N&SEp^r9$!qR%=gVo=nLhU>_cc_WU{2Z4or@<6yo$7<(4u=f`I z!F-0J)$UiHwdQez94%aveKNtmf+1}Kx02TtvjW&*=0(JKLU8(XjkPhC&s z`RN+uIy3xN{U|b~_}j1rFKwg&4lGbNCgy7^=P^sJ}e70HGabnokTiRW4)O%KZm2 z`{R~Z5o+a%`@4e?xyRdYzz>V>kFHp~^zHo$aMZvX@A zR99y|evOz#){lCAM6B=4i@ZFSueZsE`kR`o{J@^`H85e357+s6cQ0o5Eo<_t>8n~? z(;Etb!>`_>33Hi|y{}{VVh3IThGB6(!q7K>c_e^jqSYmzDt$`5@2cGiP~E230J zpc|e*U*OjqLYmg|5rLdw(MUvO9M}sf{z#mx^@B26q!g%Vd=$F2o7(gb4q@;{Ac()b zOaD-2gz`6n9=ua{A|ElW>tJBN{wFp>ikp(|xNEE0EhXPa^?IJDR2yMHdMoLBDggDD z4Fq#fm*o)rd#U)l$!*`auR3xq;|_@rv+iE3@8#`cK>?*9F2urw;i+=1aQo(#0E5+j z&|lh9F!=40_d>ZNK0idt#c3#)Vbp1u9R93I5=g(JjmzrT=4ra!#b>uea~p zoOzs1JG$dPvx)PoC7$4Tu#O}a1+n9Ft!jK>T_32v)B`a&h-LjCVD>gIMVY_IOm0}^iBux zgES50Uu=KBK39W%6cQ)H(q6=@ec?6!Dm5x!U_9YVhj$Ps;{``hc`d^PIKSuAtzRs% zL73O4$U&UeuAnF3Y0Mf;Kvx`+u)aKq;1I`X0#PW)X^}9V-?tK%M+1kbKZ!lb@$AYN zC^nMb;98laZ|r)5DsiXgsqs6UzMaAE_fzHdwEMr#COIq@OV`B|fi*fRezZYWk+;JU zR)$Lw@2E;&o>Kh(Mxk7K@B~Y!uuRQt6P|HVk?rg=Ye>JS-`0Ktlu%=Gena2jPYq06 zlK9W1K2HK4ch)L&p1f@&bmPeXkil+xC@m(IT>wFz7e_y^uq~h?f3xTSVVAwet(1-U z{j0nW<(~9@mVRRPwf7v7m2X%S!~_WU9AfnjU$PH|zNoH9HvN4EoN&e6^JB>8C9IyE znXxB)x~*n~QkpX>XAY$9lNM0>LKuiiur#jY!a?>(KlB!FSb{IuzZJ3&D1 zC}1m0p&Q}pTZOV5ID2RoS8FBrd40A4#^oLC2KBe$gxc1U?lEy1ov98tgVO*{fuM_d ziB54MpN%@}(Mt?qHcP)XBIGK|&+I7%KyJZh>Bxsfdp*j()v7arviXL%XVe-7E&ft; za8ZBzSd90P=xp%^P_z;}SU(ATrJ2%fEn%_T0%eJO6+GNWY~q{RVp}g&H0?l(yPSB& zd(oa9A>~|dV3`g4nn8NV73K^9{O)1@y3%L}w-9BZ$h~saeH9}))NYh3cMQJ;*Ph*g z8y!2+#^-x^_^ZL3fzGFwN=P+v(2taB{v;nWG8e-B<>c{rbMhf4mGSJu$mz>lCkhUC6Y zQag;C+IvFgNxqIbdat=|vZCIjj=V1Wv0U+c2; z4fs-^e;~&~Xwfm{mVN48*I!Z}=BCAPMLAVbAfJ6$B;i;77HG%1DAZVXM*FCT4Ds|J zr#mqg=x-|9Yk7E0Vh9YrXCcKIbbyZ9krm}GL{7TT)?bIRg^npY{49*h`-9MspJVG* z%BGD83r!1CWL){_!?=U|S2m$NX-jVysKEGF>DI5H#v27Y1M}d2F$nd)+6CR~mdSIf zVi?QFnG~WWz-T(_IVM@OA(*rR3#+>Q^3xzi#X|JP1T!*aneS=iw z{FH9*NfT{)_i;PhBjv9o!+qm^>wOzxU>joTx{ECZNqhC0;V}P6~H*P&h=2 zejSWfK`(pkFVAL(fH96lX~eP&Le9R$9m*Q^>crgzl7sEoKc{0^Z&AQnT?-&d@br48 z!6DZ9_G5hRO-A#b!#MAU#XZ3HHA4j}tT^Bal@Rxm4gE{lHg!W#tkbo-r*K$TjMiIM`GMHmr)?e)f!l7muJ#; z2Y2WMNBQ0P_R^lrvMB+dH%86R=Dow8=t77TT7XYRv*H<*^MyxonxgpvCEj)=z$52;G7>B3fbXKey;2izxxaaQSJfLy%Y(Ex~(Kh2mR^V zdIB3Pzuwq^g2Sj{>1J<2xW}*hZu7W?A?W=GaN4FO72pD)Kw|RS{Rg0lX38geEu+p; zK7LzzJTSHMsbq>Ag`;Oznb%Deq|`3HcUfJ%IMH9#Ed{>G|^+||?tYycFyV}}ia$Nh6 znEwY?qA~#v-y1#v9HCTy^6iQ_Z6pMxLcV@bX+lwa`2msYP_nbsB<2Kh$SfZ%8XM#x zy0-v>Z)`_vy$Q*`NtnSOnO?)|kZAv>t!6-&+n%!u;j7jC#PO{S9$<0N{&F>K%kI?8b#;jQlX}c%TS={bVU~iA#Nc*F4A@MDo0oOp) z{_+1XmiF`i{)J{{U+N!*r!K6ajTrI_K%%#UZS-Xrd$2^q1E|i!6J^T#;2@%#>R%z) zoS%@<(q{3c_a2W=`9i3vf!kcuT2;u9bFSe(UTgP7K(3m`}}OGz|r>ODPO zouu0Lg< zgCrbxvw#UJlZBHxARhbIRrr2H=RfP#F1u*{Kk^-G28=5x z<4s6RJG7P17AgLL82p{|I}9IbBtqU_M|T3WHBjFh88M^Zf9$R`aTZ>w{p=plU1PsnwT?mCxzF2q=par z-~D3Fijstar?aR}7vM|!#SJ3rg!tl1g`(alsn6jljruU5j8%8Nm7OCuT?WYcyM;IA zZdVfy?JXe0rsT5j)r4zeq5&Cd7AS>NrV9zD56yj(zklnls zJKo0|!VVC&GDFH$;5VYP3|ntpagsX4x~k9~R7^|yuZVpQsR0g4XBDHjUrKKCm@@QL z>pg?^L;Og~@dm%;Y%JfeV>-FOMrxaFVC*Jrk$x_Zs*N{ro284zAj%eh)YN(<2xrZK zAB#Za9WtYgCrnrjVPVi-3lU0tUGx4c4;_I~ zG0VvhS|4X)-XsJ49F$~Ex(})GA~Vt3Q$Zz?=TEA>LiN+gFPJ|Tp75kQW5exg=7Xq9 zxp%fZ0hw}O1G%TF8&zZ>lm@)?j|(A$%};+0uYJ27eiOX`h5W#V7a4@B#khnh+uySi z#aSHCsvTbti3@|9h^`huuxk^Lrc@~fVKR|zM3@mV_+zDhFO`1gbUVyc7PYt_U??xt zHm0CjrCz293ev*WP0w&y6!f}@Y|Kx}(gEv#|dZrf9v%=(WdM*U+F zc>@w|5%=Z4ugs)g5)nc{`9})!S$$@5r<2Qls{*+%cA@zpLcgalK?uvIbrCi@1^G7_ zUvU*V?`H+=Q|`RFIbprHYn@Zd3l^Hg218Vbl)HJKdNNrJo%RnO#+!^QOha()xK@uJ zER4?n4$~Qpot3$)9>+=qgIxZ7l{4DG8CsuwGX{+0>E&NIGW->{!k_Z3WIAab$xq5` z*RBr~v|jeLTJyeX;S;1E#I<4CzpSXjtS)Kt%JPUjR-3lxsr7>QNQw5uN36JH!-C;t zV8Lb6ky7Jb>;zCOrr&w-rkP4U&X59F2#sEwFF!rIkA_xqF@wXtqS%f_CYN(2USVA8 ztfurCrT-OUtIj&rE zZ3l%MC$@ttmk>{)bwtjwSAWLAuN3+&qh({)^~>yY=6km-yeRCm!qc<*Oe%$xHN*h` zQd5iokR;Wm_I2m)J3YNjb_CNN+|Y)QbR+6!vBhz4gL%|31q9UGyVn>{pXrwCXE55? zW)RwUldrvLoW_`sv`NnTW@m}Z00`AB$w`Hr=hAdhw>QsNTLCyzD2CDHeOBdWk1$(x z9HMXf#AKDG8U<3H#4Gu(&3@D4oH?|nzBTiaOnw<+^ik_u-9n}-Hp%*SLP6H`S;Y%; z5q(X@Kl?y>lq%I{9waTmBCoB}>PB5844^V3T^+u>CbP=sK$9Mq7aA7BX*%r>o7+>F_pHaE@K(QHLO=8{qI z>J29>>R(sFD;y9kMFFIVtUx_WzSB0??+*lLvFrJhGM_hAoi90r@PvH;IPew3(8|ho z*ZdMcRa5gO2l-naXayKIN_jjKd&S>Luquq;%4sk_F%p75@4Uhjv? zn5)Y*G5-}2b)L84wQ=NVAEXlK>0dyTQvC%8bYe=7{n2^!NJmror-=G?HSb7*m3p?A zj5F)wT%E=cMU074s*H0oGP;CppT(xpwa7&xJ4CW`{zLX{12VOof9PBaz^tM^JXSQ= zbHWFjsG8HdKb*6oytp7<@bx(t_L9Qu4rk6H^oykx{ND%w-2Z-vj`mTl_A^W4-e8L?tJEYp%?LQfq z3f6Z3M0$C9pUi?J+OLaz%&A~V4ecX77Q}~Q^qh|$RpgPn%ZNIWA1Z+Y*+&B4;0T2+ zjV|Jqxt8-$6$-QA`PE=hs$WPCNKPbWHTX6HqJ;q;1W*gUU%-9T^Iiy5IQ!jmQAfW4 zV>d;F5NpNBpj6;IMqfNAEqMTH;@#8;gQxc>7#M2zFdMB~`jYI1>Rl<&Zwo12>t1?cdvl)%(jh=wjaB3*bSmx$nCgx7tv`sVJz z&#dkHk9(jsuoH$|5ug>jiW6}6okl`N1}8UZJ2w7mx37Lb_z_7=G|`774@4_PaKQg! z@hApkKOj`rNtX&RCW0fk;PJZ_&EtTF0cL1&>{oggcu4ons`izl8h5=(Ki_Ic7ZQ zy-8A!xnA-cwPgM*$FafUlSt1aGE7&RQM~rV_~fR+a4O3C;VNSA*xVTV0q3b7u6u1^(W$$QU~)xd zkp%*DC?kNuDdJ%`)lDMLfX#aET~?MnwSo|8=>FHIl8?)^7O8|Ua3|4m6h@`3JquCL zWn$ilW%lD!J}aD=KUssH<9yno<|eOCVqLRPad^@vi$nS$sEniK(&@iku3Hf6iME-c^A<1AbB@1?VxCZ_LjQ5l=p@zIS6#Y zakYWeCu`wcYZRQ2J}=(+4$r6^ls%#{)N0mhdi`7b_8yhyitldYu~TRa zO>Ga&ZN)o~G&Z}638=M0q$Qw<1dS#O>Qe5pubMU z$Q$qU?^if<+Og>gm?JoL+c|f?+$^ljvV$k4tS_sRr~^!*gfFu)wJwuIfe-~2w0*oa z=cn1m4V%d;F=P?x46?{?ckb489M^JdqXjF>sjSj)#K~XIr^T38TkayNsl?GKpSSU! z@inHbDB^Ip!+|IlPh$wlq`C?FLp|TrHZvKxB9Ck+k|fPh6Q;KF2)w7M|UI< z2o7wM`gs&xw_3#-xt}q1--9}iA$q)~pA*`fNzx_*wm{ zdc=NmK7u2yNFltlh~R9KG@c+6skXoSFjsbkA?4u^?jON6EuY@7YkVn=Jb62WS=U5EFoO8OwBHbmYiYFf7wc8Lg)CL3C6x zum1ePd_#tI_sOat6KorMFw)P`y5*tu(vq>nuYBfYtDHD~+-i{W9MfUsP%IKq(sDUQ zggDHj!Pa)%6=ptUTD{=~vE5=57DPTOIyIN>8xNHkUTO?D(tioJlgj8fZP<(EVI1y# z-|`?OYQGj0%upZj8B0?5M`FP)+wByu5|8MZxl;ZOwMLB9NmjyCFjtVie z7n_i9&`15}iYc=R0O?Nur}52AQt3HY8&XbKsp+_;yY@&cj<9bownjMqYiR$Hg{4gs zWfD>v!+YfTKKPP};XW{D*@ZrddQ*tk1Gs+S8@9J5^;>v0N;ZYK9oj~%vBeRz02@Cw z#NiGQaQ_)DLe&m?R^(V{odl*&>6kgz-Y2_-x?+B5#ApugcY6-@{wk&`TS{#){CvGi z1Gks*6i~rn-U3Ls1L$+x@SyOx_?*=q#~!R3cw#H;+cUZ+rqBiK22dF1VM&{~aUj2()()D}`%0*^*5X$u1kddgD{wQ`i}H=&;P?XTH@tU7>N`iLDI zfY(AUr-!q}Uy+`vbNJpLgovk2`0;}S$tjOt6LWF2(uL%3Jj8;T1nBk@G$B=H(KGIPWG#Xi@B{yc&3Vwfr5oIx$b8>LtU6Z3JU*^T)HJ1MZeGYVL z6q;`kCb%6p{i6Zn=uvM*up}* z8`1dvClZ_%crloezr%kuFf07q2uHSu=38yifGZ%--3uj+OB7#52NB_1m)!}X;yzQl z9(L2xCG)k8VK8%KubY&ce76pqI)(zqJ&KEI80m)B-*~l^`TQYD37ApBS>ZghvV-nI z-`ia7=sSS?dVdOJLIGe|`3}HVpQ+l3bjecs?ZK?|PuR}@HGha=XO+kzLf3dI61AR_ zSyD^{c0r81!IF(H_ZVLumknzM>bKR@ltgk0G$#Z46zcIzCajPyUtt?TQf@l#eSCYB!?ka1q{+l0dCUP_P91ws4jb2fzRsn@V~~8DcW#kjK#f4t#-q z4rPKJxqSr^KdPjDM$>b}0)a!0NO!m4-)Vo^Yh#Oe+K0VvElA91x3pG@O5^3#$dwmB z_`gX&?wpyonJADzO1FjT97Sck1oF*$M2%HB;LxjHELHB93RCe?%X=k;OFY=skM}#0 z85HY;Vp41sll@vn6{V531pFg9E_l1-ea0O#K6JdbL$HwzZ)VTlDP}`u)rZsz`K$DV z=MO6)YhN_fWqW-OjY;P3B` zvQ`f&;PcdXXAZw(q@-v{C>FzJ*+eP*x{^fFcb^_I|M5vs@3r{zflRF1?UZ$Oov*Mc zNb+?1HZ(NU$ucCZ-D=lvqlKk^eNFTYem(ep?+^cH76pur%BDgZ45IUJZC=ip^=TMz zTBC1mEu3KA`|Z8XhsaVeqSBxF$&q6)J1kqfQyL#XM+wQiK&Z4i#;x8hop;vbHJCvG z2R$2nkU=*mGvvW1k^wAPOYD$x;ozz7i{S+Dht6UuH~gHdcHS&BtLnPIu(O?(mNu$D zc%jviSU!gnl}gg)V@Xe>pl8qiH5P$1W;Iim23x&T#6})!)XNFdS0MK!oMe!SZ;&juz7>UGSL<XV61Il`+cv;b%grYe8^kmkGBM9Rn!|d%}E-43S_e zv2JLj{z&JPNG^B-MMYzlPyj@h{@`{q^pc_~&lij59$sWjCsLtl=hMkb3HH)VbaCv0 zt9}Sc<2wm&^L5{%#%$!x1Aps|(y5=%L+FGxYU*3V14-o7>a1hu37->4YV$AUw<;_p zIXV(|4ZOULUt$F_`bBOJ^!e}N#eHlDS_%2&ggBu^`&6Qva}Z@5bt*SqU|JaJbB;Go z2!sMeXY8o-HU44cv-x6stn1ZuZE4~n5{R>Z3~oL$WRCP#kJc6SNditVWGgPfR*dwv ze!=Kh>I=xs9xN@XsNTat9%>|}rekiHEd0SX!%Vf>`Wd$ujaOlNZgR5&JcceF>=^X@ zQs=gHh#)x_5C{abQ;It{m3$<7El%tANXku46$K4Yg1ol>1!UcRK4_bS5

    GHr{bXW9L57?pL`K=_p4BUbBTi7Jm|7E zO%IG8=zV*qZ3{ArD*_rKfrGq)MCN#v5zF`nW&?3FYF?5L6qE7k14g-|%u!OT`~R##?kz$1?C5ipln~v(V}|H=P11I7!Wp zrK3tn0@eY;N^1(r3I_)UH*wUu;nNdiMNL%Fm>!cy6hj)kic$b-TNKl!}LL_K3XVtkNm<~*P zoC<#`Mjhn_caF0j(Aybp93nLR-FMu@k`f;9G5u=y0aMiZY~&xq0IoQ-JW!~nUt)o> zf%JC!ZY|XDgK&~xX&Wx1tON}1*e54>lvbDY>A?tTf!ERk*!qT=LJD~}X>1n(v>QCwaC>386LbmjJADl_L8eS zXBRE$KrI$$)P^e|5PPews=uB_Oups`GyE|_gyqHDPCGy{@TR470a779t+&+FP`uez zs_sFs8k8ufoEh?l^auHKl~qM%O&d{NzKa>#-&1SiOWI4gt6qatna}=I6Ke+VXZZ@n zY)ConUq)%=Ij5#;4OQY^l%&0d)^d5qL>LMTLPIgLPsXw(-sK8cpyPs_l^ zNv%HPLewz>#nEi3fQP(aW-{LSAO%iu7yOdDTfxsvTjR66poP=fdmM3@2}G;%>8O^g zu<#LTdCY`J)BVVLa=!KKkLjHeOj#+sNAAFig`%&8*oP@Vj86nCwBxF|*7b*k_=Vt- zSt&V@b4(b#FV0PFqBn)gP^M^T4Mdxj5@@XZ#XO$3D8|Gw5ssO0)Ma*fD-b#OcSn>Ir|wyMWK#{_Za~$%n`I`xXI1ngNMw8VM*E zEv#FPh?}~+3@A|N0d|bc1odI44%DYCTgy z0)`6id5^?nU`bthsP5jpQBmLuj zCTY$Qzc3hzR(tXn)J$3P{?`8Y9tj@@EBIJI#9V&QY;bO-9o}6DNR?Htw%lP8Y{;Dn8l|o@v zcZ3K@VswWoidf^BNH2d|VW>(aIq+Xe==33Tj~IceFW_NFaGQ#C7~WT)O=a$bgxPO4 zSSvC@LFGofzh;AI8qw5Niilt8+dbTZgEAVB)vE+o>>}Q~bJRM^{+T-Ybjox6K1tU3 zk?)uIB@c4ytCUl_*G(E4{;rEvrQ7|(_e+MJRR>1bR%|~*YX6MxJ$h@^G_NtWJ9t?$ z-R=uv#bVGsG?E=w3yX@E%qQUesE#rIL@oI1jDPGlrP_}3gVn`aCaBmEmeq5>Fvgcr z;b+s!P@k(IM2EIeSl{;EqxY>7d{Fs?z1z&4y57&r^$vmcmC78iGh`&JGhdoo*A-4< z2HJh%o{fIoV9~badRMd+8%UHd14XtMsGb4Tr3$P6ANPBsLDsx z{6tNpi(N#pk(sgL<}pDg0t)v31NuM(zcCurtB+1S`@qa+H6XqF*OHP6Ju>_<){s5oj zCoxP?*bF;v#CUA;Ie@d7`3O9A3QN~}KzjLltn&>-XzpWVQ*+vxbO~w}o|wGC1cv^> zh`3#jlZCHf?71Bsd-g{CpXy<*ygH5@&qPwnZCF}+qgU?%AN?Ul?Ib!w+twXXw@MLn z=Or|)T+p&@JG5-p5}GRw5fgg_5s~MyNPP{OkUqpZb;de3KO_{rLGaZ_P}Vj?L-G$g zw3C2=t_>1T-oS~(n=sz6A5%zPRg!Pp$&1J;sYS%KC(tALCsX_)>rL37c?)Ns)nZp< zDkjPZdKxlD5*~+QkpAdB{6dm3Qc9S=9W6Z_o5NDMp4jh$#!B*dsfp9Db5|tNG78}2 zyp4RmL3~r_wCQuP|6l@A((b~_(hFTVK&1iZELnxaS+|i?Pz~da{t)ln4GqapS6!in zurs%C`pJ9vM4X1ao+D;xS;OIAERqVVkoxcyT#h7S)>>O4JGdTCN793L2tJpO1*`PY zxJgsAmFNULmrY2dIyio@5c4$Fp#k}R9lQ5}iPugf7rjGJ)-B9dUx9k{>!U~Cfw0+n zka)kv9@5cN1z|I6zhR@`vL}@5V9&`5m^FVXUmy6q-X_gb#OBasu8)J+1z7I59n#B; zp|vr9=Y59S$DXunq-S5=ZVWaZLez~CoT75rZrY9RefsmwurhO15fGn^OVp)ZeF|h> zc#8DXg-FkRj`WA`kX}M=8+Ci>Pd*@n=xiBqj_AbAQdkB=l8$V+o~(C=BkpDiu2une z=rhl)2Exxjz*@_l7&>eLhIW>L#yDkcSJT1a6~@@Rl==lV6Zk9;$d~^99(m0-g`0{w zycd`vOhbo*EBT>y>^4hRcAKR<;4&9*QxyKTpA47}0oHWI$ti8HP^>X}{;LT_cIk%I zij&}PJP)b1^YMfM{@DD{_rP)Aqdx*j*}WmA_w9xrqbERm<_v7pkjL|X3#6PS04a6) z;oO|g(CsRQ0YA0Iz;@lBHhluz^%M}rfRuK>0!Yc`EM4c|gY7I_)s#Vi%p@2Om4V8j zrI4hmB|;iYbgJ0?p6Ju`jtdCsr-eNK!w$@`B2Qj$z;o|(;UGyPgl!<)6kQM7mf zl2j&QqkI#b`F4(4JhUE1taMyYsUf3(3#-8ei@%o-l`P?Y+x1(~~ zO#>{(;Kl0xI5JTj>MfgMOoJAX?$Z}bm8QXQtuo@wR8jK(5s*@Lnha7-Ci3_TH}j$I zwiT18ztP;V2ZzoTBJEKP{NpcTzPV^T+HwpLH_DKdUj-9)e{`Zcuiu~{6qc;Uk?h+z zN&T;(>lTQ2>WW5L$8g(()0tUFF#LN@hr(Rt5dwKodWR#~9ym}&T-aSLAVG1AlE%g5*UeEebK z5Q`s0PrvXq-Zy$4jmKabkN8@ctUPwaWOIEu2ZW&S5CKRjqo{^`$(MOwZ@u{dx>5hj z4myxqq>004?jWh?E!NW*(Xm@kK4#3Naq7tFJfzYX;1QmMIeJczU2O^beNjld`x2@5 zt7tqriCOFHFng61Hu{Gllg7HJ;~7|_yo~H08mmbkI?ldGxL=Lniw~fzsmsSYmdAR} z9XwB#H^vwHY;~l}M5dxrPw6qMi1jplSaV-v=xrgQSE*qP)X>1C~xsU9p@3EWou5RH) zwtxxr{f;91dMO{1%}A~;WLvTEan2$Q8mn)89IGX|bQi{IO+C&h;Z8YpsI7=mTWQ+7 z1(r}-iM(En*t_M>ckzQn*DryTU3>SVwzwS$^m{1jW5F6j-u76#(Y5y^elHP4Hk2vZ zXHzxIpt61gw#J-A*5h}Gy!r$Nn-631N)xCXZNT2688~z10RpxMLu&jKzUJL$=m^+v zJIK>te+itwRmttW^ozwvzgxw}>h!0zd@N<{iM6})lrELVS)a&ksG15ko|eZR965In z=gBt8%Bw_F^bH)|m4-m?NE~!MgaZzHiT2>2<38+n*oU3gdpVfWXZ>zYzKl%1O+LoE zu~B~)r+xJ9ASK%~lb0auH@QlGUrz3w<`Qi2=o)=ktBvE>bOAEm3W0olNUW~{!(lD3m2c>>J zF?skzOrE_QN^9(4>%IeVVWB8Eoro$bXD!=P#pM3O_PB`TV*7BekX*S`hBHS{bHW*= zJ2jExumn3-Ym?t)fu*yZpds%LqlJF(Sic7myMu8xITpp|6Y(ZTDED_|V+Zut0V%T& zQu}j8E!9<~*)$jq?tzI7I$(UCshFqjjP-k>5EPSwI~k-a#_P}C(^E%G2RA+eDc3Jl zM6-tVzvyrNHX!B9naIdG&EuouV)=dx#^(i_r?R?eJ*TY(`0kkZf`J;f!+?r03%Rcla~a~V~43*l|&fR63jqd7Zl)yfJ_*}7&$ zIh@>FX`E^YF|ui#^o>z=@fwQHU4prm4q7y8j*jic;caD$s;jq9m~jSrOO}&v8lXq- zUf2<^8*iyi+|JL32GvRZh7B>GM^6NL`l9A`0div!p*C9{KT;i!ptcec7RKAk7eGoW zNyH@zZRKoE4i=~jhl1D9F9uQ`J&M7@hTDreNESOnw{X&7#VWGkENVMm7uh zTZsWF*$#fjtElo7qLPx}=I%y%al*-yvGmQc96kj$b@m5MpZ%(EIrl1jHgCmRLlZcA zZ${GD%N#(-%D@g({d(={&m@*_=?8GSSyY0c;BdZ|+ri!*u`w~czxlfYJJ6QRI|m;= zhn@b^ZoHCs`w5P`ic5D&If(N4d*JDtzgA~YUkmzTd0)N%82b(%gSmwzT%4Vdbn+x$ z|Nme3>jU+<{|o<=AgYspO!(B#GZ+XPBG|A)gW!i^zR>dS&EEq?WBI;&^N{|2L_&VM zvt@?IZ(Zp5ZvavX+m6}bPToI+6Ilh_ep}~%J_&Wo>J}f$NT#3H-EXPWFWWHF6Du?E zuYJZBwuQFzceLYA<*og|7D|7WhrR3MAjJgw^gaOkQe;IqPiU8<~mh(pn@Il*4>?C?+pAg2E~b zY~CG(v!@FXel!UxiVM-OVIy>C-w|edwm2Pk1#!WdSiNi=+O!h;!pQH_djK44yl^`8 zCZfYnLw&(Ya!4D~yAm+bwMJ&_CBz&}gSz5k?wE;p?S}R4Tafwa6=JRzVd1*iaVaYlZG$H=&$gwhX@IZS0OT=A$h@}+Yl*M=G z2m^a}9v@HXm+G6LDLGVashs+2Ops3U$A@QO*}~OmO8nc3wS%6PDbnLEA%WtTtF7V_ zqis4!V6BY{lJ7o8#^bke3W|r!N)yajWsVJp;*j~E3aR&A!Y&{jGAoQRQ_B(_p=ro^ z_5rb^hh+w4Xhsfgo93;sZlwWIPn<_$XcjaUu0iw0P0@xN9v!<4NX>tNleeEi!`NDw zxF&hlS~wx~&U2i+`4pNI--1tQw}ZB&6H@M#QNCp`a`r~Yu3rKvJ9X;`Ln?dH%_lfj z#7?pGkapo0F6;&HO8%qUGFPn$@((lE3jC%g@()h4z`zV(c# z1X^YeBf$JgHLnQTFRi2>|4>_sZI+e~UVZr*{IvCJ4U znx=3%6pxIDuaTVh6xt*k(-UhSs+u|oIYSN^bt$(V*5J~aLgbvfhn#CA$az|W92zcj zXn4wbUW*IV=B`(QQ_}5H*zXDFdYiJ$2qxQ(Atvt`u2Q{Ty&kq5^|1MW49Ywu@QKGJ&C+B zA~vDR+8A!_O!+Gak#GFn<-o<28un9}1 zm+wyCQ91a@bqq)uLgf!cRmyHe`)tKJH6Ia>a^n|3%3J?efRyYsz4Hvn8;3XP=_pS) zkJ9K%s6KfaHR)$jdLj~O4yJIQF$ydDiDTNpVHi1ODJCs;fU)xqBt(SZDb>qcY6Bm> z1z7#q4yo*C_W-9H@G4jnF*;Jv?%5Gi4Ld+`@J!6p^Mujv7#xa9K|wm{i`5l7z3$JP zbQPWM`2I{Z@)Cj(e&hi5@7s^l5wUo4`V!uq%)){7))-1->DNHYh_EpFe%Ps~KR{Ae z9($rQc%N_};|3O5cwwUYI%vD?Kv+&b&OdvPBU!hhwP82LsH{etz9aFkpBmsNvPb2n zE8x(cNaSSPg}3W=4C*_WPmW2?QNsR&Y@9EC51+sY3?3x_DWzvAV1IlTkM|9V#E{X# zB;}-;^4J%58ab5Lr}!T*Np=qQ#-8Hwn+``|=r~C}NjY(pBzE~8 z^D2_z__@23{sRuA=3=p>7scyfjq6T?U3@_K*1&sz2!@Ux$4@<#oHiQ)RCdOH^U)ZL z7$*QJCr+n$mM@tsn}g#qVuApqoFFq3yQ9;QLnhLe&_s-wBup+(7%>66eFKq`l83GC z0rb5IH%yM7HVeB>q;Yw+9*@V!iNcBSW2C0Q|5z-KKX&#WR@iUma;|jPf+J_|@O%$t z-@6j=Z04ax4-3syVDL#YhhQ5B5*Lv2KIx-ii{Y|KR7CK#VRF`1AO z#Sa}bfyc8p#@Y^RL#&L0M~;E#-s3n=V_^i1Yg!w2aXA-Rcwm3Z70xH*+&!#u*~aT) zv9%9^PUVsOwFrnzCH?}iv+v;Hu;025XCA-79jd46H(pTtr#d_L06F=UTn~J#Wl!RH zg`PL7scRD|yQ>0jl)g?5mjxsP#aYb&bcf^J!Y)XW zZimI4#L%`u2Xv9>gC#R1vDa7uS&piBxkNqtsJ!x1-y4zi7FpAlx+*b%bmyZmTZ+bej9PeObdr+jl=pLt)bk$ z2_|&ygptEXLTS1*wyd0mYnF@+n&JMZ%3nrYJw3izk_9VXm_hX2+LJ%y!WQPvUdFT2u(2AI@pu{BL*?z?`UF% z(!4u(PGo0hA;dRr4u^#+P#PSI=QQ5gt1pKbmA8#}d)QOH&naKFIL3jLY{9H~3)rpE zK}iPLe3|FrsI7~(eq?l=Is)S(#cB9~%QBJiC^Mvb?)+ zv9JQasgiVOP4UH7Z=&K(0k*oiqfgIXU(_AHk#dbL2Y$YYOoE$+HpE-DMoaSXEVOj+ zH1ix@k{&l0tcQ3j@;OPr97y@R1TU+qu+h`w6OfYXivcXlt198{?FETWozQ}OcQfMi z_+l=~Nj7&|dk(N{PTz*9>LNTQJynqX`NfTtzXV9>!)~PP!uc34S%IRYOjMq|0∈ zexqZv7R_O7YVyl~l$}LDN{W9#ZNKXEE8#}UIv}NyAs&!C)$iWI&wnercJIy)tS1K<%F?ac*#kiDt8mN2?JfVT~+%*9-dG0C=s`4#T^ovk11|f*GR}(XrEH44-O1<9|2| zw_Snp&g;mh7X@7lSvwrN3ZMH1NX1MvH z9aRZiOnX%I{$~qpe+3m$z7H$k^99iA?@UYn=K0D$BnWwbe!}qc51$r0|8~96-<3a~ z=H=n<)!Tnppt0k>CWwmsUmFq<68UEGcJ0J4eflJX9N)v&Uxf9{vai-;{#;^!G-3Uz z5(W1zz}ChXy?gaQpFVwIXJ^mH^m; z%$M5=C0Sq0o#rFZ^zRcpiGJ$XjhHR%1#^QyWF((O?R!=qY)zZ&91g1dwIn*v{=;J& zIqVNf$x#q%+ZqcOsZqUT(Qlsu>)xZnF7a|OAf<o0zG^5fkfvXu+btLH!2+uV=`c`ZG=u;dZIi1w!y#>Pvf(2H#8CY z3znd1W!WAp|4O0Ua&9WQU*0y$&)Da40kaVDrK?dC znTq_7L>SLs!ViRDH|%fN1GKL`iuyyf$w`&;ElU(XAJ(om^2^ zT#84e8%K99bne-g12SAaeet-o9QPhPf}ybq_q95bPvq_^=;mg@1FX@}%IF8hd4qj4l843(sVtn-(!Xz4P( z;ra7=Q&LtzO2%ofrvk zi(qPPPx|P?fuhFd*2p9MeI72|xQ#XR-L-Dt4P6^IgsW6y# z(hD=Bgxe1lzeBU7J^6gy9BB{ODp}#|It@NYDF7+w2=jK%41}m8{B1WCFdGJ}>4C(l zZLzp*Q}p?v8Af&K4h@A#)ECUdNt^k2{7<-%a^yHj$;x8u$~k!UpKhcy9Sdmp#hKZi zpwm?h{X{oXE|i@BZ~eK5wNXXEFS?OZL@XayC48`(jr%KxBVMX4w)AZU-9FM`E&*y#E*=rAU`-o}0}*Q~P*hB!$Q7y^%eoEj$Lb z!entVbQbRq8OascWONi4wqC&FZ4oHnXpT2_b5Y9=sAB-#uX%q)p93jvWT>u2p-iJM z0>_I(xkYmfZ`c~r{rY2->U4PODI?iJ6{WT$6RV^D4}g?2*)3P;={!C@@g%0o&Z7RN zJyaJhM^?^dRJ?hQLm@OCNloTA1TJ5_mioHeywBUQdk=c|>4OH;|7xsSgR7$c*T-)w zx`@CT>>&0#4G z`bO*dzL`#)yTHR|6Ys;X-_FM>Ep2{75f5$GoBw4QH-GzqsIvO)2FnXdifjK~(XG`q}W< zc#NlUi;Z9GP|)uk^y@!>-z>FELlaj> zA7vEpM`J{H8Y|eCp|w^AH}Br3vb=)#mhI>@UIa(rx3S-1qJ&tK%aIU05XObXC%JN|Uh2kbc6dJ&L;un>0qqfqag9MtlXaN&*OB6gR=DK0+ zpgX1iye|9F_qTc54*uR98ozW6jCk8)ZP0tu7Cf(bh3lmAwFc{{9dzPr(0c-ppq%8o zbmJD5uhm71_7WI7gvMtVUsR@D#Hk&DP#_zGt$7a_JsP_X9Y)1lYEV@6+FOsfy;pUS z#?+@TcpKtlDYY5)cbzr-f|YxJ5Vgli{F_pozYqy&nS5S~1^O8;O3Lx#)-9A}rQpTM zaJ)_p!`o9Q`0bO&Hy*%RwJn$_<%#L!^RRwfQO5h5q(HwhP#;UDrMem6UV*4ij{H$bH&EZKIS5XZx?^IOUerg9#?VO%vC?cKeD@zi zLQE72(@8h%U49t-=Y0PN63NB(Nih&*ZZtlm>_F+x)wpUr7J*8AuwZCEbniR?LkBN~ z+2ZX;@jQe3hp(Y5Aseqw$KgFYSp7V^Ve?!3Mf5q4GHXAOYLD7uE0AV96*>cZV>GqD zvHfRYfu0*|1EUa}n1cLF(i1E1Ukap5eZ{i z9!Pom6pxRJjrrq%l-;@sKuYrYiXJ^eb#*m%?A-b9ft1hKg&(&3P*Qf-te2J^DibpC zAUFp4O7qdUUVZZ8dtp22xlNAftT~+6!ME}0D2`5n`I41<-LPZZcJS6)k1BR^VrVS%M7rtG zr#IP8yLnr=O}f$0T7&wH8e%}_t_ZYtqJA(3xd)CxZSr*dNM#x^b__zozX7CVH&Tk) z%Gn$?2lyLrq&#*EgNIYQq4=fKXXECfFuY4Yj{plh^d|d@11aatM}9~QUZrGXv%U$s zv}wx^dtGmC_H7`gy`8Wg{rtHAq!eT8%gtc9a2XzjQD1QBHhtH5!ulawTl}0-MbFb$ zsIR|E>24r%`#va6n!?L8v}bP|wRfd{>MSnpIs~;zvS?VZDFzH5kBwXQ;`)P^cv21A zFMW@^qRKDmW>E!hKCD3Aqe|R+_KM#u_~dmhUmp@~7yRwSc(B<(w(gXB{|PJ|UD1cy zd#_$SVP$23f`S5G4}W)viHU)Nygb^rYfpCEY#azV!R2SO;S8Ynt@W!vi`aT5(;1r) zKc80seKRX`>(LYaslRe|a^kn0{@tOhv*|y}g)J~Y55J{OKP6{>urf1UvElpq-wUMtSl%KMjVUwt%2QdoVWpb4Kp-v z-R=t`zjL3#SmCe(TTho@L-b9^EL@8Q3|J`M32KHLusQi5Hiln?jOrRRr1;hnU9iB) z7h5itV`J)lOj~Y%hE1B1GuIw-HO=4^dm90l-@)V5b3taJjY$u%{d6h(GfUtSUjUQv z>#&T!hfO5!ojo@H=RTKS z^RzArw;;1r7mb>?K=W2@p`>MlO$i0?ioON8Wk%!>QhZx+C>p!MH>V8VXP!ZBtu>mo zU>iQ$LQ&rlzS+;UhZNT?@8RlDhL#)%6K+3lCzY@Lco>G84 z_W@FOQ<`d?c4N{#$gVIX8Cs!f%QleLv4PL& zr|`)thQc~KG$Xm1wi1K9t{uG3KI3vQd00G?dycj>yiY&jax?jvyiLiOm(#Z5l;?tbk3#*T5OLXBHpT}il`ZOoKnnv7!>&XY$cIE}PpLvN5)CSE+ z=d3b4aluACwas;pULXlaE!Tz1LPwG((k)3zQWG)71(*1p4V%zGw%a--h7Xp z^xZJpP5%cF`Ck$0lkdOpX%ki{cw^PPP0*O`XabT_Q&5^s{1`BkQQfVRpA(C#BlbVb zhfRw8jvFa&Z&XLLq69ofHiF{tq3AYQ3%wV5!NBbxi4h^1G$vvoJ(lMM2C7V8JAuc_Li{<9GMLJ9 z5LGF=5bd+&|2~lNBeA+CmtQ5S@xPaG*dANJvEOxB*g#3`{=+nL*hL4^B6>VEs z`X0cMW8t`!LiNb&h2&-J;%mNjKuT722BbXgh*u%25VKASYkNvSx?y`r4w?ZaT@M)S zib3Fs6y*O^K+4PXeOyh!+tZN<_jSS|WqC}TFcCIdy108R3h$H8;Hb4TMs)A>Ga%)O z@GwY@6M&SxC(M9BKsm zcj?6^!A4Gzht=L>?96@v9rqw~A25;w6o<*og~id+^zJ+8Yz;$?p<{XaVN(@gapW|1 zTziLg{^95;0{9IjKIVr{@${eKf54E*axg!X!Q*weN1`V`mGlP;7&Qs{-p8=(Yz4Mm zcm?}}LN2o%$-Ll>f$|}Pwh{{MhWU>#KAZ)(sIea{{{Em?xYq=~= zG`=#~Y~u5|tW4%j)LvK_SiA$-T&!%nC|-A4IQotjHYYJ%GF>zNrh%y#Bqf~e*L$R- zDE=MAr}4Vs?UT~$?T+C(H#>R?gC`3&Qud;@z}f`kV@7o{L|TYva_Vj;oe&?^c32x? zZL-_I5zur$Kz7V)u1DvLVlJn3+&yY5FE}4R(!E{ceI9R5WA3(_G>+!g!jSSGIB`1H zRp-8s15j>e+gT%i@4rS z7Qip}6?WylhfDZfEYUrL$>S_BWrzWkCs;sph7(rKbj8AH8?a)AGe0r?ivDuGSpyqq z0dBL&@RI)zBm!Kr6V^qWR2YcuBarRmW*U0h5>JZ>fbJliQ|Jnl?yWJpUULj>(+ToY zV_~W(kNqa9xNf6@T9%%JBt?8UFA=|=k?8l&fRw-XM#|^w#^bE41P=7A2g9z7F{WpC zbQn4gQ>IVDZp}F;Wq>-ik%es(;&QO}R4FfdTF(TGMgtl>ae9UXw7QC+d;NCk-M$CZ zrj3W6o;(ulRFLnWf_ERwK~z_+FNpZFCkIkar{^@>Hd6kBfs~X7)0LYD7-~HOueC?w zfl7BoNw$Yhx0V>*q9yvZ?}(`*he2ze417rk>Bfq9&h+p(c=Zn>st>k_hdmuhF4v`~ z_0Yk4KPSB3a};HJQ;=qV5bLKe#;CTP(6?1fNDt|Q)rwQ#MY5$D&qaw1@%gT76!$G4 z<P?)7F?abO@HI&VtKY6{MQ}9|uxCCY#*c3@uu=goL;_Haa+>yy!8W z+`b2M10%E`o*g74_)Ug?D3Fq5v$nPt;@h@`i;W%13LoOxy#iRTH{qZ{w%Lf?;`p0^ zl#Y&QLuuKeC2}Mg#M-r` zcUr^F)Ep&u3s9PWAC4B5XiM_6CbG4&`!QS7^-jd2`VP%OY#ZU3F{Hq%&sa~FtY~`=2;qL1Li7uV_4WwoZm*H_t z3d&Qm;jU*4iMH*~ym1ql&R>Mb$D&aYnFJ4wwZ9HX>FtG1>}JcRO<}CF4n;R^p|a=+ zyq#UCjd$SbjVb*v15$PtfRx6@957k+<_&x|Z~n%Ol&=LKWse?c(x3tKRTrW#>LjX3 zx0_8Y(N(+y8aHf&<#XrZ(sA;;@(KlzWadQyG)q&Fr%*W2HqyH5br4_u~ig}+yK|6PH` zj{lk$+_-ubMTNI<|6U&M+`Nw4zmkgX=cDAwBizWn z0#_$D^z1&6>az(ZNzFmf!3Y#Rc!}yNwi)L&Zd`lBZ`D+v>yKHJJjt#PMtbs1JbhA* z*EA@UzxaT&+1H?{u?|1}*nqc-rAy}F+EoU2U~PuA*Ag)B=U+vF)xk#6=LiqoOW)C8 zE*IOte&E1?KWx1Er9euf1&i@0G!Er4sc>1b8ZGMoghnKf%>s2)gvX&WA|7_?i_zqV zf1yRaAK|ui1zw*>L|I4-%+(g7S>wjsXV^w|{D=5-JUSE#UAehvM0xfZ&=30$>?7mh z9rEwo!U|0RNI9fi4}>_<_mq7R*8`7XzKk#@HMZXX#JYF@sb`S0c_-vY3VqB}*=a~i z72TqdmV&9%1>oSEG2?N5*M9n7FC*H`1H;K~XYq=1Gm)EhimJE@$M@_;zwTXl{KEOl z)F!WyVelRYgAStazyWCZ<4@3;rGQ64AwWVp4w>6xc(2|(eI0e~)Cu0ME~t9^2(O+# zft#ZP+LG_nmi#HVRoZx!oQW6lDX?C$oclK&J9mPgmnYtrmE!5$J224GMdPMT(5sy| z_86E_J{id0dkD)Ug}KCDB;TRkJ3$6AZl1}8>KtJXaB%0^l5@VX3mgB_9+3#bMj<7Bqs~}mB&uRW&b@uN){p<+%U3tUw&K0 zVi{T7+H;5!XX22F6$VIj;u}!4bhPo{{ym`P4R-I`jvnlwm?n*3Y-E6EkBjiGx&odX zT*#knf`-&CEcA8p;(h_D9u>jCbUm8Z{}Ig^)rX6{4PKR(;YCR?tSrs>`~f=@W~-+M zK9Fvn+`a{UEiE)^*bu#Y_rl(QU1XrYL&2RqXs#51lnelU>~Ij3=soobm!ZBu08)+_ zJsQ!G;k>NZb1z}#ise58QmRqfi|5bsG)ZyM7&mITpeJc5oM*SPP$v<2C=f&Y3H3cs zK@Qh0?bAj3d>Sxb$KIHTPIn;*8ug1;0)GxDs}BYAWEk1Tkxjpf$^h11RTB*@%VH zT(Rgov_QrUN|Z*8p4N)ih}2w*JH|`!#7rFzO&216{bJ;sEJ42MQvUZt!+ChRb{cLk z9f$pshhl!`{utQ2A4c~ciG}hqa9XQ?6J|;%vSo8+L{6e7JIL<4r1WXN>9+zY*GnUJ zZg(6X)DSjZ8(>P0&geK~G{#Pqf$wrTJZ3;jS4#VFj+CCC&ygCB1~hviQ&s}2I*Xx4 z{kG`Wp(mEioCtrzxk$EC#RG@WfRuIeevjB8aIPw-wVQ*dD+l7NRDB%l`!Cq`9SD^X zIy8QI!%W2)vD$0#fOK7Dql_9ml8L>`bn-PnPQ;VX6Mh0xzAzky@Xvshle+iDbXgg! zUMc`7ORZTs>0L&@MD9A`Iug-0e7*!%@1d7xs)1 z$E+4D(Z5k!OddE0dg`*+Zmf*c)~a~HbouY(|D);m04ZnAqIdzE5fK)OVS}0N@-HaN zlEsxA)(=s?ayStEdkf$-brmJtzJ7(e_Zl2JxSwq4z8uuJR%;C&7EnL&?hSTs_eb~c z-Oz-_Sra`yl;q#T+mdJSq49{#r?zU{3J!KQs3q{s zTKoj&)GxESuC86Wz<;wJKD>Q}M}-B@rM{l!*@x_e1AA$V_)vqpG)693pu%PB+pRN> zvVOU$5_fXWW7VQBZ)swrNaIr0sSIB3?9-W~hZ#J6#*`^I9Uss8$mGZfNR1!Q$1g?2 zxww>bj#AemDk2P{Mvmlt`l5yE$h*#N3xAKJ)GrSlI1mkJ3|PHv849mb{L7cvvw1U( zmjbZg&_Ex>j~=4tRVBPPx}r^srl?PSsF|?=%1WN1y5a?#9Bt98NkcRxc^sE4Lv>;r zUdGW_y?8lV(pb$7U-R3%3Gd%jQ-A#c2KsuOH_LVqgZFW}52T-tXCiqeyLS)~RILGnf)YAD$_?X?9nhKRC}9~FVo z!vs4}ZpJiRI+snc9}s%%Fb4G%4!WDKq=1_=&Vg)~Bb5Ju0sZ;-sI__(9^}(F#K!6! z+xemXe5^J!K=EVJ4V7z?j{sa@bF#M9mU#KR7;h?H@^-+|GyZinpnret*|ifjudBEn zZelC|DZ6*;Ms0B$&!_P2Eod%V%+q)4)By(q$aWzc?>4m?b@ll?zCX3W<7U=C@@ZV% zu^%eqr8qEW__#4ReEbM69}l+*xV?vqG^RfPHyhT8|DK)O(6>i7&R=%QB&45A5dHXB zcwGz>QrlvCbMnYWVz(NejX~;;!?0Mn4a(EKF;~V5YO{T?XpZkUiR}qu=~QIBu}*yp z_Sy@#Qa+9i$D^2V6i}PZj|fNpi74bpM&f?Remo6w!h@}=5N9wKdSfLqvej4&?ll*4 zR@lRIcQE$HCF0ttM0{ZTG}wL;w!eq%S^0cF5BtB4KJM>{q4ZRi-vFe1pRpGOn^q!T zRRB_|ju?cVQ&ywbLT~7~9>j$hwzr3P=d!&$qWsw2o(p6fF(74vD{yEr3LK}vRc07Q zv>b%4?IvT^0%N%BKZNkiB-}WcgjaQYkpAu79(v}mgX*KGJfy$S{n%sffz`9DFnhEG zq=(x>cA`7hs{12o!$IVQhvH@03A|;8+p~8+*9Uv@L+0tXGoe7{0aQhMrar%KM7}g`&K_pzow(;6cXwEa^7u z2x^kI<4K?u&REPrpi*y`kClK_pYD+8H6CN7R>9NY81C*bBwarvW1jjrc4+>^NaAtg zJ9&tR?GwtOx?*`|Qoo&Gi4O-CB1u;o8vXlWV8gx`HCO>lP2Axg9E}+An;vAb{ZI7n zF9!1dPO1Y^USmMYy|7-XPBz4s7l8cbKuQ_GSDGO+4H=1~zp7V=3JJydF~Z&+rFrvk zJ}2jo0aCIxA_k<~vE%zd%JOm)(|B%aCjwHoY7MWo2B=KV!h`U5=qszCG4=D^Ngvy( zUw-rE4es2z15HiMPe9582T()$xO)9M7A{>P04aO+L9nYAKAg?PxqU~VC?)KvnJ{r8 zVk4-1ksp(ua1yd2eaK9ng4Eb})K*p_F5(0v$;V{`U*fw zb#>%kxQLpnY6MdL1ISnU2&6m^j5mp=KLb)WfiBrDg?I0vs7yzt1%*N3(3>+4jen{q04dpxlwSiWB|0O} z#0E9-r;xkjAk-wMh=7!15h7|U97rkht0#^dkGL@UZb=7c(=xC?S+IfX=obMgKfI^$ z?HC4+7>=L*^#hhpk;TnDNANB_69Mb3(7R)2G_2nM+Vb;|9~g>PQK{HWW$MyeOaM|^ zn135cX;%lNEP;(Z15&o(>&}*oSK!eJ>hE*OUc7kg+d#@X$|U>Y{7p)85t+U_pg3U? zFVj%6U5+_y;6Tcp?fWp7%EZdsZ^RJz2L_@{G$qAmt=QVn=fsdJjM(1{G{`_{Y<=dB zSWjc1K!&DZ>pK_jJisblL;OJX&1BQmSczNIFZ>h2ajNf;!-sLX`VJTbe;OMZkdnGhG0oPcLj6j3qDRW>bI<|EcxD$|AoIkP#yd) z{8NIcPX2MB=3O)cpQB zW#xTU{R|Z^3y7!x?T`@(+8MaA};CCDFAscqXg|~3ad4ml^8%4zaW^wM9KVZ`xA)Wq_ za~LVV1oav;LMw@`m}}t&=d%^CI{5(8wQTvRT&#PmBZ)pkFyC{ET_8E>x$$xhCmzS-zw{oP$bR>|0T>;-h%t&QIe@c8yN;M+xDk#S&tXOU zrI#3@Avs7b+DTxJkvkkumBK2q5Yo$xIlz*|%dzwnZ%t*ai)Zr8HgMy7tSSBExKA-E-mt?DxmFa#XD+5toJ#4&G^D&)C_%%#e zpw07T`kkrg0*B1!ut|B0sjDo}sCg?iYuyerbsS-z`V_V&i!fEg9E~ZyDaFrV@#)WC zoAL-UtF6$uMQe(03t4SD*rz<<#LC{fqc9m*N3A<{=V>fr?_m?^+n4E_>^GK% zrDdQ>sYUu+h9)iBVA>iR*rh&!UD{L5ljYCjS6fp%EaEco_*PEI ztGgy74{IOe=WAho*j3KQ?=IkR<-^DFf0HhWi2knQ??z7#YL8y@9XX}H#5%8RsHg^D z#yB_37~_K3QXYKc#guXGm^{`Ui{yN<%Vsa`g@+0gOgXW5cRCtxGNZmruSN9cR209- zk^?B&yLA&(e6sJPK-t7M)e8t;gJK^!Y@0g*GGe{ZyV-C^PuGBfcK~+K_;~tM3d*wM z1RlQ_xF?#_`;9=#Hw;L*K^;+ZCEz)-F_gzp`dRuIsIe8Mp5HmOj)C&nBpw5Zrg#Hk zYfwVv+Nm@OGVS`KThmdHnx+XO?_JoFn27A`6qKH!_y29V7;nnwi zN>-Nt?}3yey|S{OJx;VAA5I0LCOH)q5qFWh<2Kwh4`9^b#c16{8e?WHhnc%Sjz`Dh za%K`n`NE!53-bk67LTxdVzNrXoGt)+&F58HuW4r?Kfal&ptl`QnE!jDG`ve%NSWK-x>qYTrv6|P z^(oHT6;QU_feyU~b8yP@JampNzQ`y-Zai%V`~(;kC5c@^qn{p+Ivzt zA0b`mb1`PuJ&)aSE&7i|b4{fy;&dX1fm)jJY+`qew)NiV{} zG}F)OU5O;md#v7l61~R>U`Q6fiqf(4tR9BW_&Jbr@U(eYyN~JQ9kc?Hs0_lpT?UQD zLf3=Xc>Xn)#p^SfnXFvzU&~Bob0-;=`GkDb71J@(DNE0E%yiA;Nhd=5TV5yqqy!*k z_hA#U(l3&ieJ!Q$CraOK*aWQDO!35L?Y?yMml8Hnu{I*azvXR*wISAKI`$uq1x|b6 zcK#KYi?xZL%P-h#Tz=NJ*!PfIiX%Y^L9t%~|*EH;L+= z6aD>poo>8R1Ft;N&z1MEIG&GX29cP}PIMjVMB}Y1}*LCiwDG)lC#^k+^0J zDy`Y(7XcLc#zy(O^qP|jf4;R<#)rC1DxVYI3?c$j+RPB0O5P7yvpYktYa0xz*9wE$ zc7@8+@o-+NfDlVn+_L@b=Eh&MNrP=V`K>@oo$)w3T>w%VcWZ(PeS4wnn2DG%dj|He zmPa{D&o&4#pdQQX^K!9GLaba&on=s4ZP=}GDee~BTO5kJyB2qc;ts{3MM`lm?$APU z_u%gC?jGFEe$O}Gobx9?GMUUI+1bx6Yh6pv-$nT!C!{qpFR-2aaG`uj;g_s#}Eb*3+>vK}wlouBeqAKtKN62_F#s}lAh>zc4mo`^d`c`%X=f}ymo#fJ>* z$>mZm#u5le~LV6eRg5<2N z4>k}A{oX*H5U-58vxlMF7zOP-h$MD4&Jwe6G&i%Jc)8^#-tt*-TlWvyGPFIW>2#e% z3BjyqS)i-TYbJj!7#Uw*KoO9K7Ek&*=AEie7DLrVGme6gu%8OO_Evk@%QoGx!kKaAN{01<-wOLg$d*uC%}#`re5q zKB47S@{<1;$XCsZ8&XLJ>hPzOl!?qxh1SqPsr2$s2`yv3ewq?6Egpr?ynff|}}j7x@W z@<{kcS;_f|dzO*%*gH&%;#}s4bG-o)o}JHsxfQ@|FYRATkl#&h2losUcck^>3wIisJbyaG zD$xt5HIus)NYZWx|J9!JzQ04ecX>k&R?5)o@Clb;{p(Vx?1XpG^b**(zPJ&xZnT5v zA8X(g*!8XN9bAdR<@vGzrxyQiC*kc4*Z&J^%m;E2{rb#(Q=b|L6X#lS$MGWTd8Wc; zbbNUDR;)4d4rs0amUXuI*TPlP|Lg9d#dV#zohobR`sSI^z5Qsl*uaQO8P16bN(##r zibPsXyi_vlBwfxJ%<*j)FpJWr5zLaPo}z?1kDefHrTGeADc02&=xtu4YGM4`{1`{u}rn%u+lk6c6{67ARkAdGC zR9{_KaUnGF!+hH|br8YTDo489n5e9$hqwNZG(71-^c8wJhzG^(ZeqG`2!vk`lf zl)2`4Ew@*76T(nY&I#_8M}y9s>VSnAJb-x=x`zp=@|(sT@AN8(L0XpG>+nJ3p~`0u z{HfYO8Tv#m2;oglg;v2m_`qY$Mq!zNB_rQ6jJ5J(rX@YlBJu%1DyEApq* zKv-G6Kw*|lfu36z4odu;N4@S&Hn&rqOaU^O)lZVU_<^W6!E#B-xX!oN@ba(F1F>ZL z6w3W>9m_Dg1}0tPALh9FT_|W@fuW{_TL7z|si-`x5Lc1YAD7phT$OK@qE{0=r09jS z50bKdaS+^huM zX3dunK_#v~jJDP}ht#4W-n+JE>EVSz$Z%id?GG4KwvNuTwfXHCc^@YbXEthLJI*i)Y74fjX9HSY* zLv+|yr}hg`Hv%xOb+oc*H0O9ae<_IYCX-a;Q4Bypc-`M}%I8H_EPAi7P^;j?hd+MB z`dp8~^b~387_Jp}zKE^yR3BP1tGZ}MNWFByBrpC^)%TET(;R+LnSMNjKW}>nc2)0e?C1sQ#H~G872Tc$ZI@JTOOKx*#shC=|HaD zenW%fUC%R5rRo=*AP+l$UGX1G*z5YA`Bgr=L4N=?HgC&RV*hk&6Ddxtro6o1o10nJ z;Eb+#bM1I$KPq3t{w?cZ3~8(eA_jEhb$p#KYhf7L!A|P7pp*eF;Py9d0)Xnr$4x%b zknXOKa12w5+fKB>7-Jz7_fV)`mGXd;uoXMS9v15PM)&}$s#dQO@jKz+ zo%19;#oliKTAUVmzoWBOfJpK;qib*xQ>AQuqum#6LrFM}f+PZ#(H3NdNYeJlZ)l~v zF(?VT)iXi)@8v1rObg6b*;-rCsW;%QD)v2yS)2%Ju-I8^@j*i&_;6+=y5h;}=m<+= zO?eL-1dHsv5cs(3H(W(uN3{_^aZ@x~B> z-K^-u%W%)!sxXB?>GMz}YyM#V?H@Q|>V(~=83W&!G?;#AgkZ+(hd&pO-1!OQ<;CQ# z@`z)_7kt;#8|SFA3RJ>hDFOntAAXf%6b1WJuzzKz+2=J)HiW7%qgM5eepoj_EYKiB zeeh&VwiwNAi_orvt#V^=%!moA-=%;!^1+<(kAhEW@h^p3;pD6n;{w@{osRD)UQ7I` z6uAQ~{cy9TPDOvWvo!o2?6JQVELEB8VJeGO&8~+>81d|y5QM7MN6(|+KqX&X|JX1Q zeiG_!K=I1%ZxEB;P>YisKI-gMKrGHh*X?Zw5cL&P;6(t}R=?yVzq^`3otz(Csh8h>r^Xk;Pzej~AWGL3~Htr%!ej{mol6Bq?f zqp7@pc3F1kZrlIW1r}?kiHZvn!5Ws0LN$nLZ^dm!&DQvH+xYvwO3bHd$R+wV12us0IYHO zA8{AkGN?lPHDYXs74XP3LNd?!rr5mCAuIhxeE-3DQUE|#A&PVDf;z=Ol|+j!(so+! z1=A`#cysx6iNZN;L}OrNt7;C+c+;GIN@p{pF@r@{eSd&D`Vyc!Wh%_ELr-dw@LP`|nH`*8&{X#MD?(Cy^T4r-Up> zB2?k37pl`XM^&ibTJ|>f>iwKy2lfx5rBZ-%y}m#H;yJX>tbg63m4gqQ;cJqj!^O^e zb=a2OE)2rNXgBZ*|IuX*^FC4`|3H+;qc5R>QNMdch^xU8A!L|bB>v1!G`QA4{uPHF zsE=F#AMZp~Xh;GT>xtx7SeIFRQGQ-uK@f^n;BOu?NK|mrsq`>wP?F3Q%T^Lp;c0JB z(ruoQ4Dusl$kj&)sZsm_;I%Cx7*DQX$#<|ho| z5C;h9t0M(1taJx#_UsG)N4nadl-ge${&tS3Cr0?~2&UBTQQqP0x?1STf4^oP^ZW1%uA5&#gQjFFa6; zIjL=u<4YM4vHZ~*W!9=+1`(djsJO+t@TYXbUlhtDAx!%oJ;#R&>t7j}I8f;S34ilO zqgRnOeSh;uLPP7hJ>hB6xbziwxdN*%bhX7*4@+|Itevxc>mxa~SX&PGY_7a<#=M4ZL=#5;8F4>4rYp zP_7e2xt}^yyy3@W`=F;*jp#J1Zuipt3r2J$c3=sJ|HVWRmEUeH)g0JxD+q&t&|AKbQR~oI@!S%9ugb z#aG4{HLu+izgSq<`O}C{-)mCPSYT|Ie&rUSFWRTq0&iWv0!J#ERychOOOyRo+Fc}^ z98c0J#Ralpx%;Y5+t~YmY_A^XN%>9FqX}Rtlg$^Fs74LVL;A;l7u0*pFx6jgUbRk$ z^cy&6a5=8SRIJqXV3U%71bqlv3;v0_M6&$mDuS48i~CI*!buwcnBMlVSuG^eYrvy_ zU8D-~29*>yvD1?Oegl@U%m^ggPTR8UcAyqDw30)Ej|<2~EEf<&B+6%3{bh&2%1Mbt zE9M9lUnUN>o?tuH>Vs`ghLe@c3}XET+#c}U{-TOU3;jO-mz3iI+ZlWtv73A38U)HrM6NuW5eRBot6%g^6-`v_)vz8D(vj~R%j)&EY zsF$eSXJ!vfr@YH<8ny)f%;K(UPxB7Bf1IM)`BbWNhD#k!Bk&8I`V}m*@a{4LLtazm zh5LCNrapY+PZ59V!)7wL7+s;9NdP zCQ)?S_u#n7?8}W}5?`RL$;>+b;axe60KDa9Ns<7$1+1I2e$+pmY)|;&<03M$j_~4+ zol;2kopCQ1NX-M;7g$$NnJ|^w;Phqa8KS@1&p@bpns)RiNhKy`LKK>ojOpKM_=Zm} zCY@F!r}ds)p0}RLEGM33t$Spk4R5Ha4zY+O-0wKT!4WTHK8RGkI~3xp7*wVsl)Gy0 z;Gk4LnCPtUUbM>Y)A}5JMNkCbp~zdfe6Fi$Cj?Ih)b1p$waJ1ZOj#A<$lCzls(TCU zajTN;zCbuofKs*i6F@@b`@{aFKU#W&PnxjCN`R>?cKaKs=p9B@&Id^2Qg5F23mU9v zSSb&J+M9}VSV$fI43nUt4eqj|_oSuShAfE6-@Rz@dF#r?rb?7Cg{!8wq(a(Z{}R}D z_p)~oa!lfwhc`=jgz>zTb$rNY4D@tk$Vjs?8OXVM$z*sB1jVU;vEmljQ?2A^DQZyF^b>~Hsvi$7!xNXX5h@8D{g|De3}MTB*sy`hmGr!NTGFq3=fDAT4w zFSNk9`f|tF8jPy{h1@XmKY0216fzF6=l9*orT^gNM;$u<124y#F!uYp;Y4%izdGD| zGV1(5R3UC%jo+q{L@JEG^yUjl&PPpmc!HDSw*Wey7BG8`&xU`NkVCTc-!39x`!Kwl zD_dKjyXc<>*M0xB>e-B_oSMZven9P)>a6I_{I(yJoO*z;V7?os;%l_7DBL%Vbw5|n z-!QkjC0Krq2>Sv(Qza|`-wa;_bWVSYZeW3n!BaE4TllU&4{jOX5Pv14!!B$pjt{tc z(L6Y1~O^_EhcgREzj7U~rdg+xE> zS2o-;bDo6+Zcl(4QrIutpxn@+;_f$_58|bPUbzRD384eAIWVoE$Zc)qWOU#6%Z#)i-RxX`Ez;Y}>wrxFCShxYvhVX2EhKn=cyO7{#4#T}e% zlLup&!>`?uP$MgBk6e4?L8wbU;H#&DwptHXD54OGmN2zUxAr%Bw^7@VT?HbxgrDT z$a;UTQi>{Dg&-(K##o8T4y&dl0jK-9^9Bfb{N^r(4p1?&@?9+|C``xG9}-)<`Uity zDMf5S1_VP?bCSsLcYjLF_V)ZFA)ntjBn-H>Cw6P&`}Etp85T}|a7e(nExHrNV&GBR zDv-^yx&_Y;PNfOwBibiRQxAEwT+1~KzcY|rRRT+^nI#8$*kWpDFE!Q&R7com>1g(b zbi!x{iM%py60(+$gIwBTbMGD$MP-Z|z1`ey_7I4uj|X07SsZhIz0D&%EF+`8ul$9$ z-|)vzm*wTS^He4@i0UmZx#ex33Hh8G_&)$m)_wtBnPnUlr>m}oAYe_uB`trgL0a#j z_S=VSf^(uf=FM@Y2Cw&!6wy$k#-DY|=T3^ZeC8m^*kSOZhUat74N0S#{BF}at{F{W zMuP(%Z|$yBy0b=6EobNBE(!(h5YSEj&p3YkoMbA-ef9Tp`q&GtwMe?>TB zFh(yls0+!t)^njE(!W)lEV)VTu+ff;xn!%~yzb!jf_Ds~!*rq!OJUXngS#+QDuKY; zEST#OdkU8MyP4=Dz&C^8G^LzX>agi%-5H&8kI6LD=BB**G> zp&}}egM+KqTIzR0yq@6)Fg*93fpj?}6WiYH$iTUih0CiO34*WBN=uRVG!vl!7{#L! zvm<8wa9RvTiLt#SkCF_N9KCS02HtRKpZ3WLGMAdm#!fnS;8wjfjBdCTR{4Zh<@aQ7 zuX*V{0Yj~TmD}ni^y0?~+a0P4f-x8?8*=*+6 zRVfS1Yvxb#oLj z5S}-|9B3564zH&^o}7wCwrz+t1~FT3I#LUOk5&~137hh>b$q!>?^$h_H2R`Xz+g8+ z6BN5ZT$9a(?~NDhTk7YZPerhQDYf2h>DXic!xh{rIlPwITnIdaA){`H7{Es-*J_ix z+dJVU2K|J6zNe<;Lsltsc*lVeIeU^O3yBFgof&WE^`n9&xWISuXK$a&5`WQF&srzb zoZnon*XJd}4}N{VqhzNflajJ1ePewo`hP0&h@U@0>gqN-V~F|S#DZ5Io}#LL6r5V0 za0JpJ+X>sZD&d{4@gV{v6AL?TYJqCJU%%#W#(X-tUyKpe15ml(4&o~E%{2|c zUUz)_crL(3ZWg+)mn1{GgZ%iD?gq%r0<<`!pzDv6IPl@rRa(mN*X7~sug{bw8%7=D znWoSO$*KV>_(?tQljy>qX)U6%;kl*L)X|RpNr)jUDDyn2UPFUAIGxB z8{{@LjMwDzjKA*h9V>*0kvjn>$1efp`0t{ikX*L5=!xC{Hv!aVV%epCLaQFAs>G>b z1TII;dS!i1p_tTxGedpwtb_EQ==8@jgW-qB%@Di1PYCn*8Bs96+qr-LjPvx=cx;n~ z?F;6tAjX*Qjq;Vs?457a3&1#JUJBoWT<^((muZNMVzA7mshPwVFMVRxGV>?^MqD8c z76F0Ga;enUuOEve9r5Cmlcf-55PvK_cq_)?FSl>=%4KdeVAPjcV{JEKQ9nU;Zq`vO ztv0^`^9Y*kerOy!2*H|cv%Efv*Tve-$=*sM5KYoRG~Xqf^HPHN(nZ8-OzwWTip)&R z9a8k9jw?fx&%_TOGr~1h?4l77*%&_3uhP*n%}Y4ff94`A7A2{KYq*BErHg?a|@8z`y%IbOf8hh zwqz(d%R1xs4~rH2>r6%z>gL{6OA?9F=;m8|EKeDdkg^& z`eooi=4dD=Ng3B#m{jL8lI72BB$5^4YtrRB-9(ndb#u&lMx}ma6sc8bHL3JOB-uxW z2Yl@;*cZ`&DlU-ZduGv!p zJyDsT@#TwsOch`p`WT%W)`O{rSoq>i4dh~s_qf2~B`r<*0%VoW&BxExTvTQ^0UnS( zUx)~`aE{V07h)wUtxI5lQ=xohcfAveY*f8?EB-d&VlAT9AbRM=S3XHz-ksGurd15T z@2Sv?-Up`8i|R!7#UbR%12-mZA`=Rd_HRjRWM37|`XsS+W-wxt1-gB0d{LcPVj9L; zO^*-b7n{D}Z=Y#859q?rm7{KK-&#*yz^PkVAn|Z=kocVHn7*j1tM|fV@$M{E7ZCDW zAYJs}v+8|6S*R=s>u23>z58#?$GfxM-a7l<5%wN)b~yi+UZiwHR*EzhyrUNH{ga$) zKDgi9lQTXVR=}^~`-JxL3bN>MOY`KfGOeQ<`?S%W)w&f?&nY~a=C@Zm!(qBEAhLLH!m5hu1z$h^56>gDWE_*x01rL}SdOkIcrb!vL6N zDVVBak3^z3STi4&IquiJo&erzARy}g|eqhDcRGU&$2=d$|u|E~m(ANup}-?$|? zpC2zc2C?AoOdzv>Jv@}Nv^?PzfogmXQC2wg%S1(*u;ilignN>Uyp04v6i#C^s)9X8 zV427f2-o}isk^(IP}q+!ppZRh&+YbDb9Q!ibF(`Xl-AQPgTT<_dp|x<{dfNL>E6y52D`;xeNA0&?Y3FcOw;HxbSqKCKQbcr4gs(8P4gG(Z#kqK13_Y_ z6uX@spVr#~qJ6zC%*KxAY$kJspCCs)_mMT+emnbUOvNq_GBsCWo<}j}7QXDx{Xa+% z*;L>PLEN5P=pZ4gQ+mtd^9ph3U6=U&FWdm8uPAHzS-uJtPO!REB@^x6rR zcfKZ_m65P$W#bH$#|$EZi?R*F!yUY(Y#`~G6tV2ncsU$yiEkmS`%3p=JIkbl%f7f5 zwM)Q$U?3dQbvIJ=Dyw~z?uM(Ghu(C+ix?U8+~XA@@LvXij zbgYz`6-by(br*iS+o`tjp2+{+bBN!pvlb%B2RT2u2=t46vkLF3k*5Jv;9E!B zN}0wy#L%^^67|Ly*NI{IBSE@x#?@rwZ@$hChjJEhhJ6+O_iC=EEJpO%Sl))eas`!< zUOMSX5Is47dRE3~tBHI4K~d&mnmujCji&dvyy@UWGMnp4$mXn0O*~+&@3|A1Y7N{E z$euXL0lqYao>?NWa2yf!0EM|7)ID@Q_mi36?aU~m=I_cUm%yo<0P4N{F>hVnU^C)Y z=8B3(z29&Gbpvap$*e}3Vgb+)50B=HK0mhkP9TL;&hLK4TUFv3;Nc7vZ;Hw%2s|9B z`BA)P3Ao|^9KLxFPD18F82Dztf1-Fai(ol`v=f7RL_Oz3|2Udo{jJMnN~faN$)TLq zKRhfvUWGp_6l<=q#KpKi%x_-w_yE!UB={-mVp#VY(DYoDe6QBar@r_1(41}R)J}H( zu)h4XOWnBNQPW(H_up3VNP74Vc%cH98omp5U!Dt_wsHRg8eg3H3X~?hErTEkTF7Tl zg-8ATKg%bn0QKZ-%5!6LYe+8LXH9qNy)>X*sF6q$0uS@YyY~#EPj@e6Ay$b>+kEoq z?SFhnDzxY@Cyh(kP8}ZY9eW+PVqqkKF6O49u!Obw#6X=hHqcvaUfZz6+8Lh!&FEnN z@QtU|j;W&j@-WY)z2E^p&L18KT>pL=?F7Tfx(ZX{8sF^0gG)<)!vSz|8e`4tYi!ET zMa*cp&-fzphl#7QZtOJ^py4`IHjMs0RzP;^p&ZT0?uuHaCO=n;j?6nuwh=7_oVY)D zU8*2$nVP22(4Oj&2wQd9{EhPmXZbUR(qH|fLmv}DB zB2%~nO5bsQb2-47o7W%@^}o`8pH1Y+E%Aw)P&%v>`1w%R3Z%wfye;2KHUt3L?`!3e z<`4Cj#5R*vSQk-QAks&k`~RXppR(WnH~KR!I~&2_>@%TA0B#Daeo)$>g!l7r;Ov-G zd#Z)+5y^ndHKrv}REZZuKj6>OOk*>JNnzFxPD|5qq?PjVcmmqPXjYAV#7zH7+j713zpXU)4&}TjlaN@5`}LBxS}^bZqfjyxVUo$-5qPal!q74`}K^o6^X*_Yj-1|+Sd-5W+4 z+$83bN}dGnw?+(;o#j zb+5pGxgtixfgfNw?ds^3^x_juZA`;QdJl#}57-*qq%-ceZRYCuQ1L7Ji>kQ(!>Xep zMm7BCNETvIdvs-NkF3DyU2fR%gG3w@g_s%m>&Rb?3wF@*b+#012@0ofE1elMWv6wx z{~C*PD~W(Sd?2|e>nTkiCggBIuvap4=DS1vM{g9A5ltYil+c6uF=K7sf6)KS_|4}c zzZLA9;<4Z6W%K-n1|2`tpJt5`EDhb?$Uf9wt9suce^6M@jcX|fYfEa@d+SIQXjM!q z7!9%(^RGo>&Fq`y&MFc%Jy6|fVf|!$HFpI&_S0++clR{;ZDRfd@rSKacY~nP39v&> z+hqWf9=T%5^9}M0?T3t9_6dx?Nu5fkjZ$uY)vDtJ{R*#GTX+#aXK}hJBsWHlf^P8lG{oV}ZK zD@PI1MyS1rTygqL>4#-m`h%OSYJ6>phci)*RU60i1^u-kkX_@4$qyq7`(vmatx&KGFR!TyLuH7ZB;k1a*N5w=5kD(lSd@_Nc9^gW~rw74X zljZXQTV!syV3NO$0XGmB^V75`zYyn^RRhVYF{0#p-b`m=SB1JMH;4rjXPq?ok)+93 z>}bZVN_GOqFig3tlelsFv1&+nPD%kQ)j#r{u{Bo84APX- zcfm&%8J|BicvQOwPb3^$0-3QI6Z4+7CF|sQfRm2S>UvbnVke=DJW684Oz*AY;Qv) zyg9H_^tR>r_A)$_MgghpMsctoyf*~4mZ#<$VirD>8+zRp|gWKM~ ziqAn+Qm|hEnM9q2e&kYVj!Bs62K$Q^i3{I>9T7Fh4OL&Ds7^n8sSU}^13ZcroKWKguq|q{PLTeuAmri#9X%9k1^ioA-k&3CVcTgnq?~Z`K6$mG43~D)TEbJDe_af zzLqYwk}&dtUVsG{EmnzW_}>!T#cN1aWCv(;w~|(?VZ*kAMy!nP4u!B(HS|NtXfmdW zCBCbZvSq84u!uRFeG8yI5UddbPqR7;511ve%Sp$Xo=P(Qv%@DBe<_K0h)t(p?8V8M zEYwJo=eMkhJ`%xwHf+51>)}2dn2n!BV0^VHlUpRf?|J;5B?zCM4u*mHyW&qdCEi-p z(6fxW!)Z3k3kO2(dA+`u9USVx_W!g#zGtf_k|64#bhI;W)MxhfK=Gjb3}n5R&yATP z?k9PTO2`i~YQ5W8k7_K<-H>+_k=ZKCU>F^eG2KEL509<2Z=+qxZo^Z~@|ASy9f(jw|sjHBfrzIgFHwQqc=p3ARsoaeSnYFI71Q%F8m? z+*g%RiE6W88PWC^w+in*wpo*eq>URMICqF_MD$u%U1K*_M=L|>5~SvSV>Ok`qHW;- zV9I+f!nu~u2nnyBI9=K1N0Y-Ae(Lht<_1yO(YEc8{36V$^m)gEq}w~*T&|ma{F!K&1})>t*Nidc+dv6agY!unfu+2zWzei^MIxv^^~)4LHoM^I#* z@pF;!tiQ)uznxwX;iu`ho?^nKHd3o!AcU;G+AZtc5c?58gV|Y3`le@Q`ChUrD|aEx z81Qtz3h*yr?ChqZlg?JUm!h|&pcF`^=n5}@`F=Ju>!)G9#i{Y3_)zjCI#L-Fg{1wB zfg9g@Q){bWZd`ilHV{*V>bH03Yyw_tIOFYNE0FQdY`^DBS zc+dZ86KfSjN~wI?<7W_6MC?tR6O&4FgW%vFfuj;!>X%4h0mVs*m}fqm zE)e)V&0|v9K!`DTUdrze-63stC@$=*F|56@2sjv~Col|;^lhh`*dg_GYTma9f5-{U zYg2jpyd-wM-B$Z8GZ`#P2_v?~CuAXIxWu#0Uz0GfPQRx{)UcqG4AvaD0cc~QdIf9p z%2*!t<54xqw=K3dzVv7c6dq!c{=A|^DAf2lu>6GNq9kA`Q$%KxAn$tdej~8(gpiHK ztQKvY_q5LQ}hqliC7`-|)|Zupy+s62JgXPdbiz9mHmo3?lIxa%8L1#`55 ziJqQ(n8R}Y(6H#^_>Fa3PtPZBHP6rh)01`&QHcib7iKe(oTbBCnEQ)8(}j7$@-G|E z|8dPzZ+fsT-;I?NG#gCQk3E@+I}gSn-^&YOi+|O3GuaZ1=IqFHUKDP4nMMwy2f*(A zk@C&0brqRsT0==*%6LRRzzO3ObF3jxDLd`U_sL-UIw%e#aFQ4T`rhA~cJYKHu>ePg zA-}=pxgTw{?b{h^!OKeH)vhTPK!b^N&~Gr=McN9>ciHLRe7G_%91)?bs#nHvVy&@k z%_F-q7Lq`R4Yu{R)pyCJom+g6-dG3B3REuGS2k)f~sl>UUzh6v~lKF)Qbquty1 z2ECCt&XsW^iQjt=6NHz1JAC_B)v$D&ry4-4C*iclUxR91Jp!Br zjqk91heXb18Zn3y(Cd=Fs1c?Ic_qE&hJFgWJsNmiP_wRi7ScM}gnv}p+d_6OzECc= z^qoORf2L5Gex`)Uc=7Bfp-5!D@3%G9s~}zkQEx)_j8@V{5<~fs#@< zCRIR)8E*!FVFRDq3Q$%3QivFk1mf##Gih8K&ZytFnZ!xCP2i5wfl<57Jjg(VEEvPO zax*BJ`bpls@aYlj_utQaap7y{?fw}ez=`_6-`H`^kE_OKtf_qk`*l&zWu#xpq}~Ev zeo*CGdKzVrV%dv>KwNBx3v7K)>TXnGrPJ8Fn|{8=$o!>#MbyF+qcbUL!NO9ewFDG(It>`}zow$#vr3-N8DuX|dY%x}8_#DaX(qeu zzvzx10FvM=AgXy65~p(2etEbBoAx`+StM&rf{=(f|MD$WR31T3ufQpyze2CzHTFHE z=V6@Ta~869DfSr7{z^yjgi~-iwRUstjoZBvzKbY%2B+rl?U+Xn65hcJlmw5y1R|2E zB%4QfZ5u@o@K45h)y#aAZ+bDdwFf z%UtYm^0A@gG=_>fyP;bo!f^>$DBZ6r~t_wQPaIb=Q1Dr-= zgQv$R62P48c}Uqb=vgVZ?0*BOWj#;e(`_~KnsuHz|lq;amH86+P+4PQa7%*%Q5g^SfB+!GF=M2wBwOuLdp z{A?<9$3_-veR%?KD9Qgi0og^-$)yXq&r>7)Q#wm=+rZc#e7ZCk z5k()~Mw}i=|H#|BT@nilg;caY3TlVkYssSHb`iPBksr7%W~wrSI{~=I4)IAKu<3l- z2!MUsq2DfG*Fg7*mCC2P5%eU~&lOl=K_lkw;`cWc^iz#kn49wxt~4)+@q3#94-!tC z_C5Q5jdkD2-}?8d1U!?1VjFqLXBO$)+JQRM8}XE@dfR7R zC;;YnEuJt8V<0Q1W}PVKW;_)n%&}iM4xB1#3e%5L!dcIE0_$LFc&Hf`w)vW0IBd_ z=ZkYvVXX@jfbV!JcDqW5V7HLZaDi_W@34J#Jba*|>r+u)p?Y0Th{wg^^aco%oq!a` zW`7bRP0j7A0xCk{!veL?r$fb-wH8ax`k@7eCa>5=wRtVw3`>X9`iu-7CzGL{dk>Mj z#Pi8d&LjjieB+x`oqO^+)Z`prdC@_Xuk4f5C?Hw6J>V9X0F<3X??M*jY@2w`#k;#! z)Svh4DE52>JKgYj@-eoCyOo;ATW~5l#Upv@$Nt)PqO2s|5LNs0pKiJ#e6`@AoyUr5 zl{j*u&*vBVfVCbxXHZPNMs+JN#lNvEM8tJ7TuAm^7(2er#(4z({#reWp0K z@1ro}ih4Lfc-&%(oz#RpJzzBuNuuXVYtzm-H4qn$w5bu|STgM@qi9oF4Uu_Pinry!0rm*p zHr|M`x5QA9>otcWQaE}kWW3neKg$bI4Wim2TE&{ZT_GGs($+gldLO;p&bzj;H>gulqc<{w0UzLgk%NnpBEQ0t6(j_U zcJAL;V*}j*xt9>yJ@~H6Y{{?Ajuw<;Bm;(y%^_9&-X*?3$d&F(c!9Rnr?T|;9xP)P%lieZz z9QTi%r%0ZJ&z%&URv`7pGb!fiITzu#I1pFTiDqzrL2Ze0LBrE$@z@z}$(_~M_b2GD z8kX@29bd=FqL64dk{_!^Qw`;W&nhMf+bDfa!;6Hzc^(5KCe1lD!uX(%#29T0L{~jw za1=$KvxR;87p(Z=5>D|wW#e%kS1cKI8R&AR{hH}S((27yJukVrr0}ALeyGvsmE?~v zEPR6i-0}1aS?K#`zXo>Ch<9s#zf7#ygHDkX~cS@|m!0w>3Ax(d@2|P8dm)`v^ZC=wdimVthdfp%*1KU ztH_34)MM=-3dNofLSdbxUCpZ=mlL|sng}u2vQiv$X|L7ZvzVlVmCsBY1=6H1J7BOz%hjDUbu9qBp4TH zM&3`~$5P+h^X?>=)p4A_CYqSLbkAL~B82oRc$X5oONwvCz$yyxI-qFhbr`^+4TYUc zc0gxrJOh2p1u{hF^?%th!D}CQ170N8AJK`X$)E2Yw$4tdo}>tCI_hm6tP>{pG}g&~ zKBA>3B!I2KY{8VkrHKoXH@*Ebd2FFv&d4~+xjQ*1hQ9`0PyKhQW++@8SPrwI)71cXmFe675 z9$qr+zGg~ z*kAasxUOR*%_{OgdXasTb?-(0892cGJCU-Iy7K$eY=28cWcNlF+=X&>f2cWquEaQ^ zzRig^!VSJF$9li@=2kq?;U|V0Cts zyBP+4GI)ui#~0kze?s3(MpDMS4RwQMe*9pYwdP^q##1g{sY9;(`}eZ+kkWW}>ncmY zJTaEXNc+pC%a%XkalH9Tt}spSO83ADPUW8;$lTO8=3HM_F}EQx_g_iB3>0nI>VOny zQ*!zE0ZZ6g80$6 zql+_PX4OLI_h-lN4Gwyyp?^{QwOQ5FUvTlQ=xjdz^z!f&?;GI|?tXaO*XPDERC|M& zP{{5M1EpwvaXQOr3|hIKE9Dj2;NG(<=s*Qv17ZS^=+3kD>0c2(C{ zUHnjty8*hxotW+@d;yV?PNrnF$eaxXU;Fhx^72 zd~-D0Osk`(OU|&!L3%;`)0tdy0CRxb)Q`UUexLl9+OUP0DcZF9f9$;lSeD86KJMmd-h{HU~Sg6Z~K~*-4-;`pg_H|-6U$b(f<-$0aa_!d#E0Z&Dou zkBF7~KLROh8IUqm08)mJYzlK3Y4p~$!@%jWy!_degMzF+11X74GSD9bQYMnY9zpGz zjVFg@-jTT5A}>db*_%m!@B&cyN3TvRb2BjfXD+f?5c2Bf4ux{!$Kg55}s zSr136DCj6Gf!xqwC`vDdg-$dgT{q&~-UQUL-?H}9gFJth*d`+N_rD29$v2?c<~5DW zllysJ+*~mSIdg~Mz}P;>nLiU3B9n0~?hXp~UdQfz1&B*b#*vI9Jf-o)dHO9tN4Dw8 z`iyNP7p?}tid|e$hs1<< zj2tnX&#k7$MmTw#IYHDRDAyjfvygY zvO~7IPe^6YWnfXt*VECabzjusd3dYFR^+dSs==!Kmo=dXLPEY@QK&+>$i^76@{@m=$45*84gx6&^ zpy!M7Nw#V#f<2?9F&YJV!XZ~qPBuns3eVLTG!%J}EBFnyxyx2VbEMENEp{7TjBs;p zUeqdR4HFIdA8KSRCUrp&?&* z1iGSIa@7Y9rztCzKdT^m6|{#?+bLf~O$Aw5!iGC*YyCJ;QB*(%$(p6&qC)7COj$nP zpcgqa6;u7}hE!huvy)RDjvgz9p^3=(Y2Xl~hc4qXKel263`Yr3OqEBgAx%`zv7^Np zGiD6WXJaHSh29UUhm9RJR#-hsN=isgp?9BB36(e0*X8BqWJV#G#x6^x^xQEbe&j}t zMDhWer$2qf2^yPh?6P`BNK0euj_v$lmZzH!gageJ7if*k*3m!mxcnk9d1#5o5_``M z?kD|5^ECn@#}b)<0U()^2?Io$jt(Q)F5@?*8>)r> zEa|93LY2zt(x;2D4>C!wzglc5IP3VCp~l++H9nT8@f}B>WAV|`0B})4?YtovxI(|VEdy$N*w`6>D0YB6#9N&e)F6$cwP^r zWVep9XE7&|dLX5j9<@srnA;a622!x=-VXg5wL^c29vH8ofJj>-9QGcI8@~rg$z&Kz z@(M7-4d)41Il%!F)PtZR9|c+27-%X*VS%AP_Rn5`C!XVk@*=talfY;&28L`OqhS~Lb9n8VU<;;p{FsK0#-0Z(PX zVJNOz_CWA}c2H^38be!4!a!CUuG0;%!DTE?dX2>!R{wA5uRlWn79eG4C_f}(fJt|E z_rDfMNw!LTesFLws;a6`QBm=`Pk9`D6o902)MASKyE47?cVAAqW- z&+zmy*?5%CY^8P*?KtR>fghjIZ+yu<=lNul`IBwN^NIY)UTR0Yw{6}6ev@b7*?~+{ z9?V35$T7EV2N95x>^k=BfPjFX0x7AU&p=9Mj}gy|_eyF*5cNfSlBIaF7VxI}E67Hz zBKtd-?C17v#D$2I3`lt(1FupGu+nkSPl1%(x{>`H!Q1hi`Y9wl937=PLyT-|53;cz zC#K^?N*Q&7&Fk5lpyHphnB@~zv7W0BWl zJR;kVIW>h*{cK!tAf=ld9#K87XxxNTJ#1XDd{6{J(E}|SHO4~Iak#%HiQj;` z%-Vt98ry=#tAmR(?vuUAfs|C9$&4MIJ2*Px9@YQm-8;mtUX5GyvMg;HJ7)U24BG_~ee zK+`S+O*@cGnl{Fa$rHH~_`e~sc_)g-Rrjt!6jpZe$$_i_erqh-Szv(5uN}1gRm5(s zWsq6+?3+(t!#^wWk|7X*GK+2zgfVl#(Geou@@HMIjQ$EA<%DX%&<=(h#Y+09v z?He<&Yiri;ri7jOIJmC}J2s`m#Xb^>qsF6mH!T=wFF;7ZE+p(ei9-qJ5WnjL_UwdIzKkN)m(Db|S(0AYfY}>X4 z`1BsPuV2AT5s-4|(4k0(7l4$PE?>a7Ndk~kzIQ*Q1%=VNxCEuqE1}b0(CJD_a>&h2 z=jHP<)1V|P04%jetDxkl0BFg`NP_~~S8ebO#_1d2Vp<09;34*}S&QLhk2a*|PB$^b zt<+3<=rwF#5{iD^x^t&S+v(Hs=)_5S86IF~>F$ez9(&76ToRK9J)ZxJ@5I!EG=>UNFkTgHnN|3b`ZwO z%xRNx@78si0&1{!MGPd{wc(DHcGh$7_`zL#tYLN!1FlH%vEpNEhnHv1A94BoSxg)+04do8iYZCLe&>bLCop;ZSkC*GWHv@0Cq&~T zKQ9NPMRGD8DT`AvYsuy+!eO6a$coMuCQg}zE0-=%=?~bwZ8Q3G@50NQni%5531MF! zZyAu1?6?B5X|9sN^Y-U~lob!znWQT}mu34949xWC{ttnaH?LpCJbODdZq*zT^sFF- zj(Ec&D@K_UnF65(z*7D1FOCZ_1cJu^K@5Z^BK9{2Q?h&`9-*?-ZYK84u*J5i4%jix z9(!ik{ebq)vd6yJj@UEP4m-w8!zNv0EK|{iqtaLys?LXsraLUhc)@$TH`Yw?#-?fB z*f7-_>xkB~&naG5OB8G6jb&73!(=z)+RVcvFH7Qsc#I%k!ig_$byQgOM3Kz5Skt=! z#`bQ5?!yLSkeVudC+YnRkg^xzhc<(kB-t7*8=*zBHfY(QD->i#z`;TT>*pEbn5!wC z`Y=EsJ;O&2wJxf-J9Q{hRXQNNXJbs3Y=HrtSp+U=sHmzUc7{G~dYhtVi3RHFFRJ@3 z#DJ6x{O436JMUjixV zlK~8UjPc&v1aB5oTRjc&!AA=peUxy2!BFf}>VSEz8$qX06DW4;33UxMOrKzct@BNA z*VPCgy@=?y3=sK-+VY;Yzn)LFN6bDMh|-JX@2-p2&f2(bqlMG+v~XsDE^fFO;jy&o_lvaf+*$@#$Mr+vXeqe&6^C($)==);0ZIe=LQh!+jwY(uHAf5Q+=vg~ z8Mq&~2xTFmD34r&C(GmTEOr~JB9`K1z#P2sGDfYJ0Y3Rsztr%aH)6N|q-5al zn^$SARrdiqNKf_`0Vx+)&qLXrTYUaqw|*^p^y$t0fLu++;@R#4s6CX4=$Y2&Bmz?U z2m0gn^Jl1jQHel*0Z7@gBkAhUrKo-L3eU>R;AFRegA&`fX@%vHVfaLIc-ftsm^X78 z=e;Yf6E?0{Nz-gC?%ceFnX`oXcWB>!NLaZFxL<}_`310dF@9Nn=SxPBWY zJN7_NNx0}hS5*zg3HyPvN63lW0hN)XxI9eFOmX(qags+Jk`E?g)X0&1PM>Tw5!Yxv zz~!-Z6MBi}-x<`XyGch(+&Sz4&wMsfUy#)~#HQ4{u-N>7xg5B-?=L{*GQ2Q2T zcW=XHzBM1KY|OH;%k=h5TH{TdDF7))(EN44JBY72&aGGvqoKk%>%hVNv3=(@-aekL zlYK_(J)9<;`s^3{^Qiu9n>S+EPytA3WN3gw(HZmisSZc755wDj78aOmVzb9wJW1UQ zT%&S#XkBz8TL4Jb6T5($0V)}Il0|~7Cl*zZ`37A|=ODf-`x1~89f$3KTM#!YJCcH0<{MM88+AD=@ifj2#m<^otI;0zgT$cFe*m>#*(qKVKO=tG9zO!WKcMiM}@+1(n{p6 z*)0GmSwCH%ekt9Ln)H<%PDviu}em87DEN2yH0r-E&$jt|7Wm>I}-(nYwzP)r=y4eEUd zKtVwt8kY8$<`jYzt2f{%1ES`n;y&3a_Y<@5Xnz47Czjyp!IP*=DZz{ETn?mtcQgqf z86Q8E3Ne;Xw6#6-dd!f{ihtdk<_~5{3`wui?VM zR9NX5a@%o)tSsV5A8{b%g>x{SFkS?t?2lA`va!>PQ4mfx_AtSg)*P))^T#37J$!<+ zoqM1xC-^!UYiOY~{vhhE-ogHrYau;oFxPhzOiXb;GZ!CjKR{f}3JfG0mIEm#Sm9dX zG1T07fVH6!=tVYmvnEYpH+?4AyeCn8?FApo3veuk^}4iht(f{GA8~C`VUC? z?@aVQ{g?hNNz^C*y0mX!Jcf@D7BPML^uUJoQ6eBEJG^@F)kDL-l7s^>diJL$NZ7X# zqec$ly!Gta8|&7s{|`v{8`9ZR58&gL1WmbU7>-^BAJ^ST*p-X)oPG;2HyyT=evSORcxY0x8Wb(X>eu9@c)N(;^__2tUM}uOl3&Nw#T)Rf`v+?)+su%E`w9OH00Rm2B1o zE6AYwl$M9byANQY2uRtZPcIsit5H|`7L|`5BFNv5A8N9?>S;v(0ob*a-lsEUnB^4k zjkM;pU}sKat3sCIQ8q@nO*1R#6_<7^)%Sya__5{A(g7YntZ}?K- zAdqc5`UUv%P3w*=TO(}hY<{5nD&a8vCQN~N^A_CT2_*yP-OXEgb@dwjU0k`NYX>5> znfsQE$hTxT#kzZgS8D&K(&S!THT`+D>G-@SVNIiET7GB790e@ppcWcV^U zF?lgyr;yJ8nf}yw&CrC}=3#7(=X(#~-GMZOOqdKY%4^){8@MlW=KWAhZH^2Ng`@~b zY3E>vJGbkBl(e)hISU*iWAOTIdjEcYzN&b5A0E`Ft(rFE4g#}gOvjCDY%XGnmdWL3 zsE!$N{i-t@iqoHYotS%=l0 zo3Jl*J5pkHAa(f;Brn^6q-dg;-JFu6HX}VW92vgO*kLmZ&YG4`>t>80-6ufF&;wQh zo8h-B8EIMRcwU+YoF`)Zu?^iXH+a7!1|B>`#5es?D399l2Y{4^O*ZP(QVj_PY(P@$++r%rOx-vq6xJh^vsEQbaVUUv;g7#u6P%&5l z?^T_l9Cv))fIJJlI`HP^u`nJ&L`NMcuum{IiMqt%UJ2-02z&JTu=*sz#@f8h+ zscW&(e=G7f?8eQ5iFjR*3=XC|C92~Gbuyy9K0$3bahU4fLGq18O{NoaoJYf>cN>_B zfRq~3Ltzzu7_0Z>;8q^}hP9c=n{814BLD1rD#td9PZF_BOTNL%WcH(Sj80MAXNgXd z3=1~n-N6vtUu%oQcG?Kk=miJaK3Ga~OJe9Q6z{o!!UNZkm3RjENhP?ReFQbfs4WcO zd7A3{HPCH+1W^X0%wGW!e;pjYz|kXM|91wkvYIwcMH#kqL$WdzY* zs{4=99|cm55`dKBEGD<4 zX5;F`^L(aAO*#k#5s=c{%oJz%p%$%QQ)Hk!>%(Y1R!O4N)nVPpVh@2T~707 z;d4GK&u*|iNoysRGExsiSp=kHAkR}G-qMl}Kvr5fY|@pNL+OD7wAOfn^etQXVI0fX z)=tmKXTZA->rEjGw|y+n^&O ztW&k9{=z~5s98jxx;g@oQcF{V*2MyllJ$et#0gvu?7Mmz&o;zGGCy{#2zrJBuux;9 z4DvT@5qQ|J85%eO{0BsFB#QD~?Kw?7ExxgageJ^d3B6w!@D+F26`w^e(6?3uA-5XNMA)Q(PU+ zo;wY5tBE{+Q1b@ZX3z`pHw2cM1JQz`&lC3vI5c|!f-F4XX6yq`Q!n_Ld;To>m@S5v z>08Qda$5Af>GcNZG$3 z9J@Bd$R6DxF<2HV8X8zT%Mec)pl&JE9ZcmJsE*%;K8}7%c??MDI1+W!d!SIOBW8DP zhc1mepl8S4u+mY)YDZ(_`i;fCfZq{mlR5dYwv!wlI+@_$cnkQCw!$pAc`%amg06Bn z)x8u!mYzr?IiGTvj>pu0ANj5G^xJxwFlUz_#w&gLj=@Ja4LqMOi*uF(5vSOR^s5*q zb`^(ek1miIJP0Og@>n`q2l;O1xF0YMH9?ltM*1zI-wIe{&t$~@w2Ycah&^+Rcfk;VC{%jn4 zE2ln4zE z!;9CiP+swb-xmIt0x8K(W5CGv;$$zmy7L3OigTCYZNCt0$u43xQ($lq2S9QlrJpav z+qHv48*$MA-!(iX+uhgE8EuIlcK8>tBp8)1U*Oq`=kO&vwk_FWEc&E>5YA z#w+8Q@m@(h1ugPG`*u{GWa_=Z9u+00QFZb>f?XD&J*$W8W3S0GejP{|8Ohu6ocIoj z2uDZiUmlTi#vDA(JBk-aPhqLO6FQPSctlFF{mP$KQ2)I~DE(Gasxxc|g+)j4TX;E;lE%p+>YrC{-yl3HO1NR3@_zuNV470s0$Hf<4&=vG;*hk4e?u0wT%u{Y=-srwIB#{2~x~ zRs^IxRr?cQq8%UWs+u}TNYCX_Avt7(mO@`UX#1;(0V&zx9eei8 zidXPj5)Se9!oHpct=+S;$j18@q_=P1@{7J$WFp3!jDj-a4y15-G2U5p$gj2UuOzm! z&7RGGlp)Jjp_6DHW0&9@Iw&~U|Cj#0M6&;%NunnI&!+!?lwUtn{440s1X6x2UAuM- z*4FdUg4Tu|I<$q?Vn;lDz%CVgOZ1w{xBddxpHew}U(P>k-#z3PzrLuvi>haL@thI; z{d=kQ?GvEx1)e^>iB&72Fm%WeG;Y)ah6bicP07OhT47(Jw&oM6U)SK{N5LWc$>W#U zy1o$O#;o9WYg9-Y9^9)$b#)C=lg?u9l#Ngu6$I6hLHr^_BaNtkgiN%S!$Nm0j5U{G zc>ihmu7OD3YbxSU(w_^Y45PKXR97i}9x_);5BGK?;6ug{tg>SfA;kE(*g~_hc)TwO zZ&ULSIln$4WlQ)@orUKIGw||ICIZN2V3)si=-dfmVWD_Kw!zbfWpHzMLrbyN{DP5{ zHVg4Sy#No`g|S~mq};r9GwMFR$Bk=OFnt=e_q*?SpYGkWo4EXh^XJdPlI`Dp`z_>p z_d`k$i%40Dl9)Bn`+UinGP1KXdAoA6GN7U;>?i7IY2a9q0F+}F)X9r3y0f$}$2k`9 z>ce})@7;r8(j(B2@~4put}`%cUJ16kd7&?JYHZdN3v3qP!R=f4NOniumd!k37^}aY zy3m>|VxAqB#p~p31kao&fHTC}V9A^Xc%7DyXNNMdXu>2k{q7sIZ`lG-^K4K<`44v= zgsr|2j|$qYTNkWdy%L}3Ik&G~`3x2uG++RBZQI5MH7=h$1FP}lxr5`#kt1=4U4n)> zoI3G+L`nvv%oJU|R+yg$Z4p3_U2Io>(OyPoI+R2}N^^N7oL;?|gja$@-zAXl(F@-- zY>3HIr{D_P_a-}R+lKY%)1x~tKWoM`+_`xjA6Z1ol`A0CsUsSG+W_`9^YQ4xJ=DCd z#xiENkv-4sac{EAE6X3@71@A6etsM{DA8U5OGB2>oIqoxf^2s$PY$G%A{#1pz5{C0 z3b<_Sjm$(5Df?mL=8dSMK4aj*S@l55!Gp1T=MECVM_fF278683N?92he(51g=T4u( z)Jej=5xl~RzB8yVW&QsYwgfqXe=Z8Q_7JYM`y#t!k zd(`2Z1_)H>j2DY^$xs_B>>CD|;Ai(De@vqMPrl}O=WT)4UY7jQIrjNudgC(=@BGHo z-{vT{R>WoFF347HkLB_Tn4xS74Yeq!k6sBQ%@|l}MPd9`Xq;9gj7Kknf$B0$(OHV+ zlbvwd%?i~F=oxNA{1dN>weV1}yX*!!P=K;iiuV3-xfzUJDPLROxRSoSZ%Y zt7IiGrBy?yHEawSscukGQowifB)1n{LUc-D-;wxa zpFZ?VcWu-yRK??Yia27WfL-Q_*gbJHau(?0lBX%ksa$O^`<)@)I4I%f*xtxg6h~0+ z7Eo)`0us%{(XDeg=qgIX$#OJS&Co`cwKgu<=@8GFxNfU~tB$6)?(c_NE3Z4c`O)x(v>+=Po+8U!#R3MzsrKKE( zf`XurEX>VtmgXp??-KUy#c&aja_W@HxORo+64H;`zlcaV-+CVIGJVA6-!CFkx|of_ zlYPl}o05yj*$W^^I*9qC_y+jV+UXfyvP-r7L_o?89r#|yTNZ(_{2`nj?a`#+w~*{0 zf#uPWc>nGV?%%lu>p8Re`k)7`6E@Pb>OR!sCe2ARrcXn|Mh!8P=HI<+{(WDI>lZKZ z2$qb;AIL*V6-6u7lQKGq;@EZ29WjdM>*(pCFfW&d6GSG-qyF5}#Kf5EGp5&=eq(x$ z&FNNF6LFcIL5oVnZQFvry@Y6qGf7UjuUzJObKQzq8k>@&&l|vY{yaRqPisbMOHAx? zen~s?F=CghGkyFzH5b7%=0bwjwh|pXAb9B#yk-}plRonF5cZzg-Zi^8zLs>_qX+ll zU~h+J&6=TC&z{(@dbLPbU&Wl6Gie=4x_aP1?Aj5>b;RWh=V3K*BIlifK@S}iboGhS zW1lZLl^-<{8Oce!d_h(wG*ktUsV3>>!hGSPEOzlKyC9Y2o0^*7^hp6o$u0!0k4QPm z%1QwFlWcazeGjCZJ7*5=+`36as0QmuhxhE;hmRF@k$!o?Vbo+6BHG$sxWtZKyyxqK z%4ZdL^XdgwlFWMj2uRtdXLqclHA>B!*LeK!0l!GU(YN2Avt$RXTpoiDv{txB5jFzFi?(!g;q3tY}O$&E*=%k zf8-XmlYuEu@5jq+&Nw}{A9ksI0~`777@{>5-K-)o&HpPADLIgG9x|-@A$r6&7}tT; zpv{}1u~>Js8?1v-W1V2-7LAzI+i)ytFCOLW#LM&;Jl*Yr^I?|QICdar^=t~&cCFB7 zpc=Xxd0@2TIz+GAOYG2?u9xMP^7|!X{xPShE$m|N{7t|C2Yg&N24_ewhfTJJiFz31 zMlHk0VG+<$3df=ut5L9i504m$E9umx!$8SSyx8lDD?Wxu8Q%w9G997Nu`}9<_eam+ z`WQ3Q1+Gh%WBvLK$lbXCSK>F|cH&0d+`oZ?`L4&W7bsy9ZXDWyTd4_nkbMYM1&8sT zJ%_c0`S37e(J1q2U7i|^S9^nSW`!U22l^u}I24CBZp5kd1GsxE4X@c)I-Q93nXz~j zI}=CeNn@S16vp-Lh^}pVphH)A$Q#bU9M2Ghu2_o$+cx6#o(&?N*5T?t;%oOl+>9&6 zo$c3fXZK~?K3Ism8A*7Ymx$`(LqfF7`fZwTp1>T3wC%4<7K# zIhj7{(W3{}tXU%fDQUi+J>Qx~T^!K43%0uZ;zMpJE^OWntI=Ad&%eP4Ioaw%P{tMf=cb=2;(^mHhzkLEtf#&Sw1U9%N+Wbf<`2!pi9 z4{O4tNjOjP|M0E`aa*?u`iKE3sh_T0zC!wg+D-bC=}{IT+0Mom_io=t^^2E?3Xec% zc6lo4Uw2OrAtEI^*9;EgXQu1|+CYDQR6Tu)CyyS%-Ay<@X1|Gwh#;HtC9lh##!539 zD=ez=+V$&D`{9ESk&@OlELtbyDQ@dl(pfb)f94F?j)Gk+O}aWEF_C+?l%6=jqi(V> zq@<{bw8J#Vy#GLU<1vhY>`gH7sCNu}nx3$6To44@x)oa8qi{Sxm z_~o#!F8s{=HvuV0R-QiIc=(vX?1E|W%IZ&eaQP+fB$wgV=9{>+@dj>f zy@gxbZ~rE`9d`$}x8K2yEjMss)kU0+J&j8{F5&UX$9VsS82g74k4Twy1&(ufVT}56 zxGqejW#WB4zSyoai%iMK0LkIUW8$x%|A3VL&P4Cif9cz~ z?w zPQcQV7U)!G=YM5HN+AkSr%o&)We8u0{-N}Lj7V9VK}PY;MA#S@^BYUMlCiXkjHTMj zD%`(v1vb|6$S7-su3~MlVu2%S(hG3^_eP`~G6dV#ti}5$6)3xO1q;b=Y2Km*x^?J? zSXU3cJ9-Ka({izJ{3Ns>-n#baj^!*;tD!Q<3iESfR{t(&*t`H@a;cvE~5PqGSleye6=Sa$A= zh>#FeKYolSw{FAT$ysp9m6SwyP!P|rxN`>{Zf<7HwxD&te&Z8eQMsJ3t0 z4omDD@$yJ1DszkBGus+%Tejjivj+S4;KkkhczN$WmMsZEk8VG7Uj0Et%F1W(_49!k zwWSU9Q^1Tlc%D^=s>~zsn?4(2WFWQ?Zv#JnKPvkR5h*F3M=oJE{D_Oge~~+?Zr#Dl zyY~>h*o)fTmK$h(RGvq+{82|6oXmj%Q44-XzU7s%wsUmG!*lU{4rg}3nua&P#xk4c^Q8wm_x{4wjbYHQ584eGkM_jp z%*AU^kdla+OWDLz4#|t2$u`H%GC39sz`UeDV3iSWxs1RP^+6aUF$ygu^q^wqgrys| zAgd%3H_zsvn*EM#uGMb@{t}%b-p*y>{gFMmzup~%_Bx0&9R)896HHKbhpyT(7;3J- z6q8tZ&RK)~5!+FA_<*n}&cLUiqf@d^CLfkZbuus^i?DcMC$*jC-z;b3I**2DJ&>}$ z1~g`b!73sJtM}&Oc0T=vwVBn)>aLgdkNIW9!J14y)Nc$Vc}7HR^YRD$(EqF~15vVD z4^Qkv&4D14MT|$`0(k^$_J>x_-Wc3{B#ez_AtZDI5)+Of@8Efq?7oakyU8FwyhOS_y4C-uY*qkc9brI{&?pE|)N5G1pMQ4uhb zMfE!_ib#3*M-eGYMG-0M^I7>{ibz?XpOQ-TD+%=&jFQ9Abz5m{TtI4MEEM_)o3Q%q z0JoUlJ4!!^NLj=jM(U7}nejRDq=Bpgiq~!7^<>1XhU(A}LOr^=C`zZX_u>^Y4;H^qL zQ%MPVhiDAGe2v^g$W|PWW0x%5j!BRx0$xk>Vog>I;`xs4FQWJMkcYRzdP%Xse0A4J`d2A|>_J zZ;D8%F90cj5RsCeYdFS`^QI!NfOOIsOyk%o-**f~?fs{NVr6|hi zD0&NafS! zT5~X^wSEAmXfGjM8jRU`ftbT7h=0!2^~XGIZ_Ls3#6*?F&>7_og%OJ(Cua|3tqCw2 ztBZhHS~%{i2L^7$QsOU!h&jFdgy?(r$!>hFcZm4|K*~y61svDygzfzs!oG783?ciV zdC%dHR#ZXMbbZ`s0KqVlRR{y)iJW7C$J6sE&r=szFbwa;bw-AAJ51;-fi_J$ql;93 zOgB)$4i{sb3>u5afn)LU$Ic-?N31Ojv>9NAmrmNaIBo4-SX`*x4Sr`#oJd4<(cPrn8#WU}E7PDJdt%;|=MFh#%R<>>Ev z-k3wq5;N2-*2arDBXGsI8}`aeU{1Hz7(r`S+3vkyt*41ij&qT=WC;qF@5QOrr2khP z!pq1dRR1KZ*O2O?@$gH$@jhc?gP!N54>-#KbNb+%p%k2Yw@2?L9nh^^PneIEM~vMV zWcXR)7L~8zzZKDs>-@8b$>HA$r0gV#(1>unsII1|=P5kA7XNiXN~+(>*9YQc%e8MM zhM+k%sLC!z1=-x*)8_EVD=exb11oYMCD}$k{{9dr`%$7*YXr_-fU2w_Jk2-)-x+hM zd@Ho;*g*hNk`48Ph?E>i8Hh@j z8QFFIUjQk|-g`>*SCQNU$zGCR^$@S#(`IwtD~YF|xiJR+rWctzj9_nQvdOY zl$|^A%aPn27NY#x4ZOVn5Mh1+=tS*fHu|E)o_Iv%U%q>bu&79~@xP2nSuRARBp%qf z`U#M7Su{E`0A!Pz5$X)(KK%gR@(1oyiXTMIa^d8NECPU1*H6dYq`9 z2WfezEGcS+s; z7m@O-iOoAaB4syGL`p;Be^*4x@MSdb3K1#Ug&leSwuqGd`f}cs)wQrI>0cI+Qe-2k zk5U_YC5 zaZ?TqbaY(!ACU4_5_3|VGiNRuH*N}X@z(Hgw@2Ci?}3!Rc82%9M)<7z$XtNf1x)O+ z()#m9_V@24HilR#$L38D=+jrQEp+v?P*g1J1^rwq%)f?!#RuRpFAiJQ7vjdXC%ALF z0$Vp^!_sIeWCl55w5&HK7{_4dgtbJ%S?lz1tN%8dIbl8KPTGnYv|G+`L+j*Dr-L-D+A}Z``>XN(QFr zK4=tdXF1~J{#@M6IfK~17>rWZM(^PYaCh{^#gwDCo_Y*k^Bno*GOb&-g5&i0xNx`# z7Y`T1X{t5akbNRS_M7L@2wZ(yjWhS2z}nRv&6$H^+x7^ubH~l>Qz+S+foVpTXwtAD zdi3ju$hDhr_jxS}PG5%QBq1W@fI&mBYRfJ>c=Z7p$IifT>^SbkI=pv(Yzd4;`H>6A z+LnazW2d9@0BIOcx5hzsUP$YuL$ua6o;({;1En!;jy=*#FQDx8Cv4u6h!G=&yfH$#C@syM8po>ZBY#sO?q-}sl#?%dc9cTnX3a2lfgMV2JiwjT9}u%? zI|dCC_KxeRQ~QqaTHws(beZJjM$Z)&YXfnzA6=$d6P}l>MRaC}~1F0yh{)G6nT&Ro|_A1phb+A9P01v62xI<~w z$HLyGp2BFPuHB6X`RB3TFA{@$_QkjKTobFw$U1p}KX*f7I+P5@qWch8*m?xu#I<|4 zPiw1{+x9_5(-6I=T`oaUID79Yu044P@8D2$AiIRwTlVf=IQQTwE&X zI|;b^1>?%2=QwlcF>KsC(SpiKinl|6jT>%eoAy4B0qE;JPHll zO5r>4&gk5K1g6_BLe7axD1TFjU58R3C)!uml2u01nw=;+auJ(?Vlb?a5RGOGjl+!M zlXyV#-a&>%TRGhkvheen1@KPBbK7EUaG-W-cvG$PN z*GjTv4tdP;g2`~cqbu65cZ$Z#T(ad(T)E91ELmdCd&TE(!P+?xox6=d&(@vbEH8s& z^C#e;`%K(lGy_+irsDF#skpds8vnfOI*EfLIcPG_1bh#U{rx4e{Q3x$EIK47_V*XW z_C3Rl>2H0&btK+SmBj5a;yA259Kjk>FkRIXV-$R#K5`M{hdE=!5N8Y-?2LhfTsRFH z?1~{n7h&KqFAS0PgSxU098I0DbKYzmbD4}Q9#e3A(NtWpwM4F&3bx7igI*(5&H&`ty@4$q8Fp!V1_Y@oJ{ea zfezV)YV5MMukDe2jlKj@dX5I1hoaVc2y!MGz(vnR1f*QWfs|(IVSJDD`ez^|+askq zeCfCBVl{V7ymeH;)maKi9Vdf0lM#qB8;qUieG#kO1q=GL!ssU7U|@sq&{JFj{RR$z zvVt_`X-Z@H7-{UZkVTx8Dz;D8!QuIaxa?wrw?0%i+uLLNsBHhyi~8184Rs4f;pU`a zh|?Jicg3Ob)RM*esoKb0WQuE4{>>6LZgfyJeS!MwlVi0NuW6Nbg8XG-@M> zQNspcn(iognaE+KnGANAjl?b^nxpl)V4LwE9JaN`shA_U9#?@=EAx@I$PGzzwNYw2 z8c#i_y=*^|@5vG|Su)u#F~^6+dU!N-2ufANv29RO%;+bH{)2~OfT9{)#_8dVE6I(O zWuVu;a!>SY^aYSIZ@MzZ50pg1Z$)QIO=$k=Hw=?)U6Fe9EFM;qKFTkJ$&|TVPg%~k zL)x)(d|uwN_W-0v2~PI<#%4$_qIu=jN37Yt2Lp!)KuU`VlaY7wBH6IDShjXEdiCjt z#!Z@F@~pWydf^6cSH8uP<*OmZzzB^RW3I(yoZ6p*YiXtMn!6C~891(OTR1NE#fAG7 zxcJ~1oIHJLz7gksA09LpUwc%EOJ$X$w`0&}q%x#O>torbow!@|7N>5M!O?pOdJL0? zysjzM?mmS3q?7Y#t{XdH64y-wh7853xV>DL=AXZYDRbs?9=SY3q^+Qagk_uXFy}1x zM{R`KZ~-)@s;iIq>_U{$Z+9eTK!)@dlZTFh5!Yu-uQC0`^ce?MZ= z{xm2veKb@KHlBevezOesX|7+jb3bIYjnR|VE-nG#ICu96ZjwIo4UI%c21aPo6t?50 z;cR>kE+0GsH(I-hw`c|N4jtg;AB@Wnt8n&qIc%L5aUe&>PMzVmG!i!|UgP-HyO?P$ z0C~Fg?1j*k>v4zVQFQ4RCe9GR8GZW?KtVsyYw7PEQN@aLxzvQ#vOa{hAhqx~9?%$C zzcU_#sb1zYX*O;ma*m&;vGN|vH*7K&G@Sc|T``=DvV#+Yp~ z0Vj5+(x_=a{0ak2}=j}dv?kXmeJQ_D{!pAHdyKD^Sow@{z$*;PT+Ql=LY3n_Y57fATeC zB&`qn)7p`ZAuWAF>}QvteGpD6Uy;&1bK(Zv7lop8R~k>>w1vLBCgN$mdYA0gN2#f} zkpN^to4$B}N0+>`Hy#)F#MA%#@htNYJ~03tzmz&n@bh54LCj}^eb)PwFkcOJ3H#UR zN+xhK54cW$A6<`+JLjN0NC`zY%2-M7(E>epm@9ijYm^(5hT3D~09y?0XM;g~Y%s8o zEe7_r!{Gi4F=(J0=~geu$pvGoRV-F6-GEnS2JD-}d3^}rMv zISf{ri@s(NnCG<>#SBQve0y$Y0oRD{;w^ZvaxSta_D0Nz2AJ6O8}#WYfmTujAT?Zr z)_YShai#;j7A?lcP(LI_Ek^QEYb1J_Vcl#M*sJt~MwgBl(WM_ojUEqOy9n4t?LlIE z5}qA7ERq?~Z}k!3emKM%^DQab4rKWN`)1-&${sAjNg-vE-|G)IGPx}aHiMJSj~!%TX&eSH>VwXZ97 z`7Xq6zlGT5vk;rS7h>aL2W<4T$7cF&TUZEot=)(e=GT>S2+vuYSihfUzCuJt57L5s zHIT3v&(_)?&0{tKr_O=vbSFdwFGKQy1e`gVhG*yKcV~9s-C>$ve8*s~PDd>2`3+>6 zGF>Wx2CWC7my!uA<~hJ=@nW(K+_BZyiTJW7zUC1x3y`p635sJ+1$XZB1J13KzC8VrPXLHf-RR_5JM;DVMF~ zXD`V#$0_vvn}L*)ow3|zAzmEF!jrv+;b}P;VojO}KuQ*ok^w32--m;P!}mbSwQEpA z^0;yP*7rclF5R%zI}jg^p1}purB+&cLPSckdE)EB{g5J^8(n4NFChFe2Lt0WYl-VWily9u4g3^sUPlQ6@2(LB(pZVxy^HgB{>o*3Q4Z79(nE(KVLP>n zWK)`(4`b2g;!4!!v@Ck>DV3f&iSZMEcsURI?H>kGc3?ot=42N-;P!(Dc*`zbUA2;5 z-rBN73x3Z2$AOgWQdXk+^F-!D%P#*pbCm>fi{`a+C|te{rb=qOO&mz+zmx+hPp;Vt zQ(3_etq;j5dOhioKMJIL%cu^I-u*s$OzQx)v&-z{Gq=lN@yqUJYI-Ah_^(3-CHSuN7{rD3YcWDM>$7-J14V)ypL zc=+HQUQu^cJo$vO2XB8HJ$#3QzB`v&(&I8;r9E#k$P}IB}^0w@9`R zUVh+bqpbhg=U2znpGaQH)v~ z_1EbAJ9fzYiu(5nGSm0N#MpoznD!;3Au2Kk&z`cSk&tR?XhHGr{jXBp7kW4^?+DDy z#*(4Y5IuW#MMO9)_MS5E65IOtr+}2~+0SvTI2Gf^8PkHgA-Z+%fzZ&fKOIOpNnHnx z8#dyA$<+%5AmyEUAZ6nw=t0KPD$0MGQH0yO4#L*R9L=bFk3PMza?KiUJlSgZHA6g zow1ZT>ry?x+bNetF(H|^Y1bAVT8SZerZuXl{)(h*cukuP@m8(TUaSp*W>G%nRnT+2 zCr;xIv+X-|Lg3O6RK0l4iOGr?#b5Rv+caPfnJ?&B&lr$0Fc57!v`0HKGy|s2K_%7s z>`*rRrp!j$<}JD7E{k-+%Ca%-@9)oV@u@esSmaffUmww=Eq%wFd!JH%6+I)6e#;$n z$!PWQ@!<`@nvaguKVnorbH05{<(ZQ-GZ@(oiY;mUEwHo0l^fTE z_pOS|sL~5SAsK_$@6u}b=jUX$X~FuA@yQ~q&RbxEE7wJa*6RwqKb?!WdGV+%NWiCK z$v@oiso(Ue-#Gm>Vw;Dejn(S>Bpi&2gY~#rXvl{_RW=NIDm3S_dB2|cydFiwA{9r$ zNHqc`Dq%3vj)3~u6;QU`h_SwLSg|o4*U}H6j%^$gPald8qo(LbRG2If{r%x+3O=z7 zHnvfAE|YJp)#h!+T@IwAxrYNOrGFVnnY$J@!Y3icTnb^s8$!QF7l`%HMQgbQu&@oo zzCF8e_jnqr&t~HN=S@8N?ddFhEZB{j9j+p1~S9L zV4@L;Wv&}=egA&?9ot+?7y9u>b=H-pp{^vIh_!z^)gOsBX^zNTs0R1mZD7*41yuWu zhWfM+Obk7Q6+1I=J)7z|ewf-zb&!nN?S%YhxUUkGD`Aw($JfWB$<#medF(LnBlf$x zdSGWMjXxHNl7T3*SKN;Gu@buylaYVmI4;Cp z$AfLR@jUT7YVvZaz9g!H-By?U%eaB*^eC-~KjP4V?*W!3CMGB;DdFXll9Hex0#X`NdA5nm(wF&0W5(bp z)k8l(ax#l-smM1e8EB5>vwBj}(x4=YBxxw4fa2H<+}S=QBpOQn2lGu(0~2Eu9WCbd zr>3StSy?!kG%zqgVNnsUCoSW9Af^6DIUHHO4k$Q@w9pu+4jjVk(K8x@f@0yO?)2;| zsEI&>deX8eh*`^@n-La^(SwDX*>w#Kkbgt~QnF3y(IOzFwzf8{0rPl1ldZbC@LV01 zpF{on@e{H#GI?|z_FOH>&lQ!=rtdX0h39H&X(31CG|oQR|16*VE{8?sp}x+|&W2Wf zzJ>;}>3^13Ka3y$Qy?V+))q0IiHCfuQ%Ce$#(Rcnvy_1|b#;ZCW7X8uc-xo^3knK8 zj}w-ko-P0^k5GN}`D}wejry!UU;hgrCCg{^us&gZ!+2As{8Ul-V(N1P(MCVJi8h4; zCMll*FGcxmj2u3EnAgL`2pcPG%&>emhFCruYeph~B^zsO%&~N=v=qh`=3M3qeFq>Z zFamWsB`8_35hk+2*c&b@gU!2k;C;Pacav;S2JSd{XdtOJalw)_;cBNR)2tT z{PY=^Po9QvXw5pL)i>CnHxRYnCW2$a63g$M6_~RRb2Rxa!~lCChG4g`GpC}+@owB< zMMZHLG?YoFs)hfEzSp~B)S_UlwhSipx1PEel(l9;!_XM^6ZMf|Ym66O7Q_p4k{OE{ zpC6HTJz1dE$AU*;tmBRr<^s?$&;-wIm2gh4GxiT|2rmw#?D?xe$`e$c0V(Yy8(~np z_Gl#8AN_{Q!fU)1j=P)SS&#*40_k~NPV_A9AY+CPF6yYBIskX{B(Oue4GcSVKr68x z=+S#9Y|Ta^g?@W|$ymIg-~T=!CG|@Hwb5A(RTI15x@I#RR_YEPB}WD$F>CN4 zU^3vXL!{52`Ln$7{u1N~Pd&hXBtA{)juP#TnBTJlq*_Qpiu&71M+w`UjBq??EXs-A zf32=RoBqu}%8q2qF~B6*6y@|R56b^bfs}r}0+6z0YXnW7hpOaURFIA0JxPeX(!P@< zKgbh+l+WN3AOcdhY=yvSbE$j|o*v4A@8nsZ?J*9dBpZs^Ud#sLKuWT^{Mi9m#Zx>Z zo5?>g0PTnu27vS#Z zj911p1clPZAlBGXLj+l;PpL9ZZf)o=o0M z?j9s(c6;m#>iebCkDv3YzgWMq{tFFRO8wc9_p3YgS2^{=%h#_EMs>3OXZed<-SCL) zwU<@T5k~beTmCbUlEw=gTa1U#KuXI09!R;2-#pL8sLNO@K4xF16(Dk+J>PR+dk@Yu z-hLHG8M_=^dUWUYIGK&dgT2Y9PAx#R%|di--vKR}Hp9YklW>23DylP!u#Dxml|YNu ztpp$?)x&|5%a?x-q;zn=y?gg~e(b7M=+>(z-@lozZGbbIc9CwqOtuHvwnb+IUHdhn zwHl67xf54VaUcUhGp!*d(Vkz-WotGLcSJzS4UR4tDA^GWnl!_JF;ii)>o}sW2w=wW z^Yp5J1@QP+(f=zzN;ZC$EsI9qKE1iKm%fQP4rUi}ASK&1{3n5wx1PR6P}Fkt?9&h5 zefurh9;7Gpg|+fOo!DOZ`gQ9tWbh#FY%*F$AA6EB@btaF`#%k&j9Rl10|pP}>rowT zEwYV-_4I$~?@OdR{%4Y?$^Ww{G4TLKjub9h?bo*_wrpB1I)AAl`g_kV1t2AJgLs1* z*GgbLZ;EIw^9|s+H&4h)s&H!C+zwBTzdTI1&$Tn#G!=KNZ5TE zySJS{)Y2rF8@Zu#dlhsLmw}1CHDV)oBRQcMhZBz?VOJjZ?a2AtC~;3dJ-3)YH_&?{ zv{e_NyQC3D44jVX6QdC9a|n^a83+wX;eY=Y3iL=s(4qvyhNPe%<1${qs^wSYW}m+X zhn2fw?zIMPt5dKi=O&VmmLYOaF{Uorit+yI5wx=qNhOc5H~j*p&2c7ssV$nf5`*O| zXKc&3itSm~Fn*Q`T2h`^`;M668H$~kUSRXdM=-T^MN_hgB-(ev9Oot2bL2kO9ykd- z^Xc^NG=^l4KClT}kNE5Fup;Xs)Xk@GC(LgB2E%#z4(z{Ki>Q>7P%s?J_p18z83fOO zRY*Ph5WDj3zl-Me44*BBk$C$9f_7zNsG<;Qak#1uf)kG5zyrWL zE)D%+ow$B$IhLg>a+B$igdBWx>r_aDaVl-D&u=>9?+ybJ252bi@i z9%HFam(A(ecB&kiB&RHrdD5BZNId=&iRWG-@p=so-1&$DcR%64?T_@^I%Lo^mhlYO zP<#ibbLrg?qp{Lj4Cb>Pu(kLmie8a(&00|6Eu@G|v+pSdTtPIbhUg;T-zMwc20d!zLizcKJ6`(!{#me0QbISQrk z!&n(Tz)uBm9f}Y0`{CB)VMsSo#Y%M@IE~bRXlOR824tfuF zfSQ^;VyDbN#bR@Tk7dMjIPvAKh?{0oI5fBc0;L+jK&lCP^z4WpeY?P5s1%%KI>A#$ z61Kx7VKq<^=KVUrs8?I)b#DXJE^RTQQwI#~+6`L62Ek^G3RcW9M5ePD?kyJf205_t zcY*VWKL(~`fBR?wi{`R{>nt-DWDyI*?A)W59AKVdoUE4yvs~9x9w}Jxc^ghkMMH?EQ?6U1|zG;N-T8g1{ zyLRZ+u`Lv+ZJK>LWAunY&>5`+8#5j3w;qFMi%qHDi9fc#&h{M_>(g`OQ8#k{&Wz~+ z-=STgAw}{SNbiy9Xl!>jMQMOJp0n}cr-nzf1|v~M94@UJU^G3qIT2esHE7TTP1}f} zXP5RE(W4_&dUk+T4+)GWKIJ7E5YJ**WHbdCOY?ATM-@_|3*a@|9`lS85Ia#0mz{Kl z{ZPgm`(*M9CSF5~@L{nAu8!-E{X<)0X_uy$)JF=63i8k%qld7W#<IE|Xua1I#^dH<kJxCB~=iDR6-7tK$PvHf%f#yj}Xd?OAq zHvc*-#@;iJkVNxS@S#%}AFvTqmTp5t%2_1euE9Qk+WO6bEm=NBsE;%vcnJwjDd6S<@CUpSS>94xT|=$|YFMWc$`_ z(2C??;qh`)<}? zRl#*=O_+s-%x|jq060c(C4E$bWoc)iY&2fb)g&kPSN zhjrL|q73$Hg*A;mtqC@tsp2wNv}zCf4i%z!%90KWKYRlF?|p*X+IaLN*|4>Xs__KG zq@P6sjTOhJEs*NnpIzFa$8{*>a z(VTS9SUb|yCmvx3$zdAl=e8XrX^egc$-(l_u=d5g6$h{)pVo=DYmso}C4Bc5a#>Fg z--+0~n@FQ^urBK?bS%j}YTOu|Xw2G$Z{lM(HuD11%qR1)-L*$wIC#Y%zW5=w)3|V2 zo66fgcX>S3a}}wile1~PlXBrT4wRBEJx#K>@|NpRu1lFtrN2{Yt&~*-UcXJ~dcJmK zV@O`t2oVSJkwyGuoPUSy+s`1xBOa4YgE3;z4D{`832k{BESwyUP=}3(ShyJ>_O#x$ zSqGoFYv4U+4VJrYMB#?rs4Y4y_`_UhJ~8Qn4+*=doDut9m$ zFX{8bKAv-ZYG14 z;;Lxh%?v$9EP%A8FZ9hLFnh*IxD&sDjvEoOa3g|kSHXLdFB~;zV*04D&>Auo{fD_? z80j4s=Z!dX;DEsE!yMoq@qKbDo~)ULya`fRDct~52a93QC^>Xi9s@;^pS6V^7LU_} zlc^e}>nLKZ8req*gJCeL4>X7OgUrBT7&1r&3aXZvGS3qsv73>Qn1qWtsd#%dMewt! z_X+w<#QbT9KL)5Q*#jJ1MxE=0%j-Q5xgY>08sU%~5rL6I!#)Ek3)ho8nLpIkgVYDx zf#OhHkDY`#!yd42+W?C6&Mku8H;K3uB_Ox7nwzAcyJ*Rb!S%N^#Nxbu~Wj5{*7VI&ek|zj9Q?9cvp0$ zIb!h0QBaVPhQ_F&(3Bnk#Ub6GG`u%v=$m1O%O+e}a|6dV+(C4BHs<11X1%#F41gH2#hu zIUpPgqRUkNJ|JZ$?w9)_F#}I_C&P2>q+bV8u3Lwij~{U3?j6jww&wHifL^_^HD(1q zJSfM7{6bh+jOFw1i2ef+AH4#fF5JNJJ&7ZmNhu*1*DikE8Ku2A$9W~_@ zk+*FZ>aN~K+WIX}rg6&TYOJG+(&RMM-Ft-nYd1oA;9y?=gsD?-{@PUmNV#XX0Hmb; znK^3~uHC%B`D9TdKLaTjI^y2ra#VkOkEoR^gy_*to56j?96T;4LDkVy2wdQRcCA|T z^VGnwP*lBrgC{SZ!`*8!J_9MEqft$=zW0!Hsgo0$k*s;Z8owaaUc8R$8F`pxW{F0` zd8Tga&F5Pbc5=b_67jK|+R|1Sx)wxfMlA7B0K+2PB4{*W{`s_~y zQaaef(yD5sA2J@ojK zup7wEc~0Le!yG4PeA}!s&GQW~cm8bLxO0QP`M;-6HJ|YM=4(_GR^U}Se zXwkSYx=U$e()dtBM&=+X?FKH~e#t?UmDK<2^Q&X(PoyWc!frRa{3_@8Sr}Ui?|pNc zw-$SPazBdy(%+w`5B^L4mL%$ve_i?yNcsOiAmzyum*KW>8AcA7j1dE;V!TNJyqx0@ z?3I8(&wcP+vCc! z?v+1+gPSXww{C@Q;_a|}u05&`X5-$r1lZ^p@{I?{P8|@lJQ}rct5IHdAC3-oWC(o& z$(Ah;?dXh}<7eclxbq4CK2)41u^Wu}V;5`b@nR6l5yahUT?j!&yU%$rVrxoy6{C(7ukR?lg1xWb>UOql( z(^ecE+K40A-U(HuXHaqUB)k^bL7d97NFYJe=c0=EuZT~D*Z8U2ag_lILn1=)`pql6 ze*FSbkztUM{9)g9lOm%!DgxCns_^Q0B?5y2_^mw5sPvz2hswg^cy{DC{N~y6D3{C` z*FQK2&z@JIlKArvpz;hz$pDl7{-5&$SVYQtAZ38RD5A+r1TG2YHx~XfkkW@c9amAl zpRW(ZS~f?Erj4=K-3`y5(xRMr4G!>wc&ip@-lQQGFLFg?MLFKQdV#R;P;~4h39%L} z;W=kM9uxo1X@MHz=z@;a&tmjkPcJV4cu9N)1^Ppr`m+i3>s;%(xO`m{N%A?FQNIyL z`Q%XN(^D!x(cXT6q)eG<)I3xAtU@VDC{ z{69GW-X^wiGPK5cV^64!TMq46Td>e$7j{JLL;ku16m8g#ytN6)Wwa)qQ_kvr$cWvI zw3wa9U%MMu_9x;+G4am$zL87(Wbs?*%9l+-;rRAgr}P~rDh@?&eH-+fu^di62c(Rc zf)tCc2pje-3_G_*TZzGF+S>r~<__=-U5S11@i?86LgPIZ9~rRl#6fz_4pbkCz|GK! z$eP>_v1$?+J7f?#4=_c0MF)&`h(bdA9^4~2zd4_UkG~m6N&Rptn|RDcT~Rzf?Q_N3 za7El)*bh6bbYQLL26d%n7&??_U?_Bz!x8Sd9@kj3H`XrJH=j2Q1z=;{u_SyvdYEsR z*A(uj{LR#cFjOVkBgI|?F1^HH)VMiR`l>>0N+8B9*^iiQDY%$+2%n0nttCku6j@Vz z7#~EyNM1i5JM3BXoTCS5obJKLyd8L%z8z(Uw&Tvh9e9uyk7or*czrAtwI|Y0$D&6b zOTfqM^?1EM5Vuz?K$?Rt!nFHh?$FNA9yAogWQ-xLzW|dQ{jp;G8e}IYQdb>E*}ey; z+FgMc`!Aw0H3gN~^sM|{cvEx$wMSF zxyO*`6@cNL1h9sgg(=SPD3PCVFkv4?3?0PtjSLM?S}K4W{}7P!&>_ev$aB4JqN9hB zlyuaUKgFS~agZOu4*b4>iJ2)%N=te9p8zRE0Lz2K!^jZ=5Ya$a3#Fn+k|{|CAvaQp z3~8XFg_2?hP^?2nMjBM=ft0kaDB8B0WOE%UE7w73=y1O2Y+!7JqT;UrDGd!#c(j<` z%*i$aKLaThlyPLsPO9ey(pGJN>TpqnNd}}80W#BbvY{#hG#V%<;pjFhf9X21R;R4T@+T1A6nRql3m|1719pl)tui!5l9LW`eu|0;p+{qd=Sz}uwL_2kh&@+^ z-m^r}0md%^DH&joIV$iQ(~U*WKVw9nzd-CZ^`-jUIq0d!1RR>Z06`WWa5MIShl$`^ z^aHY34F9p-@MC1+245XJc#fWfd8)HuFnTsrwB}-*u{FY`*dW!~4n?;1I6{<5>$oo| zi@r;vb#n%-xk~M3I)Brxc8bYOC7jzya3r#&u zY?)*DGeAlyAtGgmZ!xr0OEebi49TATF-}VXD`)E=%f$pYz06R}L5B2uKO=nf&_=~v z8JyPdf_=kVz`bWn$kKe+y2~I89VrjriP|{sX@bfnV^JGq@#`SOdSVVjLF2dsPMzy$ ze4O4DRYuKmRI59dj<$rkS}^34R%4LtI>^YyVy12o4$iU>fRsyE|4={ro8Y6DK5Cpt zqhg*6PFnQGR{4%_BOWbjEg{#XGY0n?0BuEC_)XA4hLZ`ddt2bSuQ@)lvEgHkPu|9; z@iN9cFBY-X6g57kc<&>CD!=DdkVKYhJ522* z35mA7Ak}ji=8jQAimNehQ-8e*w!p`~!&&Ix2Bdsk&VhF9fJ~BX#n8n*cyawU%Fkbd zhn)l28?AUGLKjmF8N^70=7DJkET@*h$^zj{;651Bi6?Shs~o55wu43uRT;&sVc zL^>^kRJ-7wYTC~8z8MARe|0t?Y zU&1nXFLdod?+m>I4o*(^RUqZcmFU)^2ahB<-P8hS4w9X4r(8J5WH-dtN22^1kg|ky zaOovfP(KCDn8(}2ft2R|0V)4T`pbZn?4Y=YsFr^JJE;7DLmC+5ed&YV!YVVtXWtih{HB&>{V?ed`L*8R9<$q!jKg zXAvpwY-gfLlSXJt>jQgx2i&>C_JDt1`d3=@*0N|FT&MkKQtBm(6$BJ zH)_o7m-*Jyar#6a@R6MbzQCJTWq9@c-rq*=-jo5K9`nm^kK`VMyWcWi|Uc>tc*)T;f5q9D& zqE5X-Xz6PN7C$F?fv5|>N?N=5W!!=GtVL+irX8BLY6HzVp770m1i!p;XwUOPv)1Bh z*|r1p?Sm0e^bB5E_n|h;35}b#gjmNeFj*9h;G-|#o_q}omh;e%Y{+(9dtfC1tto+v_;&?SyUI)TxZRuZBg`i_E z5l(zZoqf+ggXw>k&(@tWH)ySU@-4;(ZbGNN!Wv+Rwgnvao$4C^<`YJ!@AE>Sm2q(FRQJ*mRvuAus;b%W$IgQEX^jy#M+w_h& z)4S7_#)=q?6?=H4-oR>7N$bfJjHNLilvM%ifE*Ybu7K94K z)D)wktsDs_BVS}&&%-BQa{(;LK$BlyJohCrFyF5cFK0xgPaUg?mv-{FHenz#w7MZ` zganW9DBq?jx;ANymftldIW5Bb> zze8a6Z(!WN0|ty7jFECfut1?F*2qa9dPqx5m1>MZExtp$hTo#;w++#xaWmql9lCYz z1qEqo%+^=M=2?0;<6(jde+#_x7hQz+yNT^PGNQi&^oZ2(VX-=L=UTzl$OBr+Q5Y$^ z;xmx)b3{reTLz?LKtdm5V38`YU@#t!>w-0-Xe_j8gqEWDO#;(9i=%y`_UP1+=3*TcEO#_TUVs@Y z*`B(e67J6!fUU#DF|%m{$kH>!fAmaF^lmWv_M7kX8h-m7Ocl+L=$nL_+aF+WSSBnd z+F{gaCD@xPpu~a6isTkdJTbmlL{A~nSnq$WWY=lJjzFcq0kDY@>$yfQj zW9qRSZ8~*Bqo&QFGRYo`Q*R@%paO>WOVFxaM?qK5T`cJ8{KwFm=goC>i#BbcInx!s zS$Fvy$maNZ-L#aR7fEw_#F<(?*Rwe;xU?G4q?e+oZ8qDEV2Gv}*G-a4Clk+0sckL? zE~a0DHF4$rh(P?~6iMopTctyE8#d#&O+ z+wIV0$ePW-ca0jMLr>Dx!CU#7W8uEj7_KJ(DJ4lyP4Hj$y*?s6QNMNxV{4alKU}-8 zeX|&v|Jj&e`mHnRdM2|$>c+5RV})ckC2}v%XZ4SuHGxz785%2Z`PgdTqd(V=vgWg} zDCr89k@@14#CH$kzZsM#+QRej4Fr)c9OJkYtt2FQdo^Z%udDewhUQGB!xbmk(Awi1 z!q3-X8LefaNS{XjV0^qrjA-rRw7Uc&4JMF08bhL6Up{u(7-nOcjcGQv8GnqQCA8M! z?K~ss=O7w`VI(`&mrS2VP&)-(TFcjaKh~$w#LJ4?G`&&%Y%ST5){cCQr)3QLoktLT z?i2j?J;024@h~F2sYm)#m-LLTGU*)ppI@jtI`T8Iz!vKAVHhnJhABod*cPw_PcsjS zB1;l6z*&8y#m~g@eud7Y3;ri(4pSY8z==52q(08e;SKk+4_j4vp^O=quI~ z;xv{Te$$xxycxc2Bu?YAJFUxgJ}dO~u528LN#Ic%FXmDo5)~x?hx~!nrnu=Ug8xyaOv6%TJ(%ApZWPAU-i6w`Q3<~!w@q&0$0{u#*q!T z;OLW%QP!(4)^ip1#_hzLqikH!_&iO-eWVTm7ve~M%W!?=Y-~3i47aZ0m@;$}%%(1a zRp=gs#iij)Cbg&DzwN}Aeerh?^NTt}N zg-4(x@_*4BCXKx4HK;2%!7p7`9yo;LLF;cbGn6u)ruQGPKRzDPBLrao1gnWSPxAOs z_X%-3cJMP_4y2qp6W6X?C2yFUXd?d@@|>;bCZ(cB-qTmVv%o^_{oKR%Fws>8Yb zl3Er#q+Q33LhzB7FY)B*Q{kMrb!!1g85MQ?fS!H$<@|N|Cs4Y23ykHI1R&*THSW8^QfX-^ zzo7lgKKt{4l+7^T-VV2EyfdHf*x1-VA4r*A4CIt@Uv=)!!~A@h|9W{9mAQbzCVcH(EH?MVd01FY<$SekE6#o2pFS1#Q~yhUZ=ycFmj~xODCU z&Ye1k)I)`c4Bn6V(>Gv>#VSl6w;Ho2t;3w(KyxRr$GoW<`R63_7#OJgLse!GG!?xt z)-V#YtTw=U+EyyF1#>2E`b}g#bsOwv?Zy0QaWK$uL)+H<`8XLgxCk7t6#}>^Iv%mpw(hIo*9E&Kx9P4^Wb-~KTzIa=D4tLUWVLNdOzx|*`2T36! z<>7qXiQ5mmF~1W?`L&3Y3`jZ80o6&lxVJ4H^J!tvjD`;F+aP9H1U|m2#*?ypaG-@L z+t6>{syU+leDMC!Lp-~F9g7?t_yM$(L>hlE5sv=bMHzq=A}F&YP+PA+(S;})LZFGGlrA39R~V$=rD`UsX) zRS2Z<#_C}Q7PIHf#N}&Oh4<}efRyZg{4vbqS$P?JiBArsr2cktW>G`RP($@dE9N$A$QVxR7uVr?+lGai9ZIW~pP7sXSctCcsc92&#JPVQjVq){{5GZN_G} z&)SSdGryo2o8UTa1MJ4H!Gf_X;XP+9_C@c+t(;_hVxY+T`M@1&Q^{65TW*D;6WU>e zQUh2i4n{A18}ymE91FvD;p|UB^#BWhN-sQ*RdDcEWO^?INoK>jK3&7ny)`+)OgniG}&}-Zb3VjrzG{FmI zKHIT$%|4VQ?8fuV-KfruM`i8-ROTh&bzw3GQ}X)R*f~MZD@mp?x*Nz|hnl1qn!6)W zv@#OuvCDB}`(|89Pej?#RJ=Nsj=D3+s4I%YtG&LswPY-^=gJ{iqc044b;ls7{*ac@ zgW(iMSbIevdc`WF?_Q6~NxShd`6wzAAL4m@B_8iPhg)L#Y1XLf^^)?oA>W{g%cw$Ps)id}I#@sQf|{z4W$ zv3{%n{a;BUAmzKl16b}fhxANqJ|`MT%i+u_dQWqY;-IG=hSGb@@=Z<0;Owd6^u~X} zq4+%*Hn1CHwP}t8p{Ny*A2^tAwwhR& zqvZJ4fRx`ys!ZG;j}b!#@_c=*(Kx{Yle7*#ln9yOgL%H5rW%eH74ZD*j5M+bgw0Mv zZCw!tkMXT09 zU9^#{s3?o1d3y#nfIOLwxekz$-gBoVV4kpU{*HGu{FP&=t3&X^8Hq|PLmt1W@N;wlW6Tm3~u z$_3v8DMMJl7~!3(2Fj-o!)e2=*e)x9x!uGdLu>j$9XdjJ=m1#hC}8n;4eXh#i!%!i zs1L{B$s$8Mbv3{P+e(*!T6JvBa}=#tEthVjaXIVG`ZkFJ_{Za*65 zXQ?53iW;(JXySyu0d9GkqQcJ{wM-5H#(3|hfr^>Kadu2M?39y$b$2ny*7G)G048cH zz(a)|{#QlHiRPXZ|)!<}q^ z*5Yj-*`_T*Z5QIjk>e=OJOYntvmw^Jxp4VSco<%gE%T=VDJv@A9H%YWc79&ocy{L=D(Tq)9*eoH z$H137A|<;Bhh!A6Gz1c4M~Sy+2_Ld8pJp6ERaOxK=^d14CC2SNZ)$r5*}9bv9wNx! zpYs6yKmxzc%6re4iwcruRqina+d85>@y_zStfu2hJgr5Oa11 zW#mbc=i{nMymV5w@{6X-z@v-;yrljPvvWcxaUqhVn}_=kfs~y@KuY&Tcu4*Eik{0OQZis< zixzOPwL{tEt9bq35h4SF`JMwCqs}x||IZOA8IY1io@~_;3+?T3|H?H~KYD^?OGDAM zvlLoTJr0hJzYe5a5sPm04mE4q6w@b9!I@(vK+Oj-RA14WbGf z{7ceb38ZA8NPd&rWVch%X#GU-<$rIfRxO6F@)B-s;WxR(NV*ym7#c2eu=(eXK63+dx4aHl!SA< z*Le5lJ`U{Ph6&>>ASWjWM@J`|I6-TpA0@U;!S)`$OzhvJgbSErz6pbSI6~EwhA~s$taqsp`?A%-kH`_$awu-~d37d&FV8-}$ zm@#2J|NG}?*2E2%O*Di4HZfWaHLVpeve<-W5m~r%_6{|#7WZjkai9W-zDwrcRlxfk z(OELvX`Q&7imbd3#FEHE>3jQ(2auoXfhKKe?c1_7#>{dAYbp6SfNapE1MFy18`v4u|%eDDI)ELilWCTQQi52ggI$IA2X;Gc2{ z@}`0#W9PnuF*_m-tIyZKYySz1(lzJ0yIt=gF!bFB&*Qa-d;qL?DDW6f>&igJ`-u+- zApRq72>F{H1KX*6t1i@FddNmdvB-i{kMw9GEZ&lj)y0*V9YFcL26E?8d9$hTOS_Df zmufLJWD`2{?1zR88$s1{I)W3A5nQ_Ft+wW z3kJ?0J4bt#GnVAv#nvalRvNl%%4i)-vRy(;c<(cH@Fjl3X>GjX9`Q_ViXjTT08HC> z1pPED@XdD((YZ%I%<@}@RmIQXv!fU?T1+0_qU+$1u#Qc@>PsKszV{f0X$X6Ly+b#3Z8_+#DD0ySlvRhz8p5#go_z!V!<3i z-5FSg0Tz8&=OADv{pXj2a z@Ojl`I&LhQPM?!;dag0@ER?ZZeF*%9_rX}QZRI5-F|wUF6gswmid0jmbZH8;?k%Cy zuN@}HbcK!fAh;N-!pV3dYz*9Bt+xbo^+Je(Fi$@a^9_SxV;llIlclg76AFhhA@Dc% z!;a|-QEEREH(e*;zQ-ioUNi}3txb?(D2G+Ud%?9|FN_%`i(v|SkW<#jEJam>$__{9 zu->rk(*Z_Ot)MK~0@58@V|eHGQ0&_s#`42pW2k}^Q+066c?@0#vOQRHAeile(ck=X zIz~U*ANxh3-?Ps^L#js`AAI$ZV?7NEjl3~hDH_9NR+5fd4pa3|L`-nSIj1T3#P*7L zL`n-`&(d8Tup5D=lY3*Anj|Lm5X0~`EzqZR3-oK-3jHKnV_-)y4C~Ye(w&JUTSK}- zD-4%tfkEQU&`-QM`bxG#ufBaTL{0${47Ct9#}H3gL`t^r%Yc;Z0ylRZVBQeCHt&cO zc`=x_7sGeobwKM5eKA&76&svPP#S2C7a<1JCN-4L8-YWkyTPZsIHtC530;z7mVqgM z(ieX*eF>zrl7TUecjlKMF;Wv&E7K55@9kDn;TuV3viE&8&4;UK{$caY23l%vBb~IG z^xcdl8_}izP(J^T&@zSRzEZ3vJvAq47wIVhNGWGD3BF0^u=3nnOr!E0L_kWFadQxq zehn*5zs5wbZTeA3}4w_wo1eJ^2ZtG#ABETh@`TWpm!9TY%e+0*uf$ zfo}o00Wf;u*v`hO*n1I#+r-o zVZZJ$dP@sH%3^_F6fUS8s%8M^Em=R&M`6UH@2O9m=Or{}E*Hr= zmf93bbG2jYeJDE|)?YK@dw)02Su?o^vWzd@~ z04c@VcY@`j2rNJO0wLMAp*~3fQc85`iAjE|u;NTL0#mO*$wB~9O7zoxLdGoaktpU7C-y?vQoe|U*EPo?gyU?0pHR+F;OSg~= z1R&)w6@7SY%HeC5dBI!JweJwVW|AE<5k3dcVik>*8C0HKzRC2X^4M7jOuNeYwDMVr zc2YgixM_1}Omjd;!F|M>d5y8|k-VKvTeOD$T#^mf)ihRYeRxFE=CtP1p6|=SlIv)R z%GQf)Z1|r1$YuZK+9im_&Wz2)7@$3l#s`Ze-ItGb#+Pr>dB_=0;{0~()(2K@kyuew zf$db^CXqZMX?z8o7sg*e$y-Dpug02_q)X4d!CKPsU+Pjuo2mSE_FK}m(}LEaOFvqh z(OBt2YrN^3^Wk&+6Bh1!0!xQ9Xz8tjs$wuyWWAs+>j5J*e@q$^fm!CUm~9bDpUW}B zbUEcMgO%Yj*iKx5HJ+PLv~dq^?B9=@iTiPRUji=ejsM+raS#2D=yLpiTt9SxC?4mx ztwM2#3l7?tW0|QkW{w&J)$ZLOE!7#ay}LkpNKdGY=nK_RgQ2M;4>MCU%&~ET^Wt#0 zxUPWxtQD}fjKw^&Sj?q1%pDsG>j|r|VDd)TP2CLJNz5OJ#@y6ZSmUt?x$Ac0Dz)KW z+5y~4C0=%~N1m@OwwlTzK(03?s%Svg$R5KiyNO|P|aCr+@M9Q1%tg(BFIy`B8 zGO1TrX!Rq$2lmEL`W#CC59uL=LEWX$znc{L{fPQ>?ttEs66oKP$}1Z|X^Ic5ytiP@ z#{IaFB?3}1pOmwCK;b?h-UA;aG;rR!FPvq1qC<-z_@=E66f74ZWa}1Y06l zZ5YN%_JoPF4rY?A;=B0}_NL_E))5B0B>Axm+<$jp{Xr@@jJo0jL=33R{8rd5JMj2v zB^J84aX&qFHWM8k{Wk$A^SJK_%h#2W$B_-&slP8FIeHZoM8H8a%dvkRkn+((ym?DD z!n$=o1yV|N!E#qmyeKHeldM8`vNKQ8@$9nE$Ys$2kn+I;e%8bQr#-rM!4&qIX}!FNwgXV`p)E^G=v53;rXD zN=isQNbla8cPPlphK`P)t2L!%xX(^q$$6wjt%CBPq1;9^wlGKO>C@Z~WdET}5e5ibmxOM9>ux~%k506NB{kj08q;~h}-HV@r+0C7Ydl#?pv$UwCq5KSw zN2HuQ1CLYlKA&syh?Ei%2=ozrY@gh`4R=Q;v}R||G;i{Vl=VPLXD8D4Ezq-F2duSo zMQ!R4T;H+}v$PEbAm!jeh}*OoAD+L&`I6(9Fn+uMr0mlV2_ccx)^jM`xC6!tD%>vQ z5h?#nAZ3GZFjYerSGMfM`^;i&bn^HSkn+wS2U7a_;NcT?nH(i{w#a~#EE;86p#Z34 zK*|cX=OjvRKLA(np^(PDshS3F)6gEhu+u9LA9ENG^&nhnERGl@3)3mnu{<`~wH|F<@ZXT_W~*@9nSB!w2tBMeC6l#Qyoy_Yve31*KuC z^sdSy$Tbi*PSRqEg{-7$rS@qp-aUEut5j1_gWAek)I6)f>)WqUakK&t4nDx$y?1eM z-#y&lfB!epy@Y#2cj@nYc$8F*XBp2?mGc@;>GQ#%a@>x;hg{kP3$HnebsR2sf2N2|9`FAuaS|)GMH+urqAV&8)}Ev z&Dfz+Lkt@>5X+YZqrB`g@l9%}t_p8nJwWx#`+pnNzGKUWSNQbdDGKtEFxFxW8j(@a zy?YOYhK6xR%0HBNM9LRrd|gH9n(dgPYeb9R*67!xH&(fN<25Z@Z|pq`8_UUP)w&Jk z_rh9NFTA5g^zGdTVQXf|9pZX)?1YsLZg`hoh`TJ`)Dq2EzGP>tShxsp|3CJ=0<5c~ z`+s*`ySux)73>a5#l%2GDFGEky1NlY1-lg$kdP4R25G@YL6KGjl;-n4Ghf(scis1O z^SA%!-Fcql{W{z`_sp5OGv~~l&zYcTQtW)7%ucXu22!5*5lCrh_yr*4q)8m`Tvhdn z46t16Kct3s9Xnu9w;njMPZgDck$B_o54DZk(4}J+3>iHJj>k`+tl}eH=Dvn18Ma;M z-l1e52JnOP8iUo8yw|SPg-8OV0__S_(RLx#a2b#-nyb08&i#AWxBp~;*lJCae*kIJ`|Q$~3}1m4~W z#9=9EbZplieYg8ezY_-f1^{b&W}#H40u^qhN99E=o%UF(@J_$ zKVU%0_ddbg0a8zDC%O^;J^J>6p_v&9OG@ef_pm>56eCFXpSRuUaTDNl>^Mr^mm$BX zn4g%!j3)-B3;T`pGe6JE_$}4NRV%A$N-s5 z086@u8DZ=jFlj!i@-raiyLX=hDZ4^XR~JP^?8L}2?o8W{4EdhaKXna^@V2m+*Tu}z zn(_yu2lZ#3Ur>Z%$~QGLr*e96dFhbc3RpSR-WHY?KRV@VX>oZogVDy;4g&@aK{t9v zSyc^>UpyClZ|^F|qWvY1@=J;JVGT_!4jg4h_hIU{%!%ehc{wbp{O-j2_cXrmUcCqp zuN?;-h60bm>01u?>Eh4tq5lZN^lt+A1ql`)qpzUik}_WE%tYAE(QuMjiQP*MW5rxM zEEKZG5+O@0nN8#TY%?tSfy|r80>U&02u-!Xn&tK|*Eox?Tkd!p=Z7jPzn4Z%}GcjU?3dTx1LEY*q(wl*l>_p9<0V!=Jegnzg-(XP3_GsH}2)d73 zg2`eESf^+L6RTsmd+9XN-B05A4O2XJkw=7q7#tbN%(w*@C#i)M#Fvfh zZ9KZi7A8psEXv<+0a7LsZx8MRv7W$v8{n1<-XC8NFSR|`Ct-j^!uFUp*&ef}T0@fL za7^P2o_RC_DFf-9)TVJP8rp3j;xg*)pGMK0lgPS!6!E96@!-5Zk}s+t#9=dzY@UMc z1KML=%hs4YXbPsTR=~1-)=)D#0yif+B%E_Z%2ih+xLrZA=WV>acL$YGKHw)&vJ*`o z5HY}J=y|}$3YAxM@WAd6E*w4t2UT61vvI^O!m%oD2gZXz@9d!q1^$%di_>ufHpe@Tqk$C1h zYC;on%fuG5#!N)(_8qWs`wqk>rJ$y+0k=KxV7BmFv})TPn>KGj5?k((PGHV!qE@8#_aVPQe= z5>Zi64z}av`1z4hFF5^SH5vBobV8OGkdmE#$m+Oy73D`n0QL3ozk3(+DWBcHitdkW z0$%zD1n@;=me0U|3@peJ0|_#)Aj@A)`N824sBNVD`@vYUd?i}8X^T~&;s~L95;^?u z-N)i(D|m$5)uLhuXYZwSkDW-ltO-atc{-17$AAI%ZJn`r;uOC5wTuBN!i_`%^hrcPR3!rw zvIS2j2lh8c-?wgyjmuUe;`n*gQvV5YJcX6imaHyIR*N7YIE2^ben=>mH7z7F8QkNG zsJ{-RjQkZKC37tO0Z2)0#oBm2B?l}s1LC|Gk?)y>J#j}luvy`tO=<3Qy^s=#McRcl(R>Tg@>sf zeMb)vLptl)c}sYooI(6tbi486iImS?e-TLerQ}QRXD39k_p*iL<_(M=fRru1{{oP5 z{g)jVek=Vd2(u~Ql&%0Z+sGa?Vg$Ww zI^;y>F?G9Wh`kG9T&qv$S3E@VJTXH1(#-|!rJD2o`v zPgVgR^)`@<1rg+7yc*>P<{)eBQ20#i2*ZJ`FsFTMwE4ahI&|xYSyRSCL1Hd!wlBu5 z-HQ+@vj9nZ7a(!Z0>seoXridy^XWPtF|vyhw{HcK4~XKax&%se)}WrB%*ps99@$lo z-l?$!jVi);vwH^o*GyRY3 z01p(GaNZuPi=$YZ`T^&yiJIkUM)IUKs5-P5Z#RuV!rYEeG#_SC zEAdQ=`YMYgZ${7juL3E_Ht0k)NFTD5tz;BX<{gau+kVg@8md|Xtl42e_hS^Xo-^AD$rNt#ErgHT4^!WWfdiLN!Xjm{B7L5k9c5p;(>6;zUoQ#W>=ag^tSFI zo%bG(^lG+uA9{A_imudty3%`4=pDrEY-d$Xj3m46^R^o_a3E}~thsIZp31Z!TkZ#& zjcjISJD0tC4@+xn^rm)a?WDDN2l71vPCk4x}VL{{>S1vD6Ht95H-2-%u9-DI@+kkg}49M~wZ9*#EzR%IMu}!|+8x zIV|m+F=p&UbRc_XgXDTdkk0*2NNg?K)zw7+q$Hhh;j)$gC?KWXi8C0@fRr?TEnm76 zeoZI5|C|24M03agOlqp~|7^<1$%e1bEu40BfQS1zJbfJd!yY2rKm7UL;$KM&=!eE4 zdUp}&B`?S(zK_e7F5vQ&tB8+J;5xu>P5(Q%z`E$;=e9;*RaRDeV%cj4S zkX(!TDUn~2`(H(95bS@}ROR7OW<1<)-@v(Z=Wy>{5Vs}1lzzTcQBzZo>lYKSX4x@J z9jS?RA{wx=FoCzvNj!dh57kwyer$i0%_0okDCj?b53#*n_ReC`i{Ij5+A9PHJcW-( zCcJK^;?Aukc;0-#iT(aH^0}P^KldcK-%Ntd=>+VxjmK`=1f05(g4`I=AD_P_-L(c@ z^m#au*i2rKiJw7~N+uxbYR+$flk~Tv#FBXqurKfprpajI`z}3sz!ilZ3b^l`fcrj) zklmq#PVLFw=-mfO2381r@gDvU^RQi69c@Vu@7=u@)RpxRc`pNAH$t&qY%^MY-x32x zjDnu?Im8y$;a2<;h-{JOPN~DkjE9*EeV_TYxE_*3dYB}4&g?XF0+#EZf<|05Y;%F* z1p*$Aydv|J)Mu@v1{jcTYeUWFLiygLI3T&_2CQsoyz>+!3aK*&~3BiwG zu4|7GgGO)=#A>OXxEc2du?4lzcRr7SBS)iUi|-*RvJqZagApB=0Yfb-j2!;c{kdK} z`#@!%7VZb6AS5sq@-hd}xkG1kBzsNzz+v3Ye2p~XBZTyz3)H-h#Jfo*p#7i$ddbA^ zGvEZZ!3pBok>qYjb=Z130z($B$2TonV$|TFu+XzZT-Z}wKYtf17Olp2-+YVFQ)j^D z${i$>Qn~jNFn^Ue*S{tU&BM`K0Z4pDa_|ku%*BE=%-K_gaq8$bBu2h~ll2))8atV< z0WK7m#KqtTNGNWE)%jZ(JyCF~$ifvOIO`RR6n2mW>Ar6CNjT?Ge;|H!sh?;kHF5y1 z1Ld6~{_j&iNlh=uy_?~X-7JHyB!doQSMFBRMPODwf}fT^PRj(HyZ7LG%!+$d5#pDE zfID%J-n<9x$X4k&U=Y-7oDo@21E0({*eIuhR&Cl~z~Eufu{(*FH&wVz{a0+uP7ZP% zHhMfvPh94GghSzkF#{h1N_&49Qz)OWOE ztFhYX61t9=!TZNzp#`{j@+K0(pTXAjIL3|;1PGZ=@;K!ggcKSU;z%Ah>B{6`O7hTR zK+4!ExI`8sC^84haj%e;S%f6wH<9F;NS}0KQ3I0b`luRsOmsIj2fLLIp*!`H7VSD? z%0?9^dOn3QeV!J?vjKgNiXJbpP|gWMMvG!fzuDL)EQ%}JWf3T^f&e*X+#!3~i)>_{ zy^8#LZKn#3Z8-!}Ni7(x)q&wUZ5T`bPHKAAbiEGDH|oG_gBA?M4?=61JXGfH#D*!` zF=zBP2#wl+?bDaRVZ~Hk8A{kPeGgQHH{!^$MR1dtggcU>;kIrZF0Gr4>zil7d)ItK z>|2IMhgRW(fhcumF*1h5N#JxfBVs}MIG9opr0qAIQfWfZo3BEhfjI8T?S_V#i!tu=w!HxOu`Ae?-;vRbQ+u_=i8*WbTh*kZ1;QP))&}aB0>|3)Kff{S@%1jbf<|GHh zm8d+l2v2qjA$09j+@befrT3ndAU^2oLjOO>-oJVhoQaMRIj$TJyJchGO#J%o62U87 z6@0L>!b2NZTs6FmV_N6orEP&+{XJAZTbC!9v9(77`mMDHP??1M?Za_OxIb3+>5Brc?KIgWmVhM+a|l`ZlI;G6y$ z5xJE(U}DF8bXa|NAJF1+b;wiJR}8ohP;1ipmhLlwWpWM9(46N$`U~qDdPFP;M6>R4 zgxZ3E|F@rs!mz~~x$ZJz0MX^b5|f#mcp z2F($Hl*@hMlgf(%l&-vilu0<|uD8a}(Q7es;CyUavIEYC?cwKi9rv7X!rS30?$}?Z z>t*;jUdAo!OE{%>4p#e5!BE}>26881tl$D;#os|q&zbCVg&8~HkjgZccZ9B#K2$}O zAw6#wmWOlT7fcFEwni3)t|)o{m79UgYtxO>6^p;t~I=JsVo-?)zObJr1a z@*0AVH_?e}2yt;k=xKL^pY=e@d3PjUxP{o$H{eTkI<0>mwrZz2IUYU*`-4YevO^b# zR>)wF@D_*(%VX9;Jxp0-f_ZZ_ux_?26c$RuLP`dgG!${iVn1$MsN%BeVO+H`fX8u1 z+`oDe$v(GH!2C+m14yw6Y6`+02&DOgpLCdT7maZO`l64=PpHW!C5g&=$b6A*Bjd(p zm?|A7z04NlM_XX>SPKrMJh=M=JWsjdbbRRT6xQe=v zlX!j63^C@1;7h#R&{D>E;_DRg=c1(q7n1P_;;or4C*sBKfD9aopBpAR$hdd`9|FRV zOLmv9ODv9AgyFJNFw#8&QOm%jJb3?ok~dw$&jP+0_;hw70uC(2?pZ>Z*l#`-&Xj}-@5!*e8AnIQzXwPe z8j8hBm-74-^A{q*(;IkO44;cvAT(8QD*akXNhCga@cV(3O?yEH*&b9u0Y27L!{zkp zUjixVJ||Oils$QY!i+3vD<2@6vLpKT>-U4-EC*6How_)L=BbkgCa6w-f@k-_uxG<& zv?Tjz%+TSuU}=lGjHk%(@`sd&7`N@FOqhsUu4mAYn@`Oih&8JO{wBhcr^3(m92qIu z2)}d#%Y_BLMN8(*N9ZjNG`=o?|D|h~J6+&ECBAkI;z=HCiN)R93sYy#6aXoguR^-J z59-n$_@7^DQl+=F1Mvg#R(ifCBZ$-|%a8xFy!(Lqr{d)A|dwZHn zDkw;Khn`0pcY%r00lbSzLSc9uGr_NDb^AYdk5AmhT zxLr7B-aPIn#nSHvQa04%+Re{^l%xwiI(r?p{*gFyKocWKXKPJ$`BQ+DJ$pji&;WTQ zY)y$0^OucF2LfZr_>2&oO3D8JmaRK|mOfS^(#H>LL`Au7F|Pk0oLAFEZBR5SA|4>X z?GEfuoyTdfyNG(4jkmRp938?+`677lOGF)prhAaOf;VI%=fs!dRp48^^vmPdS3&t- zK?TSQE5+OJQamC(_KvkL?Dp8hcDD^Y%{}q-&Qp{|mf~I1I~0Z%q9CN;zfyj1KJxDq z(X}w55Ty@FQTDJ5A0B_ehesb!mR0ukl=)t8eO!t6&uUQmydFhQYLNG^0=cR0k(2U{ zU%#4?>HZ|5^fK_%C_mH-WXZshWLGd*eihL(j0!$Bpg6w@WzQ>6nO%XJl4>;8{kmV* z-<8-H{URqDcLIH2>2wS`q>X4?(tyx7BdDp|M&yG$z8_0fKq;}y_WSbK$(*0<|NWVi z|EZA!HreTyPv4dzAT$E!E?mHk>(`M=-}LXn0{xr*c%m`)-}FyOO>OdzOSf;klkz7x zX=d!$5jcCsu?a}Y;!~G>ag*t+I4eCl4p}GGu^bcQy z!B>!x)(v{@HjK60pt$=Omdx3Y(L*+2`gj>^S!W5|LpNb=;0sedZy0KNd=;7Kc)`-p zAEvtAkd=1Aw27P1tepl*d(Yj;{s;pCH&T z395$2Fl(I*B)2QU_xL3sG#;-mxnZB!8o`N_z5BpLSq=5RA$W87HdMscp&iR7W%`7n z3F^|b@FpYz`?qeV{MHyebSO@oJdV2RPbkXIgRzkzSFl;#nrZUnNw{+90_tn3@b*nE zRLRI_M~eBtp1p8HT?17QQt=@r3(oc~JYwwPU8=CY5s1XMpAhz}7+Oa!LwNmOEZ?mP zM~_e>kp|@%PdZc{d3<2F?&#BLk5= zs&ESo;YwIxVIH)!HTVgLy}NgZjg}5RK6#GP^oP(T1Fkd4hn-YuZDond@-mbb6+lnt zN5G`Dr3F5Ic#n6*h0y0fN`VuvCA`6Wn^Q+--GYBhX)G&InBnAnu#^@!ISiM&rM{bAE-)j(>l?NFz zxL8!d-c+uog(=D_NYN+$Ow3Kmc8kFI2ZQX3hosZ;)A z%6|;AL+a>BdHlo_VH;IREdcJwH}_;HS&9>ezvQC)<|` z;mOV8z_W1RWfYKc4;<+CTZk=aG2kRyEMkjO3@n&N2Kd7!Vy7a0PAu=yeZeAR(k;Nx z6qTp8;+gR(`0QH`EBfx_7b!w)>TU>)*n}|y)?#pP3Hm0)sSm87zPJv3`)yHcK^fL7mf*mQ*;qDZErtuJW9m8w z7?@nb(*Q5xB@oCVex4KWQLe~w*oHvKesGxl4K@#NgAv0=p!?X_7%RL2;^LB!-L@5n z_wIzD;x3r)+Xjoh;xOJi59;F6uxaKP2n`#BDWhg$(Q0XI*RY4t$(!);^g({O?~ee} z-?E68K>RTMJ)Eo?% zy9V=DuEVZ%bnlK$(A>8lhqbL~yg3K2%QumGpZH=6uBq%@4Dd<+4cSO-g!|!DsxOW0S5O~fg=f|qaAVZ~X!idG^S=8A-+%Kx_0Jyo zuFph_Td*3dH%VdVjx9L2V;yw2uP44`puJfgCer4(bod0KsLfL^c)O5XM%OOl^G)@b5fvoUUOczzeq&-ehwz`67Z%;I^ z_F{dxxqtuFl*|_7gHRXaL(Sy`eN`pw+_f8~#w5}c8)T8y|mY*Dxn zL07I}!AyZ&AtEM<*mwaTGwA+3ELbSeO;@j6iI_+MkTQhIU)rRz&Rn<*r@ZbX{R4I7 zglE{UWsPZK#6MkcMQ0(sv;kMc(y-}}pkAsb_Q-ttf|nB%a2Jc3PEA}fO9+wYE(4j* z5O(?kmQEKeHZEVe0ukY%{Qj`u`&ha}0Hj>DbP2+nfRW*0Ay~FTuo26i3qNy_mlJXJ z5|+=LEy!QK3}K;y`x9fMv60%CmCpitbR0g3c;1eET6SDVODCP|)}=t~P}Ji*Z`ZNK z&A6w?ApOSmb^vC~6GU@oG6=mdSa4^uX+EiP;erLYPcmXDHtNR{EEmk5kDx&MzF2;A zBt%4;7Vc-v!Vd8k(yg`zF}ksv-6=a;X7<^maPohgqc<9B1qh;0}? zSPBCMN}&%?FQVRqwxQq99T+rH2E)ef!=&j4AhzfLG$fUAYPTY8%PYcHk>(;<1-NbA zh7*fc!eFv6c8pzsY2zd?bow@|UAY}M_6cJGFn zLk2@^?hGhzT7eVt5=c^KCnPc_5R&n4isH>2R+<2c`YQpgS%B&!Jl(SpPHSZ$xyS;u zg^v9Iq*M_xg1@XHsu+;cLIkj0MekdRBE@M4UEBu_16p7!&7}jWUw`vWOMLTvC-m&! z5A&yv#0KFpP@Fp&nsY`#k4TH?knjko3Ju4>S;L?@dpHg+91k5)VOY@od|6fuscLKR zNuT&+^)hV&eCnU&4S|O65a=zM1s(eSbhjD7QO*wDYF0?r zF+iN=L0nhZ4*MOVIK68H;`fUPoS2xC5F;i}CVO)tQ!!K=oQH>EgW)-$EzE|shv=B0 z7&}uK%T_JNaT!s(<&orBy_%l=tLYyJq}1gn7xwAc8CJXGQFbQ?`EI_@+OQR!TmOYX zO0w~~Qhra$HznKPT}3&H=x=&tS2JKFJH63FT@$5`o}oDN3G@%Dp=+nk=t1{0TjCu* zsq!5^{r?9bB@1{%?=2xRAp44+NJ)0Hk-QR0BNI>(kpLq(CBcc53`j}kl+b%iD=YZP zliew=8`aI=fEtR){x5y_7{+9`_n@-7lI-+Jr!S69#JkvJm?$6QHXw6?(Ib1Wh-|>p zCof>Ct;<26UC9>JqwlmRJPz-oAHYmSmF&Q7ybihwN+_gq${%FFS>G5VhYbBm+YKUn z-bT*=B^i(KKI<7Q$Y$d+_$wr2F$z9^%8}7qB)k;_ct5OQCVFL$EMBB z-vy+U-HVjRf;k&3A}9w^l79C&kdj>)xH5#U@tLH5KNbKf_xuZ_{IgQU#|qdv*peMB z7_SzKh`=KxoI@(usyl->d~sv)3)H;9$)fM(71iMNQ^Dpb-wb8fw6gz7uhYv=_@oM@ z&+G6!u@ILXZb4R50qf_ihoy!E9ws+|Z~u=|)u&H5?(B@=Lx*s=3C&xGt9ShP<|C8a z{}qsuy_*3kt&X0=pkX68Z}aBPflpIFk$=(+hv3JWjRM`7~CQpt7=@=HO4Lsj1^m8^1OEF99hV8fv-BK9s%02Wroc z6|YE+dAu$EZ`xDPmh5+RRS~sg8MWs}R9DxazP_P}>;Ig}KUCrB`B-cgKY>;Atzm9( z4Otl^r$)BFUVw%=L0|p9s9!y!T0#A4Yf4a4U5TnHY6H>>t3K5cvG(}yR9{mI)Yg(t zQ;V3qS{zNQfk{*aJi=a+-k*-f$YeZCc!jHJRU9~Bm_cTAN&`$&>S3AI2pdu@>_{a& zk`36u0Mr9>F-t}p-*xGQUhUh#c>6Bodj_H4&VA@@li|T!diCoIGiw{NaXug?FCVHJ znt~v!U3c3kztw)RRzD1`Y6CkE{3dZrD;7EKqJ_BrtcWdI^Je7D)u7h!M13%fxj`9Ob z1#sA^x_Vr>dI?iz2u>VaC%gdhE|*XpoPaAjrkF5r2nQW(-L(tpS&vAcuZ1h|HDc@- zwEC_EWLK}@d{+d<;H;JrCJrCTb%|!`Pxgid*#!kYAt>|-g`u=84~WyXM|WswY4E_c zY#%Cx6cQKW*)$C>N+N!fYGIaC2P^u&Ey>&du|VF|#QUBLQ5d#N07jTX_QO>jV|*gr zKk4jsY?{B6gLkIPnu(ityiiTuJ~}=DqT&J|C5x8t;~#(;8rp)wBe1wBxZBdn(-C~+ z6l%kh;B9#XLZip=H9GP2>k*Ui0M&I3xN-9qCQq5dokH0%KPRT|7t-CPVyp8%vM|37zSyN9RZwd-gSVA_jsTsF# zS)d;ivgwO_!;njM-l2`#xUJQXtt%X(G4x{ZJaiZ! zHn9pmQE%`jgoF}BnNMG$zWft!drs6I?Ahk@GA9?>8tUlVvm3r`*BR3`sX*2H8SJT# z9D7SVlFg*zo{33Y)X<`HUkq*g9ZcsA#~U@awz-8E-;8Sg^{CTdM~$)u4F>D@DUuGG z)FHXp3JZiCuw<@1L>AcmVG?crP2=Ti7HoU273R;j!K|6K^xGWf>r|1dOgtH^CSFzm z`m0f^CrZ4Dq254@coQdLJg%kxuloft-W%C^qlpBOIEu73L1KXg#O9epWS#|<&$XmFT4TYSroeVWHjrDP5071Y@m_Nc@v@Qzw^f{%T0OBQ ze#GfrY~7fM2ig0K*cx*4dh%~2zV^)Oybkx}WpO~%fZD*3#vNw}&2oaoA}bhB+xQ*a zj%<4O2U9WB{_rlk8c3i~UxN4)$0r?eRBDTTM)ZG{_jCIE9-b$GO5HX1q_+mOBx?=? zW$U+Q8;Czi)E-)jcUwmxYjJ0so6-rZhxJDn8doPw7lOIeay-(ZXU!$iXv*3{z*9Z( zQ%z-8(K|kV{%%H}zn`e0i5R~%x*}8`5i}S};k~UDUYra=)|o8iINw2~g&LJDM)jut z!q!Ep-cX&3#@!?FLZTmRruWB;fx|Fp-~?=5I0tTutMSZ85+BT{zq9&$F89BaegdSN z35fx1`H7UBCN6-e(C7|x2i$i zFPo1yM`(^`<06~)E!kX3bCU_pO-9L$u%J3!%cb#(cxQ7#d|V7RZr*_JTeZaa0fTW_ z+XPj?@kqOH3wy;S(fVgVN)b^p+z$=o@(2zK=Rr1E{*t9j5fmKC1I7B>_2;KyF?ooJ zi6c5T9@V7J-MsCNDN_Z&{x#yFhzt+qJPUPJk3P{{nwF6P>1|v1eAIE!Scqt! zgi&neFLf81M@=8p!Z?ZMQ<^qdzJIa6(~kuNzH$93rqf){WF|Ii4q}d9;JV8VeG5z; zGMobwH*epLl#GY?NP6G73l}kZ^cc?1?mc_(=*ctA=dt4_F=WV4zUHXBe?PKm{(1MI z0@k*6=)=}t*_y1DHs0hHqNt=4<|anGue9jU6~fYop?m)gj=dt@pA*kC{|j`N#-Hjg zBzM`1F&MdY6W3iPjva+d7f8pWKAe`B1*x4o(W2$|7~7{GPAjXSG9U^c(hCrrRRaeq zN1sTW^dS>!%gZsvco_BwHDO6W+G8{pzvq4EhxwFsgr>yGKX>*FW=s|UDF+Izg7nFI zu%y0wlsbz&&GkC>3$bLsJ=zZ#%XN*N%jP5Qv;!$F%*Q7bbuoUZ=0ULUQl203{qNwq z#YOB{=Y+*V)>t^pn(T$&cfiCiiOQk*boG2ItYUN6EOX4BWPvd@FIRVi{c34Vt zCA+R&YKJw8ts%a^5~4Jpt|UH|&auKGVQUCavw-jvQ*2mfh2t70@xtQ<=L5;aL+l;Y zMl*iAIX{iePlEyR*!vi8k_9YhzZo%Klb`!^d=0S^5;IsizQ}aD39J3CSiQgw)5cq1 zI?-~fgVL@OxZ-jh52Jlik{*ESWcDnzACpt0C#p$)pTgYnk*MObS4fZt0z znEf3h>Q@;Nz$doC4m^JL9P;~=(5`)Z3>Yxr?*meXg!~Y^d&TnQh@iQO1-$n0@`ljt z*}R;!>((JLG4T%oDVt8DRAnbpzWwzRDTfY)6V;m?>{0kOAKFcOn{1EF&d!eSU2!0# zni|@6=zt+Tdg0_jO_~>C@$9-6_K2-TOOnT!K|^ps+X!_bamYA#9a0OK?f5O}q|Y`dBD!_Bd%CJWjg0)$%^F&4Gl$OQ!w_qbLVn-h?C99 zK!r@M?sx8BD$P^OhT6Pf3DVDAqxZ(+vX&vn_8W+nty^KYoGhL^YXVZ9K8<0cM)Jd8 zl*QL0$IXZ4gGktuE#0q6SAKvB3$|K7^?yh6k%^fZy7%fuwl&#~J7vLwz!rK2LUYr0 zbZXre{RRz$z0(m?QeVl*&4o%6$dm&q4``q!CE~~d zo++@ar_P*#+wSgYtgAyj^%Ze3Fv(-{Z=!22s;VlV3s2 zU`n=E^ZIc)Uf#{ai}TO%{PZ)rzQBu%Uq{)OvN=7!@Eng%KSsvMheR28bovp`YyNxo z#q2LW{|lmbKO-KVpM8$k-mf_jvYzVLoEXrsfpoI}MjVhx)JVUpsvA(s_NB_}@#aH4 z@;(o!`kSdvaIGL>0a}~(yM7DhHBsTG29y(Tbxe^W9UKir|B%G=yAL$RKFKe`g{yJc zzR4BKgsgDrz)gh4=J0jBzw1OwMtL6_xy%Ya)}yqtn)?V;efmhUtNmSj2>+%(o@mVd zH~mvmQ=9zb(oMdgEr>!iV#E-fK4t%p0aD(+c?Q#`F@u#Ue8b`Ddg@;wY3i+vyIWL|d^+75UVv>*;n~dc6l&_+U zl!ti!_yr!OX5x&?MJ$>xO3Gb#4D3G{hYwjIHtI26z9_@9$0bNh&iyLNOwYsfr$xwm z_!b^FW1%Q>3{%G_V*F5fET3zDU7JooLHaD@cbviAt*(D7$!$LirQKKP{pTUF^f0=2 z9>v>Y^q4_7e!?ELb!=+>{fSNf8AT1aomK(IkUVJKN`>Nu2pqf`3!A{_xDfvit}#W> z_I?Dpi_x&S7K!xxsX%lpUY@xK#g(hkhK#SFz5Bp*{~`J)!jON}9s9{BYS*$Qh7KDF zmm`j7BxN~2D-#FFNNYz%<&YtR;c~(mjWwT8T95}*BSY@Q$m-TiQzlQswM!R>$to1) zv6CSWa6@%q-#&0K)I(M7D>Qzp!@ZPT9KIR_g)4C|4avp%tQwrj_z080XHdEn1y#31 z97%kStFHj7z%0z&s(|m>b;R)Q-QcRAgnHj#6yLZ5jSXASfkhJS)f-3EG*A~Aj}rf2 zXl&Vzj;&dQ(Lp$J)Dd;nA5l`24^3@NbR0s=3xd&Ogs<}PDk%V`GzlXlS z(wzs(v9c7AE*q{tlHN-oebmlsnwAO-i#p@~8}s#K+Jmn91+sj9`e+u4FX=^%)?JOmstgi;FnOj5QNBVa!AWELvsshs_9D*AqvkeuJ&k`eXc}RT#Q@8&W)D)4)pHQ4c$BR#FzmSp(3t;AoE*zbSW9vjzwaJ=2fg#I|)OF^GNX}Ik3K%!oa9Z zhQ0v37n#>lA9(~>R@-oO*-#jc=z{GG*s)z3Vg^@We#RSVflU$lm>hra0{L$ydMDpF zxQmk5KxD;)ATl}@{_&6Co>Yhn3GZPY_zJ4m68K!J>ywES$z{0oq>jd%0;t?bg3`qZ zT#wI2Ia9M5YjOL^1x%kR*u-4FZY|Q164@URcK;qkz5t|@-6Mm?57YQ~;&;~vLQO!* zbu)#Ka`Y4s91VXXO9+n`&4HBbHb^2RNdTk_xa*BMO+ZS~mCF$mB3QJ2L(H5A&Vu~; zaHzSb<7nbL&a+co5f0x@=5;afe}=P<>v18g8uE6R(QoW@u7hq^BZ`!SCIDmrJ9$zN zHI4x_6Ji8FN(Sy*uxKIYQ*5>{5*XkxDFeYyC$MnhWC1@CVu+8UF^*DjPyiM#5S&QK zz<_a40w86?{Q#_7A^=hjo3Q`~UA=Jmc_U6`)j`Mi5fq4jEzdMKCzf*l9pZ{{;8qG0 zDgS)rQxsPT78wG)-LZh=#>!c_Xc3~>sW9c`i17Bt()sgwTdY{I98pa`%7~C3ft1Ua zEcZBx>zwTkxu4JdX5&&v8*nvPN%YJartRI4xI4B&}mJlbdn4rgK1o(#AMS9 zm|V1Q0YXT2EX7Cv2&7y{yahJ_DdS_KASy1(^A}8*#M^+i1#1)5Hmr?UTQvhR8Tga6 zCA(jE)(rUEx=y(bC?@mkbmTM6r=4F4p1fsSt<;xFKENgTA@4Isg0k@HgTNMJeNALV zQ#3v5gRC#Il$IDr^MVAAzSnp7M93Ps;6iLMP9;`A(^JqV?E_Mg`bJPb87T~{353QG zbLnJu{1QmXK$K}T{a&YOc%O?ehRkB8kA8~Rplx|lG-0Me@t;ja8HG%_CS zhzCcKf#ph6@1KVB72R-s>w+QUhGO#MVc0#rKdfhVhsmTa*g32t=Jn}- ziQU>`WS4dr-laW;_2`D-{rY3_$kA9MJROD`7sEqE3@O@VN9(UcJ(o=rHOuP15_8a^ zwqaL8;?ZzEpd*B*N~_?sK@pOREHQibQB0e8>17&}6oI#r1j-m#(TsRBr+2bbDYaJuDl^b1Jsb~K^uwW%y)m&%UyL6(65CeH#W59e z1XFzqEvY`n3s9^u5njSQpw{UdEGC}1|G*p3cP;s*MwgCl(Ys@73?v#rbAI=Z-O;D# z2rQi@f@884NVoST6~qIk`}DC|L=4+R7vPG_GL-62`|?vjn`FrP9*=y#0;MXm5W2WO zoCdbRfxaEFX2K{eS-u#%w~#KPycVUpB!31F{VgYm{t@~|0x5rXBBf9BiIm&_4^E^k z5dbO4mh0K6Gfd=^P#PA8Vw(H)W#suOEj{SH97tLA*@={7L-9a0rlu(UP=*rvn}LZj zx=|hrY-6OMh0^R-D0%Uc|7LmYZ-!>3C@KGdQkvV0tt`-;biHo$4uf5?C=QH3X;37L z_b8wT@zS+>cj)WrqB!R@-o4I)3B9)m170$~B;8+hkM1WvO^N58WaoD6))jghnkagn zjdw3|VWy|g?LB7K>8c+_A?269$%nI@Jw^@}0Fat#Q18C5QBgz5y-2(dj)BF#1L(~n zx_9URt(|*N5D?fVHD|h75I;4*LC(luq^9EKXrUIuOvaL0lGqztSK7_`? znr!~QByX0lv2iQjUiZca&wH@mCI96UDIM(5Z{R?5q<)|-wF7x~gHcZNlbw<(`gQGw z4%810X=&n3{{PP>Qqp|e45SQc`ajE$qkB1!G6??yDgV4wQB?sOdutk31Z!vWNtbi~ z3`ohep&ugZ{x@Rx60uF=*DosZDx?6}w{nnuzEHe8~9fnw9ju5}a8RCBlNGV86W^6QNdz7VUXedA(1N#xxGtl85 zrTQO#*Zr3hE^Djbpr-0I{bsW(i%b5~^fhhyv9cQX12SQ(a|ef%uHn?Ndq_!mgwn#d zsH!YLZ58oCd~klgz{6ijf^Xyv{m!GxFwNsLZ}H!m80gEt47i>{s$)h8Dx)8wHZU47 zw?koeDHQUTqWPYrOH2{pqqGTpfrD4$pnN$7R`+vpDXj{}0-iu*j|N(I>49DyJHTSE zEGmN|_&$=Uj2yR3diU!KTRR)nd@RR1wx_41!2_BMBK`EFng$x96Y>SxTs(OKAe$&VISIR^q_|V+q)8KT+fA_M^*AF1J2ne|l)Xnz!d~kOd_DB+;|3T6 zJcr_iNNBn}z|j!mlX!Ow&c%W2N!WKW5|_ds<6SBB5i0-A`7@X?Rd6EZ#zjl;@QNE> z%W^lf#^fQxIFNFu%r3lmPKqk(aOv^|j2b(J13s13ND|-vKx`7O8k=LvNWs2wGYz2n z*~{+3$2$S|bSDrNJ7my<6Dkg<*zHf!80|vldQx71U@5!l4*gAhP-;4L$c@n?L{g=E?>^XIx6I&}a3VhD{(Z$EL*mv|M`cD?( za@{z0F48VsCL1dqcWoRobJQ5VuD^c$8l=QUa(O&2t%gGY$%Fde0k21}qjtObq!y_u z`S=u;3`CMm^OOvps$cghD1Q4I23i6j<@fD7W6}2gunT?;k3ztYhT7XS#F_g)#4M?O z_=fF)xA+Fub9>{H)-u3e60lt>SOaB2;jC8i;AKUcoB64fN+L$sveW|GmYHMEN)zn; z1H_&elwk_lRc4SAF^7Vv1>{Aoh-`3ptp(0V>mp&lGTv(ML9vbu-fHbZuI3)(9G1aL z_1(xmw2Md@FAr@a+WJL$rM?w~#6P1Xm0hskbQod_Y%pQEGsaJI#*A4<`3aA+gpXs+ zypveD$QjZr?QlrU3|bN<*e_-dB{2(_Z8C(9yehIaWbs~a7vAaaMxnM03bc0Pt>!Mg z(b$O`b!og%lg1O(9mqPk0~rUTkxAEFbtzQpvY>2hsMD~u<>qzcuOJ2jH5Es_fh3ZN z|Km~zsU7sOZlNWX&9R5rLTemee;7Aawj)hn0tH55sAhmpw*F?s)(7dmCL5?dHwxC` z7+}wuh+V&m*mLZ8>Kkm`u{nSnTk~aWq$X=nd2k_KtR04s86Ds-wiCq04#mi+vuKQ1 zj8l77Bfoh)m9JS6F`n4ks^yp7_XT47T5lHoHWdN%7jRH*m7N(%FC?SzMls%>2}P~- zValgIYrxis8GuwAbt=Lr-#Q!*Mf$-`Xb6^1n26a^g`g&}1d*y@_&|I$GLUC;z5Xxg zGazN~w%J%aq$3AXem`(LrtZ>(+}SW(N_>O2<;+I`cv)D6qk-vsym5_qh9d5w&;ak7 zS21h4;G{*i2bqz=&Q5NC$1OMRtHb6&>FwL_{Bb7f0d=@?@jOP45&$U`<>m0^=>{QT zLGaskbLS)N;x(?jcv;$E+VGKR@%{JMF1-U!pJdVf^|*fZGRBhb!sbVL*}ZuEiiFTm zgEPeMu%ScIj`&wQxSt0qudk}a5eGZ;YXVZTfbh(psj8fGDQj~K=+ldIkhU1Ma4ojj z+<=zPBRD-M!v&f%fAkBBhT6>}%KyN17n3^=Fhg`J*Ig!!9fg~uOR+xuocNR8CC!19 z69*2$bsf@aNT;eud4cP`Nl>DCD^mT9<4SRXEa`dC`?(E}Fkkqxu_q_!B5!)6|LFP3Fn#{w1TzUr! zX3qR~p5CJl7KDaaUw-1F?%tEwD(VO+5l8G^>x8|Mzn}JSI?8G9dS}ROIthg>u0*Hk z_bKe(ein9zt{~`y2VQymq4-`P3IhD`+UG8EynOJ=(+e*>Jdn-E(*rN*`}j3_>*q<& z-@!9?cU&>OfSnRYFl~Yb#*H+?)bSRWHN^_ErrAMgrV|#=bH=9C$Dy+M1hjX$KyAAV z_DP+Bmh5?4Hg`jYn=eZ4-^2Tmdnh8EvcTUD`FDMh=i`N3FHhpf9ZzrF#v`{|czB)Y z#w|Rjymu^cH}m6QJ|m32Y@7II z07YIGdPiCSYC|vJt>Q2D%lklP;T%j@sf?-8cF?uGh_paY)H9#1R4Su6_&f6l z%A~S?c+Y3={y8<@!zhcM%b<5Bd!a5O7-gOrD7^9-C2r4AbuW(iVlwj~zUVy(zNq(e zLY3=I}*sKpUx*vVDOM3eEdDApolkFPw??= z0bCrNFy?1ACG+)lVgY3;D^XZl0&P9rp8_dK9&GPvzxpAxC3}2GH?pz!siE384A0Kr zBz;|Q>f)H8!*IdS9Ca}%$hhhbsU<7O#`%^9;ko7Q#a9;+(o(Tz;|2kca_j{7**jBa z2EtFC!}4hY0RNIzD-jwM$-O@O@7=@PCZ8P+q)bTQ`R-oLK+12idGT_jU%5^1O~qvc zGmITDSa2dG15!SJhH8?N%bCxBl#-ihe7lFrumspEtMNTN4x}XArGV$i%kDn{DF+V5 zWeo$=`9~uCK_WqO2~fs8-SFChA4ga4#gxZJp+Ao>DrZ_-l?sA74y`Fs;Kg(Z}b@% zrSEH)I)P6#r{0%9C!K~QLabs*AbQZ>c%VN5HAIx1^Afz$1njb zv6b-mBwn^Jm`DF%r$PQ=zwm3RUT}R|(}=g9zP$JK-{?)HfC{S`QTfwm|36N|%+LBE zTXBv`DuR_|5cbJlfq|YkuHQ~YOzK-?y)MTq>Wl2e$U@Tb*!4^G{iDPHl&>qOpI6qS zRAAQqBK_7qgn!c?Pc-KKoBk=OsZIWI$;ZbB%a<=lyLN490-u4amrpbSDcNGi`(JFp z{M96IBl(2J`a<~nT*a!Di}~V>kkA}lzI^3hAmvx2u&^*vs5X#7)E<*3jm631)+jH_ zroXT@{e9qA7B#i8z8Pf6fS6wi#$?37v2122LsX4CW&h6Nu3mIP%#=*hC z9{Kq{IwsXr*P*(q_P8-qs- z$B`2!@qskhXD?r2pRx+twr$59^^P1ph7XlwK)lW)McRNX*lc)frb&|~;q3VfDF65g zFJ9$9S!F-}e*3d1vL;3-EGpzZA(5K=1lxEb-hYUin*3wrF+1jrM_tyIuKV0lFmBBr zeABiAhWF@>qe=%+77&S-xBYQ&({{8cgMb~;Z=-k+@9u`<)y=y&xK7}R%Oa0jJ2>)) zXs_PnK~Jpm5hd5ozWm3RbG2BNYsHAYc!De{X;pl@QzqrI|dxcb{< z_j&zy_9P&=vaSH_EHPq`c)(kF11_+#?@G16`=k8H^L{twXsseXripJV1W zH69U>0Vxgjba-??CP!0q3-s#U8y!h5`;`>&jM|6IDk(J7o@7xIsm}${uvN$`lWOX% zuil_OCJnWbDX4vtgWAd}et&bK&k+gb#2eX)`+Z+x@-_{8*O37!J3>cO9dGj9qLlid zjl)q47(9X-<9kI{Acf{yB;CgkQR*UnzKW`vh()!li}B^r!#F_jt3ZNmk&Z3a(eD(Z zR4+6{UckE>hInKlg#g9*un`%9ZIk;zctA&t=-LLoJG4RP_U+J#`fm3geKBbGL`+*K zft4g*Nm)y*ld^;O3Ok6-r}1wd(E`CZD7wf2VoQ#2T0Ykv%Y|*RL+l7n=$s>?-UD@v z2ezP`?2QirE_i6R4IbiyVLiPgw$7W5X=`OMQR*|iOmvR z*gOiWhtfAfV;t08{K zO!Gud`U%tpX(7o_9JWG(v88D@Urpw}_T7VwzH z1hxTaoFtfQS=3ZER$9{-WKQ!H8-rMcPZpirf$hbRs>59RKGC>w;p}NlnKY3vaIIOp z7BTS&JSwVRz&*Zz`uV)cHltXy(WI0#eviACH)gWPsq{DTS#uF}{5)#H9>BxY4zorI z94^EpB@q=D&+qr7`)3OYfRqdn80hEA$4sVudF2zoG(NJ3s;nMt%(S5Sibb_$b#b6E zk3}zK5!fXR&!OvxDO?AY6cE+|gBe}BjSFK)+u!u;?Z-lph0Om9SDOXLK zjj$u9c!X^qizApjb|SxjrI;8(BcpgZEF$dOc>>VjiWMsn5*E($*(vJF7Yl%ty(Z4Z zMw^>lA7DBFkMhlAPW%cYlztXfmGYaTs@5{Oxn1X{E3$Hyl3f$TBGfhl0YUe%XtChb z$0bXb;@kl(Gyv*l*^&lcp+@DapQB+v0UQuG0j<27Z%N)wF8$q)rr-OsYA2!bC}Z&&2cRM2APP=agOyd z*4LIbfl*l>WPOp9&o&Y^tR-8E`cjwSlOb++7RCvmU`O?~Aek^(+{mHfi^^ru?(x11 zNPo&B+1Dm!q4sSFZ`0;wBl?L~^ga5OuRG4vQP$uABl&bT*QL zO7FK=i#kIIEAti^v0Nxqp@PnY^beSjN1w#$ktnfPo^ZFKLo~WcE(|#)unV@jAyEA z;j~!=>wX5LR2DIUudE^}IFND`bq2cMcph@)Cg8eoZ>V%_h2`IUgOSwlI<;i;D-q3I zty_GHR^NS#mPFtGj9SpW?E7FF9Bn&xLf7G=F=p-pY+JV)Hx(uDPPfVN$AVwO3%4{aIkwTtR&t> z(fc}o##^f&c>9j?!@i|vnmBk2Z1-3r^VDM`p3a2sfn%7xLISIo&%x=Pi&5MRq@*_B zj#5VA^qWPcCB9tV|hsgt4pGSowUjN=WjYe^bgQK z9Z0!H5oNw1$anXL7TK168<5h}6z}N%A{ObB11WoQTh3(19+Y|oq1Yo3`cga5wQYO! z=+gwGWE)y^KZ_Fj!-W5svP$UPwVUAN$!)vHt_(yO_ieReLdtC6{3v#gq6J=`V8n#V`&>6 zNjBdj03ZCqV6C8nzU-vR_U)lTcEwvN=YxMZtf_pqCctd{p8_czcm!IuHlV(N?El+- zD5rAl`X=jVZ1b4|DXEYCX+TQ#Lp&;QCEd?9`+qZ#lJYr_Qbq-DKrUlAmwK#QieYOB9eeeQWhVOA)NF?a3ZD5uLCK^P@nmx)&D(^(()HT zN^=2_vUN-B+_w2o0aEgfET)t2D6qeQnDWw;KD_&YqmE9PFlHRu)0`?n?d}~E$v4k< z^i$GtSX9}sBDTrNPNRHRi`@g&e%S{TA=z-=n64 zt=RqFC$<)T_Uu_qCtbB2jRPx0*Wjk#eH4>o&Q_31NE!Xo2IXH#>_kfT?%c9!965CX zQ>M?NZ?hf5L`4u7AP89UZ~FTZ%^m+Usj15UbLq#Clv$tb>tib}rC`BV*|Gtu5+$CGJ0!$r-A; zWBFEP2usR9&*BuKgEJBB{{TvR4x)1>vRg>EHX&X4L*^rtXFY+jsv6&0>P0q(jg1vP zReV5kVLsV)htYxb?SXyz;<%|9>auh2I)TdBwTrK*j~F-z=glmE%%^znAB0`vYtVx1 z?1_^n;pWX7#B~EQ(^9Zy<9Z(SYt+Q4us`R9^x`^rr{+M$*a_3bwnIu*3)j#4AtU?= zu3ZekCV5?+e@N2|Pwz(q8BgHt;DlLY$MH4ajbh?R4+;kI3UKR$3nq;ogYR2@59!^z z@a)A?dT%2xT)v2rV@9KO%a%~wwga!}n{UW@jVn&aFnQegpV&kUNNKlsAIix#tt5NK zoNPm8m$9HYCdw-K5SxgPX^(Nr=?oUFm%+jv`(bs>9}nJEA?#@pG>%-r>~%6&wdW9y zdqp6vqye5W84w}c?Yma3FtOhNxE4>&-27;n-&&1{jAmuueZ%VQNNa^W*3sakb zlv_4!z(Wr3AyXtK5u5kxV7k~2sA$?D$R`2G5l_fYx{f7MN|?7n2FFgE$A@RH@af4@ z*pe;I?77Y)13g21vNKCj@v$6c)&ghPo@C2f()h&WRpRCit&LmJu}vHF@6!iIwe?V& zl#aZhFsN*jLK|v>;iE>9j(M7M*Y!7USdTOwAdyV6xChuu@|Y$rja|maaPz?nJSU~IBA5P&B?F5E zcYX4L42NHL#4N~r4IK@2^z7aZ?Z{S^k~@Halo!aQ;ft-CzoKvUPFxlw_9~+V^`}1H zeFO73eNnEt9I#yvSW5z?0`MLWEN3c)PkQU|@SrsOW#!?%dmlVy_Q7Yb;_o1ZCZgYa znuvb;Qa`^dyAOVHN(fR=LdZULRl!XeH5}ij4XaHCu-IroSAAF$S#8vV7`h2V{_O za1Y{C)|ZqdMzO&T1mX|+KECX!mP zrt9t9O2|>)%4G?*Mra{IvSq=~{u6x`)XzkMuSXYa(YJFz3VwTc!$MLWTNYbj^#U90 zTce3{3Of+3C5~76qWEa=8IY0%tz&DLrqs6V1W5*9{7uB3W6x7rY|Zy`Af-72hKk~y z@*Ko18;A=d+Cq0oCv2EH8cSC$f~=G%?x;xM16z0e0fb4!c>1mUu(eorH742VEh3{| z9F_J~C^(;n*Vju?aykUHma6o(C4l}yx=$1hB#UyTImq2S8VMppac22AsI8cT{SwP? zRz?I*H6>8b>cn{aO~C;FWcmz987e&o8%FiS_utYy(6S@i44sV0tEHf6bAqO{=lmN_ z$;g6&js>5O_8Z$H>p9ac7&y)yvuDoW&hzUxg0Z`g}v()(e5-5*K$RS14ufP;4DxPGufQ3C-{f_0k5 zk2A4DdOHt3Fmcpq+&q4g=F)sTjfjHc4r#P*)%qv%qlAPwqM{@Dv(d3pSg~>i&u0LC zcETh}K|cdhCMU9YHNwmDM^?dZn2LcrD?_c z%0XjWJbIqZ>+0uo2f|Ikc-JmpffT<$uDf`iI)ka>#tDEtJGSHTlSlmitJkjl0Hl;7 zzFy_LB;C3mr%t@tK%)+=_a;+SU`Qj6>19s3XxQoFeC#JJI;aP<=D zBGiYUKF*?kvxECpOrpN*c9iUv*LirA{17^ZwxnBZhlq*+oC4#KO4Dd*NiFJF4y2;dzt7G>5-S=<9OwF&=;ONauvQ#*Wq`} z4S~mRBE!tSUJxYQ^s3h!f10$8AoH%1WQaDXNB?OY_UN22oCK$1rM7mNWFXu znKwM};Iaq8&)mix;^(Z1+Wo`&s# zQ!v}-0y8C7oHaOylq)v{ejHEk35dz{=K<(Hr{=%2VDtG&B8fXD!m^!sb*^ZV1N zKQJI9^LJrBGaN|iOJx(Kd!p+8ab%fD!F|PGSWoSRT}zi?`Cb#OH@<@7mpt(@l=;?B ze_}!M6X?oI#^cYiyOlIBGYq*PGAbK<+Iz7Ec(TrrsDjdnCY9+cXFH}@m)F(Dl;1|}Tv z$j8|x;wMrbJ&Ll5auk*nLt9tpM$jnALtHFw@TE)Q`D3B)Ho;Q8)U9y`sE*`}MtB#?eTl)v|~lQYH+ z8-bRsT4J~CUNTExpt_-60Hho-g6~}^@7#@?gk)4cdkK4eBlPRpiyy3_siT7ewy*i& z1K-!|-jkgw-32Cx4&zjocy;?VIWfmr0m`kF3N{c z;}?Oa7jHpkmH1~sN`2H(e)@?EKLRO*XXEzWCLm=>GQ`*Z$w12M*D+zzM6{sx*|B~j z9!18Y_H{na*g0av;2~&D{aaz*zTXCw46@tVYF?3Y0x6Fc?<;kn+cy0{lALn4+AM1P(cI0e_k#9yOV$$HZC#Avwgg01vLnZ ze+@T}G&mm*hpEY3n3?+F%8ewXJS{;X$%F-KEvP2he4)O7lo*hbfhZZ3&^x}gBKuqS z5dKYnJkgl@Z~CXCrZ)MB_g|yhYQeR!o^2?ywqZsHTYmp7#NNxoUVT9Ji&!#POt6jmo7$lR2ni*_?GL7k z@+w3GKgJ1%Aeid7!{G1@qU+E*bQOB)SD}CS+TTisnr<-D^MJATEyzmSVfw@k=+<#E z#*SEqeRAfwaXAVhflqPY{}FsWQ{m&0@?Xit}n z0Vn9wIYxS?`4d2g^jF;s`c3-mQOdv04%B;1x@lS|+|GJJVub`+wQPmHeFi}DkTGIH zi4XS(Y+AbwZOGW@J7gF%>`ovizY4zTIoK?#f;Mg2q3?jf(6By+n7mI2$jpPB26OoQ zDUfpH_(?E6cAnl>iMuH;A=UJ3&pv&zPs#bxkK8!~w5eVWSJh`N_f@`%Fr&3ib=vuBT9P*v1K zU0% zx1nZ@f zDe>z>vc5vyK9V${463JB!Xs>v-G}z{-fo?{LV2$$!u^sFtFfdz^8<3z4x_nfA7a4iu0 z-;v1lDIn+Z7!x-r;k$O7!6NCYXc-~&X)!{c6hU6g7@fQKK$i}kAisSdLZ}`gUh$CM zsf;f4{?0^lyHpV3lR%UR1(wg8UFjJ)P3i+r3K9CO1d3En7v|L3r7L6)=^^-WQ@*wd zx{^`K>bZ6AKKR5xLIw>V5v0gors3xZ$=8(ny-q?sbQ9`e`3P{PzJHSX3+wM@)E)|+ z&oE`nLA2=5m7jnjucnRrS#P-YiF6ufgXtZtPoAQ3-02!k z?@GK2s-YD;FGs-*Xim{7e9Z6*a9nCXk~Qo z_*Fc;eH*pWzSPkI1dD@dWPr0p+FzsQh;3; zTn)4Bi*b0v0w}Lr0J*h`Ah&KQ6gP=Lb@vt+9zF;MYik^Lbiq-x)38%N1uNxKuvBv8 z3$GR`r(u5JJj@PWhWWuOu-tzEwkl`fq_0Qp^^<{WFU4j3JtMEU537(ctI8EP( z>Eb2WH+43|gd{O-FP3=ql{ounEfY z2VrbvhqEph5#{BM5(XA!r+hMEi>1v`>YBi&jXz&(`y#Q$KlTh;JiJ5ly@|^3OSoh2 zioKGK5SnU@iK8trd$J`5Qrc;pL9&NCs@S4t=6!l6y*t*6Wa|oe>!JMYUIc5eh0*#& zkeW9O;zF|^F;5t47S4m@(uLT(Y6+x7mSF3uh1j}mE~J(SVZ;3CSUYzb)-0Y6u{B$< zX0HL{bWh^!sq1(aNIX5Dcd@8=X<=xL_5g0{q1JXO9w`oo!Q7!3+>AC`wR;$9$ z`8@7L`XeXZ8?~ty&=6*e7bg$EQ+^%nS1*L-(s_`lcW+z02%AaHo0iPM#zjJqTquOK z3xpuCU^Z6HnTTaVqp@M>99ZwwMzn1Np3^`W;F1XwYfq?KQTv{~fTw}P3qMtoWROG@ z=S?5fY2dydK3tK(9i`=voj3`L`c8q^;;m3MK8h3Weh3W-MqxCy7i*{AdV=U5phgCy zjAA^KJm0d7B7vUCwkglh{Hj4?yUs(vp608Q^sU>|9}H>C*N&@&AC>W@u-U-Hx`2t|%tOZNq z>T#d*bJG1DX3P^TYR{y8j1{(qJ~V*%xRh46A*y+bi;kpM_JW8Na1ynT}dS#v*`COjX`Zhl-=XMDoB>{vdNC6g)RnaP;Rn&nR!JB7CaYYWyUtZjJy zoCP?_%c;k?yOEf?Q~;zLH)$#?j-NwfK`kDT-r`PuhVgls`gAbOZ>)S$IdPr-&+>0j zy{PN+KF0dm?0JGyI$0lNeUb5bIUpL#R*P|ahV`ixhUcJ>SPLuCnH;DL)+eu1nfIx# z4~iR+_Np8ysd-3>d5NS4Z;j%SQMPD45+Y@>-y5r!~Zcv=k z9kNq;Kz>?JD9`E(&4oi?DK-|zHqU~y)M_|x-VN&w>abd`3(NHaharm%+A!aw2eZwF zu-Ie>tBnS*->8R^Qku9TeGneR|6MtG1SlxruB;rcZ<4~11uLLQeQw9t`B*Sj3=@U7 zKzPn(Y@00str<&TFl{EZri{da$$g+OsVAf-_QAF(gRxh53=XfH0sGAh;UTvIk2OV6 z$DFLJ7*Lb0tiDV}EYiB+S~ULLLF8A7In>bAn8|A;m9r90)HcCxlNwepu*H-a&X`QJ zc#Z@1i5TLxtRmj(GKU)GgtUU*w*)2og%G)B98S+14CC?ruz!4i?3y$HTP6;`Ci?%T zv3;>&Okb=cS~I#YB#79R{~px`;v;(TtK{Ti*s@?c6xJ+--A++N9*{tV9`VM2iY$sd zi=M8r4A?Us?pHvU#|0KpaWN>+qhP3~96!bG& zh!46t)AWj6+->BGk;$#?{V18QV%c1Cw9%gEde zrIi&ZsVIjb03AW%zWru-%qiLM;30l*Y336ct84P;qU;$1MHLiBC89JY3C8>OqX(7E z0Fe5JHBtQZ1>QY>2@@S%?i|#uV<+g*_gEAjhf;dRRB=B)O|vW6je4dgC@L?*yN{JH zBboOk8E_z_z8;T&TmI=IoKK$kC6KajKiC}7L`n1mypKzP#X)uSW)8C*IzmfU0R<89 zD2q=eyW)`GL`rHu4x}t8Mj5?}11bCW7o14Ba}V-EqVXX%8P=+Y(YHrWbfR)JsGPUa ziTIHG5Z3fA=8(%aRXLEds1W5;j*X)O`VAa_j&0jQeaCL(1xKMgHU)N6PQPwF(4liD z9HM^prvNF}Y{cv9-l)7A1_wEnzYj=xFGg@>07@1;Hj@;qM=ycLq@bicMG^Hq29E7d z@@d<;HI&wE!Yel)dfXi{s&TRc`e`gWE-Gm4}ZhPDN)U<8q~k8 zM?p#fZaLkAg18*k3roUK!4zR0iO7HS5v4Ec@HVsZi<`M$B(@>Uh;8)7mGBcOf7m<@ z{Cdi!XR-tGkaI5|g`uUWi1~=xv|2ReHlXq2KV##LIgi{A4x#T&3mdmdL(kj>p^51{ zN-W#0C}NwxO`DQ`7cuZATit$5{lY6K42QLJuv1zZHr7^1OCw|D-}JX8`quwvQd5=x zXH#QiH5%&Pfq~uXY6Pe2{e1uG?dT44Keku-zXhbMr}ivIeSIP7>(~jD zf6^(Gze4}*L`p$l5&#Vw>fRG?G`akNR8&}wOJ}38V}mO;iaOz#-5tDoLHZYWy)L15 z{QW@6=K9swm7=lXx9>CmJTWbS_4xYw2H^ci;OQHb`-Z|n;Q&Sq7)&~AN63@z$bz<3 zkk09>rh{Pv2lM?RHL0D*bq~Nhzi?RYRpJ3hyL9gcJwpQ&ldZ%8z3{*=WIHfBiaGZ& z`;FOk%qC=d^}qoGaFq1mPoyWm%+BVgEwpae79)E1#hF7os11q3qf56Sy>ul99ZZ-q z1=rl%(9lrNoe!B4B3rASF=Hk?Jnx{cp%GE>2@sWBPquvTu)QHB6j1kvrjT-?0N(j~}6y z^3R?-hmj*ka%Wh1IXS$1@dDMKKH4g5hskbv?!?%g zY!-fU#@$d9(%*Ep?nYOV-@xG`V9x^IR(!|Uy0D~#GJI0gQ;G$uqm zK&X=|mP{9%Dzbd~EQB9%3LL1i(yva(Z~oMEruxPi%2r%^v{ zj}2S5Au5ppQ7M&^()%Eb%N~!i0czu4ORvdBR99E$jRqMP^r5CfpYRt6*IuH zd3GH9xSXzReeegG{}n{{u>f?8*#B2h8LYgebM1d17O-vh>?4>XbObw>o55|DGTv!# z0tYfO;3M(FPQ7&9stT#47Ffap#ZnpK3#}pXE3}HxdnJmLgm8b+AUF-~0Ii`tpfG8z)qxG zjz**PD7Ujh?&(;(xcCbBC;d=md5Hc-?Pb7%?J*#w2uhXa0+%mRP@GBch_C`S%+d8ir*n zR^t0sZ6G2hj;JU>K07F3_UzewTw&mhq^9xLjpjWzzchn0GBY!O0B4M90#eG+eDwVJ zbMAxY?EE8;QdL!zuWPZjS8MAZfs}fBdVD>yw6v55Xk+6ITkkV9Ge_xr79czy>SVij zrZIfTu;Dmz%o*jCpZHj$sHB4SG-r(&Hy-CMTtIDo9Ujs6yMt_LHfK&4KLOXSUgdLX z*29NF2Iv%YvmGoH1qQOx*PJB>6QU zHX#vf)=6?5W&Xm&xEpwnJ7))nlWbP4roPe|t7r~lew8fw-MtH8VZlD61j#0WWW(fr z>*h^9SF^q%B_)Lnl7Ah^=F;U$7&Aryq};oAFP^=4j%wobq{~ST&|&;HuTfSut&KJV zDcM>%TN^JU*&I1?gx~*pTaKSNnRJvhD66PM4hw*<@`KcODs4e!& z%JP1|`Yh|atPiuk%=$Frm-TVC>(_Zbl9Q6Kfxa0gkEyd}<2=o+)iiH&Z~Dit1Se=E zWsr7i2eUhCLVM>*`Ht2uHAyw{!@@xVvqSVtg%Fh=EKkD(yt_u zCSt!Ch;sQH8!Q$6Db1N?g;|p=A->oS4u?*2zasL1u(MN@M*5{zHF>e+u!zg10lDqf`c1 zyn##7C9|bzo?`uG$BrF%l$C|r>S~;!{yc*EH4m1dpn&Y`?B8)BWvKv2$>h|& z1GKkDBaiHB7I+nL4+V2+0$pDh(4_e@NLUU1zp#wPg)BrKam5K`Fi4(kMeFjZ4o?^wO4ehk3KfO((q zRsG$>d0;@wPYtN3ZRCOQ|7oeJ9w=>+89O+K0VT7Gt8ndR3S@U)fW$IK=xTW)EIyY9 zZ2hbE8JlHRM18E1`WRD9|4sk5iN@T2(?2COwaGs&m6Q}9Ej0!a;oeAk5QO}^biTQQ zh9WXP3;6H9lh}q58VXQf`xeDT&ykV-fS-z!nwHL;&;BSH`+osQ`Tl(gDcgyN4EI4| zd;nhOqzFzKr8Z@!N`6W1|Be3GiIj9N-!Lq~hq7#BWj;VuR5+579+2`%?fnN+ZEXz- z^78R0<1tbb(~umWg5-o0B+-=-|Nq}eX-R2#l9`2vsTuHayN7);c9=F+9^;0{W7!-- z?AqiCg`F26FMR=fx1Ieuk~)Ju^xU4UXP|J%3kT0&g=@nq{2+&If z4AKR_iKCQ%ikkj11O8>v-!6wkX!T~kh}OODAV_K2!#TPb7TyoBXd{bu))w9S4}p~a zQ8=emz~cT3EZ(MsR&Cm%Tff2BVsH%3=^tSel?O3p19WVPy46erMo+>ni?eV}E{9cc zHkNExrf1usbI-mISJH=F-HJKDdaWm0-j-&G#O#UPp6)Ju=bDzjwHQ@U2FkXD`=x#r!MH& zttZwVG{up)Vv=1RMC7#4wqs{5_qA$faD4C%_HjiJ*{6$k>_m;u-LXbi2S@I`guVYW zi0wLr4jsFob?0uFzD*VTd|tqU_&-AYGdZ6iIlItrc4hx(z)*AgyQ0@qOxvu2mL2F_ zU8ziJYsZ*EIK&h{oPM__d35O172+})aPWHu2mcok-@{J(?1uJ4VtcjV5cHDh6~tw= zDZe{^Mr@xx?4$GH7+VAh6(e-$+Jo-z3QS-3>9zC?Y- zmH6ZRJQL7QB$+4H!IFL-r95Z4$BF7;L48Q+&NIy1s*2Vfi64@qsGKJ3BHnO0GI@5Q z_Gj(AaI+jteKT;8>VC0E&?k>GxiDZ9*>a~I*5Fj?N1S?4A)wSxlvj&WS#>!5h={H( zSq(TwGP9)nm3>}fmb3=ibm>9u+5yXVD#J458C=rppmFj6M8$0}Z;~oj&(^^XQCrAu zI0pHR#~{1@DE6**`meM{(h1u{9U&#+h(prH`Ql?aTR>zBlFx$#3yGgcUi(j!NzY`l zh0MET0N)0pFQGB`6w2>94}GKo_Ol+iEIxKBwupFRnrU5+`oc|7-b!`sk1sAP-994Jb%V8mq745myJEQ0a{<)1IO{Ti`F zKo+I#p*JeRZo|X&Ja!WA(XYc5Y!NT| z77%^`wSg|kzwLyybGC>)VTsV=L?^5fcG3pnu9SD$4pE$J5#?%)NEa(aoU}mL2_hF8 zgr0Rq*wu@ObH9n_0e4Uy$rdyHz)!wR3q@m;J8G_JqSSB>;&%>&#{AJ3I${}GkKK(W zJ4|r)!WBG;^G8LxKN^xfftYKk^gn~w*QibDoyqjhIQDL$$Wu0mp#Mh@58)@Rh(`g1 zoHRx7Nh8X$L4wO|WSvUKvvaw~x|)Gx_W-2ab;HZxo2ZEP67a@Al*z;&1C$2Z0ate; z-)1>ZZ<~i@;}>G+FbS+$s|I`LGYAj!$IHa~sALiKn&tlUw)m^)S5BmS_z5`u0?9M(%fu`B%!@BXc9F)j_mB(rPIZvw26`~WVm5??*iT)!68!l6pu7QqQEL<~d zaqx^k#?KP~;hL$%_boA3au*CdlleXSoZT^G5(5N&i^=m=LF-HqTw~us$>u7CjuSXs zOkN@ZtsBw&ex>7{7&cjO662WJi=cAC6K7}~yh3BAM&` zQ&3L7NprEz(hYhvwlm-=3S!{urUfP&;>z=d02EW18+~ z&++@I9JLGgF;&Lfzlj3bj-Isus^c3~2 z`pgOH7cRuVE1TzNemg<>8p~(F1}>A_Pd}kPKz(iWECG;`^+DDbS^3)3N2V+mFa>gsm*MiT3U>F4v0L2(3ubF$(ZmCg6xPC?mBx@?Z3?+n zCfK|3>uBe4Q*2pkfn6(1dGzws{X0pS6DP%bmB5+iC(*+H1DO+9&~pq_XF|{FF9oy~ zpytqAlem597chIb2yUft0;-@mz5RvWUMFxw%MF zT!6<1mg1HAN|flVMx9Yplyp`%R!{buMNDT-KZXK_tFH%B5^U`4!{u;}dT0eTk> z&P3hr5l9dnfP>@uV_c6xm@s%OJVNJ(~; z)h>CI-3dazn=iCBY(;0XhyPk2Wfy_75d%h6l%weVyB~p+WH0g)DT$x|cp@dcpG8om z`;GS~pfo5FCHEp>uuB%*$Oh?7d>YaHrBr@N`3L@+<*~mR?v|r_Blt7y+3p=XaZsj# zt{#eWUZXVk4UF{-(1V>MN#*O)cUK%5i+ADiFi}uJ4;JjFTQ}%wXrkzOHcGQ|V5*~w zo-C*j%QrGaQQ3REtE_;TmF15>N_HY8$>3K{q#Q61HfH81As*hpc?%08WAvtHS@d?T zL+U8Veuc8Ud|0x4;;l2uQAS$$SgFeh@hj`Z9e-QbPpWy@5#oEXOeW~uO zA84ql;cfOye8?|^wW%4;?@0NFwKVaTotF9Oqu@l!0sYaDWTmdGg1kphP@bCyI})nT+gmXw;9dGgkfXUwtq-@y=suCMW7xzM?PY4`jm79Q+odiJ2H-8n7GLH1}Xu+wJ zQKTF`rU|~}Jy1saZ$2qi=`T=p^Da!c??L}=0wAUGx=qMoK+1qf992+8pZ4u|n+;g9 z0rHn);qZv;@jn2hG)t)?ow}a%rFs~p3$9;9=?$==_u7(9p&40>*$RgErbAcG+vZIY zNJyeO{=xL|^+yzh6(HwU4qn}Og%~hsO7fRAH+0AHxIvX^AFkSK&EJ;bB8?~wngk#BAm zRQ>%x%6xh!i%^?aS&zrL1&B{dK}<|EvNAJi{HO2d-}JX8`quwvQd5=xXH#QCHR?H# zlI>Cb6M&RW;Iw8SW#hj<%Kwy@6H#4xJBeJhyyv_&~J8vCFw&vZu##|^$m@)`6y3Ok+Pfie+^a~oSSNJu(H**E+pyCQ*eM9?Gz4aA zd=W@V`Y_Xrj~;i%r`j51lfJBQU_V-uK0KmlZ=6v%j9R~NJUV?1I~FcOi*LTggsD?- z?WP;asUFEGDUg&D04arL%|yUmA6}D$m?D+n6|IGQMxo0^2ukLRM@7Y70wn*6A2V z4jsx*nUZIxL%hyKHSu%d@+C}~Jeil@O#OTHhV?;plt#qkU1TCmln?ULD4ES>x>FAC z$xeKG-5aVR5>&r$(5-7nIM`dGzUC7O-sE7PoD46gU(c>^Ipzq|Rg=#59CGrqXxXY2 zce1@?YK^+&ETrG|!RFOs+`)0`u#s@rw?HG=3GqkIKwL=R+&Xu{WcXV+qA@5Ip?1fy zWb$-g{_;8V5PtqDkoE+A$6O&iev$x4xqdzA;7ME_%(<31*|L*C891ZaIrz-k(-=Hh za5|ODyamWTe;x1-gNxig^ljCW=PM{H;#D5mLp9Z~J8}el$*$^5_LlZRH55L1&TUX* zvO&9b@6PR0Go=G~AC-vw;Am*<-ir?H+H+8<_!3G-{F1bVR9n2ZIa|XeokveS0a)65li90L0Gha zt#f}15iv2uHaQ1-dV66;lQZll>L00TX&hL3@%&i~8`NJ=j>Kv_NQ~j-po+$$WEwxh zAE5ES04bS`$bgj09%t(f>Z)W9=l&E(nf>eu_8nA3d$KuuxA+Ez%|J@FR`VH<@`trN z4sK*Y&)Aw@(;DDkMHZ_CYp(P@wg$^ilia<+6kC^>Lx!$$tBij$v2{?{RVGlNtBQyr z)Wr0mF8(92|Fiu4qJ}V))Z!;drXP?-p2ilGYHh;n!%_%QkmZ2LMROc5ZrX8vn&r${ zPQOa*q)T?+G`enIW`@(-4&s5zE<91)&QJGDrr)0vt84m!oyef~vMc*PyN|uQN^iYj zt<-V_8QP0THp>yNunWqo4LOifNkR)Z6}BTwTNLjMSEIqGX-(FItrh;+M16q~>kn)l z*mNZ-Rp%mk?HIVv8i2ENhT){75Y8%z!cS8YFZI_^|Dop?FTa)bemHmH3p8+Yucg=^?#DQqp;=7-WE31|*L0D)A|9*q+1!B&u89aaCJYgP? zmL*@`yO=%uM{vf2zY<8PuaDx=5->-5=5Wu(o8G;9!PeRmwI9n-n*Ro>$_jjL>e;0u zob0U8Sp5-suUEg=f?_;QcmV0mTWHSw9utVyYd3H5rHHJjPxuL3 zzW`FMT7|H?{(S8!oUY3k3ikDuE?@Rvft2p7lK3N$)o;FZjjyXA^f75!Q#{PpSc>E0SXg)I0(h1mEYf@nfN^$X|E5?i*#plO8(mU}iIStjM)10(-#89^8$krkc9NQ(=bz3%|4>UiG%rP+Je#Av!kjhfhd?~1*5R1hqPA|gd8Dxla4 z_KpP*5v3zlq)Af&0qMO7h;(?rnLQW9L=#Ok@%ty|d4_w~yJyet?B1Q7-FasuaBau0 zzQp;%w3efFrSNbNp9%zpqcSp{#t%EedG#gMC?`&!lMF~Xar`)VxkN2gnt|80O8tL}e5p?k?4s1MuDI-kqQ^#%i@p}XO(q%h(cHQwx>OtRe#LF%n zwh6E!N5kZZo)P922)}9}B2)%4otKFJ4>7xn`$B7EFzSa` zTFX7Ug4k=Pv0Z7OD0}XdgE(Ylh5(0KNcD3-G28Vp2$TDasX8$8FB5~2xO}S1efk|g zFh_-lDWdkPz;?-aoSiuirfRAo!XKDSr?>%k;`J|9}LTl-?(7VQ$hr z+ZEkJiH9?CZu%nS>U{yId~(Ydg}2>McE?fTm!B019Zw?poB_i3Z9>4|ZSXQXgFClw zA?&sza_>-ExXi!NA^Iyx*kDn=GClAFO4#)st$E`Up}b}->ej0#&SpL+Amx-P@Mm3# z%DHN8j;=Bw`%6H|GiUHahYqMg^Y03pf1~dOqbM~42Y2n3WOa?2P?SB)NVU_JM<^MiFtPP82CEfMrC#`EYF@t_by$i{Esn<_z@Zr zF5Y{d^R!JDTj>BjoODfs`z( zw;70Y+4P)j$>DCJhG^5WB}|An1xcxhzaNIxiYtYz{;G8wm}+jN-{XBm+BjnAl<7h? zcKqsVoHro7I^Y39uUcc)$T7k}QTJ}$VR7z)XcM<>;;1ns<32*a0Ru!dPQHBo{V;RZ zte5)i4}p|49?OY;A|fRNDQUbepm7`iI9lvtoj7wE-+uRcCm}&&Mvu(X^h3c)x5UrIxRriGVrgVP~Ok=ACU6xucER_WEDyEWvM{jjBKi>`SnoDpMDeryXj-iN;5cn z#UPWumi`;`}9|JE`DC;?f!+>8v*PK2R2*{L@&9;5+JkX=a{fz7xeDt zLc<{r0~e^EM(w(2(4q~-uipt>Z>pPPJf*Kg%{mNFY=dzshS2rMqB_T5;CvNIuSe;v zF>aj^bUi7Z${Dm!RRAnSI_LMwhNfe}^Yprnn`7wGjo54-i#?H4f8wQqFV&UnA5e&$ zL8UMbDxtO%inMKh&!}%8XuV}9`b=LT-dnF}3yfK9fUO>x&~i$|kR=;Yt6oFmV+)K{ z*25OpbZF6ghjMy-S^6q{DZfiHhRSb}(y2b9m2@e88nm5~sBY@0UB8j2=O`}6GZWgb zsTj6=Gio<%f;tTvWAOa7*nBe*`)MrfA--}Q^}O?lXL;h2|KH0-ki>V58{rs8eaE29 zI*poA-|0ZdEe$$U<_HCC)ZsF#r@N<%drsr;hOf|s&h1pnpN5f?Ubj&*S-KXqZ>M03 zTRKLr+#=FzQ{8whRi%%jc5yqHFa2gKL(MuIdwBd(-3+LXg6G6%<~Q@bis#%P!ibZ` zm!^FThA!S9-pTwLLj2*ex5Xnv@RR$2`=iec1#GzfP?W!$+P%$>%HrP)y=TY05*SkX zf&yPpM#1Icod+HRS`M)oM&pG0rv{bZZ=Mo1+C74ie>v8hhGE)_Qy9_H03+zPJZ6B= zOWxexgxIU;;9f=;)YC|SDUWKN!ec8-X|w8{Ey}!aqS(vk4Tlg|2#pS6^S02#1~>OyfYPi37}m!K z1A7<>4|L;bjvGH@Hzo|*i-|;&hV6meu-%w6)C42@?ZVJLyD)qFewb>WMU1sI5abBl zccSvCT&|OkR41-SIq^X9?q?&2+sfYfm^aS>ly9@K$9XxLXQ(|2+;6~k{{^g@e-NVw z7^6?u?HJH~2joVYKxe}V+`MXuNPj!z1lyy8Hyg$Cyy-~K^M)taH<0Si#BHd$|2=x1 zkDld?RQnfiOqajo!CR-OYiv|Vt{HW*TD(ToP3aUIUKR)qL6Vd7-ep5QoZiod{MUme$EAz z-d4a31C$+}idfA)*f(ZoaGyT zKTXFJtzVmhJQ{)fZ;`@HT1yc4P8MpSzJ(^QWuyD5p+IH$G3{ZX?G7-w> z?_ftz85ZufLfbCAM43Mho&e=@UZTuJl>TKG2}t=(uc27H-x|gt6{0SNY?%J5IvbI! zmFk>Fb*>aZaHD&Lm}77nUv!irN&YZkELNU&7jqn!*RHD!?AL!Z6i?h1JXx~O8tuFG z6ZQOIz!)f=q5Sl|C5LXJ{m&9$^80?2|D>xZXURbuwC^rONBq9;C@7q85$Q`Q|5rat zK+3P_xy5@duq%Y-RjwD!ucH1mzgMq6|Ig|C{a%{CclZ}!?)LL&+gSoqe%EI>RvdQ{ zR!Fh+_qgIWmvS^9AC+J@>TZ%kUw}+@K%A!{JyVr z@W;GadgzvT7xR*N$LY*V=IQfv;`Q@%;wkq9_X+n68}GF5)(=Y#+z|P>Z-3}70VzMH zKA)w38rx~D$YaJRq*BzA$H;c73+LY<#*9>dE|>H17~`=~#X}y0JQg{>(pgV*95h}k z|A(%at$PASRNeu4ZYPz`a#r7m%P$i7xlHl-J7hwuqe3SSvLi`rmbnJ%N zMrWz4Lg+cRIznBDv9t4s-*8Lw@gC?<*(40N;E}Alb_!U!wWVn z;d(KMaXWiBpNJ^c`Pe&a1Evl!!~kjsds$}>?92!D_R3yeClbjCqO?IK7}|d?Mi1Hz z)rni-sGx*G?O8OLQTh68U?Qs*)kmt+RFqMhN=3Vm(Y13AXiOOfpN$idMRhA7s`$GNhCbAYl#MweWg{3aQ$nt-8&a(tuxa*! z7ZE9q-vf}6(iv1JB2u!K@~muRP=1cW(xiDaG_ThHdJC5!`-U?zuRB6}?qW2q^D7`_ zRu**VSq5J6ftKz9DSwu=Gj!%JMHA9Nnzn3#t&}G_GYeT6nc|+)_`59&aY#C330z-}MgdySTU~O6X4>wJnS4m`?3WEqs56nr}jU=$TYdj#RqOqdL9nWa6)! zSNwOq-9@tFUvtTt{I9+K15&>FO!0lt`v6jw7nb8`^i!mJq$Alj2}!o`NVbndvO{b& z;{V?P*~KF1CY5I&i>!cn6s9MkLNceKIXhL{zf37a$>Ls$IV@Wf{mHAOyc`$L`C!_( zLl`~42s;hT5f?{`6+U<8b6TWTd-pq}%2WwV`MR-6_wOnq<%^5tT|Nos=wtz;G+Ls7 zHncuxL-^$*#~}XfRg~SljYEoS(1zC4pHcc+xv5CIdILqbZo`ChFm`GqfRwtVhmfAb zdWDF*|2iNgt*Zr)^56j!78fIi*2RizSHBFTyycGYV;6r5q^ytuDP<8Ud-dvxTQ&?v z0DQbXF>0i=-#4IJH@KKxrmo7w?aNm&;1_A1ba=mh@VsV?%H#~#oH>tPUAsv@%2~5s z04c4mq07(R@##k&WB!;42(z+9>AiO3MoC#Ql2ekPtR#V0 z+O}>5(?bVPQA&J@j>58K^1|S=1LA(5VGP^7{Wq}GG(aWk3BLP| zV`A@WKuW{Cs3aXi04aZx_%U+$2)NtY)3DBi?PYWH?IG=B%T1jMzo0FvOm^go<0PFjZKM&q??FPGuM8B7}1XA*TBZKGO zH*vH^25Zv$GSp|mS9vLJE>pyH`IX|+cDcfzAbw5}c687Cx-JUK;i~vDd8}L}i1VGA zzZOQ*H$r!+2DVRA$ByahP@AZQ8N+sb3O)C@}9E%XgzF} z(}eC64d_hS3{ARc;xzu;Lh0M+UHo2yX`96VJ7;XfEoyVdCf=7Np6nbB>>5h^83T94 zrC2$3tJv$-oT3ijwR2IXJC^c|rtilQF(2P2QGIytc^i!zt>JjOx*rmk^gy(HZ$z#g zDk5X1Z=Hm4?$cL3|0W~@?Q$7B26-&%&^Tl;<(4TZ(_e(59jeIRX@H!)rbs_{6&VMw zAaD0BlxTO16p`}10x5NLkokmUwan>( z08)~yHrlZrg$4P@NKJ++&D}LV`3S9=H^n~glcHxxh>L-O!ZHB_{F0twz(>iw2Z*?C zhlS%N;!~PuJ9q2)0!SGVffX_UU3Gp;7%~iQXDlBi{z1Z`woQD1I5+C;X%_D%_VS#Y|r@511Uepc~wo6*?J)K@EOeNH(2oRm)^Z_ z!^WCgT8RKZ2J%SffxW3u9S)c>$^{vRbHgOd1IU~p7zJ-l&ws3ZvF*>yWTF6KF>9Y`Je;dWY;W(nDD?lZ+d5@CD${zzM z_u;Ew0V%&}|0Ryn8m0KzQ=}y)LS?lwt)2ddHZ7au;J!U5FDXKN>|?A{kO3)Q7m&MLYbdJrhV4bNQWVt$0pv8;zAHTBne%mPu>;bXtcq(4*w_yI)m6%BqNz284M;qAzFFq3R9*oK4cL1cCZB4S^4I~le!VPdD>?}I{! z7^q2~&)jVUsL=kvMO-&I51Ty~V7u=x(EbZ>ICv4xhs^}2u{yaQy9CeUm*9NJ441Z_ z#h&%Yp}W!)2Fgc8R7~v^N3d9KABOZcLf0Q9fMoa1+wpUk*ARWjfJ>$UJq(2{6oWRm zDw<-8f+@6?AA#nwBiJl2edP;GHa!feq;XiuKuSTkP~mNlVs{r5IC@oXy%I=y_AGw*u_MXT zPqAXkbVT2DLXrP{93=VKu2pLxt5sGgA}KIb=sBiFyU^j=Z=cVxOkaKd74{uCfZS&V z0xYOOGQ44v#%SH7DR!+_$J2oONVx5VHS-n;Cz|cQ{1QiYnxHr#1yMf!ke@S8L|yFA z=|`NobWs9Qk}ff4(L#Jo>D|8l9_Ge-Q4t&gzl-LO8zi;6FY)6!kkZo=BS%SgE-wKo z9qiG6@F1~oFmb?O_+Ge*N-D>4*M4;W?gycp&RVztp^qXYASLPY9e?T~B4jR^Fd2`m zY5WE}fa&Hf__`I#qdHinqJsG3B%wQ+?Aa~){da+s2Kva!%R_ormgI1^aU--L-k7Z0 zhytHr#5=fQ_3ZhmO}gV(?c2k2&t8|7n^Te#F z)5JQX`wtyqVX_~UArYc&6Z;PqZS6;GwsWR=hAv-{Su<%pQoYY!38W+)o5#(x8PgFI z8YNeP- zehu1c7J_s(TE3nrpUx&L@u{tH8JbH^LTkP$j8!k={FwmU^h&`6k637&b-`?dGg!Lw zGIm+`;riVaTyl$r_GuT)*>(m?cALZGRyfS>8M3gJvy0$5NGTxNtiTN3BCJW9fP{ zY~1XnMSi;uKS9~}6fQn|iX)ye7`JFOYSOb!TDHNw4F))UFCAu4<`&K{h5^bAMurDB4j8fwu3ze$r8Sg=wH=Nuw&^jaV$&Q=tWDjPRz zg$1j(;+*qi9K8{WNpsk%45d>!3p97)94)+$`K4eIl~bF_Y1pVKW-d|1$y*O_$tMfC zmwg3jW0A>a7}|#5T2v9tBc4LoR!__+(ICR+?TM}8wtQ@@_LaUWAf{sw5!tR-e|+=kQlpWsAL2Bxmn5r<<9>Nmp7c}h5E zeGfN?r+cmkV=3`iPWvdvZ9W7!ouiO9xr)8iul)U4D}PL%t$=z|2L{W|RMo?&;4GX9 z%ESznEvVP1iHKA=W8GFMJtP}5RCQ6mX>$<)b2{T}XR zjmAL+W~pqYGMb?teK&oT=JWK~>vYk8-pP?9C&{be2#vw3F_qZv5P=0W#^=+x(I-Az zL=?a>vJl&Cg0R5Y4D*Q$9l~%u5x5YTf;nq7(XdG~)T!SPQ&w)mao=Q|3ChA88ix&u zPqj%g9KU!q4!cK*@(mp$v5Fs224yk%cexc3C+{@E}O$%lDl39dda zr)P-h(=xUa4m9n!(9iyiPdsKSsZ&2UN3FW`FkXHQj@)?+vxmi4zt;u52dqPfcC#?L zr!v;g*#&*2<1kP@AvX4Sv+qraHwKyXl}vG1<0QuKmxo3)o8fq~Ld>{xRg zMyhA9YvV=i*<^+T>gREI<7pgLJB7XLPh+R*S?t%ih)YIS;dcBw0x#MiS0eju_NBN9leC8YnB3F`P}m#Zz@-9T>laAW@U(j z0G@_kx(qXeqtIKq7fU7?W845EOc-W@_0)z-=Phv0%NEK0b|~acJl;eU07~KkM`jFh z10K2q5gu@F5BB}07VU+joUF6vXAl)hu zxi?$_6dX1FB4y(Jk8eIylvv%k<=(j-1p);0X z*R4RxQ-+PEmiYE3X>+W{$Vu34?SrdvmDqT~8sBx74n}(npNQ?2cW^bT6za#W<9kZy zvbqf%i7n?GU_o=*5&ujm9ka(wy;CCE;wk@B*u<6Nh*unxj@XEFj%0Y@VLooeltAOq zCH&A;@@(6E=s4(Gc;PC|ZyKjLVx$C&?A~h#^bcC$sz)+58=XgoPL!YO(|!1O=wGAy z!~vVn*`Z@E$zY`0pwZB~>;`k1D>t9IiH<#_a=H#21>H-oqP?0_en(kE%C7x}K<|tV zZpK&O49&r7soo4sTzk?UC%FEJl_Z;!vHFCalzzk($6ew{)}~?O_LBe*LGQj)AIUTN zPsE3HDg5ey&SD$*_vQ z*QWok##TVz+ylQ18z=ZsyGcv@G=2eQ=$^!8b6=b#+0Aw4y01N9FV*>!lV}^aQJ32K z6SbMk?AWCjGLVmt40Fzx2cAlQx1U`czK$!LkUJKlOm>0W(~ov2>DToa#9@QBTFgHrVeG zC-NWhNufS)6k|sDxIIj1Y?;SY&{(ra*8w9$IXnh=EOP#BG&X-3F;TqtyYD+ged|G3 zdnV$dTLLy7cM#<)qu-6OOQd+OHI3J6_X}X|mqlw;lD7{FVNT^-rFRHn$(w~y3xDpMp@uUH*1}qTr7*r>V-_1hD_=uZ-xJX@f++pwawXhap$t34Rd8In z8n=~J!(Dj|JXfuO7tx*7G7|rAojK`=f0|qB@xkPN-!p3AGZ6fm5 z48+6n?P2p%9T&AKMeTMX` z@p6>xF+}Epi^x291Lc-NSvMq2X$R*KZLz6u zM~vy!3p0lg!O=xy5VKhhW!tD+Zp%Ljq+B`lWgz9MjmW?0hNR0jSU+Xf3n1m*_Y9=m ztbqoN8lhE_rZ7}dL#|&4QayaJNnQaB>()g}%D=B z;Pj@=q==Nn=Tzcr?$dl2$_%_3P(LwHHkJ64S6l?6J-bB&lLqWDp61mQs#{)k9E|8) zA|hqIdQju^kcY^RiiNS(7PO&$t54-@pngxzpgI>m!!C|gN#pG=11b0J#TQ?GiF&na zW8H$qNObi^LEuB|-nbcUThMQ#em$sA{=WpIr2GO%xlA5GVGn6lOIJ}D=>d-bXCD%# zw3cTurRO~$h6HOBkdm*wB4pgX2mLjw!uYt>XP;rkcsV>GJ*U#u55D_IhnqDAbH`7G zyvA;5*hXSo7)?u&G`-xXDKMDU8E-%wQIexiZVxKO!MlYx@0tq>$81fj$VX=>U(hCJ_JRNi%^zOhJvU94 zhUFsrUbX;!q&lS{#W6*EraHeNIi(syFi|6*j^d5T1rOvE~*AjUpf ze8#;Y#oAK-o5_fCP7?>m$=RiE?Qxf>%HwWYxpWHf*C0Ue`l@`s*;{p5!KN_0!^)8; z`2dn5LZ&cPJCyu8h(VNzPs@;6SRpgd`R(;r&MW@A-tHpV@vpgLP5#$j{{bmqeWv(6 z=zRbw%V^%r3CcnI)p$f5j}r8fGl6$V(Wf3G>R7aJe89lU{Fp=$sZoF^WnjbVR8~rT zS(-%m^u2KCOM>PvT)DZlra9$}IdVrJH^Kya46h;XaRhxMomW;?{I(A7fD*_+2}k}-SL|B83hi6ALQP&fPMCtnW>zAa`N6enXh-RFdF?x6E|M&4k$=k# zM$44Yl60R&O`D2{_UtT%9lGe~==?E|lGeM0g@uTTi-qFqRj65~Hok4q8Yk9mLaCEC z!cSkpf}x`XC_n%yuSgLogMxxYGzZ>G;^+=+oXD5Aw>QR)9V>K_!Gi|Dk&R(VmODE- ziT^o$%$PB_BqnKJ_D!sS;H>kkZE$tHd<5QClRutOYne#3fVj*Sb_uGvF<#yr%o zQ44LpB%ONSUKEhN5>I-bxb29lUU4^#-(KM=sRQYt~Ep5bICu zWT|!AFNDJ*4Vo*{tsIbj!v(qv|}@c00fYdbhkab_u$#n0>s6} zLRm@ax#9FtHk>XkMFjDN4X1w(NLk%*n#a(>g$sqjbM=0kK7G0{s^*K0soAKS(*=-{ z()n2~<2OJ`dKVjO^SimsX)|ZQ+h67krhw+7d!%Un2_U74iV9+>&DF24h(}nl=8u7t z|1_}!xpJK;DAi_SM{ZNz3kgSXvS^8M8|LEJymgo}d^-mA-HpNhb_<8LOrr+u5}-(S zy7xB3&vE2Pc5L@L8aK!oxt*| zrfx!*$^x-hDFBz8S446AyNKSmg-D0q&p=1Me`UVEK(81d43vcSSXAiFLf$T8Bp$ni znA4AtcIY~acC3@Wr?m^TC!kVCj{2F2#uYvL93=c9&`Utd0TPgs=9}vCikzGrJZ1B2 zb#TV$(W74iXZ&qIN-Zr(R_90&DXU~P?}h$0dh{1!&+1jO`nalwkd5JIuVTTl(Zcz_ zb6HJw4+{$ub^8sFvfn_s?LQ`>^t$goijm!gfRwXl%|d8ssE|kJ z%`T#2m(GIsOGzF@k~}J*b%g2Kjrh8GOVpyatWsGkPdQDQlu*o3=Qwu7fh-Q|R%Fm^E;y08;+kt0%5qzb@M3OR{$2 zl*yv4{eI{KyB&K4z`=F*VGRFCib(mAtga5EoHPl3etu%Cm|wF*x1K$O^NBfwhU5Op zODJ>k!P(8aB8oKwY2+tNMwHog6gzw42=V4C;>}C4TDCX(S|BB@NvishsY8b!M7xZ|eaHPI!Hak)`mN`;47V>WNfSnjg_apkf9q}{#EX(6xJHvf0 zPwBqOc`X0WfK7g{>ViW8+$rk#$WgLY3bqx|D;bQ*c~{Ol04K^LK(8FplkJAMJ%0<) zJ4HlCs-F*yA)g!6cXTi8is*Z$S3dU^RNX0ib>eq&bV|DCNR%9vGUjYF5-&eQKCQ{w zaXtJ=Px8GX#&-pi&rRV_pLr$t^&wDIL`rX>2P7AZ**+JDj*Y|0Rcpjq3hP!MTtrHi z)l|-FBT}9_haWoqh#DV%f)!&XA^M^fiX89Y;HvdPSE|EjN3yf2XEgts9x)YQwd(V% zh)7BEFFT1%&&-5|jy4*S+-}{lF?Ow3ji;oiCz#*Fn#nUz`_s?Rp7?R(=us5Y{2LV+ z33+*$6P^woaOUh;lo3Dfhdsocg$pDgCFzdlI@?j<>Ic6gXCT*Gav0us&_LMQ+lzL) zlWZP2@>M`e2lO8zfeR=0>??FnK6A3%YKZP%eJga+SqtVP^x*@PRg~lWB{K;~N$Z6r zBgf&RTIB!D9 z^elti(h4h(Us{Q>5AZnWKOp7XUqw`@Y#GloWoMrWd8N2y5santPSLty7u45V!u4*v z08;YzRcDUxgZ=|jes~wnx&K`s$|dWQ5Ab4f_1lP)rNys0eEB=*zlfB7IwIwBw$O|( zfQ76uaFoV0!A}9A{73rrMMTQVat0dmn0uEOmG?nKqG?J)>_ zhfl)(?T7H#H3WXwJ+N-|W_;gsF!~Ia!+zsqh;n}b_e=JeFEMty@pJ{9=-jDcBFTi*+G8NEYzVoxB9Xjw0G`9Sb70`A``J} zwJPdR-CDN!99qVE@Gz?ozH#YTwq6}|+1RyFQ)n(z!NZ$(;d{jy%V#V?-P(1~k`ztN zl^gKjmJfW*U9e*M0@SNn8!f+Ri_J!R@F24QepJp1H4W5j)CkQRG=}K?72Bo7QiL4U3cza@`AomTp)zdnp=H{w6J3VZH8lgeE^hV0i0T!bm<<(eJ(0H&wP)?T6$rZSiD2T_x&?}8 zLho+|`z$obKHpP9aOIT_iwjDZ+ZbQbQ6Y+h^fs=TUQGf?jSG6trXxh958Z>MK zrS%#Jh)zXlVh*KmL$ekwMU)Z6wHx3^<0y>A>yBd=(S6tibfj^)M$ZHu;R(o~={Gy2 z5Q%<|5$F8~ap9?m%PdCRGf4Eb5()Ill8P7E{#4c~sx#NWE-9HS)~<(NR1zMh7C_VJ zIE~*PsP$=UOdU7@mPY#!X?X)N*KgwfB?|;!y!wa48+>6`Ef7xRed;n!Z#|8Tiw|M3 z++Hl4v={PI_hI?8{clYxrXRqH83&;-^AHqg9flH-@@$D#{fd-lAA<6%gHW2ubU>n6 z2e6VpmrUM=MN|*l%*veSy&+Ynk61vT|FE&NFKDjP8fCvX5%2C=B{k502>Qv>ijqgJ! z?>&35@m%0$j+9$x;CK26_OIWIxnqn)^s|*Kj^o5}3wS!)BKEEw3V5TjYQyu_5h*$P zV-@{6>SJ|!DG%2{wu#GtKQ5CuRfCDgp_V9hJ&dp&i*R-HcQEYS9125*V2a`v%r?A) zz2|KZ=FA(T^z3sGrmRlYBT~LeeS&58evT;faz^28SLE9IBJ)}(GA$n<&(G zY!DNBx4VKo^X-T=UIf2QlW|FHIkssVVyn?vTs&ieNT(Yp=XO;Gi2j}QYanG&u2@c0 zJ}pCWWCk8P+{1w_dog&JoQSlzXuTGk1H+M!Uyh5nTrqmq5)tihp^7G)0wWQdTZY4D zFQZHMU&IF2=n0c?!_615c@;Qs?SR1}B*0r0efrsFn7LpH?C%C6HopSLFIl1MFH+>m zk>e-fx~mUjX|6eO#TwmuN(ZAO$4$ib+jm8oCn>#q&px88VWY>v!qG#_O_!bSV%&TM zQMb8D8(`-Xio~ZCxZ>c2@$*-R^m(f`!qGoWwB_^_EBw++Dre}J@vwBgi#VDCPg~pL zmw}Su(2$?|!eY;9#JPmx%(jE*(cvcnmK#F#v2eK~>Uq}64n6xx1|x$JL2*w z{hBSMOF+s&!$;$)y&I)h;2h=e)nCd#XxIo`z2%I=f=XO>55SZqt3))+84BxQL;OiB z2CjMD!{p^_MEdj@^0;xD<_(XBIBR$qy*o(;Jp)FL#$`KKQU1jnPUtK1hSz_{a9q0S zBKT81z2D$rFtc$M_2f_fpVRq!kxu#fIeuQG)Atu`shmQ<%FPGkCQF7`{N4X*H^i4z zwyNLw%3o{aDK-T!P~1QCo!m-1di=B zWEjrfq&iSvm^*u6&`1dY*|YBe!Beg?^O$+f={-1|c*=cY;pBxOqsEH5_2|(iF8}vhnSvttLpIwWia#n^-W1#w77!*qo&}a?KvmPfC$P zYG_(S2I9QJ5f>1HxVR^Xqp{8WjU#o2-<3v7eb!b}iJup)+tFAVDcbeZ&%eO*qB;E* z%30{+MeJG7Hfbw{WR#hYA@%m5}-xH11laVgb zRw_dm3#lGl-kw<-L|p}7k%5#<464+fguKl&5W8V69<7^?NY(jxynY^H*3YN3`G`|n zfOw(=wfRUSO5QjR>FRTlNT0r|m*LDpRcKGq!Mcfh*f2>K8gg3%Z6Z>iq=St_Y7=#^ zeu6gEj^6?Ws?+LmTd;rjdci*dq?8%@{MNJhuSw=tk+J)6D>A|k(Kf5h?H+! zH(43_Gf}C(5GC7`QLs}FIR`Hy=ygEKmS4a`Sq=F%w~=(o8tW&|{Cj|u zsi`7jAp`DOk?vx+MHjgV$w-Zi#wHb2G_2nMEnBt14$99)AMXrEnVAVq9c?sj)>Hr{ z^_MFl$N3I296X>UuYe|0esj`W^cF2g_B96qUeuno5RKWPQR`OFGcu(4GGBOr=jf45 zs2tYCbvJ7wJ0THS@rlsU)S`6K{iqFFmntHg=KCy1Pr6qSY5d(5Ep3rEJ0S_W+Bzb_ ziSRs1c`}_mkxlZPqkA+X9j!5?YtLVbOe@FNN2JVsA^|ChXAHd5TC|MXXEr$3A-ojuUjr~ccZu?fj(sqZc#C8h7)vj<;Led-af)~!@VVps$U zQqr+|+YYpC^Ev5|b)m9O<=p@&moGz5L>Ng=x{AxI0VzEsFr~Y{`)%X^L)@d?o1 zx)q;OIW<4|6pKfWMd-;(!lP^%@h{@|Ik+4?i}U6l*y)`CodTN*Snpes;+q zu~(f#yXUm&X(#9K<`q`Jf*J0t=5v9zX2r1e>R67u5`U#3|8J^@eTl2DkGjWUw= z6@#J;Sp^BK~|06(*B#$|j-kgych5Hcs#J#N0{8Fm=>^?A5cz;{aNJ z->+-h5WyDCI1u01=&Px`c%8JSqlvfay%czXTDt%T|@b8D6?!Lo| z4b!8jO*e02*D__aZ{89$Yt+Ds8ME=&&IN`3p*X0y1?_l^TeB9{O`r2SKuRex{#yYl zV`HJXN*Oh2-TQ5uFK|Lj52XPQ5Pt0z7L1*MPZ*pbqFh};Imzds;9yLf{s%zHAw%GJ zTQZt=cD*hB=kzh-#^H{i6j|_wy&Zb>?JLTeHhuyEZ@Z%+GY6MXo<^6>okesl5s}iu z2Bp3sIH{$J@2GwvBBipDsP}7ul%#JM>FFaoE&(~Dqw48wA$_emnvxDCfRxtGNFzOw z0UQkEXhZsj$@U#I##0a<@&K#kmy4((Uw`urOxYN=qyA7P0s>SD+5zsLDw$5ED( zi%=iG7ZEAH?;xUpR0f5^_o6u_4jCre*T2(GQbfwzzEVWWDj?;kk#Ki(1_}ycd(8@c zdrNzma^uFs&&3TD*|{)3a}M1)cM;{!nKu{rA3hYuuxBpFfRrD90{KZ(5p}}>#eShU zvPlQ+TeL!L(uV{%Bbk1;?9hhwIgUt4da=erd8D(>O8TNM>C+sEo*e)gknZw?eqX6k zkFjx$iU9I()UZ7V58zo@DdJL7puBbsYSCEvrd>N6HQ9rbv`j?M{JVJe903&R)TJ{n zn3;*O5*!kO88eAD)R%t=NJ-=7je(S(eu8PUX2SbkfG9@*Dg7TxhN)qX|4#!c8Q}ID zl7yhm7l&+vT^gAZkUmj#;B1xQhSl9t$WT|~rtoyz03V%-ECY@DbizGK?>J5-g2 zY5hcPtQo&WM3Ce?WhTDoGIexo#n%R2Lw4&0_eiTJoIO+1)*Qp7&`( z{sQsyTn?94z5IYR@(5nH4ENWsz{B;+5w=bq57#ci{WVJvv|4(9^}4(X`6@5LUFD_X zp7*f}G^f274>HgzwhRZf`q6kDgQDHrka9W*u@}>kam)#2#v3So9MK5b7@9&vV@h9+ zi1&46Wqb&eZ?V&9y4Xp*c49aSU`+ zRnee!Ei`T12-^+xQIMa9bed<^tE@$>8lR$d%NE$T#{?xs&k)ZMDOW1cy!jEnYSs)V zHff>4Cm50D)|fwBIv4BMsUt2?ow@E2VGpTpOGO>|yDA#@OD}lrq&2FOH$08^V|a&7 zB7NwHVQ_bsqAuFo-a?;VlB3x1V@Jc^mm~br{A*#3u3e=3GpA3*gU}G5q6`-{qaZGIA=aG z9o&%b>J7c6E6}KB4Vr%&LQh{8`MEjBOi#te4eL>dc-Nv?Q<&^DM)9)(BqhW{d8H!B zz>m=Gi_c+t_z=oVi}5%*l4SK_d`#{BzIAI{(A@?EKE#8o*D;f1GS|Ipx1UIsSc*3J z`}<=2#PK4%56N)*J%<2V1GyhQ@jN2saN>=pYz*1mx{2OBCGhElabpocYZ`W4WkJ08 zscRRJK5OtWJiKIyN?N;|+iHOCNcQr$TsmSjV$NJa8Li)rD6U1@1`Y99jnA+~Wet*( z5>fQ*DRvnfqB)HfZm)*A8nQCd@H8)1jDs(~czN%hqc9&ma!5SKV~xigk3AlPJQjIO z^4R1t%Hx2?ERS6t!#tLGOmjIr#(AvsxCsip2f3+oBE2)MCC)0Zrvc#!#H5g3^JeSc z3R*9mJa!ZvfB0UMGiKxn`t3Q%zLj0HjzMl35KlVMLmGEM4#K9Pk|X0WsOwes2Y(|m zfKuGEodr7y|Fx~lyCyD|L5ghubI%t3XRp9$?J>wt*@p!a_X_(Xw$oWV@1Te($+kmP zwDC1mJrCRa@Uz0!XXbuEY>UMIuby)N%Cip$`zQud_UXPuM6vAft$~PqIepY_>{B~| zJ0~uS$dpmnt|9P(IWBKMhgEY93UDUZ^X!(>xPS2~{r)ZR;F7s$gP)A}{@%GO2)ZEI zXFVsj*Lo9@iR;1jA*#6NfQ&#-1bDgQh^gsw9j@)? zt#NSwULlVX$jb=p~zfo(%^hK*38=4V*D zUInQ%&lZ#XIC|8S=GB)Y|9(mH={|#PcuG2DR%8@3R7nS@TN}+upW3x#1&VGsBl*HL ztQj*ApHcoV+PB4#6Gu^2S&kTz+e?=%74!dhUw(zp z_MJ4g?jzug879i2MECB~3wCxicIfgX**tv6V39s#&_KA$bZmQjTlDQOS(djf zcWaYeW;vXho`$?9IndjxgGTl13F7;FO3TVj$Hq+?QHOZbqFz0iD662@%O6QM9kFW4 zbitdpEUWkKMR|4(9tQ+jtpo z`tEZrP&X_S#ilSVd=202JB+vM|VQqzGTyDnK-d$FTSF>vfNZ4eKkHP1SKh{*uQ-{S~qWoTAzK2^@@r}505}W zLLzqP=%8tnMrc5Ku+GeR$hELVj>Ro#&YF*UpM8c#w9eZ!YaY_fNwx)qQ(uQkXNk9I z{ow3P>oMw|+caNzlRTvL2ZAGLz960TZV0^hnqqRVe)xpy*nxEHBPWidh-55Zyr04$ zkFMAtARE7q0|j!OUYA(bo`asuu9@ zPZZztE(-%HU)A1sK~+4P^FjKDF@~#vqZMWB zakfyZ=wG>tNvy^u0zScj2Q8r4>xWM$0!`0g&XD0^A=<8aa~xi?0i_O}h&Qvs$_bP4 z*+(DY%Vy0eeLYGXJP=O``Kl>1q%i%hTI29qHA?qH0;f-yf;#MVh8Ew4jvOYXvJeRg zaag^MqbAl9UTzL4uS1Ew2hwOEy>-ztv}oM;`Qo0bD=Cua&Yq$67m>n}0F||CgmE7m z`5oB54@IQFBqt?+H`r>`u8B7F>SON;Wfa-DA^FM;tRE~ z3$@wvQJ<8=HqDyDL}@LaQFnFFO7_sRbGb4K zsGgi(jp|ePozj3J7Dwt#li@*W#c9= zqH>@YHvlsQuLv~;x5sA&_l zsNWFVmna~Q%FniTgw`C6R#FGeNip7LxE*=9PmrCJ3GJ<0(1etu=JguDV9|2qQTa?J zD_5g!)0d-(e$}D{_K|{^Ph~!_amLmKOJ8`=GtftFRu-OQW>;;KJ#3x76i-MA&!uwskhdB2bJG^hu~lCWIc(HQ?J=PHW;8aNG--@2 z)UF&hf~E9rR8Dgm%Y5*sqpgK(dMD@C*WHRH)Ta&V)xl=!m#TCFeO<9(U%yUmY*ych z%+yrDuN~XAi9>fjJk;F0St!c+#FrhUFtQOe8$)l_&_HHJI-cfH`ZlUR)unc=nov|y z#G~j)>9_Z!K>XI=8307a($D$zb25)fE|cre#-qdvHVVvp0fvGWj?P2PpkgLXkd{uoXkHOC!CTg2V5!?Wi= zN+SOJ)rR(8BPyGLkOD}_o1Irs;(8GGcPzujaX(|nFF!zi@-(RG?!(p#wy?ByL87O< zR1fxY_b%!aU_#bLEujQ*n=t z8Y(Mr&C(p*yGceblP68YJ>fOF64$M+J@-yNWy<8|>CR4$7&GQ&ASD~J$S++g9pF)( z8`js+yO#u{lwD5EpoE=B+ zyzA{H^78>}@1D|uqDa3ZrQ6xwLjV5KChvGQ^zibe~S@0{bP?cR6qi1#|!+dVfJ87n-tOJ4V?r;iyu`uQfZql0}l5AT#N%kRkf`}Y&+ zBZdxv2XC@ceVi!&z`;^E!#j0>8y}#$`oYC`KZbUa4hct&8w+IIwm>e-; z1l(M2|0aF-@L_P3ZQ}AL|Ig|CeN{R?$IpxOp+j+-`jYG8PyC)ebEe<}e^*6QVodj*QaaVa(UInTD(4QBH)gbS zz$`o+%F4Op>4~vpB_QR10Rv>|mGJiR!Z_ju*NxNd?dZ2g*In;BBAxSdpK~AcnRo`#w8nL#---WyAeGn7uh$bC?d>sYlmw&{#&6fH zD76v+K|z=@cRv32@ki)X?|(Qs=6h7?OaP2I%HLE7K-{a07k*7_Y{7s;y@?24w*b3l zs0okY1N!d4(0(S*J)Qm(5AQa$)nWrB`~2ARK^+Q;=4AjGMH%5)^}>XqzuTXz3C z5?;O;j7gslRTc=(=L}rz)oUO6_1TMI{Y^0ZH8iN-Zjq1c#703Jx$}v}3|W2Tp4aDf zR4gO*GSA-CC8H@eY8pxWACBmC)3I~u1()SiHH&M!cZ zL{&yt?~JPIL;TssMmwXCq1*>9!`kD}H;th4T}!MV+8rAgjm7>o({OjwR1^`vIXdJ! zsSl4`tr39sD3okdMEb$2BFakK$x!6%J%Vz*`IKiAy=x4;b0Uh=M)8~-BS4#p? z?s?BZN|{%12HtTb!(7%ONmtlJ>-Z|bBpdF&b08(@QH@FeVckrhbh8{A(iN?ppvBQN z>Ni01Mvb8-uZZkhuEGPT_PiwmoY;)stHu75WU5P!Y>gwu>h$UG>0$@ox z7wd3a=gT9T%E+Sfx6nPO@poHD2jjeKsH{t6vaZLGJapzQMdo!U;eAw>^vq@r8;J;$ z+6(28NoC|vSwgQ9K+0yq;F;UR-stsrY!`Yc>!YlfW?Xj^Z8KQBLbR3J%x(W&ASJD{ zj1|`)i{A5u+P|H2X4a8eSKc&lG19CYkW1-1maat01`Q=3B}bm5e$J&j7*c;d2U1eM zr_$KTdy)&IT|0&0tpHNan1>V^Yk9VAFrs(0CVjYmojOpPH6JN#)P2(x#-x+82ip3i zUkV^4mCto%z~nmtQtsZ3FQ`78zK+wWo&|-40$^DMm}J9OHirGvKuSs%K*}Xc5G2-@ zbUiJmb$JBf;!BG%Z~CNla$xPC(r0Xe6AB zLd@yMh~}tLZ%xsNIvph_n#vNQcqLd^R*9^l7xn$8z3x`kgYTad6W=~W zx4ZwMi)6>Y=8`q}Uw6H*CE!Vl^+uLg`9zuG{DsfE{)scM(&9vv7A2yxob}edE*43*h-_oUeRWE*jX|RAW4ez+mTNkm`4phozXVUc3y|rOiDdg^ zB-tg2PX>a%ic*PkTr-gCo{2}-VqvP~f_W28V#b)G*sE@fN7j)j@ykHr-87^-#fy5p zsxEI!+@{1E(r3oqcofhYlmV1LD#_4P8ktNfM9Jc_QaIfG>uZ{qE?!?oCkw|oyJ(Hx zzG(~8tW^su-zCw+f zHKCxW`1?T0maSm4P7T>!{>Zs=4|=QD3FkTN6h{CltsIed^%m4;@)>7sv}w^2CL1(R z;1h&+J6EilF$c9jtAVdMa>lV^G9YCnmMmK)Mb02Toi{K>S#UT)Ep0Gs^f-J>{OI1b z8!XS9M`d~zd>vgdaojk;r~Vx}!)}`iDxL1ab>~40>ne+KHDD0jFI)j4VqtsiH2QXz z(&dJYfZr7>RNRk(xygQX`|bx3wS=RW+>ZzsGx?c|7trCyAEhW)bLSz-Hvq+nsW@V^ z6YW3$0=4Usexte$38^VG#EK*!<>zhCkn}B$wd;@`9*OMeSm>+csx`6;H&o(1n9DGG@IQnLQVdfS62 z5`X`9fs{ZpTn1F?PY`>k4BT^HxeT|KDZ+NSA{(ilo!PJzeiPh+!U9=MPWIds2nbX^Q9cr*5L}IgdKFy#-Z0!_RX$8dP3&!00A9ZET*R1FflBpgmOwI#aYMpBA*J zPMgL1Uj~-G38_xd#;S2!#Ip<}O;npheIx_CZf8&}k=}3`BmJr0#-Vcm4rHGXLh9u- z3qx1`Wm`-?kLhHj2?l|00|stZgw6WzHTSdXHSzu zR9Gl4$Z+Q8sVg&A&`=a$DUr8J6@8#-+lchu3S7T>K+|-AIlca7wOe$49QC`Jz0E@<>aJLwQjFOpLdqNrO80l+rgY zlgE<>4^bEy1--Rv#6EZ3nx8;VXEO@(a_}T06&u&B79fl!^=iY!aGNND%TijtRFvJO zc@rExxDO~VMqG3R7SEq8(!Xxi92fUdTQf2c?&gN+qogy*PCtB$>lP$?sZHFru_L83 z%$^;-hvWJ4q#|X))7%_`yGv)JLk9GPx4Se}9Bx{p$4?TNblk|H2=Zf@Re@_)&G4g) zH#0_!#3NT4bE#=KzkeUT`dm5>Uovno5>A@|wyrQ;u7uV#J`?GyS1BSrIRRybPqAx< z0UFbI;P!4>uY%mnG!#F5BE~_RW-r^3akt|C$zzkpDCg%f z%VU?vFpp&()11y@oX0x1BQ($-6DCOKqa7MH#JQDgsnH%l9IcJt)XtL1KV^Cd-?eWm z${9Ii06d%>WM9fI22y4x15tjou%vmXnoYw80i>+D=Q^=X5!=_iZwi%xDg$hhW`7;N zXRg3*|3%!|dja+bF2d>1Mch7O2KS?v;CWmk52j{xXahO3Wai^36XVnF9&i5SeOx$FpZSDIoQ<=IZepKnT>pUFU(%QnSkc^QaQ zR`w>U&*o*vps8>I+KNOgjzNdsr%m}Zm(ur?rwUa1ro`=CJ?Efk*Zz$s1b|aGXpeG| zK(7pbeMtQlLE|-y$BPH@Z-yh)ED70`k5S=HV>^__eFQxd&h}`e7lqNYVf5@ndiH$+ zbKjQOUM`yEtSDC$cwEJ`y}FQ}GwnHWo~b>}H^&d}CwWwe)Pz{9lwT~;KX1_#Ck`K= z`L_fykHWBE_6(8!ZM!dU>D+1hv6tgv@I6eKAjzqpI(&y4viUc_+Y_UPN;WOMf9?tw zd*UTs)n)Zintz|mYMbl$xw`~dpU|@>f-hbs9*4tXyAe9Jl4SDinNty2C96*!Mf)#W zi*)&EQ<3OPvOO^ghjh1+{#;kgmuqPL%}ggfzqAm?sC{3_@>fyo1|)}7*Q5BZKXTpg zVAH}ys6pwCKluo|7RjT`#tG>cEU;SU_`OxDW-v89NX;unLR>VKESBW(SB+^t)!s_V z(L+44xQ-bzTb>_j{=IhWD3G3kAX__(mjOt7kPLRXCDXAS8b z(bfjNh;N)ewqIWa-lDOVk%{Xkj-%5z?M3=@(xt-9t$>h+xTvd-ubWAMT^3HAj98Y{ zNxvhjN#3&D6|$P-Fw5h@ylm)cX`t?BAER!KkHtNwv8>vtx)z^(M6$Ye4VY}!KzUp| z(gK5^yg=eXYvTD)(mS~>akuQTc$8#A^EJteix*z+O>t%QIc8I zra(J8j2$F7nD6<`*Kj;=n0`MI^t-%-X@ewvu{zC}J_Ywlp7C|l+LFeyw8oR`-5U=s zo71=n!m-UFP})W#Q$C4Q`!GzrOePN)nB#)0)4(7aA9u|89s zH5=KtJy7BkfE`N8Xi(!5v7XbJJ_`kww#c`*3C(FU#k#L9@nqA~8OXXy{TUEO@{aUU zHyVqiZ^O|`qC5A1$QaR9WMoM)*C!Z$CWkP!M<4OdA3Ar!VHzt%B`@}(*m9mN@iHrE z$wA4Ii5PDKl)vzoW1q^IRbB+4k3cAS5Gz3txaySwO}viDZs4HrD4f4J@p zr2Hqql*})tOzO8+9VdP$JYz5=^NfLuJl+^cdG?||X2~7Kpza1(uW}XMK`9~+=Vj0( zx9?r^l+O~GUks$o<`=!<`saA|@4aZA{O|fuE?J*^aMyo8%Kv*n%CcwdF_jj7kCKod z6OW=Cnded2A)4??`VOh0>Rxy`{k=Z@cL6E+Fu$^beQLZ$D!$c4(mORxEQE142nb{# zWe7qJoxrSql5*0fUL71!TqQQMlFwa*!bnN^XojFr3g`f^suB)hn+}G~QFLC(bepD1cLmHL2N?Ad8(rNz5$Jo1Q8Om*(k$%w< zYsQS1(y1-G*Quh+KL}Z_UQnCANF0E*ZTBS(P&wtK7-nXqV57Pk>ea80FIu+5eqCLZ z#l|7){sU}ORz`iQ^B2uqVBZFHl#!y4<>m$TCGx0Wr!FbTEwEQ@6H2N4Y)ap>SRM^H zy>)Bsp>j&8oScVY&{(}14cKtES##`BQ%6Z)D6-tWuwl*|u_4&FL0uSb(-RxTIb5F& zD){W<|Dn;RA7h8&N)(YIlI!UMZ3QJXVq@Kgjj(g60*b92kZW!Ot=S9Eux?$nYTN|I z%P2o7uDO=CphM}6YS$6Qy2d&>D0&=&yzmHYA*Htw8}T-20wWbw6jJ^3NeSMvLQzBv zY0;`B3`s#O%723VoJ?%psv{zgw4nFyP+o&Vs?RfTKbWjlK`W|z^}b`}ik0d@DzAV@ zUuiX((!1E>;I@tGcoy*p1z`~|pgba-y}eS~3+P?Xp60?}y9`K4{L-iP7Tocpcll!* zjlJd#8VVq#zJVT|=H;NUAQ#)WRRJa&LwAcdo>F~zgV~VMTgZTvx;omT9nW$}!PVA4 z{hFVm)+hhNX0>(5%Sspd4Yq1i-_;aKorb~+%tN56wa`uUc?<)#HzEtrZWWBcQ*rUITgog?Ma6^M2N9y-2y z6X@4e<=rik$nO^X&t1Vm^^?yx$2jt$&WeAIctep%PstRAHl4&(!?U=x?*eRzI3ncD zy%*qc;KimXZ-Vkh=j({SXRm4OLCtRe1+m#UVaP6Y?ywCVzLUJl{oHx`YpK%@2I%;` z0s8%7C?ZoH*E%KM?`CQyHd>vl)1eo{o3i%%FN%6|Js1#L>~)j+zz&GL1tealx%dhk z4;;txsk<>|@Gh)ZI0oDEmPov7k0OrR7)*V|-q}Kk`14&6Z%lJ!%BqNz_ZX~bfijO% zh&#Cj?&}xf+VVLt(^QAqsWY&)bwHrIGoED1)Y%>h9u6qFE34bPsMnvM zN^fgadQn>#NSVk{d#cIoLb?ySkK;7|e@k;A&y{i$$0AsED9ML#yn)K;6UU51usDF? z4K6G6?j->!r%joRkbAuGRS7p|M~oXI9q3dM11Z_dYQzJ{(B1aNb@b^i0V$`*O+t{r z1emn5u}0rM5|FZby8W#i=+{>QQckAyK#>mIva-O>vQ4(p!v@3ejs#HT1J^IJxA54$OB|rO8}7mIPLcuA=<#E5N7gQn+s+t1Y^c~=9yMYF zyxgSC>#B6lKXUjmc)CgluKdaWb2@)tmG0&4D!_Xpoz`6*7M3*WXCcVJ5p$Z$-8McuPzx1-h=ont^AZM_#?XORL1 zTfZ|B628`#CT4bPdi?XnEG$}sYg~GW1<_4F(63y5}=2B&}}g{|3EdEuib&Y|PRW=+@&%qtAhXd#Y0BT3pV8k-^&s_J&<49=2w@ zZ-pE9O%VQ^&abAA|D^*ct*l!f)w<%?cFD6}hAcWVVN*-^Dp(zL92D6AyL);XZl{zR zg2M*K_o$48BP7+uF`Uf1$iPxmKh@_B>btH;&*c4Dk2pSU5Dtzy-=mn4 zNA-XDw8R9ku?czw&sJZSHKXP!fq!q&Ov~+FZruH`6^5$ntr@ z&*&~OOJ$SkKc_VZi671da(Jm@-R5F$Z|{gbUwV%AyJC7vdmC4_qiN>&^uf8j;i=6X zWaN`B8YFf?%>BD0OPzDA)MS<@r|7oQ>cT4fixP)Vgw#K|W)6A+&Mr^KD&q0(!Q9be z4S+>~ON`q2;EUFKa|crPJ&^)Es5oWT1@|eXdKFv?#Z!_I>72JUynSwU5NnFrTkvtp zDSFQR9u&&F3b-t7uG0vhscfRuUR@p#2jl_u1PAJLJc8(6&!>oyL*Y7p^=Uo)GI zxnJADq(j;x0TcYMIw6p zSn*qIlz5j_?1$MjD5~L$e8CUTHggakQ=ZeN zmmc~YJp1B{Q`QmC{jR2-q$DgPVWLSzC!?ao^qd|rM;8A4$&3~q9U((&NDHw3X&-|g z^BrW{C4U9(v+OuyIC8<*AMHU6=EP!t_0VnA(1wgDa76-6i=d!DcKff4%rxFtlKqg2 z!Dh^qYhKidg>iVPj;yd1M_cv7OvU>$>9R^2{G^jW1{OTAV+%G5dB7Ks##(E zEn!YWL%zcArm!SLpw*m?c&JW(|yc4v>w?oT?k4l&&x%3ws|0GscMO9Vc zjVAh*&mEFHfpE-R!uO!iV1vH_&=BWKZH{JXy6r&@T32jvHfxQ-pl{KQg@CZAi_46< z1RGS+hv9k@gEPi$v*7^_Jm-e<2TbSpEuy4yKFl7BKR(|#Otbio8U_W2M!seVxGVF- zmnjv(U|?W~cj+O3w6sG~{`al;QzN9^r;KVCM|N`3dh^-^egyc~z<8TtA+vltxcOpQ z{zx@Z|1Q|wnic)U0gKX)6SL>nw<~>_cT|zFQYi+_I)dEAO8*$^wcYn(Mi93wdMwR8 zfyeVRYtZFt5j|zO>X=&#Tu)f|XBHbSvG+UctLy(*XhrD@#|=lQexH=A?&$Mb{L8o1 z+23GR(NFm`R>JBwAblXK@V|HcZWI3YrY}hdWo6&6u%rVM9>+%pKBr?6UaX{4fT}S@ zL}Y~B{J~|30S37$od<)Q}9piQ<^5X;r)PwOph+PkBMicQae@EY9IwG@~2brY4n^>Q*~V zJbFY2`7|V;BTP7Yd`~&GH$5p$sWsNKH!Z z*gcAfsr=%HVfJ?lb>nWTqcHnJ>A%XxV}zdAmIrzkasokco)2 zi#r@^*7Ne$YWicCJ*Kt8@_I7wwUbsUai(rA;#j_P9z0U-f+4@;bjT!Gn9(%TX@X$I zz2@)bB0r2qtEQ$D`sBRc__w>uQ&IfRmZ1t3L;7*?`CP^8V^M?0{v4-jUIz7Vy~n43 zS06H~?|GQ4DR$ZA0YyKt;h;l;W>OEI@X*sG>{C~9A6m)qB{|Nl1fM0o&>xC_|2Ck6 z&(E!L`LnWFd^-2TQVzE)Q?QPg)c6##I2~awLqp(>a*n36L7;s1a7nILR8b^$qJT$` za>8^mfWm!1sab=To|KjJH;*efsrx`P<%3j8X+;^SASY%U@9NBYJEj~S_jh1PhZ+x} zf`V)yAHP?PhK4}@v;(dVR_dt99skc*g8Zf*tOZi)%tcL*%fV+(ImRXunz)ytL1BE3 z8y)dkyUli)?_S;#_`KQc34TixOu)QuUX3^TP`!#SKD5GA+;6RSdk8V0G&kPYy9-BJ(ui;@D>mnLIwXTM5XY6muMV z`;KXtK4H?O`iQUi@NWC#pUWXQfA`TywpFUyv8Yj!XdI%)fqBunonYJ?giXP~B+^Mr z{tpGYZh{zyVSdy{!25^bF6YsgVz_ltpG6FUqgd7h?eWO8=sFfNZ2b1tU0EWv)H01Y z1OTYhmaso-_FV4mNg(W!pNw43aWWQ%q;5ZQGpUx_K{ad0_l0J{ z6CGlM%RXo~soNSEb>FXz777%hzqck76@`W3*NK6!kHV>omdn*>cV;^cW`;rs?#bgd z1&<(rc#BSLu0vO%7bUtaa>j3;ef{B5Str`eC}70+z3QBl5q4|0ys3?<3Rx*c>I!D5Itm%pcWACTXC>0&VZwRt^w z8+w3RfF{t#ysV2Xqj@J7vCu-l9>RdJRl zR>4K)@s(gAi}u3xznPy=$~Z%=FJpzKdKX27>!mp9Chm7`3FHdOneXqQH++qn2jwtCj~BhTj?6q@*h3Nj zTUC5^w!wW%$~yg*$N4*UvrGJSK?oAn>%0?(JGJp)woKPEzn>Y07Y6NULb|0V68%rc zbGtMCLY{pgkNr18X6?eT{YRgK^;~Z25Wazu2lgYfhYZ47>y28YwuIU(YtHGBjZ}4t#K(p}XgaU3y<66I=_z2l#< zA^Q!(f!MC566Lqg*Z339wuo>u1!IBnVtEtSC`2F!J4)X!FX-3FH8k{qWp^is3MLct zYjjrVe|gA>8e_7UUCn3z+w(1#+0NIkhkTuSp_lDy&{^v1$uDV8Q)1+HT4b(@2iP_k zR(pZy1f&diN_*@sWlMfNe|o*&f(Gs@(2^bSbPPV$Z|!suh`1=0sa~aTsPAVMJ}MO%HXw}YCPoN9O*Xl5agpKP5K^gj z304O8A2=~aYk_D5aYG2a zTx79aozW!UzUM3H_#lFoY^v-DaKd_dQG%$6o}YNtCltcnJG2z!7 z!AX0_nl-4$ap}(VA^khZEC&?JM(oWO4+N+Nj`# zYCkx|i#d;j_zlQ%>LWAqZN@caahVvF7XTXVS>o=S0GWwR3{8ne16AqMs@Y1X9XwmM z;7!uANok`xm=te72~~1`Jhd-38Rc?rk{MdOL(_M%JtEm2K`wzVbf~!c7&{ryM-LKE zd_2jkwWjkAC(-ag*ww93AFAB}&LzP{%&-PZ{=oQmI0wHlNn9H1=PoogclW!lU}s;-U15NM27~wl07f`xQIHWVC-UGCzQ*=e@E zyZHeD1zuVAnyrd_*VXZNl?gz<>rKER%N8uQv?d|jPu%kq!E)tNFt8}`DMC)MYjM~v zmwT2-F-K~wKWFAQbjU}8>c%+!ld$dgTT@nm&wXld1T?Z4C|$s^%S<2=HAp+arz2Jn zN?6}@q11=QCgB50Ayy2CNP|?9I_n)_g2dq?iS|;gJ+X!`<+HAE?M%07um42KLZNeb zb;Y|s+%o{xB*T+<3Y}Ir=hcK`6{n9V@gPrI_Z{^nRlHRZrrbjX10y8B_3}q(P0xRM z!cm7-Y!X5aO?z>f1kbF`ZZhT99x5^uwO9T~DGS>tfm;gVpPPa{F>VgTE*&TZzGi>O zyHZ8-Hj!gQY>3WJT7>dI6DWIh>fUt?Q;`d&`4bsu))qd#=OOZIb0G5MzabU6TO$(ATPjlU;gU~tlI;Qq(Htt z`J%-qygpu#E6eE5_F%*(%u&zcd=OWOzC9lMYo}JnF-!*56rxj&6nMCttU;GdPW3!D zNl=CP3~cCsFYZAB?z5_3#wYli*%HMk{I#={vdR<99n?~Z&*Oq6wZtaXe=sx{_z&0? zmV8=f5+7)X-ktYpgZOD$4!^zkAF<7${2#FmUvoe}s2o1G6_hk=J(zK$ErqfoV}b(* ziOaQZkhjk)PGEoQypR}nS2yg$^_{XYoI6^jmXvFC)8cq?vx46*nU3 zjQ_%edrOs4CxT>mCs4&zgBMGcrr8ic=A|Q#1M*>vR>%B?J5bgRWd3;j!Be5n!tNw^x0Q0ZFaAvs`Yo(3uy6BYC2_SB37zAX%qC|EN% zaLI|p92)OiD2TG+TXvli`eK9HI9`m{7mD1s?;f}MjE{crmjL6Ry@MVaZK?qtvqzv? zfG$_XOF{DrqHe_Zv}A@!8)^+C+Q(? z#6j&Xt&n)1+X`hoG$5LJPcyX@g=B`QKKnWfo8Hou-|z!hPHNh~4p<$)RSS}-NhhSaqva^*jh@hU}NW{6>`fyNNM0|o%|B$Le)dPO1T&%=8VkzT4 zbsNYQK|y}}m*8o;>ye!;2->o(Xy=Fc2Ocbi-S2g}o(Ni8wxr-x%VlI2N*oS*iM2)* zL|V!{oWOQ#ty+vSm+Fx&Z|snYrF_hjTf{U~JsRe@JDLqCY3M3^Zc)fNa&F}f4;oe9agzo zMN}a9uCfv8Exbi{(!Kk~YqNmzkIyUaArfJ*XQ;yb57MNZXz0GMAG?Z&@qWYV@NlhZU_A)%a2&EC zGeyG1+p;%soRPyHk@i4h>b_YjLQ^IMyMK4IG47b#P~JKB&qjO_FH@V@{iSFQx=3;d{W~3j!TG2-#4-5IFom z59Co2eWjb7@8k&zh2DO&HfwEf-hX)@w2nJM zX7>bNNO(N2?F;iL+E(=4Yw^X*K$4B^V^?Bf$So3w;@2R_EZ-xgOdD=2$GSL$jxXXC z`6kP9Ys9>ze81#0Oum`e$r}c6y@dS5lr6z3;kWdt_-ahL+iq5HXeyCGOF8Zk;;-0E zU5q;8Mzolkw&3*;e>g!jf1Jo+RZ{|Go5668k9HwjiDjdByXZBETv~bik@i%-&SPl7;w99%S!%HIg~;ZqsFH#c649G^e&7ll5eK}Fu48H53@Ap!|<#A zm-zG9zkJAvm~0Vub>x750<6{n`-qihHNR`SNiPh#u%u4T8OT%}UegTv?T4qplULhX z?O)0pkKTG>K^9c(38kGcuv8?Mf^m&4xWf6DyF=3R-y|}$U!!8cH?NP$ObHX zc&y7=PA|5K=+BXf#7suWTXO_r4ZL5&Jz~z6ATK+R!I53{E%gJsg=Nrs_g|5Nw)_)I zYo7vMOud~fpPHb6S)2$@UEYc*@zLy|F?s#mTM@4#^mHV2q(p-Ywt&*^i=I!sCTU^q z1}o_AKZwF^IwPG1mIkoFffQZ5+4sgvj?PW1{#Gja^!OmqdSDT@4Eb_}#}`E1CQJoC zS#bmHGXq+;-xPm$Lp1$a9^$qZ8di#Cq`85Y3_6eloztmv^X6lPcl&1d?%zg!)Mmn( z{!IF>e|Xs!4w%IX>B_5xsEaHwZwm{$IEa-@WrLFO6ufTyZD7+fe*b=u1U$6Tkg!Hm zDaMpspPqppyIk_k{=}@9QEBAG`-(^Ct%u)6oNG*un}DbP#F6iPoMavpSNY{~3*VJ! z7O8G?%3@YZ4&b6Y%_;=c*BTDIHDI)n&pWhv{^GB=qEM;eUDeb>ip1cIde*&vHRkh+ z3vISsY~F!++$TKRex*GYYR5v}Pxv4f9GM}nGd!v^2y(~Xyt!hT+(k9R^x`O1N9KTjo z3MKYFYH`5wO2UeGC#Hk0)QWhs+%MCWwRgGkV#wh@%7#@C8P6e~={zHOXR#x|vRw#m zdV&-`RPUW*$6?p7$lJ?Jyq%Y z!fIeAE?AA1*qjJiG4Z2fdim)HpXL$j?j|_zb34I=W7EN_0?l@ElS1?E%>rP%s3s^g zAU_IC;bg`_eRa4RAG3#;jZEj*L9aOHRDwxu`uiM4<2f>6!eh6HY`|+`hoBHJmy&%v zwYR${g&qbjmL4GFkWHIeq0zLlIysDJUX*10^fdB5H%he zwKJ_tJ<^Sx$Z^Sh_cdElTq%yG`bjjot%T;bs&LXlOSR2TqHm6XLGhvcBYS7Ea6B2|ExrOET5YbwOrc*w_i0lk>I; zyP|cQQJ6gu)77DWzL~1Sb(sgBdB%#SAATWs0rIA}H#XjO-=*%h zDcmvBcs&r(9mbEq536QT?*@`g=xXgA01T6v>O}r&)wM)hkGL`EGWZ4um}JIHtJc0h zf43=3jLW82`)xpb3bcQKl{{q{{A(;a7I#ooa&1Z53aV^&8i31qYOk&2;)9w_Llgi$ zT~fNUnhz;wFqi!BURHV*n8I)fKAMkr#JoOW7MpEUA@-VeqzM^e?7buepc&$W`JQ#m zP~dJRAAW5X78@Qli@`a<`{zb;FD#uDppRyMs$lDXncxeI(S^7ge^W(7DHso<#UX7$ zE$)yf@1up9GL=_UTnUn=@Em5=3uTuVauatL=AyxiTto`;GT`mM4LMRz5oQQ&-Dps^ z+{OEtu79n!1RG`KjQ1rSE!3t+B$vM61$f~pOn*ro)cne4+8n;(5L%46cHu=sgtSbMT2-|5}+G*YQI=k-*fQASsJ^pMCt~s6J!v-QuF4 z=?Y`?6!%!z1kL4lSdE}Xc7g1Ze-d}^4pAeAYd(GQ2g3N>q$FJHL12{UjTBNC;67VQ+(VLN?t!%6 zUmq$aYG^4CLR?9EFXv{{n)f>dx#iK0W*8*;de73l>H2j!U+@>dL3_TZe ztNj}?L}8*hRPTgxLO+GMuQ&2tclcJi{2$l%#2-)&VE*gXc3s~1v1EPFQZHhy3Ci>K z>+9I5;bz<$7KB8Zbf-*iL%m~qO($K-21VQ0kQjUQ2&i_#1oBKRJC}zM$E8wr!w(92 zomby;lOU+4I|9t)yZyta#xQ3Ax>r>CC3Wno%R2tuIgCud;e#p^1bz@5k#KdSJxgB{ zQUSZgYUxr31@`K&64Oz7wqflYT+kl<>sVuzv|cOjUcRb(4qmr>mqQ&#U-tt$aHKRz zLCP9rxC_vUL=RFKs~CfHk(Mx4VVGp|owZ(USLv2RQ#{HT1y~e(6<#hd+}*4|Nn&#F zUqh+yFOf0V&EJnaxVR{8(BL-UetJ0Dj>O=@QwE!1tfix{#-Jk<>7pEs1C_*O1X5Bt znL_iQKry;g8mH?Y6O@)T3dQ$$oU`3(mIOM0lO*BCF(ev=tO!0;WJp>Rf@0Ru&E4I- zS{VuGrZZ6OC*)YUY7BJ(qg8%`Iju zR+wbdpF)YuB^H){{#gW%DT$;}&iLFm3}9ZbudgHSKTdFQXXfUIa^Oe` zM=v+bx4J)My`Ltzx59)xeprtdI5^)w%m5`2z%v$fNtgw8OqH@*CQ<>3d=E2?phe;0 zyqLNqRXsaSu3bX$vG>A$rCH64rNW;ome92o~|s29YKH}Ew7>a&e@SMSC&cO zpK3GR-E{{E${@i6iDrh;qODpK3b23tYrhVT1He@`YyPHyn#}+WEU-Hp6Qv-k(JFIptpO1dv2+43DQ{___UW-5DWh zH-{EAHv9t(9cr=U=MimW7Lh%%G*51QsnbZLukRXEbxb4cV^qD>pT)MChM-k|(QPDkDe53&z#HFPvFFwakP1(lrEI9wC ziKAHiiac}FNB%8`4 zAte>2L z-TKN(p6yn5k93cTS*VX%JgMjX-|Ua!V6#T;b=Sfzlx(O{+sc0wZW|9+2kXk8pO7$N z4nr}x^qpsFDH^?(ON@v>C#F!rX3vq%%T*;Gm^*vEyI$Qxq{YomqCjI9Dz%eNLkByT zzHPJwK(o?@N3ZoX+Rnv!Q(FTYXg z1j5<%PQU#dDEed^SXzE>&>!+w;!rn9_{CTL=&EAQwxi9U74aueqa#QxcTpm)_e{VS zoGvB_$L#g4iz$aMfcP6$0glLF1K^S@QuU9@244?^ThPG#RDR794cr#l3tYeS8Ad3i zj=~lozBj^N!M{X5a8uL-Vfz`;AD-ROc)(}hI^D#`JGq37M+nlbI?%!IgxdjjA3mK*rMXbC7OUXZ=+N=Ypz zTpZDDpDp-l9#oyv*)wVx?w{J_afL1^9AV@nVLVBVcOIR&HXrfE02M(rXq;LROl~P2LuCCXWO+&TO9EDa_DZAe zn(AC^G(E*ICss*JPF#?XbK?&2qI_{!ClNhMt8CJ#b4&9Qdxw+a7*c|B!wyo|Iwrkea>W}kD|9(X4X1dEjP^s@gP@qAl?Z)@d;+X>IyD$nYs zhTDmI5|PrJ!&@f9xIwxEG+Nr4u&^JmzU*^KnTpws&Bt&xRja_|lf-U~q7sYv->LD* z;nWLUkaPf`N+xHx#(9-s?i|XcFiAnZ>J|ScGs|Y1%5j{+t%Kgu>hWr0l+#?*U0vXi zk}edKrX7`&Puqa_&Nm{vH z5fc59HcD_xuV=a0_`94dBi#))}po|e-BnL;m>2hIX2i?q@;;+IV_^5g+7Q6r_<%n99;Nr zr}a7u+||{?f5He0Rfv3_6-I_*a03TUFqUE9tf5>Oc4MY!kX1ZG?&8lnk{rN(6Zzo& ze}mHTt*zK4^-L0KZmcsk%pI3?nXDcupP~k1Gge=el$D5I5tW~+~Q$mgQ-r7U!BG_ zCfAzg;8o)e#FV?zZUtd0BTtKEG)#n;w7et557V6Uk-zv1&?KGlK@3FZw)Y19pp~Ya zu2zy_P)TfVcfvQrZxm-|KS@4+L%7)R`sw-L#h4;>0Ui!11vK=#UAT#!a9Se7g*3E+& zWzc7k=GrHua$S=Q!ZpGAdxCZ1;Kv!wC>L~ii*lS{ZWQ(94b4p~OhCVX=soUtM!)zD zjHNv9NOg#XR>LJ70T%~=fg%p_>2dzXkzO8CRok*$W*|kR9yo0#CuaO5`zkHX2^inG zRN_4usF9xS2T_%$%CT!x&NP_C2ZLV{EJ{IbBNS^Rle((%k4Y^yl7rDi8b)S-n=u6z zgKmI=&>LcQ&nt{+2o(*AcMyrAy5a)vvpDko=^{*mU=vq zi(4T90~iCL0=;xE2fG5#XSO}@eo2@IZWwnsvOMJ~(bF?GnYQ+yV3Umh)#g6*R!TdN zy~;d+TG`zjzDeQIfX24>pMsu$FXz-$O%Hn?hU5?vFA8JcPt02JiIc!-UGr^+PesPi zOKa}}+KPqRy&o8bKKIcl(pli2YSs%Fcp%K~k!E+sU7n*(X%*hXWTF79T`wbLiMu-O zk7k^;riVHdD{Z@Ft|Ts1>tRci8HJ=L+IZh&q@HfYniWW#l9vnMPx>dN%ve_{gQ??L zrMie?qAQjQhz>roa#kCB&~rABeq>c4B$-W!GSa}`iOg42U zeCRJVv3Hb(7@+sj&6nDL=#;C7;gv@7)mYxxlccH; zB-hDj*`d=8LoB&UJ1tWnwfAk;k<7{0I;cm!&H=YGIi=5j@Y{KA7ZC`8W-0ipK0>~9 z`%3phxbDA}Xz>htTJD%(Z}z4`p;!_K4?ocCyJM1i&;^G*JJ(Ap z_L_Gc1c3F#mAW)zX8L>UieM_7A`~r=<4*ZpZ1&pFD5V$gUquoX`W)VcV4*TGuZ@FU zYvOXHax;0Jgrz@MArI2MV7#F%iwV&zPWP5Xy*tYpV(%wHrT*-d5f*ZXPdi7-@lraVFvVnuC}6Th6MVgubA7T(95^#&o_|}AdjG(bO?UW^P0oG zlbfjfEWkdP9(Vy1tsG#5CJz(V>bF2IFl_}}h;S9D_|zDe>U1Ius#LEy&?8d+$l28q zhmYFWt$TeZtQ5EiA&iM3IGP3|@8J|#Zh654C$`j0 zO~86~D%<&=x-sx5EJWWi3t5Fqh>wR8Mz0r;;VgD@k<~Y^hHlXFN;MU5>OWZVy@j! z?L8rgZ@4J)FDvS{A!}Rr+b7IT2dDO4yD+F{-(grUxJLDz*d-h+3|%m>Dhi!}AFvax zT;AiD^+njdijv+FlI4rLYh>RQn1vIo!lVwG$h@~k>bff1ecDsTgdB=(^r0X;{rYiJ zDj20}B%vDvC4}ZZ;;g}UN%Q&$akG{*$7vqLg2I&syA2i{k1&hLBko;ggG+NWM1~sr z-Z1cM^(rw2N#jGJJ;a$NCTJfa=i)&w^b}Kg7DbEua*w9y&Qq>aCEsBc`x~{5*suWV z@J52&r95Ahbzfb`T3f=k{H)ePD@6E!VZ7~N=Ogq46Ed27I` za6GMRoItizSS{?RL*To8%Cr6HC;RcLVBeUE%`Y*dxZwgEZcr*`2s{=aorrtEhKN{4 zfz_roOK?D#h&zCIp&C_4NX^M{UY;8|-FB(u>l(br+sFfo@#-<7Xb{HRvflRn>H6E`a*3#vA0DJ1~nW zb{^FA^F7+~TF?>t62J^Uvz?uoy5s(y@ci|N#@;$x!d-Opb#y-kM?$uaP)CRgNwn(E zABdu0D~Qz7pb^ynjLPnK!vN^W;`fQQyWG6thjjX|Uw5Rw^cBzcWf6h(DE?v&fp~Va zKH^nk;x9t!w8Q+ouWljJB4@)%2wy*Q-u#XYOK;J|GV!k96HgWeVTN+q z-#_cm&q$OAg~gZRUxIfSNyRz+<+vq-U#GGts29USl**qd$qQISeM2v>P*vLY7!jGw zhVi4$6TJF?>G$|K)?T>uv8(-IKFdeuL_DH*>!#yitkcyz4zeTsIkqwLC^{t>0*0ES zchMI5Ok8@Yhsn_zANr@M$m3}a1WyY6uLwjx9;*c%@d1}qiIud&N?WR>IgZJ5)9nVr zlf(kU1lN;5cddk-%$n!^1x|2mulm|yQoM4GtMHfQL;tj`=PoLWKviQl^T-eKtT37( zUackkEorQJ3(BIiIfCIk>ha|4OL#@rj>w{7L+_4laOmVcS+U*?k!jIavNR3KA4%y%)BO#uHJfRPjXT_8&ta(x?{%N% zAaITe#Tqq){u4?!n%D)ZK)NJ=9}9*VH6)Ft$v!}8$vi+ACzaolvP>1@P-ytaiy8;b znV>zPK^l80JQ(bj0HEkmWrPradCxIog6C0q;I2muA$y>GTBkY{PEk23eJ5O~p^sTH zL@Tl_K8ivAY88&w0d0I7QkOXxLN$pRbT%*Fe^wT8}hUA3vV5@{D z#uv?)xZ4d8T1v%Sb)r$7I%J&!9Vx*gQ~}BK-76P~A$Lh%G);VR)> zI(vb**UyAh4yvCQ_G$Y)2OCaVd7MG778aEgYwpl@PnwbTy_WHX97O2~Z(+V?(7EM9 za=Ul-4VM8NHjRt{b`#k{XB!OX^^pTwe_F_@ESi5K{DqIj$SUMays3h#EWYX8lbmLQ zDv`>`byoNrbB1C*xi4>t?P}E_ZOKdbwvf$ntVqnXX$kF~a0Ih36iMM*b<(1bn-&`R zxe(gj2vg+1{BN`u`ZITE8#*CB72W?#T;mE`Z2lgL?`f~Jig+*8M%Qlj9Aa#245W=c zL*C2A;kNjeQl;$QmW_~*lOoOELGk;)X{Jy(xXrn5GKX~#wb{$<5y=wLv!`7un}-XYX3(@gfx)+Q<+0hu^Zc@2 z@6Lt-GBEux)S_D|vqpv0*K4#2-r#F@!^4dn@FZ2#E&F-$aP7WV%%a)-?S zmC)SfW>(hR7%B5HJL$U&9^YO__A>A4JYa?}QmJ^dt`&ZK7L zoSI3fsfci63%-awx4_jGZ#uIFHa(*yk~e``e>d^T3dkfp z63IyNFwl~!Rly~oc0NoWbrx{$B2PYhTBq5w#y7h>O^+s4H&!=XVem4OC7@7apbBh_ zgS>XB`I$8cj4?wUw3~x+{Qbjl67*D|5G*V7AZYnrLnbdbXxy}-bT(VLX0T@^d1S&b z`P}#IRe$!Np8sNI=Ay;rC~I)Wci6imWoAO-8`BPU{Z~AhDV7PIqL34YWTzV=ApK+u z3X?WsCdZ?rhrV~f&!{L#IMQteozBk1yAu^}9pCwDw=)CE-#0|Y+9GeB1K7V2Y#1~q z-QPWd(UuX#*v#eG2wMGWhOqblD}wf*c5afs)#YgnEmZvUaxp?^3vBUEs#vz|-3aoW zOHEd=2=T#h-GdgFB54`ZhZz|4Grf`)zPrS-*VSTp=NIhgV!ru6|0gXkzQFZp)2wh& zd;QvMa`M}}aucPh$=7d53fN`Sb4_DbUZHQA zX%E+_RfO8|`*TYDk}xZe;lvz&=D1^k##}?kJ%gc+AI)6ix-A0>`^}ecNb3~Ml4!eY z9<$89e#L!);N7zpB?odu6CrL1AsaLl9ifnyJCdBRVSaAlS795GrgzT}{?|0Z6(XML zhwqv9vuqglldbNstOxd0n@(@C%COz-f(2A4ATMd?gss4+M1D7vNRWc02$4k-8u%{l z0%!PGnchk$y2m~#MQ|})c=WU(zQyM}=N1%tD|#v8*801|J;y1nAOb4RVX<5fTv;A{ zn|;d6&UBh+D{|{6kua9QUqtiD0ZwYb{pG6Iz5)S19x2%^#iVO?jp4Q*I7Ae;#as;- zZOKnjR7ke6&W6l0OOk_LQaAyhl$=>Xo~!2m-m5YnI$?uT45%=vv|eXdZnVD%C?s+? ztlvN77jjJwdJpd15l_e^{*P^YP?=^qNC;*U z&uFVCNNx<9y9p~b3R?u)#}po)((la=uS50&kkMbndQKsjAsr3bPz{!v(-`kYKB*@0 z*onZYr{Hnfu8dx#^p_0^CA%XiPWFzT@T7RH(ngNVcJMKt@uXG@v2~sZzdt+^@(0>O z!i3+79pl~_P{9zs>UKxm^cOWF67h+HF}!HLy*}&TOO1L8jc+D914EmCr(aF`mkvmU#GoCK9pAeWeAT%A4HuZy2WK1I- zI#|O2=CSVRx@VUB_0T4l9(m|^`X5t)kWFSeeAUURZy1M(hLEulhb8{R zVQHV&l=``sM0w8-ZN22Zt=eHb-SR-eWCeU1I^+khuX&>P8PAq$zfY=%JOQD!yMBcZ zR+F^!)|UQ==ZcI%1G zmb&@;_HJ@i)pACoPqzaBKfUazz0V*{UhW)I2^|8!KWqgcS+Z&4Sx>@957?g*r3qSJ zE5a_z_+!i=^c$fLQaorjT_GgiruR-Z$!VJEdSwxib`6j=GTmm4{20&R?gj=rdzfui zW7c1bFTaxSx#H?AK>^mM7TqITeIr+}B9kHxh3TOB1c=qf+| zVv`?g64LwJmJ481FdlB|zx+DS0W*pko|w`J)`8T65^mznyDnqC&_D|9gKQBQBO?r! z5q55DJY!D|s>~vjc~h2^cEXpsr#M1F1SEF}4$}2<=*7Y>I%N|gj;1EPb*mxcaY4@r zt3M?fF<7hB&a-i^lZ3`c`@F4Wndf%@VL*9nX6A||SdB}z;x_s?4uLiX!y$4t7aWTh zBeGIIY~Hs_0cMoFo!v2Fv& z^Qn6@S8V&_RW<{x>Q8P0QV?Unb3-Btnr{8fr=K>%pK02U!f)E5}S#G)i`uuoa}3Af%+Hb;W@? z-FTY6{oTG}ASX_0gOY;AVz$~{$eP`MX%O3xR7`~2WM7OO@AB7v)=MBH67@NSGOdkR z^;FS=hsqz~ope@qV-Co~$8LFy4 zKFj2!xUqP;+|=H&p+it7E_e!od;L9cB`(Wq9pvd^HPV3^Md-Cu5~c6}Q-XCS)}**` zx&+8-7(gx!BMJW3wa6Lh2bU4K1y82R4BE< zZmIzc%b@8008l}%zR-@TfaaY_0j6Y7u6O>N(O)GvWHeR3p%9M-edjDRM z?#*&zw{$Ew-cYaF$o$vkMxTp+C*$J zPwf}`FVg-JJBNMO-pt=a90616(VrqIF_h-7>(GSe2Thwchl36385|A<> zApz@YPS}9vpRHRpho;76q^Hq5MfCQ?0;u{fx&J9*r?0<4(h;ENWFw^Dpq&M}cl!p_ zs#V9NiId^wDXj&)O5DA_i{40VqnMf+qH||y&!yI<-SFeridZ)L5KbQSK}HJA!9?`U zTvTvd-@(&+Q<)4jT26CGvU?u+K1A}VBqScVkNbxt#}#i<@^PkQJUH<{T$$c3^J{ef zXfhIy@Ne$p@x?eicOyOU4Fhi7p}AuMimv;>e1oEJ+RDye*G`#%q_a*aaSyp*GFLZp)|BY>2v--t-5vjaIa*Jmd%+A_OH04d2{5>8FCvVyooJc33xZoV13?&f!#Ki&`nyeo;GM0LT!(u zg5+(lYl0s;e=X`?FlroPj-ErgXE2VbYN2b}b`p@1BT^4a6kf5R<8ktX|s_^ zHc7FIpU|x~WaqInTD8S1@c6R3a3sV|f!I+HI{;#bvuvYhJ<5VA+3xilHxiC_t=22z znX5k@p1T6M$uoqrnXkV28g`^B92Fy;`lRKnR|#Iawd;UW+jWGl+&*{;a|RD5o9a{a z?$1CCQ%p74N0G9Wa7fI`T z#uLOkCOe_yHP+SR^h8w2LH(^Tdayah4cYmd#O1g=N3&$$=EW6qGRJq5rS-)(`2Qm$ z+RQ|4e6!tEZLQFrfgrh+(3qw!_+ehS%?uj-C7H{JKeJ=MDtf!zhehKCm|*lz`WQFR z1oKy%fzqKE7==B@&UnD|P9=;Z%HEH{U%)856h^m*==1CEel7p~$V5hO*3X|!sNPrk zqV7Ld|KCZ>&ue~V?RY~TZ{|zieK=IbJM+qX{#O2nK(FM;JPLhyOZHXyf`8`ypW(mi z{(^_!LvQe_8&--Pp)X)@y9~~05RoatK+3$2K*|q|s?JSS$f)Z7DiRLq`8-Y7bwr=F z7w3%FaeJR0#scs-W{{bPV#&Zn{ypN|1o3Z!j+_(co5oTZ240TnXDXbv{}!=x`JsJG z#Tla+qb*>w^$_k~avLqs{Kp^j6mQzu9m8nf~;3pThN4 z)g$$5ulky|^53rGUm@n{6<=@m(Zt`I`LcGrYRiX0f`8)im0W)#{{x_($?;7Y(`PB4 zWnabnhsFOdb>;o^!Yl@`NdnC2-CKRg8=VGE!6%=7hJL+z;FA4$v0f4p9*)^FX9`>T zyB~gp^}%CED=HH!ySy^@uDzJQix?DGO!wrK$jGF0Y}%@hrp;T5vjGa5C?gqKjVQ04IhP5m#-tcOhRn1+#cqa;?%ittXOy$lSWuTWBXSV6h`tNC z)oW0+0c2)+0Q6n1bz8UH~i`G=kBxwE_V6$le)h3zngAz4|2IHzHDAa)ky1EIFUr zYp_WP`Tn8E_6mZ=iZy6L`7P+a`lcqoJd{fl*!PM^xvMH7WgfJ3bjV<6hGxWr?lv{# zB|Stg(GE2-BzOb2VI%0Q*@#@af1dYE=&ar#9mJ)32_R*DzAU1Nf!NS&TCV}LSFA;j zn;-H$0-(2Q1DaELjYnS&)JPtGk0O$cq>elMY&us z!3y&8cMA__n-}d7;LA0$c45QZ-NMtG3gt7gr@Qy1s^74exYbk_^RQ{bZk#bZj%>Hf z(qiuY8zKs*046eUGR{Lrl+V#K|1OZNs8_b&8%6hx@T4)xALU-*D7qSlCr$}?;X&h7 zn2%I0mPy7V69Yj17jJKV$9l!OPC73Zg5Q1*{wzf@u+s}=fljz=p@YTKCSvfAq0rLP z$NdKn@sh^sbLY>aXV0Euq2ld`lt29>I|MO%CSoGP>1D6PZ3fiz#H0RhhE zE?{6E3Ai|6(j)`~28#NxQ~5!|he<%n{)6Cu_#`SLV&SsO4nuyBB12A?JQ@D7@>j21 zMxUP20iof82g2J+0xmjVyNbTOr1Hau41$-BbXd*Z%j=cr_p!rAz~?GGtAZl9IXGh2 zAPG!3X2eK%UnAXkQVe%TCk!7X@jqtVxYs~RZ!e4(^~Zpeqv>}6zEXK#FLw+bDgh=3 zckBdj!<~R<5W;QtW2Q_#Z_?~((-GjxGAt6jj~ONb8i$P>0XNybd;H)Y&C)-V;7-_Cu%6IHIA4ve0NClRd1H&G&@pc_~fnd0sE2ca69nRNsif5-_!U*ROHm z{5e$8`xq7(g_(>08X)D*sLCt(heCWXhOQhrF>UJtL@O>6UcJMKZYwPNLlh~yviI^B z#ih8rnQ4ja`dcKGV=wASDvQMbmsf5S-op9NO}AbWkg|`=TY44o|9tSLH(fb8B_IA- zIZh87Cg=!SJ6;b<#~5Jxa1*gH#*r^qj@74nwT1U?`H4DMLuJ;E*QL)pphWo$C{>~R z^1a`es(!pLLlfjWh42(3M z516e5taqTq?kt`h^+oX^dPi*xh>tm>7o(}{ScxCz@xAzxfrI%l6zdl0d)0xaU%Ur@ zhTaj8vIN}HrQ>(+v?-VQu3 z%EyzO$I#Mv4Wu+;TaM~s0N-{E_5Tf|Y}HZ#|JWhTW3r<(ban_Eq$Sx)25aQV_6el9 zn=dq$t`bomh0Vr5N(O~;L`t%G*!E!?NI1ozda|G7W1FTLYS*qVB6w=eS%^Hc6|)^& zp*Cwi>QG+YTGgSUx&=88Q<0mRjvbm>!l@10WIC(XBhNDsxny^}veSsC9qJmwreoWV zZ9KO1*ydx~FDEY-d1QC#l5N(U?JjDY)>c*IP}%&4Y0%Ts7WqxrM=&)s63&m< zc2`}r9GNcODD(+|shkqpG--wgRDP?HGO`krP)IT~R96>z!TPa9QC{fai>HM`C!02? zgKCtoB)=Zn8L23L{uH~cEX2mgFMyQRR`~pj_NY&`rSgI$NaKhqf#I;+ycO-pmglt% zCDpCMY1GpfrLeSl14yZ?gj5DtmX^Yb?DL-iDJlP1*$Y_j+l%&Jc0#?HHK8(h0kW^( zz{`M8>>`=8tXD_KbpGIB2)8{(bM!kj$j1YI5j4rTMgK?9+&zxw@Ch_H$D{JrU9#U| zD38kAibauwGfbAOM7zdKQM+zktXsPliBT~q&&|j6Q>Ug&28*&T={I>ytk7OIKZzrDTG5tH~*X72ZVVQp+w|_x)lHAIX zRZ<~%dRO{)W%u7o)X)8AlB~)9*>vfWBYO7iEylJkT{_~(VQaDf0`O_pf}iin{LLf* zDft-0Gu%rE#=13&U(ID0Aefv?gVOIz|0N)0Y-}vmvsB2dMvZDv+Pn^FDO@M_s!#t? zAf<5hDvMD0Yb5Q3zd&hOD)!kKbfjNkuvcfmaJNdYBg)1`{!Ta%(fkP=@y8{eaA4X|6mD7IdA}+ zt~-+qfuP`6rlM zE;-=)pL&t~`6(6;9fjBfr%>kXi^B@4=+d&a1f<*~kL1)8(z!AmJAPa^?UQsxB6h@L zx^t&+Zo~U~>=?Bf+jnGV@n_C^L^j(tvRT-UY1^tbEXdw@nw5=|*m%gVTQ31A$+oiH zX^GN|M@YCCh86P`&>XoMzU|%(C(oS~uC=4%<1laWA`vmNXZP>naO?ysa|;mS?ujX5 z$BDJRK|_ba<%YCY=^fzz8c5lvKYS0L5>DT)?>k7gK~IrCZNzAVo^?RQ?ReN*?#7Sb zb`wz@7YrYb7?MZ1YXFX^Xvlz+HL;4GUt)M9N;9*u-_i;liSIf!Ye8ZDVx+ptB2ubs zLz@;Yg(Drc`Adbf*}O|`&|SC!P3zP{^ERzvu+vP~;_T2?m2CI=WJ7-5v>B{6tKu2i zU=Od|fZWWvs9n7VzWU}H*d04cZ$1$JAQ{Wou91M09XjEZt}$MQ#o+cC2h5?ih=`O7 zq`Y!P$RIK*3QLzQ`z4Ta>NMPRqdp_=3Eb>2VMxFJ!a3f=$&=p?Ncp1-NZETJf_Cl& zZpzk5%U`dL{;%{ANcn$@Uah(P!g=329Qg5iq$xXDn<|{X@p>qO3ORD*!2Z_4i5)w~ zySjPgC_)PZn#ndlx8UEB%JoKh>vch_b&$8dQ#*^=&6Ioudl-6>=hyB(M8& z#LH;KrD84m_Yki+^SU*!JM&t$kb%*}*K4j-pUuXK&s^rj5(Uv-UL)Q-Nt@cCDcZ7i zDo3W&#Fk0hpfW)jN)uEeH+3i0&N_+JbL_Ex<{@mFY>3ShwhL06$WbkKd{{Jrcp9T7 zt_sVoA#dOSx#Iy?e>MbbPXu7&i9l#vjm4f@`8XC|j@@B-*nT+%8&3veyJHlrZ$85D zgqJv$0@$Sk`!eWCpO4V6%;gW?2W(TN{6lFFk*to~eJ-LjDV-04{HdGJaZQ9>)KeUd zdjWI*3~ae@3mZ<}guG)sw)zx6JDeUz0D+P#y??YIr7hm}J=2C%05eZ(`bAL&sSyFI7`iZoB!aOM^^oeF}U>pkMNSn#%! zT!;k%_U@=AdsJv%1;%z6Bs!Hr}n zP+9(siC;R7Q2P$tc@ACrtvux`QT--PcX8M^6DPt;aEPW?`{;S?Cq54opT`o)#WS^I z-uW3*K0ov0_bRX}I2&r0qe*@w1IK9CgyhO}B_C=|q$?yFHK#k`f6liFrMUy?kR0ol zeI)jJrs7yYHmqEeu=Q*h~$iCEoWC?@T77H=5y6#2H|E3-^R+*+i1a4@4ZV3R+P6RA3A9NkSRNZL+{R`vk`I{} zMtAA{q@!Ghqq3eL;?Hl=@%S5DePEhE6z4;S|CNC+`61;pX|y}CvBj-)P}y_i*+=HuAX`vYo{N9+&o)sUc4Kd zm+XQfUHPn&;zAkm=YN|P5>In&1Szbt!$ysB*kJ2{&6n>$H|!Z~sP8;LCi7A1H~IZO zdan`(XguVxz?SGJjbSIKkL5_dT37GN`jrS+1oC*q{Y@cuT#ti1KFYV!_4^}lun0W)0trC(fGpS z43AAbW~tamVBJwatUl<4bw_-~IBevbii7blaFWLkl7n3)^~J=K0riO*5tYy)zRkG( z^!;w`yQ#m{i~zP!zb=0%78_0kLDeAwmNecRyI+a@)L(14+!J!zO8u{utpD8`{zS}K zr12=~^>}ov0BTq6O5+jnx0}bEpj_C{d|?~?&*e2P-hN{|N|weWs$au74tpXCaGd0P zjAUs?#Lw*j@qd(Ld@QjNhv>Wg#5d<3rt1lM7KeyOLmFdwJbs_C`VfuD2A&U~a3%zs zsk{lv_()(j4$w2wyL?wXdnM9|og{;!RKFdK6+6TjeiJHZLSf-@U+`}0l_sJ<%JUd> zJ`$VVGN2Yx27MZXgsu>eR-})5v7{>`cU`(#%jRjOQ5D#7mE_2^Ok2mCT|GO~nZOYJ0i+7QnM^jV$eOxoe)um~x};ot(C z3@;RsP{p&MdX7cYZ|MG~LJM*6ZUxRz9oucMqnj)uWuKpZ#3cuNai%UL_$J26)>l6N zq618K+X^5huk7-^&%5?w{w}Hl1@h1yo|uZ28x;lclX+XYVmadD-(NR;mCPM5xui2aa+z&-?L!Gehlqt0Hsam;e9hj z-a-6q(gLbKi%7bUbl<<9ga_DP=rEI{$7Q9D=@}M~4nL#o z3wqyPicOc_ot{1;kOu=ju+p1*j3WSXdKR8&Bn`t{JM zc}wiwwBJv8)@1_XDH8Ig!*;r;Pd7! zV6kyCimv-2-JbGiz5%4PvJ&<1p~fojwE{?KZjPd&B4lJ{Vhc@F8Z>TX&g=!UHemWn79ZJIWNDdiVl^Fk)ouR41n8git{_U&L|z7vHEn0y;Z$x%$6JQ4W} z*sD5xYiwjJ^7-)Xc1;a5YT6X78a9$5PhR)IBPVyLEm?`i^&3bLDH%vf_kB$KXe@r6 zZ?I|u@~?Oxn*o;dm!e6Xx@gg+wE!aK=j9=r>etlJkPhXxXd%4T^1)aJC9gwr=-!eFIhk*f_wrq)J_3A@s(F)``x+CxM4e^=tL_`w?Qu0Au z;^P&NvTl87Q<)s68_2)v3B9Fj(45*LfRyZcm?nyOIl0iM-!i{^@81WctSjWea$o@JzKkB zBVzB7mC5OOp5&!2$VujP-2=P@`5IOe3BHgF{eOQ3=P(PUzQ9iVQh<@+1Lqi0r56E_cEKa8bkSDnWG(Y^Y?-C{q=Xg(HXcM|hy%&1vg0xeY0%vm#Eflirs z=2g_mfJqnXk4S%fyu2}1mM?&mZf;b%624S^+#3K(SCJ3+RYj_#{2?S`mNmRW;b&!w z@jazzocv5&+@y$<{0yf|n~oa9+tA@7aqYUR&<(%9AWWJ%O~`x*wec$Dmy?O*>mNYR zSUNn$I^yj729T0;f_0_}NXfb+0F#u@<=+NUviEw<@7J$C9G$KRT@4Hg!DM=W7)aTp zT?ZUd*oHDUl2LLNQ2hS(jY@x?$I9|Y(Y^jCjTmSlyqxoAj)Hla=Gl2_q&qBAlJg6#nZHR4 zZv8vxh2}JrtB)lbk7B)zNV7SQ_(KV}cOVjvs4ptnv4p;xfHIAVRCdNY>v$(=O4l-? zm)bMltz&-$F_6+k2Bcg+46DCwCV+u$zw83D-TRPVSd5f(vd6Y4YhuS)r3&wkPIOITQ1k^NST$26}uG&KBWM9QvRMdXd*;v%xa9zjiA1I=2rM7s{1 zU}9#DyeEZ7&wPY!l;4!|zvu{)ofgO|C?uOR6I24 z0!T@=#4mu9H1{_m8>xV7pU0H1LAFQZW=(}HVz7KI**;##zU&SSvMn34%_e}9Qax!vMWu##*)vZ2_P(p|O|t(r7P>-JwjpCgvA zokr!gb#;VO8)2uB-IzzVVlI{6L7%Jav>gWelEWJ!U0q!%QYG14Z2PeXL}9;CJrF0ue2f?K-wQHOcN`J2ao_*I&9CEy%8G+?*ZQXe0A+HgfWxz;LHI+H~lM z7LA)hW6>&PUUEmivoH22tK-|RB!?4K#P+|Lsi|m(WV0E{Y_^84Z8ox@IWi4DpDKW5 z)?>;iTb!L3u?;SOl&Y%224`nSreyQCY1dBJ?y7SZBGbVIg|a9(Z5lQfYXH0!kV*9y zF#yuaTIgVt<}FAc)PxS^KPe)ev_kuiorI3EuCmVN7Z$NjhaxNF&AkZQHAbnxptF?j#8ian$R+g4%$N3DT+_VX4l>e;wDQtG{B0f8cbshPsvypW63JP5VV56jlPBg|gXwV2N zC(l6Ck+TwzGCUse=e49Tz&ljT9|ZAd&hrZ=`z?;j-9zSO59pAN@!ANlC&_JA#KY87 zJTH9?+kLz7Rmb-9%s#_cqvvAX=@1b$fe)jZ#L#R0F2Lh&kWu8jJ=y*inb2=769DV` zfVNLIM#>wZcFQkNw{}e|Uo;Y^L$X z=i3`>{{&^oh$AcJJ}X1fv*&pJyaeTCFNBTrAtVwTic8CpL2^qbxh3a6M_SQ`AvgY< zLvkx*xs{Zl?8S#8xBpV2vEx6JWKI6hrpuR|$ljFJB)WJ12FH)>7JD!M9*~lc+dRYl zdm)gQV-Qz$)FzvI(moN@)yCg{_?#I)B|k=-KFTW8vW~tr7j6l#`bY)1CVGvU8QQ zmoL$-*=kH3vj;~Hc+nh}4;Jy!9KP=(loJo-RXqM)qBb$0lCNdYGVv@c9fkMP@Fbon zAq|CzX()O42$hct@H9CCp69M&)BFt>`TYp2ov{wrk6%Y|axvKFZCOSciXRmJIwe09 z^prlAX3%ruy^_cD!}M%=s3|DA<_+_8n_fqxWFY0S-vCmsl}D?_P0*O;#G32nkmDbU zynrxhua`$tn&)$5f8)(tPM~{ zv)=?#y1oUZTreLI(NPkR^5Vr`2U50Zjhc1qV4cDyB&VmL^yNz&J9*;WKuR++;hdD6 z?yzIjR}m@cGv__Z$;LJfb+Tg`p>4C~uu$2Kr?+B|;^`0hMN3hqc5Qq~Hl(eF4oX9! zkl=V7D<(}tjn6*Aw?BN3lNZiQK+1%8%v-ux0#bJQ1`ZaxQ5l_pkkj^g>Qg_ixG_6~Y?9n^Z6dgL{ba?NVgp!{xKzQ(D&`|&a>8@IiDF=z5r;ZU)6zrJw1Dg#o+ zL}Tgl<-Y_{jvbGiBsT^ZyX`+LoZkr`<@0vEcVmDK=xZ!KJem= z6Mz3BeFRee-=d!ZDXZ3U^6ULwk)J}O_YF>3>4)yGN6*dl=PX~kh*mNB8Ug( zhk1!qcuf(TmLY88Lfl+855DVH;kvRFE@=DWtX?E8t6hMn{1ybPnTx4ZVnFa*ht3*O@8obYXV2h$I`i!545sNp%;+zX^KY9+|ghx=>c>q66T7WT2 z-Ff3Nh3CGJ4M5h(43%W2@;t_{3!(I{O87U2wzb#d5^+LTt4-1pmZrL}ry> z=gBJ=Hg7HZPF(`cJtuMVK|aFLiePZa9s_5t5X7H3kH6zG{iZF&u=#7T^UO6w=9a<# zVLrC*K8~NJF2=}d%dm5Y4I-|E;!b!Du91vwNv6kWdgaZ>N$6RI(e)xdTYi>j=(&c_ zGmj@3*n5XzvGNWfgW2*LIO`oDbmd||3>GTuiu^??dTVl2vF<~Co8|;ExObTL%PrJ+B7{6RmJfF>``{A9Cg=os(=irWs zOXWyc7GR``4K_I56S`s{(-kHLPim6Mph;7FbCSC)@ojp$0`tu-;K!-UF=Fm2m><7{ z2-3;Gq+D#bK8oIx7h&YW4X`G?i6Wh3-DDkQJs7u40s9@jgx;`zY}B*CkECalSF7N# zbAZqr*NFSrsAYy9$IU^n#al4T&Ij8gDqu&?+k@oEGDs?{z`Z9G;`5u7Q1B9o1?58C zzVtqwdo1a^ZanG9DzVlX-SF&ygjvGWL{@(jXc$M@{Op0D92&mrXa zY53DT-QDIAF6n#Xta=bG>UhJ=`ZDF8Lg0}T;(G>y`r65eKmW_*f8ZQKk6uK`5qq*@ z4nSe`X7n373%%yaVg6oMm{8w;j{3`hr_`SokT|G6b)xs%mip7<)Gq{-kjUse=g?TJ z=l+BGm5D2raPX=h?&Oxk{dO`o=~|%Y___FL!Dh_e<$~>jC1O0>#Q;94=S?7`R)jQG za{g7iZ!{Sjck{||_=*>%Z&0QFcMev`Y2w-iZ`=*Ihauc#Nd6-uS3JZl>lVo{Sh@;)TZ+?yGgl%uv5oLHt(2jKS{QcN5R%)L-tP z@76lTW7uYMbQ?Dh(^e_s&{ZGY$$v@XLn_wmTB8TaW9r(iIO-B4`roTz_r#o~YCJmQ z6)yT#2b#kyQkBN)g{!vU;_>T<^-I9nqt`Hp{^$G^8#QqCl)D&@j_tibILY>IG4<_aDjk&pL|uxgOQv$65A$GPdm2M#uR>pv z(>urNS+B?H;FJPr?mvTmGnQl6^yS!TatM*0G4Q_{LN=utej;8*Emy!UCvQa4eZ7)$ zplERrJtr^3ggI+rXMGBHJYsO;oF^0%w8dQRrzI+wv(FvdXzVa1{b0uvtP||ep$bT; zPjcTw&x7-oo#HWEPM_p48>`l-;_7KPA*YjvE@Q^B%|cGA6?Jgk!54RFu5-xlA|@@C zr}1tsu*Z$?nt`b=L2b-ObN$|y!S zjpxQRFBweplm0Z$YHT$^fP)_rZe_ubfvTk67Q~xY60nWtQXDni-Y)|Y-to8}l7z&R zLd4O#6H9Z<*u*@<2Hi&@@s@cj1Iag&anj}_hV|q1giq1)r=GCCC;=(MLPIfG=D?~` zmv3OP@6anC<-ZY;Qd-%iVd8!o)+ug5?Yi|)qk47WRQ6s1O%^|Z_2htmq5y=GN+^TnL(5+OI~$k@E%|PDq?w6rP~iuux$NWeDdihs9EC^C@RV!HTA;) zQl2<|9N%}70#N+ae;|%uyaJj+io|O=>>%}weIypoIe^jqO`xiL5k4WQe=CrZ>-7qY z#>@pv1Ydl9Ut3E{oR9yQ{`o}D;A8qwlI)p$a497v87|i^;nY&VMHT7l4$h38}bj>jcXU zmatXW5AQu5NOeg|s7$B7M zXK*_v3NK#rHqGx$tOxgL@(~ybc!&KQNI6Ufr2OV<>^*V_&xw=d%nWQ)k^qqJ22xf> z$1a_*=imX9l$YT_S{mdwZIXbL9Xd!r%4g4hKajFV4;-WSwdBPMq@<^Vqb3L-W&8H9 zVZh|OfRwv-iD(NPU4f%7yaG~MTcNn*8Pc*cB_L(PhG<7^vD~7DVwz~Ac?Uvy#cJV= z_VcFAVX;m@Y}}@wy9(v$b6*1~xt@0cDJ?9-Vabfgk7PhfY4g!cSrtW8f2My3wys`> z1`QgZP2;98UAZ2Gm)wzg+6k)D=b>TE+Gz7ddze^Sppfd}jk;~yx4#=msV0j^$=-A| zwejRZDjvlrV!M*E1h8z{3`UA7C+C?&W=)0HTV0N3Nx#b_+F@jfrmb3{85t8g^Oqs_f-~|iTo<1? zkH6FA1G)tTbiV=#NJ;l^+Mpq{*K9uxQ+06*O zy1yU&@U0=b({K7wy)#Bz2yb`h#Mg1%W4LN{3SRrqz{l>axO(jSIeE&6KfCQdjq5h2 zaE*S$zvJs)M%Jfreb;fg?l}r)n-j1%r1|8w8#tumgHxI=a5OtFzIWMujJ`kl?mFH< zm(5S&(#{jYTOKd+3eS2`F4D8)Yt@4IpCyiE2D*8kQ-Gzln zkt7{2UObV$|OXD@xkm0I5}LvPd{`M`2&WJ#))gZpje4hK2eyhsDoaU7h|=y zC9d4MkC@yFTnLQCsvXw&e(YS-YSrmg|GRkJEW||I5?#fWOBd0r$M+(C!swB>=_djI zLZj|t^)@~9oU|CrG%Vm4dXMUSi4z{TFh_9*v#i@ndi^L;_N}xLiZOK0k^4v7<&H z$X^09y1F={|3C?-Irh8m2->*|a1Vf+jtK^I{8HqP8a-S@a^w_#Cmw6mj0B(Z+NOxQ z8z=HZZ%1RbngM!IzQT4x+`SnAWD-9|j$q*T5PCkrMcG@Q*#=Q?|@N#rNc%8sZAbkq>q#We7wjWkU*pZ2lR!z zJLx^8z~DfP9xokQ8ql$mkTq@uBGAkRV`R^|Z@-`5EFw%+A|W{iTMVoOZ?hD2andVN zYD;iDmaChIw#-*Cz`20CLT?XUa>GvprAUp!&|8=(>us-2HI{6YO-GeY->;#eDgT~3@8x(=-K0s1B z@a%uvJ3I>WQA3*g2yT^UHZe5}5>@4f}fxUgIfZfn=UXZ>n%Sjm&x!v~07la$AFW9?eFtXYR^tJmYI zjQI1PrwxLfSFMK2ibX^V;j~s6XOvIkuzE0#sQKc&;y$|HM*40suCH81<(UuZckixK zqARO5;L^&CxV&$hwRxgBO= z(=RBs7}|ChFmU#2j9e@avs2d)mhlXJ_w%rA_bK$7wHlL_Z-Tw^4OF~*DWU=DX{nRV z@bjpKojZPkebyFu_9PEapOwJwj01+xTZ5qsfUaIHM5Q6JKxejS*pZpE{NMC3)?!FJMxI@LZy)5Z;9WT1zqg=FVsXF+Y- zRsq^-QnxmYRJPzL*?0LdccCsPhq^VZqe<;rFxVi6;-D}T_}zs1(iN!p*{5XZHGsYz zM{dkVLGEK{sBc4ks-qFrrM+<@3Zi51JS78%j$Xj<1?w?#=1LqkutAB7FP>7rc|gw! z!)L6($VD4*^wcGk(zuy-m+mVshx$}U!X4xy19cl46*c8lf5KL+xsxLUr9bWN7!6wq(xDr~1YH0vPiBJ1SHMRG!KSXWtR z1Cw&FaoY~DPEn`EXCi{-eN9^ zlGofEC%Rt(!JRjZZ&;;_~Ilx)mYvt+ln$x`~v(Y1uN7UzYq3dvtZt zu7xDK8RMqI`J@XXed1xY#~vdWZo;5xOQEl-kK_wva|Y7fD)=_w#~{jJnoQ9gkLGL~ zSsOg>ilRALY!b>7A0zv24!p00V%-Ww(s#CL>p@YOBVnear0fND?Y2gn){@haw!>y% z<>_D;lexPygf}ig&78sDA41}T<#*x}aK^z2 zyAB=4xobBNNpnV)7jJAnBKf_mZGR_K$t|O}9AS6w;Ud{lCr_LZ4q6yQ{W1NsiTa`c zOp-PEKbx*zy@p}KBqvC{fBFHZPwo?Yh!8P#^MA3o_;=E4AmvjeC5A$2^I9>lYtp1C zl$BNR@Zm%H?)Rnt5|A=JApz^wt*7}#1GH?}jOM_KNKcKTZ}|AqUks#t50Z`;Jx9sY z6dbU#K(}sRYddljaphWM(p1jwxU$#>|{MFC4^AHvClKFCO+ zNegm_a!Hr|{nMD(6iWW(w52@D(BUwV&v_r;mBXaC$jJ3A0~!j50lB_QP*d30>m zS^`o|nSrFUm&IP>p3SQ0K>77*zXhaRw+XH2z2SW>%_XaZvlw;)qrGgk*hAtyN8>ee z!T}09LQ$EwSU6K{-?9~UDeyk0zu4zoKXDprRjV!mDUTjO8O^)m65_FB^P2P^K&4j=W8Hkuf7P}br6-_p}3-N zj=r705l+Hp&6|se$VhQu>)eG4_`X+9RR8o-EFLiyvB%D%jON{kRn*a?Ra+?{CE07q z44NbCa_q$2~S=8 zkV3YZJlSY$XMXVSzk?e`j@a^~Cfb(J`OwERLPPak_r}x^TM2`O~INLuhEI zkca&x2mIKlH$MC1Q!E%d3NeSzqTDkW$JBJt^^1<;km9OI(~x-D5vA^d*srh^9hdo&SdTE?C|2n3lV8zIoVze>g?978%~`%CFYG)H$l4AFBf~0- z`lsiz6Mw&vJ_0HKKPIuJHd#1tvQYY+ z{$y#L?_Kr&FXG?uZ>bKB40&+TX5lDr@Bk|e9bhT$$qw&+53$p_fy5&_$m3|24D4i} zr?mb!_1%2@7HLlew8o-RXATN2%#nI91j)w|@o4X5JU8A<-%k?XLz`sruHXNgq(4*I zuh5$bpXGxwzx(H?@tL$ISw-BRRyiVN$Dpqqf({!3*Q?Ur44>pXO|Lqyk2oZ~MAoxP zaX^>>42R>&VH#Nu*KBE)RZ?6e)=R(Y)RE?OwXl}->HhuuD1H7M2lwy6H(%1+rFw02 zpS&Cj7jDBc9h2ooujp{U%y`5vvcRpICSu!G*-vOVabvusLuO1-Miz=nKNR&H#Rm_@W$oel*i=B zli?$CsOn2{88d++9eskKUw4JGwgF{^BRA$TE=N6uA@Qh9{OQy6P2QO-s9hW#Q8%yv zxAm8(TdOAI)~=$t{XH=@u!FuYzx+}-CXknx7f$1a!!!#E$&p%v2EwU2pDp>- zv6{ZCa=gyJ;oou{Db!!fZ&pN|diBtO<~mj@)}Z*R2cAc!!XvT}X17Xl;9e!}JfW!; zJ5vbqPTIK`pY?2Y)Oc1)dvb6xENt>dJz zZKtuV##UpivF!$pZJUkJ7>(K3wyhKA?(cW!&fI?>nau37&ztqG^*oTSPo11q{}fd8 zzEe)l)6&sJxR?>~`34I5Jchd@RAs4qUq37v?j6q*eRH_n?23|z!j5oCKT}sR~u!baEw%T@xF|Rl%BIb{t9F=O2d0h4}xpE5yyNN+_Cn6AC9fV8;*Ai6gvj!$K zU30MLw1!;&ViCI{pk01M`2V^HoGVj`;`NrFw`0&}fnBijwV$siD79SeCB4tU6=T>f zt_La1_Nc>LD4*OtFtn2_=&RhIA5GDCEUw5nQH((y>Gjd#hn8ERf4KrNU zRu0KgUn(gey58_ms81l+&xsptZNQ(y(FY?Z%; z^M44e?IUJ-TruC9{@C(|+i;N{86BO5@N4&{+2^o1mKG-_=TEsmj7|k_Ps;x7r-39l zq$s}r#V6ToeW0K^^Z3n+fBv@$##sbtzfsZTpV^WmRTIr?9OPnoya3zEvu%PalSX!} z`B9^q1^HeyFOtj&=NavzzIa zGUm0PH1mWgu{k*6^iOp4fa8Vf*H|g}0xOnPtW}SU9+QNI(495Rt;7Cyg%WsV=WV>7 zVA2>WimwuEL|9K`Yj@buiR{Jrt#>$iCSgOEZYC?d2}?uv3SqY5kL9ntURZH>)VUaP8JH zu`3UhT?a8v%y4OyvhLPIWaZ>AXZ+Y3NKD5c?JPxL#Md;cjY})z3h(93Hap^~Wyg}Y z;Oy`@Lr)?fXkA^U4p-=vb8cYbXUEWgeOJN;fPWQ$8hYW5osge+pL5uoFLoZhAx9T90zY))ddzN(E@DIw^5Ns zqHJC?fG69hcg|#Ah%TNya|BT~R^#?7c}YPaqO`UlgetnB7mmI^a&a*mV!PRONexg; zP3m23ax%4aP?tdd!~7`p!SdL8dcuJi$q@y$7GZq(UZihi&ryIPWvw;KqMh~vS}yW9XT7z*JmXcja2DTU>KqH+%Hyexl2YjJ@w+(ZDNh@t6P^|yflJZ3DZ8SU++M2Wjdxi;`B4! zNiW0kUK?nHD&IY4ql#>>q#tYZ&4j(Z91?T&dcjk$j+g5~k1=RsNy0{SeZe}=)0ndd zLHhhrZ&nTIokF1cfRVMBdytlbyob2HhYune|bllCp(=jDSI{=Yt~9rHoe2 zoM3HGUPV^O;5+(nC33j9NlYvKcot{6n)EMsq`yJO4yTx;?0|Dv(SYNZ#o&d8lUmbI zrP-v-bfmc|TM)*q6u`!+u93)b9L|bge z&VVfKs|P0Mk+@*&uxtQ7xaWwzU2Um1$1bwhu^kOuxp^|&ocTU z#KcaYKQF%txO8%cMtu%=Fh9}pCvSp2?T-5D*66Wl`r#e7e=s4glZ0Dr1gvQIF1G%8 zx@awUN86^7NHp6FLm{BNh4p{H5~y~EMDswc1A$5U(gZTUf?mJmUe0T(z~A2tNaLk9 z23+M{JC@u8&HfWHj3%T4XVV^Hut|MZU?+(Fcp*sGIvcicH3`U+)g=9db`)SiBM9e) z-kk5uV08U)RV`4?D;d#T&b!eY*um`hwH_i1r2p;JK2aX#;S?EV7HjcyT0}TH30&0Y za~g?B5pllQUTEY~-M{v#C-}}BHh~21JnqD_RnfzsxmD_pYgSXB~xln3(qq(d;B!J(5uOb zabmeJMbtMktRdv-TqC=B*hwF2p^oqq474Vp+!cp|V<7A5wA9&GBCk~H4q8`t+K7?X_~k>lAXAC6{Bsmo-MEkQKr;r9YhYFrI6FP=Lv z7MG~Ozr2;j-IXsiby|%u%)Y_O+mi1e>s}D3OXZ?OxOm6gUMk@5&JA^bS@6~iPnbx; z?@*!i8hdR@q`9qg%RNQ~mZtrDoW}XhNK_ScwDRpJZqG603g!g!72xkg__TBrQpTG= z-f1V1qq87htoWeaIFeexdNK*=`7&fYUIAvydy3Q|_fdY`8Q~n4<>+)B27h2DNCf8a z>6u9(D1OO_W1Gc`;Z`2&{e3Bxam@4O8ay&7sou1a{1jl|x!L%K5@oKbyiwvPA>8E` zRfKwTRuvys$@OfH>va(Fgl^coHQz1 z24GReGO^_Jp#GzcGv_wz<ABLjz(IiIpRoD&j(d zVY3#$SXdXO_CnoMf=^X**ioJ@H$8Vq5a-+ori&#x>l50{_q_KvAR@S6aM-LW&)0JJqc=?HeS79a(W=&qI^?X3@*P}piT8VlF@Oo_lQjTm zJYB>lh=ymk*r{*q7-`uoe)<|P7mY{W!^VR6iiJ6on+rW&13K1b;X8<%OQ2!_O$`mP z8!Pke{z5qNiyu$O^RtQ_-51+eaM>hCK2p3BFw8s}QtfbQ4BWLC$zK|OB{SN~48NgY zP%e|P;V9RHm5s~_k(xn|m2UIk?mqo{*JvnN%<(~lMu%iJkoOr7C;fcC!k#VYLELya zA@SKOR&P~_FCb=*fJ#-1*ig3YVb}DYGeB(P1$iaY-8n<$X9Zq{p=*P{*_U-!J zfkr5Q+w++Xn?WbqKRDE=I*6_2H4Lt{4j}%4`Dw0wD2`Re@26@=yI6!(YSnqtg(4Co zQk|OelD`h$Pf?$Kez40d?tj|8z!m#~a(A~xNdl9B8&)f`;<(s`;|;?AUO1c7^uG1& zuZko$zh3{4iib*)3my>XVwDAgdNK@ByRv#f)GvPOA!FPTTPXM{5zdaICoKM_OZuHs z`W*pL7#2!w;{i-qX%HZGW!HZQhm{A@^%C^+zqK)Jj+jIeD{*A)2aV!Nk@vmb6qA<6z|;vjk(}}IWP?D_ zVlu%J9@TXN2}4pgKiHT;^6!S4j>Y};V_%&Lx})RU&t5nIFX9xBtGzLM{`>cuS0J36 zw@c)9oZKYd0==Ov&;{HK(1myHhBlOY5-ze!raclVH)=3e>2^bmwvel2rv5VRc-#%U zqAasizuy#*1%^$Qv$%EyT%m(wF`lx{vbe z84JTZiC6nBVmDh*+kFhyk%R4-@Bw!dNT#LOEOa+}5k}&8+UMmo{o;PEKcU?!GExcx z3IDx0cKR+fDN$U;oI08FDMxxRozU8LhPtB7z4OB|f=vIU&3_Dt8i5Cpzp{E?XE5Z5Yw)6!5?C-cihMHQWGg)v5Z9lpC7)r z;hjZTi5u~fhL?|MwHBwd7I?^u*DY9_;RaMI<(B;}sM%b+4Eht?W((ZLFvjf&ohFWu zBY9ixPSgnNHY3~_OV|Y~Q<=W$%nIh8^CF)&h`b&q7PSYe;qs2$|47yWm3L$kod2kRr3gFD*iXB7Zl6?O_bzSHHffg-5PDfd+giVg$S zyAP_Wcyws|b6R$1R#piue(KQTu_zt6`gT`(M-zvZgw;wy5WFys9rea-^)_M*7k>c> z^Xy~#YE;7O3mL<)3E6ZeS(A@lNsOQO(n1~IVaCrEWRdN@>^K>Tv+-v+5&nF(Ts1J z8moGtA8n}Fk8;Cp`BKGlpaRDU9E@h2f|C|>L%XcS;maIJ+5nA^>nalxu1t@#Q+hT`m#<1LqOnsIjSe| zMsOuRr_LpBw!RLW?M%?`sMjqO3BdO~FyKreF0P>u=XJKu18iR9j z+63zh&FfR_t@IZI9Z1Imo5OGZz4ldkjdIynJ9qp& zVaWigz4VvQKn95ryQ}h9xMT683L7L!4n_ibhK0i#`!(6Eu%`ytxBk)4o#Uhlv4K$p za@8LrGk3e0zR%}U1K!bA>rSEhvCbGBYUG?~jI+s2GWf))EbM`^*Yu<9G=7TU}caK)=Q z(Die5P}k&q!?zFiHHh7bwSv$msF76ao#^PwqwCjsnLu3a&%I}iY5$Wjxg=lo@#bFVT1v;a_l0BX#RNJgSSklX<@)E)@VVX-?4Z#&xJA{b4>XPg zRbUz4RFt!ElNeIW*<$jLHGbHNm5q!Oxa*&V!A(m+aulr%&6Fyg6E zsbG`DBZ77)ZD@%bYn{eK=j>ciBa`xNZV2N?6X?%|TsDz&?Jq$fALLjq4n1d$>DGfI zt;Te;Lf*T%1V#lKk-8T!WtE^K&hgp1L!h<9? zuFPQ=12q0NDuq(PpkBYQ?-R)xa7t?mQEH)Pv zb>qk9vI=>5uoe?FE`pPMBrC4eWHuc^9ko(d@-{@y>!E!t!^Iq-pIgUHCCba(<{B!& z={-9X(`$Ffc~oTG^?v5-G7JFcZ5!zQcSN?{NwT}mN-~krfpxL^IEbQE8$oa`$iYQVp!)dxs>U2VF$um<{0Go6ruNPgDn+3h%^ihJ zW72mP{{)}?214P8;P)PYVa{y~WJ1!uTF-takXhX>@ageI9F`kq(Q;33(`~tOdb&p2 zx!L_+f;!vZkFjTNz|r&eThP|_Ugi5hu;7wYm7?B*}9Pp^W`tCR4D`F1ZjrsEiFA65z`TPT-XW()HAQ?Wffhy zb{7m^(-oYl7{>nW{)hT*#N_yxN0Kf2cbR~%2v*?=o=>4taR3Ioe;6p4)^-@x0^fpZ zUS4ahG6uE+Zq}QpOM%JXyF*-~xiyyQ%fM9K-vApXiT~gFA}I-u@#HX+f;t&dz*u?% zeNynRBQRM*qxRMiAYlCoF8Ku=i4YYp`7E0}MPPKnvo)&|;lQWcW8hmp5i1 zqJXX2eE;vG#rR*pr`}3X+bK zbEe!%t;u>CZis$yXH;EDp#3=L!Ug+_!*9fG_CjxXc!V2Tegf-N9SAVmpULz~MSoWa z;&SXoOh;vbYxdC%qkoe7YW|N{D3%QRzo@@AOB4-Fm)$;V3M44_-b%X8wLbvLi~{gm z=eu|%Gh_P?;8>|?*gG_a4~>{kNz9YYX;u5*VMH23gu_ zqSXIJFLR~0Z5%h_6tf!z!GKs3LAmrXmT07a?=}xpWNKO3)|HFwqY0ZyYSR2s;QWi< zY$f&sfvkuu5sc~~Y0owQ7O4FID5?K+mRaoKcaig`_PA9bRJ%QUoQ{Be$I2GN;57SZ z{wG-ctr+#=*dy0S(&s1Ru5;%T-dX7E&q{Kg!p@0cLaEGsXw6t3n@}+z~i-!-yRH;UA^x!oBcef8t2T57@?nYa)J4D+_>44fKC3@ za{dHCwP_9x$H@=P^MaWoWDBg&PkH|${QbA{(@tetjlO{`NFTiaK1m9 zSP{dXD}qN;jI+vpLh1OfN#RZ)98QW_YT=H3{kn7P3jGd?BpIXQ#*%R8Ojr_+l7F3e zX`X44uA0LKfMXESPB|idzsy;-FA@E{B{oWdI{uYxlsT!wakd)fStE8Ap8N^S%~OZNJ;`#XU%)b%Rj)OBmL@kjsX505Zo(M2u=$C8?zGHKlO*C zkc>fl-lLWeDQl@MAO1IoKyeaq=%RN8e%;h0(dL1>{tsmQeX9Fgu4$QkU|4Nl`S3i^MC(oK&I#k`POzvNwIb?6%Zo9~kF0DA=jK9-v9N~>rPP+03wYdV7tSJ! z_NZLC@qmN}bKj3S>6x~#f0CQQUX)UsRkM$RhD0s53r)b%GLm=$5yx0FBqj9kobHml zQj;E2mn!CwneliYv*@+C>+i#EB6_6=TaGC6=(}ORg@uF|ZDwm1`v3*BVbqBh=b(>j z94(h!>TY~N*o9+3{QC!Po;Gz1D&k%dHY*R~FJo4h<3-h^)(+~0UlYD-k#SKhs}<@< z^Ugb{_l4y4A28sTnjiRf3bI-qR()ds_b~*&^~E^We)x1iCAr-RrhiFFsA_=gII1){ zgu_5{YOu)D#}%4}7)$zt*6Nhfs+7B`2mTwdph%0S5DKObO&gb{zYudc(Z)0eGlX!v z!AP;C*U8(2f&~(56^ESkTV2&9%)u1Nt z%2bj14`)mkB9vOIE36<6ZN7I>>QjR`y;e<<)CZ@78BeRIT`xR`%`#M;$0-zr3&K8j zJks+q>%5!mOs9fwlieb^Waa({(=D~`3=w{mMj>yC!&*HC;>hI~9j&;AA`?fGM?Ns0 zwY>AU10s{%6e>(}n5;mMR3i!dadR?5q+t4QIL>_Ao%{ zEx~OClGn`Rg0iE@G%B@xris&grlaxMSzyA;mu#gWO#MdQO2|ya1)HM%R?i&gAN0IK zvFY_!qP2H}xGE`bU%^;hV0#9Y}jPmK+^H&i#S6Tq@+uY_^9~x3eo&dH4Dy!NS=BpdpB6 zTCLb^6W78ALU;;vt4ynGs$3E$rSGc0<`@&1>zn7OyD1F*RnIKnC=}F5y!c)3M=(3f zeqH=T$KZZ7gp<<|f3b=B)g^7a;N4BY3F&7^&{sa+P2v~=&Oo-6nry@4U6gSJ-I<#^ z=IQX+PV%k(R<+^1T0yLSc7Nv;jSR*uHXnYSqSSu6ciu37EzEqVJcJ_pL=- zk_3xMa*bC!F+~txLuR39ps+YBw!Huc1nrpMw`;a|gXn#Z{HE_t%6eixF4&4-~}zj=K@zTA2pd-Yv%La4iO4Zp4$H_*f2&Vm7l2d0r1Mxa2I|gJ177Fe9(>d6RGZYZ z>l}_1>~Oo#VdaVcggc6osz6^^DwfZ zKO<2Ho{%kW&1j*HpZupD`O4@2Wv%{qg1rZ*5r2u?}$73CZZ*8hy`3$0l|C!eQDsg#_p8QolDEX52ZUg3MCyIqxj8@x<{3hk(8h#<$&<#Yky zuc?AA$+(NR|I`&3!vg*<-=qVG^R_Yanaq_F`jn8W&3#N>SjnwVWY0|$i%Pj6!T@{!ZMq43wr{|}@)I)KeGOvf3ml(6G6sya z!3QryNk<%%-c3F|Bt37yTlox}D@erLn6)Om<7ao5Hvs-_b3sKTqB;+EK`cI>fm}H0 zjyjqYv(@4m8wus>oWj;`cNP72x=?RFWJ_u2BxQANM@Zoxa7@lCQCSGcv+k3BCMOm9 z8O9cy+nC3wdc%AnYpFAtF+o#5)y=%inP->uvP3MLG92o>!I1c#kekyJ8ZLc;7acDc z###dM5id@bknOnKy#=yOKfZ4c8|b%nJ?DVyi`Ns%B1bzpz#dL*6&a*MqPM|CToN=paokMc37?3{}ZI1hm9cB@_Vf% z>fI3FU^Nf1XQ~Ow%O(9xc01dpZ}7XAZ@MApfxkgss`Kr`oPVmqZ+{2yt>?_Z(Y{q{ zLc3F0{Oo+Agr4x9tumfJPJ#uq@yWgwImAfTl-*-pLaU53O$4$7Uc$c`x zj!#??^CC*h8DYKADLHg?yCLE)=kL)Y1}6ED<#CFYT>0N!sEx*q#Jm>?&2Ja*FFr|Q zQ7e={R9}~J1LqkLpvn`#W4j3`j1~e#iF`{4>n8+HDXhiRf0uqV6Djf+mMK_&k-*CdUK5r$Ndcdqq0; zT<33}Cs8vt1K-c5mm}a>bi-Za00StMcWicSui7Ed`J3yD5M8{WwJqhd@q46OZL**A>a?!)^epYd?q16496bRJ5o2iZ^5bs|X$Y}E6cxe}ycdH`3B*wnQ|px6&%aFq;8`H*09MY>NL*}q7CUR zKH&wmgi6V{eh!l{i&G*elQX|v0*^PYQTe-w=>xJIhqba2YQSM<_PLbuMl+V%*`nn5 z%Y$)2dGze`+K3}4bp5;yVtb}r!RDNk>zkK14BOtNn63v+J5o+aMAXvm?~bzg;rD%5 z@Ed(Np#7x8jAy-zheb0A?QziAgOer-$Ykhd;xl+-Dsm^&tm2#^mER9*# zxW$2+uh~Yd{r1Ztvo2Czx%j|AYVYoZI%0Y~lwg=b;35}Bh#`_>v<;8#1hVnKeTn5G z(v&C_?2w`V4e#Sc^NNKR`IDGudR2Cw{_+USjMM^uceTILV17dp8p~ z=$I__Ee=R~vPFTsI)0&!Z&(6J9&aw7LVDvP&}eizW99|9$d38YlP4qgXpZkHQ<#N{0rL3>0iN{KsG)~WW_((J)2T5t;uH@ik+KyoQDFn|wX3M23*2lX2@$eqb@iGfsYDIC`oT!=f zx~XIMJxuGw7i(QFX7MZ(b5P$!CobS7a_A&<&SxL^mkEVp27;VhB`HlRB4dwfe$=Q3 zc7Yh3{Cj(u(b<W!&GpJV?!DU{Jz58e4&czab5gz zq`haz>#;m0r_=R?xendW@HBW@FAaqCtTVgout%TM7yLZ&8v_>zNVmi3#tq?K0I|{h z=nYRAPoyDC6V*4`-NeztYCVI;9kFk{+YgF)S(5k{oyAl{R<5u_; zOkCK|52WI@m;6NA0fa?Z7VlYsH<34j6=G3)Csx^9;a?dQXgVA=L41EKt28#gsUPJZ zTcNo=xLRh{#0_z15S(3zJkLR39(4l%N6H30;7~>9f-5caD16taqUhnU5t_EcD(&t* z9672c@mwo&SY|mH@dg2`+y{d25^H=PykNDg(&Kf8`YsAlQmajKaN|x8xVPVorBqiu zTXR7Je9(5j3hlSr2%`l8bDh0nsBNF&%flUo4v_|i{#3UXh=kF{!5m5eDMIGJ?pUif zcEpg!9D*2T?ltocS85`9rnCU6PEWx*Nn(%uET+1GRmfoh;*aIJMs5pg+=k!vgfQmN z*}+WmxxIVg?>23;XES>2vf}<#Ym{yh9`vn8;?N6top9IN;XD2lvn(O-T+Bd7ZZayd zsv=U#NNVEy>~O>QYDD*Z!+S*08o)2(M7}bS7xAa;E2+8Vh{6tSh}ZdYJ>&#v`9GAY z*3H=Ze<)L0a{+Vjh^j>R`m_NbKExlD?$}E#=%37`!sl@r9_)_IMoNXE zt>oWLcZU)Wu$_D~1%?I@!hoLbsM?P*MZ@07%fG;n@S)`P@IXxS8n?ZZaM4;m7jgRH zFnPnySSY%Cv?=q6=%h~=kx*Nr#HQ>>gQcB|l5qJ3hR1{wfAWDEC!m^emK8CaThZ$G z714rI(fH^#XPLQ&#Gs=q;WRPQx5sz?WuJVU;;ieqe#!Jjfw-Zo5zUVa|EP)SukSDD z$I*-6vKW5VY>bL-`4=Jm>+7_HH8HbR)wScygLhQk0y+qJZB+WAjtbhyDe;wl0RcJR z5M9l;{0;+*q=3wj!J^ddkP{iR^)V3%Z|E`AG38|CkiMrr!vb=FHV~!~dgRT$B9}o3 zb>S>?&<~Lvvw&_SRGR0*ZNj{0BrTsc-TfIO3xveD1QmgAVjnDXh zCIf^2pG2}Fp1$#!@Vs8nK$Er0r&v10sv=%f!#jZVz+=O4!kb$*Q8fV_AN&_qrAi9` zu$n1=v~|CD*%BFR;;_|FMF(qov|IhFDn$YaD5ayKLucC`%9Rcz#AO8`F@>eYbmoa@ z9g>p-qMI$hAgH@xZbXWF@QRvHm>7Zr1wb{bz8cwAM{D*1E#`Kh!%?M4 zVh7CgBSNv^D{`wwaBbH}X>N5}>=2T2_-~3^;k3U`x!W;vSTAB$pK^!XBrbUFZih-) z)Ed1`KwAzN#klA7kIX_qPsv@Elag5T@lU6ZHG=dhpv^Hu)`#{0`dC#G1!OIm4wnr~ z@V~DkC=ufB(~Oq3@lr`cF%pzgFyx2)?G$Std>lv2&^|xxW*VsO=Q-lj97&$Vu_@PL zFM6I<8ee~#(k$cR7Hk`^Ih$UI>RKUWa#=_JV9ZQ%ssIGNGI@CW%h8u5jm8+x!F>rH z>=1m;@RpJXSzJ~Sb_a|jT^Ml!fi1W3adN(w%hNX>~XCv<~`arBk9_sPh;nkl^i zX7T7J39M=&ag}xtxCh3ijA3^%oijRKb%MP}dL!71dMK(N)b|gHfo*>90aH{e=JgwL z;$ZTO%}0|*n*no-jgmpt-@~R`c=foWKuF)ij;TUv5%*_6rpuJ}rsFF%l9$tc(C8Q3 z(KS(zU`BaNWTT@)5CxM>=O}WjgJ;j4k2A*@V7?O{0AP@a)4`Yj5}3A%Nsjpqz<%T( zRIy@{^Oevo4EG#(XXJs%+j~e~s(NOy`4?BrI5p*bXCdI#|t5~%-1qhUn& z5-oP{H|5dF8H?&DIz4a`PEiF>D)ToN(MVXqhVl3g8NYoYXxvCz4AedR*C6u;J^!Hb z`44u)^hRU?B=*X>2-~Cg14VJ?;rNkAX6+NYlcevDgr@rRs*P(QWIgSaR5Clu$9~S1S ze~)|TbqIOg8Xm>4*P^VYIMtSY%e#k{61S&<$b+zOiBQVq$|b{_PDVkSCuS~G%T{_b zB-MtN!lGDbM-Bt6n)d3rsZ7CdZ14oIi(b2|ULmKJXGOgo=xFfZ;L;qx4wytVr);~l z1qn^&IO1IJxvV3}9k@w+ux;q`$i3b9O-)~=TI&>&-1J1(Se$_Lrhfg^s+uYF{VMEQ zM3zeYrO*NdLCryUUV1e2rYi{LdKLeMXN0CSmATsgytbjrVzp);VS&V+{Sb%d#&$I> z?o2eP<*g0D)^pupS@L2U`BnjOG@J~MUc*6dI)^=^+>0v z=i!Od@t;$8-F^^lcH)VThWSQQlZv@@UzY-}hgj0l1d1?}Cd>pe|3@dv|d71-2v9WUC% zg&RCmKb$ubYy_-Qc)RKmb%;bA<=2l_N?~M zZd62-f_$$$=1UmPd~l@UYxZT_w_qN;J{e~NYy`mW>$>9^qfO zOr_}3+fW>P((N0Br&$Nr);Bh)XoCWX{-jAb=>V9md6VPp*2n~Ubr$PDfu=tm{b%S> zm0nPq_&&&Jd9W3=-T1n()7#%epvrb+*X0O{eLp5+#>7L-dgsVcGj+IjyNjfmUdn3w z^Da-MK-0obNJYoF6epW6Qni1tMA6J?(H~3N=&sqrY z&g7l4@?4Og61O>GxXQ=%E$tygbeb4THe`yhoymD~tQ;e9wawfWSXh(!lrq?0utjiK zzRl!6k1Hkunfg28UtKE?$l%lp6OMO-t7(ZRYAjOH2fAo!AyWt^brg1RcyUYi!sk1L)|T8p`|{wV|-|g#y#8)Ewug$K^@8f z2Wu%KcRcyywrKt8$bu*?QMaWpt%EDVeT1Q{8LV zeZja_G77fAZ;GHX-JQuCdhe%np-J@Gu9j*gawJg!j|fMU|0Zt4M*fD5wj*{|kcEPp z6CZ|)?+|>V?^NtD9_imR!%iT$bh_7AnpdRK#kYJ5gso-hkaP;sG1IDt=H4SCtgXkV zR03PK@E1Mc#ryyGyFu^gy(VL0{r>X!YtEeR>j=<|rAmAcpdQV9%TxFS zxK}a45OiDg{}HfOSNSYA+L2BHznsM2f#(w`?o=f4Uf(F#L{=v(`;d-l?EzM?@cui5 z5J!@n_XDU&7eaN`M>RoVZywmZ(fDCy2 zz#X;w3y^f0iHwPru>gxf@1eXxrKPKFGfQyKFmlT!QTbykB1Hl&Ys_^)Gc-CYMGo6# zmIPt{H%}-S82AkRHfLx*tMeo8AOEs{glD}UrpQeISyd1V9BKcz$0yYma(fUPd#;Sn zW0M0i=nd%RFyzAfK?F)lYLmfL&T^GX>TbI=Vw#o<5kMy(zRGIa}>Z6z^xM>R&8V}9V=EGJC zv94mJtxOmm-y0el^4s0c&ccEIh3Dfr9&u?YkokJM-KdW*)~$6SF(2pN3}4jy-b2kl zowI}g5O8~p2IJn0jqJ;YM!3eiS$-X7a-8SHYKRbU#g`jaKo9%2EJS$h|S7+aBG-Wew8Kx2AN&i}oKnhu03jgjsgNwD?AMI}#6{@wha9fe=RA}cqi`+q}wHSsthSLthX9GoyJxzuphMjy~6kBj7KfY-U%X6swlZMuLL zo3F30cn99d6tW&~z&%RWwq2Xyeb3TATb8;tkm@sdFk1U(I_?qk32u?aF!RlcMV`rK z;ji%6hytIKJz%5%^tk4r5`2h8uURtyC^)Wzh7@#lb$8-_2EO0k-U5FHnngMT149VA zyZadM7;`x_@3#z{hAL!919%(!N`1>JArFa2;`bq~f1rJzTD|&j(J}fR{=pbDis5(h zGcz;k+#WT!Nj@J>6oiC?1L=LS@@4WF1}7X~uYOt6G9?r{8zx zTM840tbKgmmK)2`*%{M?NmwbfoV|sH<49Z5*$^TIS`^x%&Pk-0bd6^MO z6%{lqtBP-2hZ?DtjQoa0k}l1*_>~AbUB(o((XrM3#B?!d=6H8kPx44-glEM6gV9i) z{YW9Mn{2pj*sNn>)@hQy9*=#W$`fV=SYP5$rBfM<*?RYuTWG^63o4>6$O4pW)lct} z+RpD`$i$RBB9YiafrtJExvJt*zXueb{#935*{?}}H6J2h#nlz1ig2Vv-9h&02RLyZ zQ22q}!^E>4W_R&W^oz&}&CR{SQtZI#dvq}tPOV1W&RComG&|?vdf?iQt#fc%d3>6j5fU`JX? zf;+ki-)U5HMQRHzBNmeGK~*rdLRX#Ilt5cC!15jXdJgXw@UW0k`E~ay{r5+J#p|av ze3AbZQw)MfXTrHBlny;k_{gxsBey^|A+NJ|v{a`?zo-PD0A&o4Bjj-ux$n8TxzXzO zZNrUwdKdP4X);5Z*2_6MU!S@q%sslD2j4sVN4f)*b4DWIiMl?jvAaJg^0?D4@sZA2 zWL1?){?(x5qvbQcw~!=nGk~Sf()Ks$+C4;!f*@Z2<9Vb+(J;l~u~+AHYebRmpXn6a zW}SKF8Y=&*IUb$cPE4Q2ONp>pqCwu(e_2*lr5|^A4@K*FK*0Q?ga3~eLYBf;&%QuD zrZ!Q#OB0UbkK|XY%i6Y21v#bQpoYY{I6e-$*!QgFct6bW*D@yKht^ROgV{em+=NB- ziNh4cB-nL+;?Kgfo&$TZpdMq6T=_g9vHKoKSmYq~KWUnHzL1txxjYH`AUw&3CzrdnEgTdzqP)+^MzUC^fMT|*$qwB_ z_Gn$2>wMX^9c)$9h3$Bc?!9u%MB#+zTbgH`JaZc5G@ppR6N7n+7vVD+n|gKX42K=Y zV*U}j|EQRc@H650i3{0@?C_NH=MI;~>MG)PFCH@i5eH9+ISsE>@S25Ka~L{OIECUh zjk6m1`2LGds7}vw>8e$TOGv;A8ov%6I*iU;x}Y|V&l@&uL^9ce&q(iWNN?J8>WKO@ zKC4ihGB3J_@!5FgdJ&MMX^R%nmdS&Ip>U8BK3m(2ofyqph>Ua8C&Z=D07zpgJtw*u zz)?X1BLVjyz%>x?455B05h#@$7x)L?#58)gERQ$G>hSPz%$hk<;55Gd;YU~>IQEZ3 zq^tr}W)#av0OX|oF<#w`NKAwL7Bw_!)&dPlCi3*&@x1KKR9V46kp3BZGhL$hV(5?| zLJxZN9e@L;E{Haj(02^@%2M7Es^r2;b0DWK$WIp8o~sYeY)n;j}`!mX%~uJ2Ft5mX6|wX+(5QO-Ct*^)7gV7mpqz@R}PGmu^6x zE`2a{=rkO+If1;SJZY9fBh&M&=P1c6`AsV483FyKBCi6W?x9dwwFP~@?uW^PC*rXA z9z2Sp$qn7JA}0?|i3jH6ub`*Ywx=0HWSl&SPZJS1)2=)~ihVLtE+!-OQZmvUA0XpO zD$*}MMEt3{@U!y8Rh_GFHFU)-yIXj0@c}ZgW>T39q+L!!s$=S}P#RJ$r6Yx|kFF%6 z*zGPVyu)c?N)!?Q8b~>69BT2RTf6qytG)xzgQJk_?17E*7NQOrgPmHp!QRcPZviQ* zqhpuO*mKYhCFNy!z+O2wy#b`$w^ssKQd{L!lu)O^djcuDe2rr}3{m2L3n^FJp)h*^ z>ej4@FFJLC&7R%Dfcc+5N)=_)Bg3XW89tUuswk$mvS%5k#mi8icx%VeL6opp5ak`o)nR?NfWee)*MD!+9*g#$K(6S&`{Zm#&qA7WYic?ett?CvXdS_ zgABnYO`D>elYB))O3G_O?a`ukE}FF6JJY8q%k_47S6 zckDnm8E*N-MbI}g6o60$jB2Q>zZ*z7cQGDca7KZnJB&!q|2B|v^_xJ-O6ssuZv%I{ z=>2sS9>^F(`4LF@FAxJ+t0GMPUScq1oEu&QT!YK5(~w^vMWp<(n~?x5GLZ7H_EF?} zI05(Efk+qXV;sf8>+RDQ5OdaEc)WWbO1O9t_b)jhl8j;pvlB3ov%~6HyD)X6IfnKz z6$`u!n&d0ryNY=6mqC`_eQh8XPgR%igWI0d!fW0m*UNZ#<&voPeJIIMBK{m_e^D$X zGd~eTe0`gu&YVN^xwGPPpzU?InFYYvFc_Ye-UvB#g=BCZQD@JI>)XG5leqnH7cL^~ z#Ce=EJ}!Wlt7h6@=~Qd1nqecn1O8RCX1W#DOtHY)xx2Am`7Gr2cthp#9q0vQVNY}k z4#bwjB82*7=X+3ch{g{02iO%+B=W67@}YU1@-IeX^OZzw_0EH4XgQ4T0@e>CFo-#I zBODD-Bcu%a(X#wh!1Q(nw!1#0I-{WNmV{m5g`!SNs#9HdFHM(3xV*vHwQf%>fEFwZFQ$0HF$yC3@vt?)j)Lrh2 z`)XZHfQ@$+4g}`G(lY}ZPI2PCTGU3HH_B^VPn7bBPn%m3pH^X%|7JZtRL{)Dj%2!CP|Hiz4}ll|zr7gC#vv&gTe^>NnD)I!Q0ANp@T( z^UiJHe2pt{f+yyUd1PK$h8pxe^K{@|rQlJEo|!05vbU1eV?#Qk^{SqDA#0X7%buSB z*P~ANHNTmI19!`Cm}M-JtvTt8E)7Afhq{qcBrVQoeKaI})d(%aR<9h=V@db4=-E~A z&$>``Uv=V#b>f}*q`REYy2d)kx~D|-ZS%tF6~>Oj3~urc(iT4 z0D$sgrTbL(ZxNTPqI=4VahLewc0_DiE+~>dM=J1DqT8F6AWCj7qU7fxOj!xuS|@Pb zC>X8=KJZiDi}20s>AU&3Ex$na+jkSoiQCVz@>;hBdx(Gb?7nP_0hW)|$C`0^B2wjF zL2Jh9V9f|ktQn<^b@MDBr*#3FPXt4S`rfTR*-#65CIH?$Y0AuF+^&a!G4)G4o@#}? zgmEn8(YRqtebyoR?oa~tU({b3dZ%G4jS~vzBOrh778EZ;VjJ}>#(tUDAN34}sh>MY zzuRBc*HJ%SRi`QSAsiu^$&~8T4zI*E{~{>6q+s)hw;>{^;khB_524XO`0zs@Kpb!u{=?m)` z>zpz1$&M>DBP(G{{Bs`b=uR3_b!g1r9#RTr_Y7(`KU0z|>mKoAN6*`Yp8G3)X#TN} zbjdEX5GG#fP$gdYzWSU``ejFQH1SM(oliXPyP5aPd}`M|YL{I=F3f12v|T21C6f8J z;AhYxo{Wh%YvPgTR(AAG9k^YJgJFd@NYCluEt=cWxO;%=JwW$8NY_JAB{)p{^1Mtq zSD<%H(JLE$*Xg5L)6Ydj%8x+GKbNW^Qg*0{NI7BhhZm7j7Ts4I6#I}OQtsP_Z@P3A zynWaaDTjWHNck@$lKp=s$(sD1O_wh_z1q9%-tB7~Kek)8hsZ}D{@K0D*Fef=NW2#U zxsA(Fvt~8as#P2E@(RBIuDnnBF99hZJh+by8`hyt-CAhgydktS6_E3oCh5ZOH}|l7 zh(wCg_|FjgaxbUnnt>ySE%4oUUkW{&IB^2}{H4SCzb6$Gk^n@d1Sc{wDdrHVbrJqHh827E?y|TbqB``O!0$kE>T6imuYHdhP;9TYV1(@Eh?M=sVc$U`hQs9swVNKfx34coR)I4{kN#~SrGo>0 zBsnpVXTi9Mh`Hp9@~C(mGc-fjPG6!1$z#>*c}R5gMk(d**D*lHcI{Dz_*9rS2dQT+ zqxdT6*4jNZICd*s1Tpi?A5PJGx-`zZ}gG;s&U3^o@)N&aj)M->-W z$cc!Nyk^Ls^=58|>QqhP?LNv`uZK$WjRg#x5FRjkqeZbx}< z5&$%|LjvKM$b_tKg&RfK&QmIBuMRGumzl+@y`LwM!Ac zb_qRSgOGI!GFppZqCkapLbtrnd`Dx6Oiv^;6YGl0|4t-}%X`~0{r+2E=I;Sg(waRg zI$H40dY-}ey`*tu%7k%9r2a;_Kzs~ecIzVe^JdRL#>I=`Zr$O-hyN{*vUBIoXwaZO zv^3RGT$qpN73Hw8F{g350Y0U4^k&Y*Swm%U_8Hw?eCJO$zfkO~}q$V$8t>6(gK_uh8El?B6ZP0Qe$O6o zIdWKd$H}^N6U&w^7BaHO$3qA9i#khBOT+BxQw9I4?%jk3My_KXYo|}2A;y&745XYz zV|8i@g!gC~n|Q2dAkX4ivyl-QgBN)PII?p$dVcbL1Er~yr_5#I~xl$ZCoFpQ2IKHm!d2z3YD>ma8gl4yG9K}zBSa7##T z-(CVzW-*YG;=k=q%6s~IiSPEvK#+V_hws`<9ceFshpT5f2@oZN64_fMKWC4WTh;do z?~QCA{V+WXbv}vfXFTwfji{&5?~RSM^F1Rph5;gvJC8W>LUx}NW!b!F2NW0Y5C+w2yiWcSsjt`tm1R4{r^RL$VH}>z98GJb z=mSR)zV|5nw|U^S$pswKiH4hDD105f5W45Mc*dWccWnyVc|zQo3f*%OSKK}DB*I(r z$jNf^C+qCD5PS4w{j%=fqFX_U^gR87poc-OaC0z&!m8yMK6V1Sd^-T`z8-+_^H<>X zx%0v!(}_)s3Ns&W0wWvp5u8;^SRg6qi>#D7U+XF3+hfRuv< z_DA4($$(y3TqGurmOTFs?)yE$14$MNv7td2F<83SKJtgbhzgT{*a3n57&2nGSX<2* zJQQipv}Op6gO{-d`cXgo0j(=0jh=$D`_ABM*cI&EaS9XX%HjJ-3!vh#7ZJA#kWumy zj_v^%zGxi=PF{d*Tle76t-E-3D;s;Ptwn#$`PNXk4jr*mM;}jPQ&1EV3C%@|MgQEK z#`LXrmOy1WiXYsC@}_kne%tzWu~$Qr^nMZf{ui)u_FUAVbx=>z$1&ld^98PEW?;$U z#rWu>57GPk?{L~x($mfCyU;MP#W!PTW9E8ooCrzAwbE*M#bsiNh6#F&nS~{C8aR6@ z7+KNRu-jr6zU%QVmHPwCo;Mq*>8V0b=e*CNFRvY`A78R)5w2d&5cwWGd;p(yk-Ro8 zA3F{=z0RXL`4XHpb_LU}wD-7sD{ z-%OZ?^;WwOd@T=|Wv{TyD;VRJZa{C6S<~?VV(yh8HU9~;Y__3Qr_N|xw=Q&+twbTU zhl-F>Bsj2}ntEJU8|_PIYyk@Hm$t0Ed3T zx8Wa>jRQxcuw>~LbZATcMyuXfJYN;Z4+kSIDjV_9cMx{rDnbG?|1ydUy@ABodk77> z3M;dN_+h|oG;7!sef!M77XAJ3^vOg(*j;!BT&I5QGQ9k*;9S5}Q7&hfXc+2y3ozxT zwa1}IpUN9g{UM~X$rb9#9z>_HcGUrl8lr=qU6y0qaAi1e_e8fCWoXhR%vrQd$i?^F4Gj#$efRg_uMboQ@54VO$m--D z7tWqNi{Zm1%ZmN_ek%?m|6U-aWGVC2%ZI{J=IBw7cG4LyUYQzgrlT|WB^jtp{pTH}EF zKMOIjF_<-Hwn(#Mr?#-M(MNGHA4YPXk5TzKNUr zZ{gNqq9e74{=Rvbo*j}Aeg9dUe@BNY-GjI3z1zq+c^!{@GEg0wOe-;3P^8I#lr&*y zD>a{f@(H@N>mUG=&)6dC$+OrvbFQ%9$yR4}scQe+Bjx9xW9M#adrzMt`}S>Y+PD$* z>(xWoE?ux=`*u`3c_I#6HY+Q?yGP2;y9=wL6||UOE2w& zN{g4)ty{y=%90i@Wq3#vDLqPu55t&mZec;|l~NQG6+zF~2+e4r)2g8iNa+)T0!p7P z`mz<@R#aZo)$34vE*K@=!Eo51A}qYtuDj3McNEs)O6lDquOJvMSuL!(wrJBDCKl#z zfRsi?;!v(d%N8&;Hm3DqF^Wq|U~FzC;_^F&OIM?aEeQ+ju<~fxuo0TKZUsXV6BIH4 zlF~7y?=4AY27nqE8VV~nd`N6&ZZ3d`?AcPE%3Hvj(Ub>U zLvQg)Jfw1$`i8+$Skh@C0V!!B`j-PK={KXWVI!_yr!+V`Da6aPFjR(npephVUd6Fh zub*uCyr+LQ@rIpjc5^8yH|ymY6q5XT@&w)Qh)w7mdTiqBd!ooM_}TZ|rTg9Q^Ev0ZCF zvOGv0PWKX}DL}k$HgSK6YFm+eZSk&lS$;}s=SfG@wvz(z^g5r?ef+pPKP&FuLg>|!}gpM8^CNOk1gx*=j0J~e;7>|WQpQOuS!{-rMr3;aeuxN|Qcq=x;kp*P1^0?>Bi>!Y;i^$YMp$ zIi1>h@F%A$(x>NEX)j<+<>k+s_&+V<>-hgcI&b8!d@VnJT2a}piDyZlulXduCB4h( z@a6bFTpr4giSw=b{p^N*74SJR>A z9G9uK&R@$+WvMMQw;gV;Tox`XmzmS~w{7Mf`L)}y4eRi2=@Zq+`fJ2#*iu zLF^Nl#65=FEt-+bPC+BWX#F#1-g_YBe><7XlrGtr%)kw`WpG+30VxN`K#gpveA5(T zoTK@4sqTE~q6%LGstv~EG~R{yUB1j?HcecXVK5|vB8Lxg6c*m6jkFb)lio&bIej$6 zVZbE=5ZUVc<1N(AWS5d^E*xeC@#NKtGuvs?i;B8WKf+s^`%xcwsD_fn?`+wjL*b< zEcbWZFVya9-cYT+h`w7S{&Je;L{`Zaf@drG$C`fa$9+@{PxNcl2XS9r(_g>Nm-{## zzeK+*lT8-qb^Kbg{wy6Pkq)QvQlw!)GXJRT{G5otzvfdMDR1c=NzR|hFZx~?U#7oz z*A;JMLBAfg+GZejgK;!={uK0l!bK8?S=W4f-lX(c#bEP|1I*=PQONe5m%yL z=1b2c{=Y9*jn4TuB+2>owVbS5eqNKuYm&_$1o7YWzD-IMoEYFrOIM@d`xw2>2%k1@ zFMyQl0!Vr1J&^K047|6rZL1@?fBrc>qB+W>8MARVI7)b=bu6G(ZhklKpFc6`Pb zus`|`Gp0{}eL3;(4!jq>*TqE~eDYe-9)hAFKe6s9+H;~f7c|Usg`c_+;tih- z|5e1jI){85IqZP1zLGo*PnkLqv2l_IiQf{QJS~I1fj;WhlP>frtX+V6cjHm@tOy<_ zVla2|c1#=NfE{+{kRv=&{&he~5BL4((_6Ys)V+HT96o&Hj|Ecx)Fb8HJE-7`crJV1 z?vYY{6WTOxil)t*L0@+Z3h&%Q@xA*n)YC`vmMzel&(J7M_LlH7ASItqo)5?EW1d() zZMFoYWRH{@dZ-GD!PUd=SU!Hr+kuod9w~c#jdSMq0P$mY?8oE*61ZUGfI$dyIRsq1 zhTy%2F|wa@!Ew^C5r{eFhF6!a!+WO-27ddUNN4W22}pJILUr0zxY{`4+wNcD5+|R>ZN_Vr|T5%rS?Tat4=fHld{a3h_ zeH*LS$_uYjUy*#r_Uy%r;xb%{OTfYf3&jP;K3zY@83Rka3W`O7(>_e^Cj(NB84drC zUOTuBwB(aFdQ ziH6ql)so@e&YiJ!*G@dGdX77}_pwPyNqFe^ymJ@0*l)%22L;HY{&&^VW%#7dC+O9+ z8;+Y=<7Hw7(odYh{4wJN2(*8{e(*Z!idSWikrow$6^oY$Pgu3+ST26k8X;%QMmh2Q zT_}0;YEfo>=hR9?QRWSkjiE5n5Su3IV)JAJtY<^4bB|-qJU1xJbjIc>Mo^xp0i{V= zP^9OZrx?GcP4w=XiKbXH!3_Gdwg^yVrT!fHU67uvB;e<3FzBz63~0&#OfEC&?%(S8 zcY36(;d`Gui++71BMH-|O+_+$8KnOA)X9_R^;HkSU$kI8E@xy2kl3ML0aDg)P#@|V z>c}s6h^Nnhpeq>PNj_zB|$f83~12=fcTtH)2^>v0By`mzU_e_`sFF-Qu!K+~)ecpW;7?|Vr4 zSTKJsE?-KgdCW_kJbnycvq#Fm3P^b_`ZS*EZdOE5 z)^$9|z6&Qab98FkM&w&V>^be7fRr0H(7duPzU-q}k(ck#ueTcI zPb+XDJRNd7&O!TV2$HgSElyQ=F&QhCETr*>){fNAo<4PgxG!-vBVCN4+|Ldg&>sOl z5|A=IAqGLVcq@^Y}|JNHr|(UJiZVIqw}Hbc@e8!f?#|gkbZWFKx7JT zIPb^mQDcM=p07Xu0V(gN7h&+fI@p8P|i7VRI-5 ztM&v!)8{hwW|ZUP&6jY9yob$)!?Bjyjd}1boM3~osYRHlZh;1^+M`*+`Y@#WF1wZ` z+J&8gA=@o3!|7EgMhPxe+xAu%}tv**nbZRJ~PL#Gbyqo3e&l)V5B$KAmCBVjP| zNk+)+LUGo@05{&5W3bsDMLf6S+r12i3kbgnW25uJ1L5)odm+E#5Z0|eicM=z5S=9c zK8zn>h;Ke$gI?WMVcgIyShIK!RMwpoq$qzBo8|sGQd)Z)s_Rc-Gv&K*hCPOSuZXX@ zEXTm_He>EoJ0bgKg_GDIcbvW-f&B7AqHOEwT{9D396OaFK!FSvnFe(~L-X zfQa}9ICwk)(`IZ$y*gddzV+A8-(o>?I^Ki)djro24;?&!FTarbjBoq&$07H#;yjUC zC$~5D;(0yq2@*3O!awu|cJGOXj;1HH)IG5OP&`tv6^ZzKcAH=M`_3P0fRv1e2}wxD zw7Cm~T=i*wyG2*`J&^Km3RDO0!#^d+>f|36-UBJ$11bM7Vi0BZ0|7FuO|K8_P=b)K z(-=2VT12$&&=$6ic6jofZA|>bvhg@^Q+k3vf8Y3Nl{ho?}1iIQnD8gmiJ&W9zBlmbZE z9=nt@@r*699`nS;>2qlE@)IECsk7KLW3D)mV!-518#`3oy^rkVG;CTeCjlutb;1ry zYgF9HA$~eGuT?-j-o$Fz8avqH>n{N*d-TL%OIuW=X5#JzTFfn8j`}1+=g+#rY1d9X zc~*gYxw%kMQGNrYbaImT4<0~8OA`%f5!0z@b2!RvM7h@miJG5y7dqZQC-@1$Y>6f9takJRSZQrsL z?A5gJI4%{r!BNmyz8a0{)kphI9bxO>@OB`jy*(a1eS!yt1rm_5SyOR{XRWM`MP zL_D{ZDC0Z*U~5DcvxHnJp=tWKuRl1ad=u#S^_;2V>D~k60I6E zg4uF;l$^bQ0?z>GFIyu4DVsEf=?Zxid-$WoBLEHxis;(rEkMeRswky*iztraqLpY~ zuK`-LZ37d^tGKKTMI|LLGBJ?>DO2*wuX!h5CgNV#?ciXszG9F+)@ z4T@+G$>xp!f98BHoaz1*G)d zc3f-_GLW*5@C<2%3B&B5v}7j^8XXdwmIZ-lrOiIxEM(%1!nSk@Wa)DT~tL^htN@36u4yOXXHuzDs=a#`PaXhVr{%EVmna3eMO_dRwHs9}8^V zpnf_5E~&+EyZHh;V(vrvXarX8@PW?hMC?nE_}ioJL+J?fy`krkh+`K^;GO*fJ0osk z!)|XZG(QaWBOy4H@=)+yV(&qDe*os29>Of6{g`ic0&8}jhr!u&97rpb<&_JSqmfue zX==MCV6QB%tr6K+x97Z+<{n=h3cLpIgokkUxr9wlo>)M9wWA?8z{) zw*>4-BRMIZT}cn2b|OZ`r+it5*{|RflY@=>{DquK^lX>+Ww=E?!1lA3u+hm&@D&dQ zV^?B6-0lLqQ;MK|DpsVUaWWRpB%eFwyDL7A(wF3{;j=uu2Nu;s0}e+mHE_$ ze#%#&wy=ZhhUMfs=eEUs_2ZG)n~*Eo|B>_}Y@y!?|Be**U4Vm6CR`H=;g#_eXR@9P z{kz|)CVDBpa~?J1b>|iQa)I-AtKl4TkJ?fo=9By;#{+RWhu0Z2J&umXjQI=S11bO8 zi9vpR0mVcDQf`-dq#QKJ34I3az|g^4v0#iP%;)OBM{WbIsxB87ie6hc=gThdY9XC3 z#PG!!%PDxYWgh(3u7kx~ZDHlyVAd8`&(nh4d`%)PVadHV+0Zjfipz0!E>eU0s!a%8 zzZS6@*N6)}?BViVh%Yz=uTv23vL{NGL&#+_@lAPZljQ`GeFViB130cj^}#bJJADu3 zuCz9E*$voIdp92mn9=iJ(t{{Fr#D4hVqz=oTo(4osY%2ah*$@I2+h=m(KIa>PS=L^ zViT-a-iehqZrDuygHzmnc-^joYvv;udBkI>HT9tm?y&K@1kW4K;C7`P#-0gSW^)27 z?A>7Mla3QtD{!31islyU_Xc5!g9jGUxV_BL6Ppi(!XZ2xr>;H6k&H*sr?GsA^$AMT z4c5LFafZ@#zgi9xuOuv`G*{ZY!s>iFJgz;(>FX7+4!jBl7k?=1_JgGtnP!T{MnI=Hghy zeHc?;yo`AK%-Hu5j@_)niQCn%2);q`Q@(rrVc~y8=!wa~pUSl-0BZ&R>KlH*8@@Tm zzarxYWG6PY8%IB>|i}w zhu_9J(ksU|B|RVI_$0G6$-IurEl*`MJ)KN?&BX~SrzwrsD{N0;8MQ@|;}JA2-@_T2 zo7wRANaa{+bqq#F!r&Tu8(z`5aHKih2GXG%rDII;aQY`HeH+hotS3IFZ{m@RqtOp= zGOhqN-WgcW8$0Ox?Am#h;OM%+#|4fh-`F}Q?Kkth%W5$Y$)`JH2!O0WO;v7o)MV9>heCkgm z2}sF3_Y>T@kqC9Q^=Q$e8Cte%1q}@?anbs>hW{mxlvl1?g@VEcG;G)yZCba&7F`wG zyOT~&_-ybIogqR>hP=Fd7#SI(Lx+xN+N2RwRo386c08Uu%7;76-xp2ai8+(DVfR)a+`r2}O7_6{ zX9FqecT!yd?{mj6X7o^MXY@N7Gz6}$Zhsz-a^nWv%D#;Xnmg{?Qq z0H(gN5ekb6g%M9fQxi0A)e@~6HHOui^*;wvwr+#%N}8w$ipFhMZ>*m=_YIIzQy*2q zakzT)G?q`O4Wu-e0V$8a0aEt-8s{t=1n@0(*8xl(B;7$6`F&pm+3y6x5)tgMOZ?6J zNdt!?l(9S)8Tc=Gfq?%um603Qte==RxX*yHR>zo|-G%escut5=IY^sBGF!m(q=#2t%E z85vl(Y^emK{Ok(|kCdd3gx!ZQec%rgkaE;W_y-2y6}9uQ&`|1&B?HO-4xI5LAm!=P z=-rzy$$p5%ix(r~@@2eu`2vTJ91$Q20i=|Z!;Kp^gg%`2y3m~Tb725j04d#kQ0^ZI z`whxy*P^8aq+BWiDcOju$!Z00e%P`}Q<$@%EuT>2dH6$X-jdgbcZEmFCr{{ict;o! zu3NV*KL7l4xa{3abMz`?UB8Z13^M)nQ}pWC8OL>uMO#VVcO3JFjlzfj`T+e04}#aZ zbK>`smX?MUD^|P*Qog6Zj%ooZy{VncO*F;S5w-$2DS4TkCBT2HCYT96@1Z`_UWgZl zv&Ek2^6}=FIm!lG7ii$N`cett$ACte$Hc#lIRF2ISayr4!WbRzr!t^)>`(`>S3HhB zC8Kb*L^gu>?@7b$F=+%pqfhbo8=6QoVu+(KDz|i;1r9A!7RKgkJWMhO@+IZ>8E?jF&ok&fpuZUJ z7So(2WB*CKpt;mhEd%syN9~g8L2msz+_)tHDV=F<@fpoAc&@<)^LhL!FE58ZwH3Zc z)wpqE=x*5}48!xi8*T?2zos6Ucn-ii{zV|=?OS3#$@9uD+qTCcHkLtis?39~SUPH) z7&E`?*B5RcKL=8N->EB((A?`~NIYz zZB(Z2Uy9%C!qG#JbjT67$UsWc^?M-YKa*+!DH%YhC+{qbe=_JV;jo(k>#=ck=1&-E z3yl@K1mKdvj~7q6LwogZF=ns_N4_f~(qyCMobFHa`YVa=EHOayw-N&~84Q}{kF3)k zuvgtDjJ6N$XD#kK)r^s^4U)_Lmd4SakEKj(*qz^>Hp*VyO-ds@3;7uMN&0;mcm6jM z8(M#Bxoar?JP>mhh&@O7&^SQ%fNQd=e<=yESTujG7&CkI>V@McPN3?=OQfcyW1h?-Wxsy?X)N)=OIj1f#KvLD zlqsS=8#Zh>0s;fUTLQr$Z^r8J6DA1IKl8nPeKC00FtN6pHFy{josZx}Xd*m~EM-8- zkI{A1Y^*sD2HQ+v+ik#(K5Z^m!z%41EK{pskx~WY^j9#x2<*K00?C)l@iO%eiq81K zaqR|lr?qhHewO=PzJFU%_6T_o3!tOBMcj+ze%j8?9*?OnDpBQQqa zs5Dmdd|?%>X|rg&d`4rn^S*ufjK!xWVIDZq4*MY6FGp!nZTaeu5^l3!8HX}NA={as^(z-0+s_+WA zWaMZ8r2MK&R~+49f+`wo(hr=%!Z8y>nfi3^fzzh8co~+2_&rB3?FRYv4g%9!eiQhNYF2xNqE) z+J&a75_0a`7UxtKX~^6|^<|v;3OcEjM_M(UlO7{3@)pY3;ZGuEkXIn~2dbYvNAaTsF;T4F>WGmQV?O+Uvpd4xTtjC8=X z(T+r0#dqe_j`MdB%Q1D7Bc_sE{P{MyxLm_}(^_z}1B?xQ5RsT8JaY;!pVbl=@Q)z& z9?GCVro^n0MTa*8$Avu&icKUo%ODCTiCCvq?}2UF;25%r#7&*(fji}QgWH9rO&Eo5Adug7f*8Tqx?>eMECCF z*@HYPYALE{VUm0y9J(9SG2*-7m^FG14muvf!)vs9<08{+@mbz8R6MA7JJGYJG&)z% z%%n2A1TWHW;Z+pPSZVT%_{%_4I+AxE!cv-;|Hva{z%O{DJarD6X3j&sI(5*sLkH~C zHAF>xI*e)BC3yX>X* zT#UO{v!Jwog8*lC?$QNLJ9pp-EvOhUsidOv21vPmyTs4WgNk--Amt{Mp9@CLiL+3h zIbR%rb)@)?);1`=pNE{wSE06H6B^P&h^@6cY~3n(q|AFD0V!$X-=TR+*ptlV(aE?U z7!LKNtI)7+J+awoZ*Gal_i~YY@>_3EMpKeMXv!PWl&=6%K6-?Qg>UmnS%v}zSh7dT8X)B|Ih1$> zp}@l*`b$^80a8+Z6`u(}$(ca0Y5P_n<&Qj4t`eK!uYr`09|_Q;5g%yFHiduWk&^ff zu53>78ER^y=w>#GZ{LB5o<3T#he?vdaLqat21TMII2xw%8_<$?EVluBs^oMieF3B- zJvDF85c;Htg1`uh9|NY-`l#?VvOz{4B2QF`}2 zEDa3Nn&fHPtf|In+P!;?SKY?XxD0$GCpq!e+yAWP2T;^n-zn zP$0?w=Nmxp>7Pfx0Ho~qttAG0Z-wFgt;M2s`SfitRB%SX_7iv-;Uj?p8Gu-`xmx3S z@t24Jn4DjdA4)^c;hKksur9}R>Evl#c0G+uBL4g-aon4pXOMQnU0BRB*{}!e=5L4G z94D+_uw8i4{59IRa0ldOJ7MLFZ34jPZhaKR7tTp#xkGK2fs<@Wt~OmGd9Rb~nN(Kl zcORe2Mv;3iDg&~pOwm9VmH#U1QC7x(r-z!d)AL86-nc%k6z-K z;IGFQgT`RBx*>v7vv9AR;`@eS(jqy0)@LY|E9oF8DO2!0LldxIohmwhI|%hVeu*ZZ zeS;o9jK^jpTZE?HME2uqoS-p$>WU2_&E+b3@UM}9_>-5fL)YFzv24jY1RnLly{JsM z?mB^~)0dzt<+Vgb7Xc|(kwXh}_t1FESiKov3><@{in{QP&k*Um2E}5=D&kZ6OO>|3 zHz5<*<*(otLh;uq;&Y0>L{SIl<2XL?FT_zgiXxrGn~5KLNu=Wz9FLh4U+_6Tr%!Vm zcPis7IVDPGwBY+BT*e)mj=9m?WxAXq$^Qf9&s~jkE*{7Zxdiu}CoyBjG9mx`jT$%? zdlA`B0FUrw%vq}{(pk7c6X&ASaEIja2us8qc@-h&f(;rtOYvYDSb}I9eu6-b| zs9n1UMN?T`!9O7b%VhFQr#9kBb@5RmV%P78Hg#3rkaEJ1`L+^8$ zxGq?CHS~bw=1tZIqz8|XII0U}A^+^2SQue~qw_g^5slw>*sRR(jAtGO7L zlvf~GaTU^(R^l>!&s14~%Wt78l;;h#rN~lUhKLRFa3(p_rWrzhqAAuAv25%)^Vf*2 z$*&x5Cf;KpW8&u3l3cbE-jt^{SrE@`B79jCo>7#> zI3g4l6@PT)vP>Q<6mJ>ssTxkyFus%nVv% zIN-8x0@BV#!$92(-w&OH{v?OxA$MFZeugy4!_fICz8^me{YOlNg|00!&c`F&I~Io8 zR_IOX^cy`L=KA)?pmfsD#llF#0= zOB~-P4n~ykw=^gDZtOG|yBx(uD(@vC6Uw*GxLN2+WiZ)!2$yopaPfXAeLqaRS@ewg zhXs$HneICBhQH$w>45o@%xRQA^0Yws|?~_@r{Fpwk3KG8HaC1O@ZO|eYliUf@=?-!0E_o44b|XEx!03 z^*Veh>hOnYi(s?gRmgVv!DCUs{U^@Bca)$0*1br(TP*6{YX2#r@9&09g1)8&QYo*? zfhn*yaKr$rx9_MddQ|q*yvN8ac@CSS9vC=nA-)?r5nD)aDWt#5pj6nHIAI`_{aY&I z7A;Gp`o-ps#Nujj8tlw=V9 zMe^I5?!b`obI^l8Q`J7LI}nfPY(G-x`yAn|?)vS<$K=<1CjvzDUQ zknzw~GC+!F1a1T-V=KuyaMUz>Ibamlt=B}bTM+USZ=*Q=HgdvfP8NL?cWxAjahB(2 z*}3J&PRYjI@Jy7%-NK`!J4pA6fI7`ZTQ_Zi`VAUDQCSIh{)d2+j4AkCz=EHIS9p$H zySAcRw+>?8Wzx*)2#ARjR!}+ct|cGL{Z+&Vchy83@+wJ>JGP_C=aS{>DU-(|EcC}0 z#s04F9!U8<{92$k`#%$8MgE@+$;nADGSb7mc{8A`wF!~o=fpXb)TOoz*zfF*+0zu!wq-wb z=`aXumTSWGm>(iTQW1V3838`g@b`}TizphQ0f~qTO~ZN5aGIA{0%hHtPHSX#ZnlX7$G?& zk@&weR8#`D?xVsx81~EM(6N34ajq?|AcwozxA3B}g62Rw(7r=E)S<3SW$H}iyLqF+ zHxxFj)}ncxI%rVuQ|PIwqV&d1Ji2uohI|&+pdOml`22zs_}57#Y5Ku8=exg5dl z!O~rWKA(LCA5&`}ECKO5_F`fm2{1XVM^A)UI*4>atsLp^uLOVm_q`G4NbBvO7@Rk@ zLSGpuVAh}^xVY;85EuJuhMc|;KI=VJ(DlS1PtXPhFY3ZoW z%g2%J+tEvADZhr=G;0bQU0poNx{mT|H(;u+j>h%s3gYj~E6T`(mclyJ{qO_f{mH@6 z9?z>Pk(+lPn>KI2M<4zRom#boi=`E+b8>Nu=AA3&&K2o*@6s8^ckQC)QH0E>Xw08J zP4K^?@_23C4qUl`AN@6^5t8vE7m3~u_2e5?;=HklLOcDRcvBUV{b4h>fRU|tpS?I&r&JG>g zwZX^4SDZQn4_wco(k~45Yd4@}gZild(TC7oumr`P{&?&i1moqa(1_Ye6C(3vtMSAu z0EP69=8U=cl+tM3qB*vinxg8?J={-D#m1#eMO*3Ixg+-ObD=qUHE!O%ffcJ)2w8fx zYljo2)c2-eL57E@WuC!m)0`ngk+^f8XipcY{S4{$ zh2T$`G7*Uhu~h0;ID6(adVkwX@aN8&fsFK2V!y=6Q^(Qct1ktAcJKa3aG?50x(wvg z?^ov4|Lq?jp+u|XBdf3of&%AGF=mTQfiEv5IoC z%ehWn`#__~MGuPk0L4_TSir@XAf;RE~7txG2{9?7p>g*&%y z;N|mY*tNq6?WzA|uTCnfS0g{|A}VfW!$waJEt)h!gO5Lgp4?iL#w6lVTrv#h70`%y z&6+iarG*(P${(R1FBfXcN~rVE2WZ=>1)OMXs(SVm_h>$_PJWFvR(EWV{VvWzmK#}D zuxzp9m8EC*&*6IF81;$OxOU|-)~;D4;`6f_nlf=bB4tLOq9ejEX4DA5A3bOwBD~Iu zK05G}D+Yb{trVa1mz*Mbx$^QlgYUkPymHTn1iZ1o~L9WHzX39<}VOwv!_NEYa20E-;RyP>YA~d#>nGG z4+|Mt*7*2u3$HnkPjjq}d!=*VL=&G!^H zuE&F%yQq9x4tpCbv~1P{_3C^KT^%hHmlWY?MLC?D9EC19of`V$vo1o%{9b-;4pbC3 z;S-v>v~SZ2yS8r=ZSv0Tn>3%47xT()O`77cl7^5g%jJ0@4SE0o|MW>jK~yLf50;!Y zd`)%g?(Qnek(Qc_8MCGfey>(-aFpW*)A-9k$|t;w^A3U9<)H)n@vRI-0ZM0R?hct9*22L_lEeM3xB>X??0GcduQbQ7!b*Kj%s^xd>3Ma?>8=p zJ3WO#XW?lldEHc8yc4R+cL`(ex^laqw|0jh?NxiAvgi;L=N*Ug!XwaFzVCG$Hhj+C zHCOEt`qY)%4M+8TaI-ps3%gDrbk9lg8MO1)DUit5={U}BJB~1Vk9GVamijg>Bg@Tq zhWPGK4Y8Nbq;o`657(k-ZOVH@Qb?vL^xk{G&Rf9wTzb9a6;g`;&-=g*T81B>&sbW@ z-k|Q`bYLXLPnLj@T|Vmu8|nuy7goVDA{pbRNc~EWuX@4hh&!&7(A+&B3PVRqcb&iQ z+aG&R`x3tz2fc#OkNQ#O4;nfGN6&`|{;pGJ(Mz`095rAl-1nZQb=WmH89U&sPMrk5 z;}4UubYCdU`HY3+b-GKRB)bhQpG;C-!Z4`{CK>eiO~8ftp+wg&l_1t592%=OqJ4`W z&m-EjZ3}H$lO*OoM)a)$$SG^$Q(7}IXinYG5-B;45SnoZOV+Ft<1OE_)VJS>^r9*R zq~FA>CDQt+Q>V_b*s%{81?q`4Gf8 zWI09TNV0KzF-ob15w#DCOT@b&m4(Y>N99oq$icuB+W4SuBh>rkL&$GdM%0Zw(Jqt? z%*0*Rx}=j8ata7dyN(+#fXE79KfTYoF(7^DkuGeLD&QHFi?pyT+)TKM8`-6}`iQy^ zs<*3Ig}54f6F1Xx@t_#E{gia(ABm9@CJEX3j<~VI4x~JIiUfMTH?9&|Cv%{G^d@$> zU4fg|C3v2_2oEB6`u<1J8K2AWJfDeEr_-=wX9ViU+RCnibX44k-2Xyyd!4VorWZ`~{b_y~3`0GCXsdg@ zmR(iR6>9W-^STpQzvieY$H5~B$hci9zkGM~m6K0)C0Qg7Ua{SId=S-K4C*R8`Dns+cJ;eGh) z1NBYs!#^d+>f|36Y6B_z{sV!OqiO*u+2X}}Amy)z9|I{r`|E&||Ar(WC1d=H5f zVYy62T@4KyH$tc8E#bI98Rdad$f3Mc=PZT?!}blP|B1p95<@c2nNa`PTQLz6vNN>*HL zZEfEGDVbjbq-4u_k0i@_T9iIpR%5=kwKX2GMW6iq*B0j5Hf{=QxeX|zeDgd5phFA0 zCUxrxpq>?_UshHoEYj)d>!C^W=E4H6<*Ick^$tP4hd*=|E=SY4^Hi_vh=r+fQmQQ5iLpa$F|5Be z#{Xa|WPKMJO=V$l=Gna;l{SM74XjcUry<@&X<|5oDkGU<1CBML7`?gP3T(fhLw zs8ic-#znTm{|e{4Jkh_e>;lTvDM*Zu6MSzUZwweb zP~!I;h{PSz1q~ll8w`|P(3m=78sg((g={`&y)dwUKf#|ec@pAc8Ep0n=P4bw#3cBW zCK5kd@cqvFV8|dT{mBz2AU0aEcw3u4X~KBKL`fEJ{e92lhrxq>!heMTKVJ+TGFb2@ zQdy%T!ii0Me?JTzE*)}>|E>?BwmJh5NeHyw`sQNNqzQtZGK2dFh-7%tN@Pb^&P?-b^?*f2(jCaQEVZc_@l>- zL8$CdEHwB6Mvs&ZVn>Y_F8<~aM(K?{-W zS#~ai;73Maob14pbr57jYXZ^<>xOkC_>@;*0QDVIr>x8IW2Mbl)-mgvLo}r`ar`*J z=Q@%2uSA`2-3UI_p+D6nrxVM3S$wWru44`?FV{Wuxs6EtSE7w@TdCo58)81UHEvtX z=eEXej?<5iiN@3#{(%1Q@uuHA#ZOF($Mjh<1pix_vmR2?MD+z~m)UthInUYO4YUwQ zZE2jWPW#gD>+ zHuM{`nv1LJheNJM6V#>OZ`&@P!C}WP;UOX~_de8BRm268wyj&i-qwcrkCFc%SMclC z`xI?x&0uF^jVI-gXif4E7PLO$xZD@iP>=3kz{PntD%hJpt?@K9)X|`RU9_fklC`A; ztvMf|AU_YPS zWF54m`Mo8rsUG`=qQEOaT;y!@@yBSQ$Bh}K0E-;nZcN^9DtO&Zgh%>bn(MJS&+nakdsc#WGj#ufuT6c#?j)AGmIxx)#c ze9zcE8LaqnPV1Iv_|ZqunY#!D z9)2iitFp8PZdI>78Z>MGZ5=HXQ2jrlHM9-2rPgg*qXEU&oU;%QJ$zBlm$%n$L~B~l z*QYXS%wLR$XZ-Ml*2#7Xo6v^Vi8cIuiqCxeb(;mh-X|YJo%nea|7k!3?AI%zEv+}} z6JKraLgbx3j|%^AILP?*KK@AXAGrGnzT-oB*b0uXZIc-CSP94N0VWsJ_ao^n=l|fP1uBe6% zv{wJ*gAcG`+(ca5cMOQnpf$)9nqwpbaWvn&b04UD4!nFREXazBx}h{zjk`p1<17?= zUw}F3llM~UQoU~a5s-4{KNCn9Q42`<>F@VQSrgdHR8`eWJb(TI&nt=e^BsuasR>0z zC2+8JME5Vg#3!HB#iZ$TafaFsAEfaC-h-!-_0zwM%BoQGLdrWUw-hF}PWbGLukZ=g z>8zQvXx=Ja*8IDJ1f<*}0VzLVAmzk=7LaoHe)M1K6_ z<1+>A?5Sg};(*^$-^ z2R5q!7ou?M&`B&AChhBWr*)v4wmuLNgUfpkVx|lT_w3l>j`61ATwsI*Vpt9v(`Wzfc>M@S6`#^lkG{swmY3_%RAOudY#T`m~j zLjp#Q8Zi_xG9YE(`E%(1odgh^I$`ie?h$WX#R5`rQ-q(IDH~`Ldx`>VI0JVymLP2XTCr!TJ5vwFvvox}B96@KqSz1oX}*6Zaa()6 z_xc-&<&}BVEZZ_)fGHC;uSVRaHT1a#Nt;(8Wz!O*Zd`ywMLC3PZ-uXE2)xbXa6$h# zVpTPfylI(;leBrIFeZ0VX{8`GlDB`U3bdx{3uAYzYu=~bFxmK5iT9>gj5o)c31+aG zr!8a>W#oFWnk?;e*CuN!%htK{YzE?24Zwmg8o%yOYKZ&OI9Wd%84`$LgJeMV;Xe>x zI`{HF=ZQYwNat$PCXU0UWZna*#_5yC(6gHaI#@J!CT?80MC>XY*}n%}+O-yZIT}B6 zZe6E-hJln@(WX^1v9?v7H5-Ng!FV1O4;zK`X!`Mo_>}q#JryN9&c2N&cd}tf-|Nx1 z)VO{fSeh8(`I9mf=jTFAaf38g)7a!>Z$tBdr^u!GfPxIL-my(f9N0tsFUfK%D-%oR z&k_9YT|2?;7>z+B`^_sEkYBk>@N3hgu_F;37A(pgL**YeLOLHD*|R62ocD@8I%w+- z4CpHLr;{g*LuxXE1%b2F|Mu!3jpy@bPQ}$rJfD4m6NmSsTPNwB%&H{|apy)Bb<34- z+3AG#t)#n88`rKue$E}deD(wm))r{qu$~xywNw>RT9Ai|N5wQ>)RMu~zC&a6sY@%~im$(xK)3Vz4ZyWMhbVF+jwx&4vxd@`yn6LA+`CO}C=4jTSt^nieXsDvB_#rBvJc5&hE$LE~x{2n5G&jTDJn4Sjy9EA?9NdrZzLkKK)22>Abhz|es|gIGw0{qz{O_Zh zJ2UiI+asmwvYkjd>L&OZuBU~EN;a6zM#)o-5kHIO>{kMXf$_w{Za86n7$)oY2oIG9 zjSk_uhX>8sC~fw-$oEa&miM1Ud~b&H=CU!Mkcq#)3o!sw21=}q^1&S%OSrso2VD_= z$W0hDPd@5Ox;lyEW2X@9at;BuVemGKgTGA#BAtCioD_P-@%TF%Ex$zbWcKjsZhZu% zGUM)RW;;P)-gazQu;W*W0j#TLI$_0(Z339-?RZQ8SsBnO4Arw2O|Hk+#O3fIIuG24 zq-FJ8@jGSXf$?R)?rSv6((t!4vl_>*zrfM!FR}Y7U{6c*?bM~6$Olg6&~SY!484a- z_b6Jn?SS>x`*AF*5*A(w=rdC4SK4>|0!mINapHOvj828)s{ztol&(F$gXX?-#D4+Z zLw@-DTj@^Dmwg69|JVh=SKaM_PTeKo;J5vU!}MSfj>i{4(R2^mwUgFFT?UN7Dwhke zz5)TFbRgO7NKWgEuV9|~0%j>yut8xtOwAS@1vov@RB?n&3csDYl2-!k7hX1ixY9CRm~Gh$B~?!r{VI44uA6 z@SC-23wd*A9J~4qR^BP-H%3}dwP@20s|_grWXYtw*{Q(%51M8r|CK8*IAB|Y{0wm)>8+~Ah}6mBHTZmN5h*HY+PY9E&A)v%={ zB-aCja2aT+9h8HiD>U%ICo+93TaR6_IoO|8g1L$Y!s{rHzvHMKYzxW48Tw5edqD3~ z`qq>dd*n1ttA=$-C3Yu1#B7)iE+1yZXqfD_FqoPvd{SVJBUumL3sRqgv8#%g{W+j{T2dAZb9_jKlBECgRdiq z%8{7)5ZT3*!lNSZ2J$C+=lr9nqoMxR-hfN^2F+fcTxL4Qw^Dc+FR#_ySH%9w*?S36dnfKt0N6J>M zVXbe7N4M@E?{XHjl~n}5vTgGguvS(_8BNaeLSvw_dM%nXXea=DRz}7s%f5&FtQ*i# zr^PMF*@hOVmMaxd>J@~1cVFnvUy7zwUICY9{hQ?zK+3dUxpC@L*MacL=xO-$YZDNT%p_sU|@mx-keNZGIv4A*Wz zVMIJiqLN^`UJ)&Yhe?@7N-9h7qcWITTcH)zKgZXXTZe-1IFv*u!EA#PS~YG;C!d*HC z5bAsq+2=e_6?2~271ejGja30md<*@^vK()2@;mQpS&lar*>hy7zW{RX(?2Mz!m(#b zwg|`8<=%z1P+Ybs$7S2DwI8llN8sml9DzGdh)>^bKPEq?WANL46z8{|z-bFF9NiLx zLs}s?s(&7*txh3m*HK(K?TU&h?>FV)&)QbxYLiUgV#As}VDjhBHcNjeT?+wjg;RdM zh}>rbwN(o-cjk0BZFNLJ9?yqg!u8nU*OxzLPML`GB*|hYA6(U3f|xOByo~=!@V}QG zK=C1_bTIV_ApyP^D?8+?O)Hly#FdMw6bJC}bVu)AJq3Tt_%TR{mw=Avy*<&VkIbU# z*S(P9xC^)t4POH@^p#mWpFDCnlFw5cdsqP90|(IeO9@~(aolJm#!8?*K47gmG@CeP zBobp}07xG%ap=bU38P0KAzHdbU7J6C)G)+HNfvMU@NHlp30zv6ALQqQLH#8V>9~Od z5a;DZ)1qPoojid--%06=`Tje^96U^Qc^$z!oiVt(B!^J@;hme5t_@TeF1{ghT z$m@er*5MBWBt9Po^5Gzd&_F*79Vl&5*XD->2VmF_(&6FAufIm*-UDC@>tW7&F|4O_ z0cbd-9}y?{-WS#~ai;75dD^hjxr$T|qwwMXcLb;CLm{O`X-@Trr03t#AR%mAs3tYg+S2R=L> zH$qB>>!jx3G(I{UDQ$ucX+eZTJoUlIQmQW9e^Wr}nW z=_?wW57W4gAZmYiXssX)G~W$Kd4bA3dYA;({if%aaCdXXtLkbbrCr3FW$%HM|MkSc zJHAw6G6UCCm%(9yCT5PZ!ITlU*gVAuHuJQgKWhsXjIk1zg!lpxUj}ktwFxPTt57UJ zh?It~_)YasX}-34{ubhA;yGV%5f^g!Qp-D7M7N;lR+EG^^v7G~Ay8o*Eaz%NeVPF@ zryB?v4c>t`9?QRlh%eh5Sf(PZp9fHSY|-3Te*I5K0e*68h~#m0y)I6v9l;^pa2(bO zz=_S<>D`TpQCNgr_1RP=Du0c)wjN$ESz0qkbs)`lTi z)2)uUNZXR;?@rsd(LDPJN*~;X>UufxyKel+zhG}}L`(U{D9g`*>IRA5=;MFE&eQ;} zo|d7afz9U4c$t`j z@`xyCFIp(dQjf?&RS7R|T*uSQ%g|MjtgSQOoa(D8E)maS;$g6Ql>m`8`uGD_u3wKA z2}!7kiG$&)RU&SqPd|panhL6}U&FJkt1wdBgnA$S3k^S{bk?p#RlJmr@tQTL|G~e| z;FAwwM)^L!mW4`+Z@g(E>hncgO2^bd2hSfBp|Y$Hrh3|FQ0F7mr}+F`;upYJTTNVY zVKUHE!86h;KQq?X!l#rDUx3n|HXRkDgQ|1>uvokl4T;AWrVOcEEIZ4@W#KY$*$h{& z7W^0SNw88-KqHc~Zk-RIud9KlCHYjZkFdwd0bSZlm$z!DO|!;uurw9&zI;+j^=p78 z_3NMxy}w061y76f1>e$CAN8n>@FAbpq$wzO^TNw>0kB@U7!71;Y09m{xuf)o<0+g-Ou8cPay19tq~V;x$IgqXA%D#a6Sl*tL4zV&d0C$k0?HTg0OWJ z@jw1hT$s{Wx&&q6G=8O|VXLYtS~RFD`07)qqs*1YPTyc`TeB7|C>_?JI^|nRa&r1x zm#-AP=|AyPU!)KsZlQaVfe_ea)F7g|ePq&4R)n*Us<^#Oh6=F)gw zNOJ5$(x^DiX6TyRIq+c>raW0WlLNy~Qh8~ztoFPo2x>B+L0!+#zg zf@OP-?QnzPUq5TzL$mY;2ZF8jf2t#Ykd91ShQ;TJ@bP%a$yuXYmoaGFyf;=ZQ$}pe@7LQO5uQ~r z_+lqM??O3s^sl-Apw(OG89X4uf7@bQ-B)9=Gy7fAR2 zGeK75|KY$F3m(yOk;bl<`KbOg4Q9RrRaM>>^s?$9$;I})e_#0j4y4S_E5nif(O5Re z86)~yVDtbhOdGuw)5p+SZj>V?kFXa;XoMk}pBQ)hv#EBzQ%5^33al#vdv zpZ}6<{A^@DOAPO0frZm|z-7BH?%bsLl>jpGnP(w#?p&w&uMg%;al)L5+pyEY8+UH; z*(JB3hp4Qe`PY;CZ|LbCLlsYQi0)CEIe1=KgzA?(ibq^>NjqTb&86(aXTANDxP7diwi#M(F2X^H-zfECAjC| zhf@DY*lbiq`_^sIqG@yJuT(((*$XJ}4xzQgI<#!w675>Gfz^6tl=wy9{+R%1%wI-p zgNEqRy*su$??E}Gee=#;Y*105Je%Ol4xO=2Lm$tAunhPZEukdreXw2^|R*V<|%JH4T{B~EvD$*L%LL3L!H}ofXxOKp^GA)P?*YZMC%r<1o3y~ zJvb9c>(G_7mTioV-MYbH`wl#Q@)X(ka-hgYXPY*|7wtO2Sw$BWl+V@Uo>((&HtLdG zy*~dENA=B7Nphv{KZV7kCQ#o~2mOEef#!$ac<~B|OHRRz`SV5jhWF|XKWitvr1FJ2 z?!mY|1MtzupI`#55yPXS@si^E`uSt%@DV~!v*yf2LShoCURJ~1;|%)tAAmZa*2R*g z%W*N|GAgTH;P8nP__}v*S|iql{N$OqM)ExkiotI6E$G&;Zv zCLvM$ZalrtV&I^`_=NZi7A>Zw+eJKo@e;?~-0*F`eyBtGoA<*g#5nDPFru7SN_}ya z@RabHNZ&O?|6YeXcke-6L-I)3q)AgKDXZWX{RV3XE~~h{8LHZCYA2q53AQP!}TuO+~R0(24OhH!ffhKBcr5#HaIj)cx~cwYSNUch>-EU&--G? z&|#v#nKO4Dl9E$J|Lf|08om2cze4@~vK1?lk$DA`&#Q3g@DcR&M zrIj^G${ykVgFI+xYNH8_LG00M8;wonPb+Yf`l1b+HlsfE?O*o%3i}Qm#50m5^J*4W ztXhpvsh|C>cORTQ<%%lm6E9!6igg<{iug79_}2#?V*1Qk2n&x8<>v8+$063o_&x*0 z_{8HBk5|KbeUFbxmpqQeCnbyi_l&0(`VSb0PpI!+yksfTsgHk7nc zm6XEN+ybrJwiCqPna9sKPO}y*#XJ127^`#c|75Jz5o2}MF;B=%nt^&GBYQ48dWyy) zl96R)nOSx&1DA!%6i;Kzj9Ifq-47cv5`O*xcuD-wuyBl@I7#Sc!lcOvkBkyJt36iF zoIU5wSncJ7zC(u481yNYjG2gw2i;H^l7J&RCiuGZXQ)f{Air@Fu8Fo%iQRi#@Ok$y z1?WauRSkD&?(yjH6WBXAqC=<7qP$!5^pThU5GAE$q8)Jj*L6ws^*7&Q&)$7_L^{29 zKNqU%8fZjg*Jq!9ft|aZ#r*O5t=nQg$#cA(9lPR?wh^9%#3SRdJC==|EadGya1dN+ zuEFI+!`h3Xo_>XGu&dm!aMn)rSV-vy!1+CWO>B|8OpkmFo+_ki*GJ(xDiUH~lFBckwz zcvW^cCCOLtHZI&DjFmIckHMAVo)6!1;XHnt?|%c;05Z88wJC-8X+HGb7nNa=$oI&^ zouk>vJADPuLZhTO{5*wp#NXL#BzwA~G}&V(gH2gaL;G2a`%*t0H{V8LT|H1T?!I7> zBlc`Lfb6rLvb_9J9q%jZfkW=2Jgg5AAw0uXOr$5SBTf zfqC+CtUnTsuSQB^P`3f2uzI(@NMCMmFuoW(PVjpSn+$~m;ex+nyEnS_k;dw8#?2K* zDa|OI#ddD!@O3}Yzkf4!Hr5>sgB{5uj8$gPG_IC0$=YO{{t^z?AwYn-!MT{EVvSax zNq35BsAZS#n69-A1`$Of&S?29v<7I2rfoZ8in=|FqD!IWpN*l*RH&bCf)<^>z%0Gp zFo`RN+PN$YoV%9RK@HI6i*GRB><~;7E1=|_j^0z3(imG8ZM%PqSq6JVeAXia5#J_j z4e@jKOlg^1iA9#j(3$l4G4o9z={r+7za;q^_MNc;n_ZJd zoaIhu(fRu!LQg%0Pr(`n+ETmNN_zao_EF35sD8);OxS2b_1+2f8aJbIYd|OH9tgQhJpbg42le6EYLJx8IB>Yt@+Nitawv20wnn%@-jn5;-9N6M4IrwRwd z(Q6FNQK(GqdVYrmmIq;x^c1E+kFZuZ7}G{OVtjuyOdM<_?WxJ=r+aPxDREyis=p(|YyLtyLTB+N6eOz7e>6%o7`@ z&-rB_Wt+Cx$$&|pFk~M)gH6-tN*J9X|1M>{)|7Zf7r z`c0^A+Kh(v8=zySPLfB;=atBLm=85gjW4 z&z3w}3Lqt=^SJUEatjNf!NALR22$ohi_&l0tSQ=2`K>o9<5745@&Y2Dy?iwq*Q3Q# z+qSSaF-6(k9OPZQ0Ub4U;Z39s#kbn5in8cr_>4t0w@V&FCFH`W+Qe+9ldBJ%|0s`?_GrnvK6#5wnwpgmJ_DXqFjHiv)Dwvc+wq5Ob%hy z%xz-Bk3Ch+8tWiDLV8eqwp=GRUb7hF=qJ(@V9B56^;1eB5}TLrNHrkDBtMjeoWu1q zXOMEtU08-orBAjF_bznV^|aVP=J!rn9ueS528XgmIJr5JCAl9H^PI4D?slx1xf?5| z?#1#c`>=S@E&(=GUbYPW1q@32f9}>6j0fJ)SV{DCqpG#oQsXq)u z!olNI?-}s1-G+f*NQYEYrcIGNRZ=>>l+K_5k_B7#G8kXWBjsQjxNh>q@jvoNS;L<+ zVcd^AQoiw2S;K#YfB=6C9VUTAC-fVD=>12iEnY>yc4rLjB?DxR9)&1h(s|h<1fKT5 zFquVo_U01B9xN$b2=ssBk#fvvM9Qpx*5a(rJ&SFB^!H3#-c zS>x%H>*TdZ%9tox4@jOQhxGUg{ti1t+lbwJ7?Wh_3?4cJelmGt<6|+o#`7e#5x?_% zA^eqSBdj9M@pG~`q1y}jECfrGU>fX%Ksl!3rKlYb-4f+@Sl+$TEqoesdba;`dbWWw6&^$zLPBbih4~kfy}6@>hwio?lT}j?46J@Vd3wu}B??Q;dWq^Hmefuy&%U00lw%zPJ$e zBbsPLWDNPq)>t*&87pQU#j@!Kv1r0ptQuS-*_RMCGxTfg^tr7|EB0rhq}A z0#L~psYDrBAHPv2ZzJ|dX-mIB>$#Fg$}bxW&m--+bj6O{yM%@EVg^#myk|76_c81( zOi*3%7^MuP+^8VN$|j$F1Y0vhynIrIrzHijwPcT!lE;r4>e>Bs9CX=@SI^4vsNex~ zHPvX%`6=48Y#}U%zkK=#j|=mlr=>3Vty?q`{1=qw+7IlqXuY6>ruNEYw*H5 z2<09=(4R|d$d5iktCr1SXJUjGx%ctt#x-bfTqgi@4B)d^wHj3sF(|(fMr%3ALrDFP z{{=HGHB{cagQs_H!$@5XjT_WMizba=y+s$#bME13_H7tzY0#RmAzIY02TS>Ns0@!m zMQ9{UR;{6O*P(S(V_52Kq4;-EaVMMBQCh;2WV5<;VIil0%CKm0h;6z`PI#(p)~pH4 zO^s0bs2I=6iePS}k7n$tlJYP&Ho!CDSCkgOh=HRdCzAom`LyJrh;MA5gL-u&fTiAy zS$IM^cz!MrR?AkQ`KOBlDjj4=Jvu_DmZS-`}obqkl zumKG9bnvA3p{Q53?*7GRKVFM=Y}W=`ZLLsIR*0(dQrKFU(b|taQr3k&mA9PUWxl1E z0UFf(NB|VIr%b~m_j6QFfv{e>9F2&_fJ4m%^HCNUg6il5Y*kjJwRRIU`1oV!&Rd8_ zr@is=`~^6Yo?Fv;tv>N}nD60@>hr_TB^G_2enFR~utPbZp;_%3BXgRNg$QPgGUl;O?#H-nETL z|I0}Wpm-(~+wTFp^Ce5S_SgPe+HqgX+cfbJ#wc6h;})$^hkkdfm(N4yW%ly&`$A&u zEm#@*VC90nSTbuj)-2iwg=GhSot7WO`jtnpan(`CE#8M|W6aS1>y7xPn;eGs*Tnqk zw3b?a2y0i;^JRyyX6b>ykPbqA`C*awN-EEoAB@qXvpjnDP=KcL9;7By+xQ2Bii!&C z*zSbRGLKLF2LFI#UcTZ&4DYtGW!SeJPX3w12dJDk11T-H?n2v+orP?J1`fozv-I14 zAO6xny8oXEvLgS_1zO_C0^iQyeOc*Ti-Bo;CR0_JE9hruHGeWyRpy}TSq@&kEF@X@ zI_{4HuT7cWHT-`EQkE1yL6F~NSeu-OmZB>RHP67#^gNLd%=FGcU;QL>RgOVd^%%CO z9>*57zm7Oh&U=g637G17!qzkpj+UV$Yaonu&O%T9G(B?{pT9)f%5Kn7a)ZehFC26Z zMX+Bg5~4GRE+Q-_9w!e6L1WVq0m$G>UE0dW;Bhh(ks%46+_;^MNI{ycfk7oqD z&xFzU2n6{iAu8-T$&`bbh`R_0%z~fyMPdBGkBPp&3vvAOL;*gR5FdI2neq1!ACd_h zyF(Z^UjhARu7H~3euQ1iM_PU*ww(^b*p*5cG;JAl^tU3)KLsgaS77gS0^^n|W8|WZ zFt*%>DBn~>`=!CuYClFT-h{Eslwh^(1meQ85EhUIZ9^xK#+a3gaP+u&+KyCNy{Dgw{NlRVpmTr=dgu*b!V>L3f} zJ)*Hu!v=$>-19UoaVRnaX|z;0lYR#)jU3T?%3>^7t&WpauFK(9ar%fKmdb16dy;dR zjukxOt{}6d8m^I-u!P=ceXKRz39r;_q!z!zfeXo)t73vdR1cf1T;O~09#S5@z;54I z%uqDM5Q?v+>xdxFI3!)TjBQS?n7mRILl>-vj=2j$1Je;t^>6ER660lU#faKRB{3P8I3A_4W+Emq4SFQQ;F&8idj4A2+aE@1a0Vhs2bxs(1Ews&_|>Y| z>Jf~j{O1VBd;k^u0~kVWcb1Y7_5>v&g@zfQt9jU9w;zLuKVM!4hxVRB8tKy8Jrc@W z97UPBPgsaA#xKBt*>YH~Z3j>H2+^KS91X;hjnqEo%0td{2hJqjL|WlX9J-K#`KqS$ zt~@qaI>RUZuA~p2XiQnBg}##)Lt(QK&Yiq~8_D-@`*t}l=T#w%beUEHYV-72RQ;MR z76Uh*6IB5L7w=-lCUrD!+8m7){H8SmK*@W2FAZl4Amv0Fe|QhocD|P6%~I?E67gOr zrSnevrhha2Xn$AiH%j_>v*$^lrsL?@Xe!@)yx6r1g~zWV&oviM_jv-g^7L#3m3cV5 zOYNWEkr|b%COxrdOZN83M)K5%*yx?!I}pdyo}n)c<*|{ygUgiRBfkMb3JM4$@>{#^ zM-;SnJ;D{%Bal9wSFOXE#R?cqePZ{?OVM@YOnf(SAy(_z!9C^*^&izZN&WL8HByhFrLlV4DkTi0aY{?q0ikCT5FdC6HZ<;xTf7+~X{<6n>W!%T zPZ6E_6sCvIVHCBgvD9Z<9`{AugJ%fOE`y$nJBHI3K2c5s4m36;5@h*jr;)yC<=el$*H!IeIjuGbg+ue=$JN6EKE|9dd#&3LrYY7fq* zOXJa=^D&sQQJ=rvhjUQOAfS zn_#f_G$L-7BIa&6%ny5GH2nrglb+0vo<}UjjUat&*>!^Ibv2Du8(@9H7YPrm5OTW& z>N}2Nz^qjmPh*Uu-4QVc@>r-!W8x5!aR!e^esMxZmX&2@*|`i{7A})l(k;jvZx?mH zSknr}!!L<8;YMv{g`PbI(Ad6G&jIeSSz^pM7IqPfG-PA7$#&AsEzwqZte&H0Msopq zDA70>M01o&k=L+imm4P2e4^j<@aK0s-qYVtd=G^0n$Tx$Af@8s z9f;g_O5D*Y3_2@3AlfMJg}v%N95*|R&^;${&xghizH4(S05SVrg~!Bx-&zVlCEuG7 z075ow&i7U*uYYGa{hvm!?kxB1m z`ADA}pYJ@~xF8It-#Bvyhl~#iN&{^qg|U({J4?57777MV4UE zwzK$QsWN(vnt?9|kHgm^r{RaiideSY2fC4E(1|FLN%li zb1i9{TCf2lR%>CoO9-^%pFuh30cM#T!XO%-N3GSvs)JF`Nq7!Lzq^>Ozej*9N3GSz zGM7+j$324?$!RN-mw})bY1KkrbNXbjiOgqko*l(8NveeW{xAV>WW7#Qv4N7;RTw6c zo{q#~p{Jpwn}t>_v0i6T`8EgKgKioi@0R|$-Atl(sNiuK zdemMmWx8b9Y)D=PHnP4gseQ2ixhxJ0Xrwq>qNsh?yVII>J^Ia9iMeJ+pdDI3{6|>q za0UZOr~PNG#%$vQP!GHh3o0}JE<_rXzCESSz)bVhDj1Powov{$sYKM?`8Oqqzf+mE zkj#b@UoQnvaLd40C0l$yc?l-UYe3&M6eq7$;#A5LI2}xdzUCQdZ8`x>MOSF3oc>ct zX~RiuQaAxU-Lr5#la3qtPsNTho9SaPCGRXVu(AeR`A^2TvCNF7U|Csi{{A-NXY4JK zruO0-EBDFEpPg;}UdkzdDX8$dv{V1n@ai}2hyQVb@|5Mva!5+8q`OxUf*EHj-A4S)9(p!aq(EZc!>bi_3ZIE934zhTu8IS zzpK1#AzAkOiI4Ng(j{}ys8K_7|FQ?{?Cb^j?Kg+=r?gkWv69qmb?Djm^a8bUMEVQbx&ul=5iZw3*l}s-f>b?~cPdMyLpg!d-e-Y0g5_ zr{8bq)@|UlSq)G8B5=>mNAMfet%pt|^VUtO5})F$%$$z~pVUF8&$_~KyOU@eIXO8{ zRaF)B+p$9js$U0`KYEOud-tFw<9BG^9uD^Qc>L&*0JEuSYNBD2Cg{+#IqVfSqnxeR zp7w?M+(iEu0p2pt1`G-=TsZ5lO!)#`O9^9e!znE>c4St)s{ zY}Oo>Yd50wd?@lM9o>b?-*}{~4W!(%1x=}3KLb+g$$*rtTEUF@6u+Rb5c&oN0@x^k zl%}RAW-pHPjsxkiYuBzns=LoSbcBH8T@@mfJvI zAB9xDVtQsqX|lW=pXKLraCx{~%x}hkN!=|d$j?VfQ8COZo!1^I8AwU#)Bq-b6Ohu@ z7Hz2h8&doGBY~9Mj<`M9I&P(Q*BK4!d<=zYW084kH;@quTzL|=(-6w@d%W*&0VpxA`VNLFBmY!;e0j5(%+6o#y*apM;*Xc^IWmgDsXFPH15W&KYm_8bu7MfE{-l6{HhWW02K z6Dlh!;o{;#bCzB-cl-cz=gvV=QWC1GtKoU(4Ep!$Ck|F-&z>##yx8^h^u&Mx0|bB8 ztXW7*OceZ}pdd_~C;`%H=#x)A!J0K|aPi_r5$EjLvluvVpqLBJm@xzK@$rIx?z|5M z4I3)JBr^sLMf~nVcomThZ|kiX+)MIQIsJ#>h$BA2li+P`kHKGlC1jX3YbIjj<3u_> zK0X*SWQfF{HVv_{v4ZdG>x&tPMeB|7%80qia%n61W;t%L_|c0 zbOMP#a-`%1al(WN2oIM4mbLlg$B#!?SeW3mZbyxh@~zFkaNz<*j~*@fotB9zyY`QpM+((Gs|k-wO$m0GXkop|oC-z>3Td4wgJ*a{Vk=ut4aOpViRZ zxpQCZie+b+n9s6v8EW`kHs-Sq0;vqVRl>Sq9kH%PeDy5?thNdrvMwj~mH?2$Nyn^f z4v~?Om^5jU;B%e$`}+$WM#sir@{H+1wxOhZKTe0*M$GnonA}h1A(Qg;W#A>1J%;L# z>z?`CM!4=d9d0AsRyZBza~ooQTtWi1tyzLUxO-1{o7$0_35eUVAJYd&K+3_xhr!#I zezz1qo_+^2=FGtdAAX4MJ9WiD6&+O392B?nfX6g%ra12s0s;brJ~?0hoq2hAiS!dw z(=dDKiuXXu|8}Ycq-21h`CJ|0b&>&v!Rr)6oI;%i0#rG7v=s&o+J=4uwqwjt2W*}q zS^Z`!;=wOHq7r$!r>OG#A5Vi7FP3u@siiNB-a_c|Z9n z)r_^nX^b6iO=N|M!))oDZ5TDo2?~=f;IT##MZ!BL>A+Y5dpu0cYVE$v|=H=7cz(TCuxUZ?9o;`bFxAPwHZVtbzsfEUk8>20)<=9Gk zaj9e_T~kY2@Y}R)EBHkvWw=jiYSCJv39UhR&1SJ?1Bz&km+Kh_En1s3p|u$sT`{Az zSz#%yAqxtzg{`oY4DDOAhNavlT0@25foBl(XuZ_3aWk|hnJw%cP*_H5NQ$qsMKX%R zYXuWCa}<`8BCoIrTa1m-tW`_2YS;+I%jHpUE(CdA7ofLf6`Iv+AiVn<(;B9L$dG-L}g+AL*|oC+IH@OR!v*LaD@W$Ngno!V!T2gEgLivva-w%Xr0E^ z=(#LhCNA3+TGw$|xom8SUGQnWr%UVDT((GF_88lD>_qqOk`ag+>O|`#Ya3f316yx5 zw|KK=)1tO<|3N;ANsm@+<@~eHXpKr~E?JHHR6oVE*0r2 z*it&Y_HWp<3DmT;ahKXxY55b_ZlyI|m(F63L1oS&+&%4wvVbVqkv#3G-Lmm0l?6+M zM-}EfZc;~=Hto^0Rcolxy73OB^XN$h9G$kK>*rsf3CXXjp@}>6n<#to6b{?Bqa&@` zd5=VK#yn)Z`rwg2rL#c=ok;%rjT&OJni_83%b}t48Md=00s0*@qIR%q+*G8v9H;sA zb)cwRm|7QJgz}2;A}JFuf)nr}AOsBBA0hBg@2Ru)i$jtsk6+F&iyW zzfCtZtXCf^mn=qH3>zbn1)5?!r#1brQPuM|fS9t=qSRr&UFnLnFed!&595Ebqf zeqZ?i4y1fu`2r8_mm%ZgeIzH`LTX|bE~RGTQpzQyC8i@eE)_|!DH8Gbzmi10$>Muj z(p6-n-$Q0bJ}zI(Lwd?Rq$b_@RZ2^~L-Fq;^U{69M%}=%1JTgeIF0oy4nSeqKKk5; zRSTUleXJvf_qD*t{+5_Obvri89e~E>qfk~jB;u@Hx>u0GvOkX23UU$O*RMQ)&1;TA zN&W=oeHd$4}>5g1s7VaNHq*c)F8s|&ZGbSwrN4n@G&FAMuG zmE%a(bJ#}QgVKpOY&aMW6R%4+5R;E1i6w9dy$3C?%TPaa2^Imj;T%VOMMs49;E_X(*kDg>7UGj$Et4{>x8c9B@tKsdzMo(zywj#4_xPr}YV? zqv~-HI_IvyJ|Yi$lOAISrDN!SQ}A_(?--VgeQ_mlipYn7&o#l2~}_d1-S3kBaXG9O!fvIO7o{B`Vzr1+GMJ;|$m_6pR9Z%(r8k1NE{gksnQ+<-Fa zWwg1|Y30~=3)q_Wh|P+Rf62K%H^ z?7a!>xLgS%YNO28^~!`}a1Qpx6k`Xq6=RZ_W#8l*ib25sqg(!$Eq! z@9GQez4}t5QJeN>RpWRLaDvDtG#e9EtD%0A=BU@G3C1i}f^G0kgp^9RZ8+S#ehr&c z-UBKB>*(JADS59{@;o_H#CxE%NEmiIyj)p;`t)aQL4d+KvCmhjKLp~5b=q{iIJk`JDWU-g|BY@(63%%ZlmF@r5kkLfIa4cZ92<6+Ik#i&qcaGp@|H5jL#e1tmLwsJf>?@k~0_9gTtl^|M-@>2Rd6Li8Whk7;GAzr~Yw&##0=nG1;ERPnDB# zSbvDdBj2mocd=aX?ILoZ%zWyH4CtL*;dyYOe%6}$SuI(W?50c>yq^n&Xlh?@kPH(e4FTexKP|(G$xpa+!KAfw)Yj-#ukXaZ)f@w7*k&; z`d^aOp2h~2eP=qwr+%N~v*#!I{h?4G(h=iMp%`~|B^N{6i*&`~5%r%tgYV!tjZ?cR ze*{~JX8FX@BzXFc2V9en{7-1v}n8(;}MMo zJihXnVI7q(%Eo0h55EuROV6+;qf(}i%cPIXl0L3gV>gc@fj6-6Fpcjt2QUx0L;9!^ z`rt8h!{G=h(Kuy6Wp|SZ|n6qs<+LW#x&s}IPx0B{_#(uK79LeoKW2-1P%>lG%p2>3; zb(&i_lKjVrcarMvFy+H>#8^sWDu1s{N3wyF4}d)+%L+3WwC~Py4 zF_>?1fyRnmIBa|fdH!DF&I|)7+0gjF@2#M`bSKhJxJ!n@*1(AWhO8QmOo`14`?0m^W^EO2*w2 zkGRpNo6!5OQH^o;Ot;gBJ?JWQ&!9>+XuopiHeta1M~2}TbPkl`GSu`z3s}|l0Rj5zl{$ulrc;Ug2 zJw~$EMpf#Yn7{F464XQTp_ft(-MFXF@RQb>>gR7jC;AceQmdg8^BC&BQvW3Qk!8?J zcn+QLVyJsd{VczyOY!uQUO=1p?ESHZza{oDj7e5&l8ZecvWzyFG*wA?DZFZ0^7#vu zhdnzw-k^9?7UP7c(4==a9goA>y%!+o?2olBLD+aK7TW&VFrnw{F;S1oq(=R-Dy?g@ zLLXvF@(XN9tb}IJeNjd=TEl9G7gG5cAomQKfzmpN<z)bQnezlfJ8< z7m^3XlM-K%<{nx#dW|Y2{YiS#^uI&;D~DcuCA32x3b|Q+O-gf1Tm_ZsIkc#r)To@Q zlm?e?OZ+ozNvMQY@B^rNUM8Zn1MWdT_6bbW0NbmQu36_+S7NSY7`HX39kMr1)5K@cBDppkAwJDhxIT?2KPzfuT!%Hk5eG`&Chev0 zJZYTz68co9TT+Rr-t`!qOzoS?$z{?bo_@+J=+o~^BeW0-r_u#z*2FUbzLy{1X4y;J zDSm;ptRjTPX5&J{Or2E8f_y5>IleV|lHXVv&W_O1i2 zs$=OV_TGCmiRryrWADB9-Vjt!QR%(+BG|BiVgnJ8-lR(J9Ym!UrGtPd_5aQ8xfnI( zJ@dZ2#Jsq_-*7H-_wJdU-Lo^ZXJ@ATX&Z0%ZTahq@V4!3c_WHwOy0N>7aIdDEj4V~ zxC+Wj2XQ;tg-3H0{Ie@w`NHtO320+4A#2duT!Oe5f9UF{Ve{tAP*zq&NJuDJT7Dhr z_4ky2f+J;EXe_pFK7{v%jm5M{OK{27ns`eVgD68IiP1k9nBySx+pk7;RuoR2G=jLq zPAID=A(&?X`u~k-UVb)?A2-LAE$eXbfEe6dFNrd6vSTh)XlZ)&T>EbUa{?CbU5>k% zQLwZ$!nSQ&AuWA~J1*DPGhY18@`OY+%Oa3T)?YS_Wi5^6<0nW82*kz}%eiC4sDT4; zik_2}lnfO52V>v5bv#qUdwu%inA9PWW27sjmajqg&Ry`~s8Kkfse^_TGJb=?A-Q!s z&p0r8$S_zPltHs^2ny|PLUQpko>5~&-#$1YDUD{I+bDH(hx(?i=->61l=BR}XO5Ke z>O3oEzMTsWGUrU@NI7^YEcZ&I-qjxkSDbNh#ai?vJa?p&JcN4pAQVvjLquE8E?tC- zlm>>VD=0=`8W}iW|0ZN6KLX2Ea&M5|2FsP;tWpiYwQm2hrJo zKws$V>!SWqHLA)=psXN=o?SbmU+ z450fCruMbv6;a8uJKoQMnYJ#CzYsmY9X@{l16V4nqdGbX6_N2!-!F{;{rh8Juintw zybG0%UZ}Y435|_Agaf*R2SHO&2^E=HsK~en)dSM#P4l65&+brHRYpx^IUZH9j3z47 z#~$e2qZ`!Jlu=z%iMq$N&@&V=PxhzzsV03G<*9d2N$u#!D$w{0z<@!6psKBfvPU(j zYN&^fks$^T8;btD`#^CDypi#%aM}fS^b)7=n|j%59r6| zhxn{{$gs8plF3Ree|<;F(g#IQrMWRgrx9WooR|w ziZ(p+Bl|w`{58IbI{Rm1?qs=d-EnB`I}Ix(A$l5fw9IyPLOr#?ohjKS;~(V|C<3-w z`)tFOwVzCMKOar*b%90FyDvo3W1E-f)Xqb3=PB+`$s)tCOq{=h-NIFU&q-+PJSQMd~$2)Zcdhr9bS- zqUF5~u(4ynJ~N)MET4>5;jU;6yD2zQ7TuvI`9+EeZmjuxCA|CJ+UyTjY_uw%<6q$LXn(%f#|z)#-`j**KO%tLG> zb7*9aj_&w*@+85La>gt~IXI(@bON{2Hkc^NEWdR6OhjJ3PJFowH%lw-V997$!m=Yq z{{I~*8J;-@@^;+ZFk!54-?_7AB1Gf>>FMo-iIXRC{Om8jMz8_txxV4>);7h|&xu!b z-}%dy;x^Ba3HbQ?VVcM>^1taw$@)8Q-du!+2^lp50{t; zGsceL_$gB+@pf5ZK}wh-Cd2=sj+Apnj+6`^;4e5*5^v@&TOv48e(?=_^vtOvkq9flF_rKTYJZl{_le_vf-lV#HfN%uBCw?0k@DLg;CbRKpBv#9?XhsO zkfn3d_zCd5O!AI&%y1VEESf3GY&m%{cd%q*@h=@ISBe}dKluV~nq*HAoskx2v1E)e z2PRFMO12lHvkkG)kyx@+$RPg}>3`PyWd%pd+!8^rr8Zxu`1|@`K8+>2?^t@yZoYM- z{NDn0h~+s)N}W}LBjuh2S_s*lh}o0Puwk}7?#WUU;JvhE|k zhi7|a5!PAcb>1dBT=S~?{XgK@K_k{SJ9xt~Ir1pz?UB%516T$~19o_65$aUd@Mz^M zdO3@HF1u8XM>b~%pk4%qQ-RuY1*k7Q1dXK!p}ayBht{3L{>`pX+I}6Ub{fJ}Y%lzG zZ^f~W0YO<+P&w^D`2Co_TOJlp zK}alXMqp|#_8w8>0cO~m(hj4@o>4VEhM4>s1m7u!*g<*pBHLlm(BU|!W`NL~Y95Jw z2jP1XejwSs2h|CmT?N042iSR73BAas8rXLL4oJu#^j0#@B(igp1bTJvi2*~0VV{aN zg0d?R_OKD!MCXhhhcSEOe&`#XN4R$qLOkN3sdEN1*6+g{ad{Xy-{z5UZ)HBf7P5Q0 zcInLRS1CmeL=@B@B&Qs^WtGvLY^a{Jeo1T;N3e4wf(Rrw??s;;z0q&TQ0!CF!|l8p z1ZG!4LRlMq2MtEQo_(-)t0aP5qD1xgk=;f0>AO8jS_r;hj-b0`*hBVtKjutGecOFV z5&r2#h%9~zgR4H6wf!(=Zac&y%7+%zA*}E*bgp<})-D;$qJFWAD6zLQ5#kyS@%1~= zqhm*O@6nxTR^qgW=U4H*us(GqTX4rA1q2X(LJI4!U&8?X2Ms}=zWpI~LR+a>Ii((3J_h^0%Mv3b7?M2UoQ!D z{c{Mum4b+%J214c!(8ge^o>%`GPXt7tuzGR49DIrd(gXEcXa8{0o&K@KoIpK$~O_x z5{HRbJ<<8ycd&KMHUznZBgQWovir%lB$|7Yy}NCbIBvN`AiIUgSETF;hl6J$u+=9?t#7gpWr} z#=7PEVP)w8Kkp0#``?GJM;gzd^zXpSH5oo0DWprKl1`q0^JhYMMoQ%)j!-=0z_U)N z%HDV_XwOo`qU>s^ctB6f4|+NQ&{Xq*qMQq453>ll*ZEcMPX=~h83OquH=rcr3@s%u z95-dzsxtAgSa7KQt4m{36a4%Ga7b1jt2bQe|{Fq z7lGZM=x8cMYfCK=`2Tya^}p^&`Rh_oJSzuU9}3V`@)rW5fxVAr@A8;*`TvdO&+saeNAygo!OYYl3ck zBlO~)LZ84Op$Udb%^cWg{df_cz>w-0B{su2r41&ccJz~4dE4wB>|PAVze{d~A^qNf ze#3s>4(xx!1OmDmh}za?pA$v*rS{qXFW2YoJgYCz@^bqItX=v&r=8ksudf@|$Z6E2 z{u+pA`2Amj=Q!%i%e3+O4F6oepW)lvX~)xj z2@L785z%H$w3(z4T{LE#--OenEBpT$p7n$Ek^1^1#Ev!_t%b;+N%_ic4(Wh z_r@F|4Hn{w>S|ouv7hgevN|j~WvRk??nvoEzhw@6>t-2ne6Gxu}{o+!r*1+%zp=LoLG=N8mj`?jX1UO z2-eIr;6d_cOfusRJ8VqaVZkJlrDJsHYKRRxuHx|7Wavdd<_GneEM@B~TNBuG-u}EB zi`HLuZO6Z`KDMtn4A0k20ngV+kxVk6b-aCjd|p;FM-sk1w%_;pHS$^e&#mLn-n44s-@dZ`tMD(>C!C0OwgwtKFONiWhtd5^9ud9ma*BV~exH~4@vP0~`|+>v&)WHo ze*6}`U6vBgnCNO>-vyphec~&ZvF&)$ZwzTXUyvQF9mWq<|M~V`;L|TM^LhO9ePUNZ zmc9zlXkqOxk%jxz2CS zr)O%7O>!K$8zWqj(LpL>Sr+Q6X6~9hZ7fI;|X7wk)9jb zBpj%J^0 zvpX!?VGDDlWM^TRLu7&%cj9Y5<0HHMq~I_~?Xcgn^D)cGu2UAX;%9;QZ`qj>=8VYh z^#`Bz`O6@N`W5HKKR*t*jR%e?$hnw>Vuv);`Guk_ik-1>7wY}$w*;?p&U_tU=YBGL z(GcPeSF_95v-TJdT0eE15#~=31#lMu3o<)zoG#{#*TbrnXCY}64(;F?n9wtAnA!rJ zs5*jLT1y&W!gTILT5Dn-LnpEp+621v%(Hcut-;S^EL-!~noMhh0j<~VvWBgNri3@4 zHOQE)N$qP80sG&C-~~LjYfSevNfPeczHU8_H+l|l$bL_4vvv%LMqQ$t(aGp#zi0Ft zQa>1;(;i(fw8v;{U#}URA`s+G8~>immiGG-7|~~TAATj{C}b= zfxaiY^rGvCu17>yolu|kkG|6*I@|Gv@lQD3G)0h&j84X1Mx$Wk(KxjCgXD`T;}f-I zM6!d)R(4+|1D^4ZKDYOa;TezqEuL@;*k^`&eoinjpgAG*mF^c)FU%F2<=3=7xBuDiBegQ8^qQV^|W+?ny$FAM*>z@o~cwUj%*m6hH{ za#9S>w33jJgp!g{eo*%RQ~n8#l(Erwad^KKCjPJ=8&;^n&4~;aln}633Ze+Ue>zkX zRH3P{2>JP`NJ@@D=G}DE*8KRE%mr}HvzYU-4GGxf!ypkJZ&iCbC@|bzG|NR zH-TlqL~9A3%hi=RNKcPPXlMvxVq#HPSoEJ|Rc&oWTO(68X!8z6#dTMxt=ov+ z9ez3d$l&`=KEp*tO+0DONV!^+k&-!5?mL8fw*VAeb@|1SatO;v`AeqCLo8EeSHd%g zNqJ?|MJA%~)@>Z#x&ys>^uS1}Z!V*Vy6|`u`Uc|&!*}n2_uBCh2`Kaq#QwE{6Y0P% zonW?eH<~;HQ10N0BTJWar_cf2y1+zI3XLI=sPYYh^5*U6)2%y(zds7b7DrLr(0~VJ zWsp;N&XLm81do`5WqBFom6Xt@UtbI#G6aU|ns}67gol~;pm0zceR}o6un{AKjFb(J z@vy2Aikj-8jFf#~uwxHuhz})B-aI2^ALdBee*jFxCGph5A61TSP+Yc>JM{JG-5q+m z#LwDl)RdP%S?(~p63=^e>kJK5WuC#YoI6sg{yHNi%T~f1DOq-tK7FB0?NhEf{0-{VNx?s%!KqsjTr=8f32S;#nd6@nvW?JFHAnIw8y z8dW?jg1X9EN6P7C=UY1vQZ}g}O`~vABL*j>Y3a{eXC z-yOO>@A&zz;CV+9rj{o+Wu zbjhEdk&@}w%=s=nl-67-LH<6NGFjl;)XzS{?c=m2C#2EU3e!ISoa2A~c|6^Z<_oJ& z?fq9ADWA_s>Gz6^lwW-dUqeft=`qOo7^V|XS^bF<#=y_VgSQhI5{Nk>r_k{6`E1X?~K{u2h7dy@W**gm!+OI)yvM zva$G=j+C#;NO|lWmW&lLQcj*WRpjv5hPdc(ELte=;j@1IaaQs$1V_qJGEZLZNck$O zFX)yJ(f^zy<;}N_l>bxkk|X8w87Twyi1PzJ>>$vIjYqI-x)IObc#=Lx@88Lt0NG&` z=74ClMjp5K?dAuGo;mit>VAI|{3i!(+CfBLv)W1&%Wpu6^tRWEex7(>E0U$wB1vjJ z;$)5>Ov@hL#!0xM5f6jib~w0L9bz+&Ky0=GB<5>EVu7{@UW|k;M!elTM~_EJXNR#E zAGw35=rGpratv*+%bZGC-&i|ZtEe5}In3BQ1CrkiLO)uQyAA&c3l15;EUXykSp?1K z8Xm!$MX+X3zJfkitGpexyvY)xs00YipktBN_! zB~;-=coCLL$e~BCKIl7m7*-w8$FZ179)W$qZaK1h`=HODp;*oE(G?uOP(p!caOg8& zFjh%v;#hDI%zU%3aQhK-@7V{v1`NWYJqj?nosaXmfN5MEWL-0O))WJuT$~OohgE19 z^t`hnb0Zn@9(Q4$(ufO1fPP>Wrmo-3>FnI86IMtn;dER%PDVYz;@wBkvBSIQ_-+R* z+`eWGR;JSLc}|diCj#WxEt$;hiPa-zg{5 z?>~swv7ov~BTBGTN)5gG4MY$6Zr+YVF!ImhJU1iyU zdk~$A_9+v-6vq=EVUxxQ4E^v$N6G=iM`4YuA*a`p`nmFu4*Conf^I!~W7gLF&=0te z^ECG6Gza9}Glh&KxAI`cvbDt2z#u@#RKn(vX-GLPBs_(QODg8A--}KiJMw$XUnh>^ zUKuzWl!rAEis;&6*d(ok{xo0MedleJhKct*9KT(RwbHuiH%Nrv zCBvhzACIrWS_KpI8}=T$b?=F}8zf=kmW~sFMOb%`>JJ`{F5S9g`tt42v5Um{geR~F zui}|%WQb0k(1$pc*^D#y+F%%2E{p}?kH$WNT>)?^`T>^jQ>3=Lb2?`(-3$wtB-jKO zVxy!wdeA)?e$vwQFz`r(J^jWiy$MP*e^?ZI7Nz}ocsXnm9^-sk6Rdgkb%IpVZR6{3 zj-I)*kySVwQ3acrN4Q3F;${iE??bGRQbu>OyIK1asC{kEB%DiYg{n<5maQ_!r0))6 z`gm2+Gmc~Rx(isd-j=TCv1+Z&p9ZVfp6B;vuyXY|%%5wFsS}hiZLA!Y%+kiTH7Bum zyDiVSv}fCeS7udu73^Gp4%^qB#eT6%urTuFPL(N%1;|J(MsmXIWv610C<695Cbkga zvBe09eSn~-LIi~8!9OeqexW)1`l`se|4m@`y+sf}pF<<_5g%8C%=8jGEP8~7$4w%Q z>#r>>t*yu_dVs*lSUCILMnFso?i5$@7n%GeIH``WdO7*u1a?pMlAlGPy_5d{k+H&F zZ(3>!s;bz&_uKMk7FwVFF-6qne{6Z{NcrpciT^G5BONK3js6M~p9+&R>^)(*2r39( zevkNPK|2lX^B)s~J}x>7C(OK{aPT5zrEH;c+-|BGK zxcmgv)ZptqEN`LpcLIq_h^H`J-R31 zFVKCj-v^u$9^stdeJEZG#&$~=>_6iR3y*YMk0^zWe-0FE32)(ygO_jPL`(@B$-X$7 zR0UawC~PDAVQYV!^1cg4vZJhg?%}Xa0Ix4g?VSn8fn!VsPSE$#XK(TL6`W#Won8w^ zviFWh72}X?Ahue#VAsV+NC%ZeC6&x-qMh;O6wzst1*j!~XL2wgdQXxbRPT%b$+z8X>lyU+*FyAhAwC)_!(&kT2kzSF)Ija|oFA#v6RMr3DR%WB4@JCC8^ zo`Rhx+_3MI7ffAK;1E>?Te5Lf?IN&)=#@I-gQM#Qv`r(ZI#B=i-akx%) zhEviLTqc@N6TPOy9}OBqrTA88QeTb|tyVOamNb^SG?t1n&5-iQ#rAW7oKMQOL9n@% zh3nxZI7at5aM}mksZUBb<8VIp5w7R7;%G=7B(43hgXmXvO@!^;1~^cArlI-ROZc5c zr>1=rE`=838jXYD%|u90|HV#uLWkzZmF#BN<+i}k|1S2N_Qcj>9uRj(hD=x;r`42b zWV}AXY;c+%%E`oEc1V|a&&J_=Da{oECz?y=63U@?Bbw79W#tVE*HohYA#D7zq4;dB zgy48=DIa5-v|6Y*C-AXfXJE&H%^SrVF*uu2!|R-iFUR34#8<-0*+<}PLIoUi+i*Ox z7zc?z9Dg+wXULYmL40;3eqNw?aFS?dG^!B2%JD5QNp8l)yUlQ-{@%=K#tphUJ_k35 zm!9;@c@dl@oqnZ+4EpvTfQ~)-;>*}u)za{*JBc;|VC8S9+ zM@stMcpe9~k9T$Z0sj5Z6D?r4MjmAf>v^5~N4DU?R%yOh%CaTeQN6%D;>eZVB<%mO zeLU8d=HE0s<@2CUeNkV8HqEtYFqB87#aZq|S$^yiYRoNAZ>)hvJt^*J33ci-L0h|1 zCELgRkAvhbq-SXn5B}#Xu?Gfg6d<=$6^hGLp|Miw1<+is2(2Y@{3^Fi2NJR;vCaGj zByS`_JE4iMVVCIHW^1Ekb_=a}fHkczZ2i4JaGl9Kl9?94**I|CpRX$lBs;8Wy}3^E z;CNU84qXV~@@VJzFi876fC|Y>LnbrXI>qD>eO4o!63J>ET01Rhy+225kv*wJPISFO zYqPFb8pN$UA$HOox}IscN^-{T4&i+=NLG77{J1*|9OGagQj9CX1<<0kdzYmv_MG*F zaln0CyVr<|skKn2b#o`Jv-{57f>}@w9PT&aLUIjMZp3ogwBN=b79shZb{p!0l0yWS zO?xkfK_{vnRz&YHqFbBfkWy45G)Wyjnj?}&w3h2pUlik7AmvH&=q$-?`mNHn2w2m4 z?8y01hyxc$PBHxTXxJoHasC~>od>CQc|>x`mez4bn`vM+_E8^pk}je_a_UlA9j@Jd z3Zq+hA#vIpyGSN!d!*t@2Js{F3G{sL2)YaPU7O_UWs-wVF*U?P;0)1yhUmORbY9PH zg#*!TOXJF9Eax|kvlES{brSX4k;x;H)i!=ON;2_!ZYykvCMA+FOzyBgu)eT9ouj_V zlZ;_wf9O&$PDVc9vf*@e31mnHG1(+@H4LX?NPZFiB*~ydOgAFDyh9|;5f2=x{Sy&I zIDApiU3S`rL)yOtDx{|vW(zWt@&5U_!p4Bjm9s><9iyH4b~W=c^t@7qxk9|r5%I>J zcw_8;4||E18%-Rr*5E2OnOx^O0OK*^>E-l#XnHW+#T|Q3c);XF0vv7^;i6v-)UJea zoj{Uw7jve|MVI4za6XhThw*+eKAF)xbGY}E^Y9AMbeia4dVo63X+_dqSTKdNcbMF4 zfiuZcCR3l6r3~*zee@wy;2O~@Wq1~&zxW34yz?%GkDrfa7QWCTe)!jmLasb*z->=@ z-uCRouEn!)>WDaUZdwBgw}h;e?2N(7=QG~IUk~i83p*D=S9V_I7e~rt@I5Yaq-5t! z3jFyQ66PSed-XB=^o>4uSl7K4DXw=>c!;_#Ow1oRUtKSwaa;jwg zXS`@Xm&2~F1FSA{XuU@e>&4Giom8~pLEYI|D>ZQ|e#WXD)Fn(Qnr*KGr1kLN=*raERb%yrXYZnLgh&rBm@EHA$ zt#`+1t!Dpgv%n^_-kFm8Wp*rE!(B7m;2Bc}8q2_c!2YCm8JEK zt-talgDxbL!6UB)XURr9OzSkOujEKJPD&Nr3))~6O}4>B!8TAM*PdM#mOAt%IQ$Tn2p>(1>U}$z%@yV zImsLLy>eJJ_Btez?d<_clIIpqac~JOB)cyUI`)y=rrbv|%+e(hu3<&E7MKG~k{?_? z)B1bDEd_4j#kd-f4OOy>m@Jhhd4Ae69q!cc3ts6sa>5mBwQOj;_QdI^LU&Y~UNg)C?_j~LX zjWE7-2jXN;GFfHfcNfmNO>m^&Xu8B=li_7-)4vQO>Nn>N$tbP!e%PdQ9_x&*VXu8W z)Lxn^Cx}*-F;tsqXIVH+$&Tat8`+tzG!C9L#^y?2+BtMz_S8M&%~Hzs!V8wPJA=Z7Ru%cqnXKd zmW@+6v=S1ed#%^Ig!Kkju*WVI%8_+2C0qJJLIYf)%itYX2Cs}-cn~cf1+DPNC!l%m zLA>|MYl0_T`5p`LhuOEzG~T(5f<4L#+ahF{09l|)228g8H-O!P0sAaC!~qYuL)$Nz z1>ZVS{(kshainCf9J8hDmZ=9K%N zX8L^VNcrX!(d)LigT7Rnc)7|nbR7ZbnP%i!m< z!#|=>|4~v+M&upDoUp}~8S~MzTMvBt^*1U%rw=LO z2^qCHcFvGovJ%}ocESfAe~c4WR(R6TfV{jsNJ$?=k6u0T!N4J~kUD}|-!Nof_r!s< zo6);>Uwky`0~|l1M8)PSFk3NB|q6WWL{&ja8T)hE3x^~0+ zLx#cfpe*VMUwFd{2iI&Qn!97<;2}7={|M@RLQrts1BW=i8%7b{T=Ed=yn<0k_``(n z)t%}OdJ%tQ?IzyNh(Uv4woe+hozaP8wMjoLp-Xk=6HEo zIrQn%hdWpr8X4hHZ7m*_mOw#Kk>iIA9STDO1JqPi;o*Y^P*hPy-vRwGl=x_{YcFa% zf>7e@%N;3MCX6Bd2S8`H6v_!-?Cb~S&0-itbPoUEL+G2BqN2J64@%0QqNWa(nPbGr zQ7|wx;tqgiWu;J7R^|?a+>ug82i0_6mZ_3CQub$#l)ZXGd)sbQxd))iBM@f9!w-kO zICdY?e6X~%MD?Q@RM79$b+j>H$Y8;dl73rBzpWt9*eQVlefnY`fyVaTsBpQ3N>_hq zZ{sv7DjV~&oMl|0ktU>b*h-FrY~<2IDheXHC9p}Ru@LwffSIZ~?s z;z&s}yxNgcPvl712Z}Ua4FBlSBN&;RYqHtnR7Py?uQ@wVfZ!cHXu4S9*r%n zxN*}3<0no+=dRtccHMf!$H()-Vopv@7&lgMVtm1o($|+e3qHU0cJJ96ViJ3hn4E%U zvYwq?-7s>C&Z$ zBs?o_?(WaK`vgN!!YsbgW z4>RV?L#HlXv3SB1gq^SfLgL|VXn`qTvX@ZrVE*DoxGieO$H(Vaj+9JEd@V=HIdfj^ zNckMz-(PT~WcXRLXY}>2?+_e|uw&=2X#8YO z^K|;1=Pf_Jv3EN(42za5<1|m3F$-QkxACe-q!jtN=G3AbwL=T%YFFI02VabZ+yq$@kehD`nQ{GM_ zjrr1Xf+OXGDU;#s?!o79L~I;ZtY44Lq_d9s=rhvMm!sIrHh zGcbLZBKi&gfUh;}F#NOcu~O{})WfQw;FFIj+hov{}Gi)V^wP8I=7WVAdWrPGeufFF2?R4Z5#d&_m1; zS3&na{n3-|J9nQ3G=fW^7We?OB~*E~i5`6gy!!1@s0Wu|_MTtxbEr**Q>S*=XNK$6 zt1qWP-u*5th;~Llk2cEq%c7f#pgr0ti>7Kubxa9lJ+m=&ha9Jq0i&7Gt`by=dHZ!a z?Tnvu39sf~42_@?%#+ka&;EnZy>~y%+@-*IsTERzPp*GNFtDV6ilg82E2W!UPeY%(; z6p044&?+oEVuJo7KH&6CC)yPKia37$Aw%>YJePoMTd>hXV7qTA$q26 zJuKkEss#Mt5uDDcTMzMjYDd&yv5X1FcP8C&+{#@zd?V=@T`XGdZ}FT(N@p)J8Q+zN zrrG;6`8;RiI)&~l?~@0Oh*~UFJcfb9!*0aeu`9)Jz###~8N%h3N8Ds8O>`pu5)Qj`@c7u+V6{r>m?xLmI|ZzR>;}h!`e-kFn7EjW{=Uqe6Giv zK7$2+GQ4nKTHDxKCxB>;n=WKeV%O*C{B1D7jIsKd@}n+RFFb}5W`4-YDidiS|9N3M zg4NC7PI%8E?*4_qXkfrz8rHQk?eonmj3ySPxTZy*^S7c0|Cu1XU~j=g`uvE-lUVn* z{Dp;N$3Lcsy8MqVZyhOL{Z8?}0e?5~G1x^&wcSU3pW&?);%P>dzG(MWL1Y>3{g5Gg!q&}!Y>cd)S zp0dUFvzOt=N%Np_SOdv!p(sc$MOY4SzJP2&YF{&r+K*|5D(R*=q&Kqzm)G*yYn)~j z#pJ^8q6>EI*pE-f&A{x%8*st=0&)YB5pdZPJGM&UqcPL4aQi;k`$r(Z9`K4y$3|%- zd^C1CR<7ECn^q3U4@$+&vyND~R*cu*utgG{mpzalngRP$_E@xRGd`yFcPMDVKP?A& zq^Df*4#wh*JMrPz85p-i3A-GUp~>`f>icnac#&u{CVJHq+Mq`KF(F=9(bO}h{;W53 z#OHI@;)kDSLrX>zDQ@9N_lky&vN3*`wvYq+%y3EcoyuV?d_8eC#w}h8lgm!HTiuA* z{0hhzpTHMW=40~gmEmrZ#=S6s^CMkog%&(5Z~2P0F~HgXeSGqN7SH_;UO#$Q@Ke9Q89ZAQ2`SM=^HVB78e*3DizrKyqm<(kmXr=Z2b8tZ+k2Ka<{+57!3(QDKf82!^! z?3C4jcS0ueNxyaqjmPp`2l#y2v|j<u_&T8Q%R?E ztPq|ZHeVFt=o;6AEBBg^P|gniwV{M`;6mbEe%&un$l@*2s>9sUqcihy;IJ%)3>tuU zy7$HBs}4ZS=^m`8P3kr;Z!=Q`7t!>?4o+qXO_W|{f7Pa*`6%@|9gRr6Mj zF~OZ%@kn%!fZ`!dd^KSDxM(vUNH`7o8j}H=U~dRjW~799of}Q2u;t! zUL{>Fn`W=sjtee+$R*l?67NDnMirlqn~on>Nnp>#a2{}g1s>3+HBu$I30kC%vb`(5 z){~4iCRwE%(}=Z}UifzkRa{n$%f=b2x53)gkLy=K>A4UiU4zli`f$ZFnD@yyCLNm(DDtsi zO0w56APV_}cMVOz8i~UgO)`1yo+EG%OXPFtx_=~=ZrjJ}Z#kq4U*dBf%`bc35G>uY z8z0hK8M{ReJ1@sVgXV)7@tipnF&@8au2|Dt2_+duU(=kZg3j@C_-W2Ed_($*n)w+d z6K^s~>R@i`h)GM42$Lj9Pzb{kIHJ0rXD39?D9`x1Xo z5zWl8R*B|_GU+a-(wY!l+`@TKO0u;0C0WX#jDE{b7-rMho1Z#|@4girDTj=mkL4D= z+{wp>#*Oa`wltyoZW5AjUPR?$wqU|GfdP!yPG^lV64}>TkOv?V2MGmM*jHB*a%AgO#!k3fylB0l=9f zAPZ1V_kDH-&-1WSLN5z%=RCzkBEea;5@WsSg z7(@1hnYA6VDjJZG{Q!!(CS+?&!$(t>VzK&pC=dxo=HWDzqmB|{7#5Nv8MxSgV z_MJ1+sqZ%6LBw6ST3yAub-QRiorV=_cEb6z1B!xD;CALZR<9$NtR8+ z0Zn6)qeaLio7?KLBc?7`j*&l3!{oi%kaD{ZJ@(#_);&feTmP(z=}LAQll9C-W`{!KvIvuBEW$AjQ)KzXBEk7K(w-ckF}X?PwH{Z1adr z#_HV%@&0!cxSYA}6NKU>demr)+-OZbPPz!Q)ie`G9g8K|PW(0^d2U5?`xD>ulgp9g z9gS0JCYUyB89pUDc|Y+Z@@@fg$@V>EN3xpa3zOBd29`)DC`VRJBg|~CldN8aZ%D4F z9zBE9hc(C~+fncICHydJDF^nM;ZjQ;L7DW!FQ?4KPo$@qsu*+LB;E{yvXlb8B;9QE z^yOHjL31Uj0>(uAv$?_?Rf%Q|qFs~hdp(hpCD+qPt~{VIb`OledI@Ph_RDrk!RdAk zxBvVSGqGDZrje==!4=Bk|L!J$r2-~1D5kQJJV z^4NPQ%PB)K=}|@0M-DX4nUiAKW8s}ia*Yryi1Fzf={lKg3y_yKJR#mZ%ScJr7qUnG zm*8zi%0CX;odW;vj+89ZBy*5tjf%M*2DqNnOL4bKyw5SAlX8 zENtK9KMm^I&a=0Uly6QEy>5G3-h?6=lQ*XPa#oe36;~_i$>%SO%K1ydrl-Xme*LoW zzYFZ1jZX^cGiiFC4j=b0 zxZezcyVLDI72IwF!Oba{?jMcY{&x@+T7Zb#`3UsA3oo~1c(^3OlYwi}Yk|9SBAl>ld%(*)@g@=nFGd6+FqxL3wftWW~kNt>e2G)TbAWl$6kL zFB|1aDUjQ}8{In)p892Iq>qLt^{A*UgS>()x_9l2fjxV`P+lI7?`EMqB@GHvQs_bW z0bRPlV4D~oyZfV(XjNIe9=(VUJ-T&=#5OW&JfaXyG-(^0!(^g!+NOQbzvPOTqB?}< zRzub58YZq1Bg1+ht~z_6si_I&4+^1hL>fJ*{eHcBLPt{_bv0F}swjgB%Ldu2JNk9$ z3~li}s11ujU3e_a4$I=b0sZ-ywZjJ^N5Im;9QBWDQBzwDbxk$&?%e}@I(LGm#9lm# zibqXU95nVxp?CML=-sgcR9CGbg!+wt>;y-+`Y6OjeAh$?sl9qSvI zx=wgTF@F929)HO0e-@!vUueZ;ihE%(gKw1!4 z(;>nJYx~C3TX=JUZT|g+Bc%m%r1W$~Q@97*k6z{(6z5Gg#t8*$JPD<_l}8vnEQ%c4Fn*mVa_Lkzoqm%-eT)wW zLQ_!Xnug$`5ipf>!+|xIA+^>T^4rfqRon_{5~uj}Wl$13#rdT!VZ|L&uNho~_pvLu zb>b?&dXijv9bl0HT}&^-=lE5m+B>42#!!e5NPSCSpNY&aF5GEYDEjq`$S{eK4ttwcx9vG$Sow@wXFq?c9-$}*I)AIec9rL zh>u~;jcvH)>4uq;1?NTveLnmeW0!4%jQIulq!c2pvK1HoVz7F@Dt;unF0Nq#&!l{$ zl9g^^ZHG@pj)===%|M(t@wmJa9v3fR+}B@m{Gvq*5W}-&0v;Z27&qnzj$b%;Ht9-& z!q1x{!neWO-4zqY{>1SM=FCE57_CiIp2yFbF%=;JG+(L2rrv>=f)3HS z5eL+bkeVSJ&4@}&ftaEWept8>2Wc(M@T0NM%%(9ojtQR&*%ar@nTfCv!7=idwWc z8-E!*W@7t&$Kn>x3<*R=M_~Q}Av5HJ&pv~?MRSAA z5jIyip5_jlL#)J5I|~;t;P`Rxe*h0n15Rh`vC~-g!;c*Q>32WC^ujfylsCbh=J-yX z^kQ{9ga6)DzsLY9}1LFm@Wz!yGurkAv%5N6P;#U=D)6 z;YfK)LV`Qz<;!m3k-cY6HpR|)ItZ5(qcL8DI+ZmrSu2N$6G%2sH|CMp*#VYkSrw`M zH}NpgvqMVkuns!}^}5l|b=YC3xpbWm7;Obk>Y>HP0a?dtLuwKfwW)d-!qH9$G6p@IW_PWwdZLr4r|(3$aX0nrA8O*{e4;9nr#-qzaB-E`9`^ zI(H`9tOquV%i~Hw7S4O6Vfn^A=+vPDy7%mfReNP|KB@ptd9=Lv-NR1Ho7i>Cg=bQ6 z$ZmrD{io3JPQ?y}H+O=OV;mgA9^#UB1`cRiaT{;!nmt%+>I9{bYW@;#wShgxtlW)h z8}~usyeDtRCbkI6$tLeY_9M$kxNg5PE+v$4ey!f8fUaG;qATIo9#qA}lnPu-E+_p# ziD&8TMzDtD#ijUCT#PT_pSzL0*@bMvWjhbxTv#@4<^v`{x!85W6+6uwp=%d`Yr*+& z2rhu3eFS!!J7Tki3--HaLM@>M3L#ZkYkUpkSBqoZs@*(tuVQco6sZraFJss2#gtXM zAZvV?w`1#`j5S;KqZ`rLrBf%YB)j&4dkU`Jx(Bg?S{O8V7?%_6(09NQM3Hz`jl6wzea6JaQX#Oz1 z>-ye-Lv9P~?$ko|%uP(+xEDXI*oBq)S0Nu#3FWAIY_fFY^M^S(t~a?3<;Z%-2A5;< z9u0Kt)fZhz#;jPs8#W%vxEh#^t)%bu>e&k&$p&6RJhYC;gI#Jhw#wKNb_Uy z&i$~CDS};Q9kwYM623p)CHm)X*oBk9ci{X0u!wvB@zY+|X5s+NOCi*DE*wLOVCooy zJr*~yiRR3{>&Z}!YT)x@quEWOQxapA@4zbEi;yE4RbrlC%SkW%ym3FqEZdG1YG-l8 zyMVvAm3Gg@mg64SVd?-i+aTEbXTvR_8XlQX;ga2qn+($HaWk$0&avfiO{;@j9_g-y z;2A%e6Q>-TlSYmhw^kD4mv4u-u?^1Ayt7Mx0#&QqSg=S9KYpj z=)R(Rv$qv&ucd*2(aW4b*$YS>J@~l@!haSpC(6RQW;|?Y{mr~(|DX32Z_Dp1Bs=~w zMbzbgY-ww2L~C;uTAEn!SN5**uXdzl&YVR=4|AksD=Ay8{`2yWa-?i);m)UwcW=g6 zvil1GeOsHWiN1fm|Nrj`n+r^DU;*0LdqD>6?}2k1s8)ZAd72inB?zPz$T!*&0|d57VS37{)a~ zC-M;o^EBZ2T|mt{2a`4*=I;{+_U;ZHHAPfcl%b}o66%`j=+n11`jh>jzH$vJ9bAC; zEX0ysV;)fn71HCi;-12UwVl`m_1GtLzgn`-Dv*~*eT_^-(lINnn<(r9emQCs?A5e^ z;20#IyM&eF1^iFreuA63E2lpyDgyHt342>pKmQW`#+E=x90JTvVCvW3a{Rm>#~||9 zS^j?2UCR(-J{ZmMD_5^XQhXdSfpl#9D;V?j=Nv!!=LOhj9RN$}vnBOeKemAfThWiH zhe;ywCb=0$N$)mI2V~vuV%(M^c&BGy^zYmOnj1Eu%GDROo`KNbu^aumcjLf5KO$VY zvk&A~Z$NjlSB4B50y8rcJgKWiQGOnz_Dj-Q(h(o@>xa|(kD%pN7_u&1$BwxRxLxwu zh!MD=tik({cEJworU`-PzJ6~6uFA**ff0C|QUq_Z6?DR@p%VQVx}vc(ihl~-s9I7-S%CQ+K!@mL{5Bwdn-bkdaZjKgUdQL>`Lrj< zeaeR($gCH29Uex{TPys$y)a3%Z!PS*6P|wSa>Efnekbs0>4Le4x-IN;7tykDIkS;ytA>1N zEi|H^;CN~?0*hPu0l?QO4~q(+rYMWyg9S&*@7ErHjQf4qll@619xvJV?_&R3N6Nn+ z{0m3Q^ma!|krO1_6TPuh3Sv}e?JNVBt&_vu!&`*CMtxRi5gsY8!KG~nv2nIOR?jrV z@eMLOE9Eoi!B^4nw*~5x+B`sY7FxB|q59|vGGF5ri6gm;k3mn=qNTr6LQoUhwq?6+TV{D&lu_Syu(g4RY$QaAKzJ$zms znUE~iqP1Qnv<7CewTKa3jiRcg7+T8m7)Ua?SC8&cQBve*PM(+51G{#G{#G&6djz7? z(E~EeSEC!R(t?P*B~*s^8?$M+ci5f;c<@L+T1Br}g@klcJ(9woK#wcjM+Db{LVK)(;a=D%ID zWC0RI?_`~6{r&!10l#j|Dx{G-V(apii?;aelaKh>pq(U-*gDzL^c1I0ox}$t-$Un) z??P(dUKADN7Cv5{yq()Y0hl>mc;7gC#&m>=-nDvr zdSJr1F&w{S;R3`(MRMK6?Yce2e^bKT3*z&!=jMuD`&=R3YehFeCF~JQ zX|6;wwUtT@%@rMG1q|%h2R*uVg_43iD#}Vx_oxPzN6qm5$Pv8#cKGz;(Ktgg|H*kRKYA;|6* zUwjH%Yb&%iJ>mN^zD4v%5xoi_kDwgcz~}bOoEGHNzMd>?qj`Dxf;GndAUINv7(X9d zPWj>l&Ar=X@|Ch?SOA?Gy3xI8(ocQJkaPd#EQRR$7T!Q$XIt2r6}rCcNXgEba7W5a zkrQN$C(lU9&ZMlEZ3emRClP$co(JiE<|rBKg`m@RII!^q58kIlb)zm^LrVnJVNQVb zJwH#x;8pbe-GDhs#*^I?(}t@cfy$;wytH^ zT%~m`9JWUk@yWnJ=+NOEh_meBIoW7#e1dZ)kKyA{!uzzZ=WoIhhj<)gK}QMnqRB=k znW!07#jm>2bsT@3)-QSIRQ$MFf^4R)7)AEf@ja4w>KlUM8y+~gVhuWzd>%P)0IUwl zp*bJ|Id(U(Yr$eJk3Jnf92XVU&=wShbX$9@pFWG<|GN)A#0^b-S{!2#XLSKf#|pO3 z1hRpcykYV@G$`=d`IpJ;yor+oTSWOz3Cj+wNpz}`ea3=;GTE*Z-$=IpW9WrfAt1gS zjmd>*_Pvd>d!_JkzyAFE{El5aki}(nqadqCk*w}OvU=|x6y@b|o7l|A@E2Jva|GoN z9^g@B1+>-G(64td4(xL+;h0@1uONpWJ-cH_kDf3S7kKl)(G9Z8SMYb(odyiUto>}R zlsz|Bg#8V+*THCK!Ax0SSa4tadrc@2iJjZGyfAmhRL+Bm<49I}&|Gb8MQmgQ)~*s{ z`}44Q<{TuQy~u5KH%$Zl^!|q&zmjy9WYPzi3}X5c)0-Hc=}}CdVtSRe%{h!F`O4(x zjw#cSdG-Q58=1JDT#B<%l~9eW<$EVaBpaDLH;8>K^dqW{>sM^9D7mC#(i(Ae?A!&N zS#aC!vQY5JgFStho=}FSpg4LqiH@RTK8Js+6xB7uA+w&IC(?BiY7t-3h!)Yd>0|mC zb82MHZGW{>;LDDbZ=zG+Q&Kys8r$9&o-uwgXm_N16P*H|5YGfh%74!@_KuJ3lrlY% zWv9GwDFz!>SYiGYW2h>*AcEF?mbsF>Pi2mmuWIAp!81q7C#|S&CY~`O-UeZ#sj0+s|R|wsVl!a`sOJaR!^u@avv!HjvtN2?usx#R0La*tg>n z_H4ZXiLK{<7wp<-jZG_0W5@b)Fw$~Cd{hecoXr>Z7K9zOW@~#A*Nn4i4N&sUg1BucbT0=Z z#gCNQ@FZl@oZ2;2P}qm{>4}pvazIo9N<4gUX!%n9qN-!Jo>(d-2P?lUTuFKYgIl?f zw7rdkm%?D|eGeC-D{&#Z3T8pYIP4II#Y!hI;2XhtVRX-Ku-+$yHt!(r0C`~kLT+dc z8Q2$=2D)e|EkP+M^asRu@y*G>ojbx}mjqh80#SO+83z~Bv&rz?y5Ojo1e!hkQD*N9 z>BY;q;y}Y%`w1 zhQ?Ig=RU;Ghd}juB%*SK9qqD$EXYWTqbsc&y}NaSj=BmO9#!E{MJZI6V`t}g(VO_J zEpr%;$%t&o&x5&!I)?S{!~5J0BZm%xrI|4qnKh`Zsern&0(x}mfS$x#%_E0VPrs`p z(2$owkFFiL@u;|P5h^Z|;Tx8WaIZKh+Xh0Mc&-tUhx6%AaDhP2KO6fmvA%@EG@=w& zbIGU=&c@8ml62p9(YMn(&^dGfbvfBYUmkSGVC~(lGrCjzDx0?8k>71J1cgIq=PvZ7 zK6az}%F+k$C_9VyMORK1eY$i+SK_;}{1Md9I6SGXf}W-t`gHG%t{sR@nM0^9d&u$n zgzwvf3_xoC(B54r$z`#RfY5?kC^*GJ`m7%uF8iU{GlV}I$S)uNuMls`TC zronlbxl0~h`VPW?zI~voD34My!fPHqf|kA^y7wEvdA(`QWTad@@z#;@?*{+UkS76g zPZT-6xk1Tx zGL^Nh3D+*1!PlP%8(d4~&p~2LB>Must~W6GM`0ti%i#Ahb+;NMX|314T@35AC%jF| z$P&n2kLCALCz*TUZYwTkJi#vgbLjtpkiBW;*q@Me;S$Z8GWQj>rIUPPN3lzd>ee+okcH+-odWp`D38=5!`IfV9e(N{j;V` zM)>VOUf&@GzRRN|w;v3l7ej(;AOFPe|&P{CQ0(avaM zct$(xLpz@Jjo}#&Sf5!54-LYsS;7YMxM4%#F0aH7GgXGB;(}v1fBAjTDH-N571Wnz zoQ$o6;>{#Zvyy8X&ZRfv%KcVIpLD{=uY?WysbjxGfH$p0RH7q7Ft@$^ufBkf-BmtD z(OzDdH(8)(ERDI3I~(gZK1bMG;dq)mY!0y!7aflI^96^`pN5Zsr#f@^j6|a4DXid; zTS-qE{TajzZTK9vCUL9an*)jS!7#nxhr}B+2Lfomrrsg-nd#5JZQn6%I)y~B1L+X^ z&v;<;1m@WJE+$VL2X~jZj+FmX@EVSkd9s^0e)=SHY?-5n;Jv%~ZyqVH<&Kn-CmzL$ z8HTvNLrQ3qMQ8uJL{|TIhizU4mX%U-DWJU?(BB3a9YB?_0g{cK;bRyB5A7fXDV{)_ z^gbl*-$<|t2?w_GsOasGbZ|S;iPx#p+Yqov949s&A=^tG+vn@l9vI05#euntRUAcYLd*EOw=o;hR!)l}zRzk_p5}&dN z?9=AK3kwxaKq;^U`blk24tM}bvOC1j+=7^uH^fPY zKVTmVjqn;6rUD0Uq~fbZTlrcvsCRd$scYaq-77h}7<;4+a2t{Zhfz?~z}*K`NX{w7 zUg^W=L^ee)vN`0pisPPF1X7%Xuy^GKPIFJPb&n{i;7(x~?mVc0y4fjwK5iPmnKTRf zCv9-Qybc-EmfBG(d_HjozW!knG!G~t!zCDn;dkNUnE-j)Ac$RxfV5XGv|<{d8TA+k zUGGDTY&<20NO;|?#Div1p)zT`+_MK=h!z$A=CHgX?i7_1PfBp`h%DJe@1k4h4md>k zjG_vHavUVvzFVgb94{>+j|`$Sy`YqTX1K0oiyk<11gW_XaKEe`x~DGStI4zRC8P6@ zI_`Lc^FABOYT}16lkwh|>6k5J4mrO<=#z@19QY8Dm%_2nHUx4W_xWKfc8G@ch4o3^ zHW=tBbu{(V_>MDhi}JB#i!$@LQc;F zN!djxZX~@Yvm7d9J2Uv25W3)vyI8?kq?k@u(xM=v?z$JvYV$v5L6w^t6y&cVpL6^r9)Mwm!*X88A$FjZ0q zhu!bx>l6bx1c1i0wvLRQ4*ek%Dcs=s(H$@qy&}&R+)fm zWMS8ZFi2kt!Ijto+^Gf9i)vtOeimcCpMn1Uzr+tS#j(@S2g)~#pypNz#p`*Hx6k_1 zK;c?8RGc61drR9SVa|2~4F8bk?T|0AakV(EUGYJB%0t}GtmK)O5@PdS3u2>k5EGe$ z{b}i@y6;KsyZ#DyVDqZ{Q10Rv1~0^yg74(Mh~x zSv()oJmoJT{{kmU2Eq&WUouj@s=Rfg{PPOQj(a5K%mb!+H(_(i ziwC|s$NesD4BFo_{-?m+O|p5)K8rTD{tJa2Jiir{3~5U{tkO6K*~`JO zPpyVqP9scx)3D9d4(qk7p?f71u8}3Mb5F#o^%7i9?$zZTXe!I1uBr_6?0uA)61sQm zgx>U>*7B98cW^;#U@V+%-LXUS3^tfvgMn{4T&ZpQ^cu)HgkzQVdB_-EK-|qBAT%Bs zC(dBqSYaRVvysE$U}6enW+BbV1UHH zh>C+Y*@Ha^$AEoixav@{yEbp(@3sbzy~KjTw&dlZBq0Hk+qUp_Ms)9nGkf;~UO~vU zxr%L5ggwBIh7ZA2LnGkMecW;Nz?zwYBjuMPhQUE!kMQ?Uo_rt2FSuik`Wfs#<%Uz? z`S8qdflYJ~_Mi2@D#9N=<&G=CgpYgxS*?>8_WsAbot58zhvZ|Yfm@-tsi20h1`p!+ zwTl)YGcXV+EW#yIQ;Z%unB#Y@UWxq3D2_jEppRh#`*Hk%`HN6$?~G>80GMtULjd+b z3BP}`V_5)TMag}rNzXvT-FwiJKEU5AzSE&24?uJ!z8G#rfWCJsHt5-6tFA4K?ZV&| zUW98wIgmehi_cG)W7iN9lR=MH4Kn?1VZ&m<5#^J9{a|-k9`Fr8`blf7`bpSh{_gWn z;CkMM9?deO`T1kZ(j^@KJgk^K3vnJE^thEHz|Ia6MDu3eq=|@icjv!#J$DY@eI&dq zSh`>~5+a0s_msR6D4li2O7*kYch(oDqaJ|FQ{>PR^14|uSfE|zNI7)S0Cefu7xT6q z#M#g+#8VsXPyYRTcOiM`FnYdqr2KoqzjLHy`+_Xvqz=_lU4{4qJ7Bw2nmbXR+<1iV zi?TpxEE^?ss4dOqybg1qR9~qCDRg=22v$S)P%Q{K%lh8D@e)wKQ^UGqm_B|8Jv3K#oJ z`0fkgeH(iRnH(3*@y_;_@!hAwdh^5d`7n2lfH$oxR#C+ev$%n^TIZmAH5k`YtGKK- z^h&`-Ly{Hx=iwBZ#1E1`t}KC$iah#?&RD3(%b>RMMOod4+SlK>6^-tGsJ!lmBO=GQ z!Grq2(!vyNjSVQy%YwwtZJd@-LkGd;G|AG|CS+%(WAoZo9RJyequ_AameYPWB>`L4 zwg)ZkfYDQyV1@EYDAjzyh4knZfbne)yIL@MVR)6P-RuaA=t^dE&Eot-kdASgObH zi>6FMqL&v?QVQ2IR`_A`D31Sr>RgyQg}|F+>FLNK?6#!2qInKV&&?GhpEPVTw#QZ- zYuxY%Lvv9Xo}{Nk|9}+wcJ9Cf=qoD7poa9irwz5RG&g?6%XSz|y4l&2mS}FML;1r( zNFUh8b;6-Ny5Xc8$>F3l6!`jM_i|w#e9)&iE*_Et{6cZx`Z6|*7tW)7`pE~la^Vc| zwGowdPjSlSHr8sK!4@+|82H?QM{YCHNEPSr>|dwQyu4~_jj`Xe_nPnW%%d7t{18wA zWYaUjEd}OCSxbm~vWe13?@aXJ&R%U%9vohIuHr5H^}x=n{5wZVc2f!dG7);H)*-P+15e+i}X5LJ)(QGo~Q2{Y;LhD}EBKze}b~L6WyOUw?0$IgKwr z7G(8?i9ZuhFY$Hm;z1dF*h@%YvRixy3JP-g`gHcB1<6q%NyhY9%W;<0X0MoXoN!Bl zn29}>D4oDOxuaODbR0X3uENqe5uVXyIDb6?^OtVozZ*ib%JR@5w8ST)H1IYKtX<3T z!}|2XX%$uCX(sY-1z_hA!QL4?WDqXt3N~VL@;dC@<$!LTVMex6 zOF{}t1A=jQ^(wB@bnVj@TNU)+lwO6K*-cP$j3PbC8oSILa4aAb9(m2UlvoC7(nnTO zpVY~YzVm?X;{cH%{+K&c2zEa1i_dWD@?~x>CXftXEec-#JZzjY6{)9La-2wbsOjMQ zp+bU=<;xc%EjgZAY=y%WTdp@TJkz6?KE>vT%~>mq8X?r*Id=|nTwF=H$Vd3CXdE=Y zh}Ak5pzIV4$9s?AlG_LauOw_Xx{OVPH@X%DkEl{yyb*dkuoE# z7)K4gv3j8;rjOCXtnmg|IL!nL$S7pj{{@))vk|8JsE64T4Y6O$2Cg@5qq3Y7IQDX| zwFFP)VjGNDy89HsAh89y@lDW4Xn{sDphx)2Y0bz=uR&YPU8Ir`wtL|c^z7LSAC39| zCk`v2&NmFX*W4hxY8?jj>V@tjKf$#9dQb{{2=i>>gtg&-K`t5@^P^LMI8ZA-<=Rvc--c zv2DgQ~g)1`i!Z_>s`o(?>bs%d2Z(W?_ksKNU8V z+u^e>zJ$fG6R4o?OUo;up{b1_!-iwX@Db1=Be|@y3Z(>^`UV*C-bf54zALO+k9@nE zXpPT7YDOK-rM5sv#B&{*CyZ`JKkEnUj|KJ1jCg*;{XWKRmOfu`!0d{{aT~9RSrWVw_JEZh_F*xevqo55gdtV=CI(ct|v|zUUelVmQs0frE!Y zh4}xVq?Gz!1ARjy!jI&5WmPpAABL~y_z@#Vq5pt^IDGJc5M_|~m`K|GDWcCJp$WcW zMJNj*Mn@z9S@~#v{DjwOhv%cKumf~)q+zoR9?~AU_4vnY1@`_FI(!Dnr<$ZD^;=l=?{N34tTBKZ)3;%k}6 zznqJ5=TtPY%!Kh&Cy~{mE8AFp9@vIxhA&zpJ$RHq*=;8=XOb}{k1^!eSLq190@8nG zP9VKutRa@oGKJy6vq-vnUD%L~qx*)tped5>l||2deI-A%n?hFc#d{=2;+mjNvR0p# z1SUTWNsj2z`o?6fR(uOI6WU-#GBTi2*!+3g*oey)Y%pfb78tP1iSD&*?OKFK$Do;ngR8p-CQX@!PIRxO%U2>SB9icJ zaP#oQ0RwC(SY|aqfY+f>&%`yf3hUq*T z%$+e}%q(moDSCtUj9~Y_70A zGCZ3@4A17;(lu*1os+)$9f!LnGBkB0U5p6=)%*Jd?G=pZ3D`kMf_=T&WPoYPGpLhWx}FIN!yziR0km`qq*1 ze+vGEBPGj@*sQ)1MRFT)Y=aC|&M@Q-eBR=F(4e}SANsLaFU#@FsgE5Ps#aPHegKFa zVtLj5{#Sq<`ca+3BdIs(?M1~&2jpM8hoTEfsI@#riuq=$GneYlqXmR`!4CGc1LF;I zG$pOi5W8RH&VPg9c$R+1#qD*W-b`Gmwyj6tb7jl8wsjB`va- z*!rzcwujbBHXbX5x!I7HIgCMr$;KPbY&<T~t(7qMU50 zXLx46Y3n@0v(F62zSGhnd_^VyPD@W0gN6;oz@ELKzGWB6T>Mb!<`2W2yD_qFUvwb* z{-=%7ka5ezQPN2)X&q-g=CU)n6$YGslAX-*qjaxBv0NF(Z zs@ug;>T(N@yl&&<5hZ*%T6p2y4x>N*1SY0toLA%pQw_g?sBg%}Q8Pv8;vk1?K;n0%h+ucV*mj~aq$HO=X@7YpTwnEa?azXCI+#OXH5opQ zOu2u<3gcS?@vY(w%5AdieANkpL5gr_aQ%Bt)D|HbX3ij}J3&W#o zmOoD9K-pGGzop;($MBHmX)(VFfoK0arQvZs&e>kZ(&D-qm`PvDyk~VQO&YkX=#xD+?Qv$(%ZNl zwKu&{g5OW3o+oTQ&8MMPrNlEoZ9=BFRw<|+h=i;M`zg8B0}e(Hn?@OLIW*`fh%?wC4d zGRM#V{wG9Op5fUc+;vSb_LEOJe&zI;NWA0#kZyfl#}MC={lf6eX3j_8g_|h6or<$Y zr!i^TLX7@tG7f4RBQCE5`SmTZarVLN)mzc$J;Awy!O(#NV4$js>fAg$Dky}uk`ns& z>5YB_?DM1iLX>4?L*dXN^ytwIL;LiB*})@t5*~*luK-A`+kh?|JK%$XgK+wQ44TRI zx^H8T9W&c?ZiVB9iZ;Fz zWzpC`c1gOO6Ivq@aZybhpS?Fy2vD1B0Lu{eXzCeFZ* z6K26&%@jES35a*O4QWXQjHY=wZT4bZr?G5{OGBokE4D6N%xU>@#Cy0ZuLk&rA=%0n zYbFW7h=2P03%HnBl1-J4WV;)@KEpo`t7pzew5=W0$-u1>XEFI(ArRlfpC=*8_9_sc z4j1F2_~|3T241yh6%rHTX!fgL5og;0=_#UPqL zz54XUPDyD*W#qhYP%rcDUF?y5>qz;xgV%ASWX^hYZB<{1$11DwP<{gsns#A}G~|}5 zL4KJkZtjvqm1uvIIs37F)i6mhn6HzCIJJ3bu{v+Rk?zx;;qve6NZAg{pUX(O0hP2i zFRX|h)Y2ssU_AVcP1}hgV7|b4=`DMw*Yz7Phnx}fS+b9 z!DnNqK#$hDipna~)l|ViPX~i(UFqGk2UL}nP+3tX$ZAcItnStw`rCF387XggLT1Gp zo-KLAsP|xb+!9ZpG$1cG2YV&N(W&FR82#Y~&oWZpy_12hn>X=(fAz)ZqKuR+xRag= zv7Os_220M5ce`NN=RaVxq%1tc6UY{CgD1(ORXZg~9*xE7T~hEO+46ww^o!SB@cmCh zAcdui7b1>j{UScywUb-JqZ#kFv^gJgTdOwyrk%_3Mj%y?R2E*5c~iTvQhn z!^p@2UyhrGF*6t9+@-6;k0*He@FCRnjPVKS1d|u6fW4C|T3cIi@9rJ!pni8CK7BrH z1TL#;qb)KKX;&PdWsm;;ryp?BnFTl%Wu#oRkmG-zF#cIa%HW_t%$gwthnPKc210KO zfnL46y)Z%K=(2R;B*a~`C)tz+Cj(Rb@S%`pd(o=3@QX}9QC$np-}J$h#cS~)>0VOG zI*1X?m19?Kl3uqGpAlY1S_$R1LQx+W4r2*P4C&buJ$v_rl9~$2X`a+QuH%_WKNuxs zr*4N&KlvDEh{p{qBV}5$9vU21klPk8z}Y0oeJe_H#mv=k1@{f$=sY z5J(R|*)2Pq*HOTVg>&)2Xkkrb@ENT)=gyqw8IlY0^RR#49$MQw@N!WRo5UpmE`c&t=vBP?4yrx@eIjrvW?SYW3ge?Do*crUw?%gmq~8d zKSo?=7?z1_>&q)W_PwFU1J{vhcFeXk!%`HbXW-!O-RMeoH9LE&OS(~RBEX8}?f z?SB=|7{3^li_WRPT;4RE@g3Rcc=q7&i#%)3NXd4C^Q#+qM#_yVt@xQ3HD%ZTcScI~ zE|KwzIZ{@N&V9UG{yLuha|^AXZ_ArfL}T*CmA8(R|9g&6@x zsK{KUD}6q41u93b{skbquZ$gm1JQNk{{<>Cj!-;wo%-Vl15Fp$Soy-mF&N&iq407E zh5OChaCiDEA(&r1Zid0bB?g|ZiSTkw=HTg?^m^cu2oI-tcsM7*-~TRR(#w!s(uCkL zz$P0|PX?4y07LqGiuBdv1%O@}pd8%)Z#{x# zCO04*TnR0r(VX#|XgonQ8W4>t@vTsfZ-dSq8jnKY67fBVw7+Bm|I897pS*(Un-5_2 zj>FI+!#=#|F+%d|pn2W}Gl?FC*Cl*daRb5$Ovq?nD4~e27i`4G(^uk~1)H#VuQJRW z{rUHy1@+Ljb;ZnWhcIjVA?RFk=f4dtehlp^o|w7w2xe0M*_;VV%Cwf%~4C(Vp>Ia)c<}^pOGJu1(9%8Ak9mZ`qh zpZYtS<`KhdoO9yi(~h4*_-UK=L-E)p_@x&ixeo9n4cUhHdX{Lsnc9qq@B*ZSXCWo+ zA(D!pAh8litRkprMG`?8Y0V5G>3O`#<`X?{5-vITW}_V5=`{!g`}T&S>|qoZ7NEJQ z5x3l3abWvK>{>hv){2rSa=!>9`O$+J0K^I#X>Z{ThkxNnX?pk^a#@x(s>e20*~Tez z);pte9-bCgcs9!Gh8J;6&KgG2XK`HK8g_aY;dk;X?m68+W0;4qNy~t308%@D>j-r( zL!2v+;LJB#8~h_t=9rC#c15W3phYb@1jwK|nZ$#1>O0%eejeCnvnXq4rsECRs9%8M zu2VR?^(4Qlid(%FD2bio^;t$smO=EI{zZ5ny8@r17vW)I1Md^|i1dy|X2Bz5k}>Q{ z&-~G}HYi3lad~?*53r(j%#7ALcCcKD@ERl!O-SCHp*6srtY{YLIgZvPE0-XwKB$2S zYs4X;a}v&R*+?jF!9~9~Y?9H&_|+0vs&X2tfh9OyLb96L)gpPW6x9fAx>}0vb&S?~ zeOg--!W*#ZY%nJ8)xyNpyCEf`2Uq(*Bm~~UMQe9#*rS5+bg$idr{ENy%h#%lLCM%4 zXMhQ-39oq!PSN*?hE`k(OnQbFdxmeprJy8ils6#Sc0)|V5;vmmA(7R8h8M>+B_mu3 zhy#gPM9`XPUm?(GPkjiXwUE^hqU#mn2g5s7)9^OZ(9_l0Hxlb*bb0$b)sEtNL?*9) z<#sAID;n|k+wqLft0Ae_qG-(P?@%*`L&P0k|9Sj&RdZYm7w~o=Y1pb{!rOTse?2T6 zyH!m&ewvg%w%JBODYk{{PRB`JF*@1UYLWh=M6yJnI|7GafKJ zYx8>4UF_7fexrgBE-`wjuQzC(ifJ7a?klIy$DYj%Hb>Z8 zVSU^{bKz2OG7^X zv=biM7oq&>T{NFMMZ#n?^?wTSbq4WZk-+12USUtsMs!*b<-f9Jb|6=>#C$R^&_w0ec#9mz3PI7U@7ED^Y6$|&P!78fvX$tFx&w;RTnT#)$iF`{xRpmE$5la_DBcT0C*xt1*y{2#)YIhfIU zc#77%GbDq~lI&sfl-V3ih8mKsD&v)hWg6!(Zk-esi_60DI;~NqPY_l32wFBym`=9n zT(Tid?YxlipdL~AHPF7`j2YVmTh7$v0uua^5$zohZQawDA+r5UuKOZ^wUbY_->EB@ zuzV{fui6QHn;VEPszWs4HO|;$!piNKv}hyLX?>4y3B$dFQnFKiv6l?UM$%4b;b1`O zkR`2gm&q0hC0*j~6CnKFLugR@Gl))R%NbtrKpgQTwzv-Z7hN$+WTzRDT@?3#@YDxp zQ?=s_F1bC!v(F62zB6F;MeS&xcf`-DcjD(oo1jH@T@3X#&Nl&uIwvrF>1KShWGfb_ zorR1~K8%RQ<3uBqHO!u7!GCm;+n}A)1_S!c`o#Li`gk{~3{jrZ&?UWO7TH`g37Fj* zv$&mEgnLb-uaF*ch4dB1UuIWp zC$vH*z8NO;navk2JLx+%rxXKAv4(8&30q|_d&54umldMD;yBGm%`Rg8=7X5Fm2AuN zPKYe1K~mXM9KC)E^QkY>Ht&b}+3Sd;@lPsm#8F4W6aCXRNzr^Dd~Ow=(q@xk-8zo9pJpXWhhBXfyih zyAy0Y*q#A>XOcxaK}ZEw8ePNptHd#W{#qP4tOZ|(K;$MAp|Gd{_es`g*0doryBe8M z*~kpNi>$N<$S!R_GRa@p{8k)IZiGf$3p7%RC%MAD1F?per!{3bZlH>f-xH$Af3{#9 z4mc$U&muj4EC`n=&Feo6NUvafRP5f`F^@1yS`VED4ndbr?_lTFHAqimk$V52!r#UH zXD_tauiiRR{{F)F_STW|ZTVM4c-!{RD55U^V@p%xW7Iw>MpeZ<)KuL=Lw&yR-mvMR z=w0HU3oVVs9Gad!#N+yMqOBUWb@h1qv=ObXzkgl$Cpc2JmzI_$;#nE0E3;8uaToQq zIoyGgjpbi9o=w8L@FxwWsH?3)ZEYZ)9tuXj=RC>xDW zibQ=A`q$P%${Wv8S%n9MSvYRuip4Wbv3%}PoIUP|(g&nai84z55j<({-_s}5zq(31 zdh`hOj~md`^xFsDes5uI8XFnnz2U?7flKjSJCUE0MPu85lc$d3y^$l(mGFm_t;E9{ z9%%5r&7I?h_34X#0|!D?Qv>A{<*2Nzgu1pC1`HmAA-(&;V7DY5`GlbOrVkFSSi{>G zK4KV-9k=9d7v$w)@4h|g*zsL_(62wv9F#+&Uj#BQI%39>AdkuYj`_U)p)UhMB&0C0j7q6q$FAO%)av0rz z06KJd2NDvyQIMU5mWIc;XnhV}fAM0V(~%=b!pPVdmDSa#dPMfAjt&MAj~KAeRW&sz zDKCeDstOMvGoo*Qn2GO4y=O4;ueo9WinZw8r7J!f@g7dgso-f)H11x#iJkKnqw~8R z@a4DP;PTZgXl`mkN^&yRuU}7eb-+(Q{)C%OPCV#J6yt};vGnH&d)IC_xOxK$u6v-)I|Qctq%nNpAoT6o z3rd@Ipw!6+l`ekJq%~T4KE=B< zKh|#Ah@_MhzRp~}bP=C_Dmc@wTD}y~5#gNQzo!%w6hKy176S$hK)-(d$PPS&!hAC3 zo+}xdcOic8ts~{%4qnTVa*43t$?CJu`ipq5xvSd_V9iVeeh`%Hlk$v{?0cj6yiSeM zTAsa<1yWl(%K)b~9uexWxxm_enU=pJs4XOzk5;Wss4=%d!MRA}*`%QCxILcgAEY`f zMNX6={{2~?y-IjrLD%3NQ?cQjk+crIxUMW&v=AY;h0L`9{(hJya_nc$akqm7=Qs}! z4@{Uafy<*6D^?&bKAv>ZRya5~;Jfd>Bl*~oJ1gEzOGVq$C$K$x1|NMe>Y1$0&Av}M z!V{c4W{LM`E$vM5^x%Fe6c!e8eaM&vy&g7&mcUw z;RP95yL*ug8a4VuSe`gRI#C01a&oYD?_Sa+yW*2iK7qBhHR&KtxO3+Ywr<^uP9zJy z`Q{tg+1a6mXwS&Vz@9yOc>U-3v1tBWgaifheut7gnl(env^;a#RNM{}uyLWFW$jPImnCz4C3TSI;3bK08 zAZY9AqM8NqD=ndQSq442cHz#bCdNj*jr{yP?AyDCJ35SdZv;*epO|yO{j4nP+9QE3 z-MZq-_eSBeq9&J_DK>UkKW!EtvmeKggOiI3?-T1A>m%zc3tsEZGMrHf3JSvP*@Ese zckW!0-NGF5^6|mX#4CnhHf|DPPMk-pem0dfwAt&n|p`XI)^eK$`faWXVr4}zozJojJNrs#5If&t;lk_3oL`g-3^x21~ zp}Ast^e9F@=hVdD3*tHBG2;gdta$kFVf5(H10Q_w0ZyDci6=A$S)}7hNJ*kAjlpNb zM&N?1Dw>Gibdt}TXUs*%cizQ!V}63ejT?N<$HvBB>EcDa{cpbfg6Joi%(6%Rj>6_8 zo1bhxGQKiL)!RWrM$c!3*$1TsKw20O>Ba*z3(l0!orQP{ZyvmkBPIJ@aif|>}(N( zm+AVuKn(STIZM*#$NsnRz%d26m+s@i^;GUy*&0dwVs#k2{M-K~u(Ne6SwVU*vTs^L ze#WsAk*1c%9~vu46KKv*XN$#$G6%Gfw>4#_Mg ztD9TkPO^F;$#Axwu9z?du_rI^_4m5EKED6>Q*Kvmp1Tlf7iiuP{(_t;J|F%b$%Af? z*tZv1_wM6K{bQUsc@pn`G#WiRcfpZW>rr~s8&7YA;>=-ro+yOfw;e{2OtO@cM!k0s zD&1~Db>lYl>((8^M~#Gur3LCpJ{OSPbnuWgy3_jmA+5hwr%rK)|NC@5F)=Z8?%bKL z*S5B{+;&VQ+hya14P2J~KsL7Hb+UD7O^k_+#q!mwxdZyopMM1}gQH|P#^E;E&U433 z;PlKMGY&y4kPX>co_c1OKsFJ>FJ8I`aj`6$b{m{o&;wCc|23;uAvq-(%_Q$GU%iSi zzxs;Xjyt#Sz}<{Hc>1^jr_Yd1^XaGP-l;S8FIk0rJ6CQKo007}f^5g$)Gr0%aqyhP)2OT(g5Zy=y zeMB;hIpelAHzNDqUF@W}!p8E`k4NLmMO*INm`=2=TeFJO{_R&^;<~*(+M1dLS-o-v z-t9oLnt0=_Z%#^GG@?&kz`7YPW~5|%-9qyq;oKDx<}q;9Ho>@$KcnAvz-qGD6O)s; zp2YMeCa1WLM|u>~r?~t&cMhL?{0Y~e_DM=2m*)Fp8qcE^M=@;3V07)&5i$o4psc76 zk6Ew`eO(L~*dM*A9rcY{QRD89YL5V@Z{N)W*bX9IschPgQb&5OV>9TPOXK6p96?cB z_AebNnf|(X(E{Etrb}3zBwa`3^YEw%xK~nxdu6rAt9i=bv9PRw4;awrKNE@{w{dv* zxCLc(Pe^~OMO|Gj8fjf%y2YDN>Yp~DsH%>5R*n0_v;3MyPCMt>Oa1vX;2F>An^9id zzAGS6x_&+5?B_~!8JpH^sXdRyLgNs z8Hd;ig2)8A60qx^4)FwxhIqt9r64}$E)rsMkr00BMUc6f z3OS;|FrW};VjkgCR26h?<#O8OTnHc7j;|(s9@Qs2tM5lNi`v)q$$<>3Pi^Vby{tmZ zU=!Z}YwE8R_1%JK)}ubC#b4BJx+fr zWLZ1Z-_tQQu!^pxI(bw#1=7U3BYwqDjCl%;bijmo%V=agX9qr+6Q~*iu&64P54!7+zvw3)& z@T@;RD#XNHrZ@ASe;V0D-1 zyxR%`npd(k4y^xr#D6vpr)iEE5Y3DqGBl>I#8Z9kfP9=xtb;Yp;j={JDdOo#x?0hk zIU7@lb9C=>akV%{jF{2qfkBrO#fd^@&8G-F@UJ}FRfugKr(%wLf5K* zK+Hu4#1oI%#`o(0%K)0;a09XQI|qY{d|X(Bz|9Lxux-8}_HH-{ZId9_Mn1yLa=`W> zJ=e5evET$O)6!A4-qIRjdLPhC08|LCO?c*5$?)fBjblL$&eA$<i+w`yCvnbyD3o z2gl-R9ier@JgNf99+@~yYne(wG0!l>e#_d>qV)W%gCF2rcqPmOil9t#o8i^`^KdNrG0riE{P;&uCV9{CKH0F0dnC{hQw3$u zd%xhR9>X7vsfM!Gb9hnx=kY3ZWqn|4p*8V?$yr9{DbhdA(7Mm+pP}{iRGxr8P2XG7 zcdWi?WI2>P@A7t3eX?F?UxoCKU*Th)!+U4JBCh7&<3;^_9&Z_219cJpklQ`T-mZj7 zLMwDhk75p#oX@m|v$0f(ZRQ!3*%&kY|FL%!a8)i(+b$IB?(XjHZtTXwKoCJxRFnn* z6+{seL=i<4q!Ca-1QELh13?57kPe0Co7wlE=+#@_&Hr`pZ!X6<`)T>jkOr+GIoQ zBzu5$=AMwZ*e;thYOasP+~qmUQNp?1Y*Vr=d*C+d(@<&?*+U0!)4Zj+gq~%6OP>!B zv7Y76`)Gb~gmj%71(;eiB4wW+5h?%Y=sQ44rIGqLw|JE}48-3t;P2_Wc>?rjIa34c zCu|W1i8#+)wS~C6a;X4Qa>UAQQ#Og4*2Tdh1`P6T?b{_F<^Kkll86ufY#By00(pij z@z%x_FOR=QjQwMzTkVw&>1^po`G!#6S-vC-LG&A85>Y!Rh=`wYTC;FZZ2_*UC<)@5 z?0*g2R$Yh(G-mv4;EH9~J9{0}#_3?;a6K#O&t%_cJ(XixSPr$@;8G0Mp1uL~(>GyEpAX)r za_(ir@Zu|pfATsE=o#C~Z(w&L6Gvz*W8KL99D^sfMUdVhKh=cn0pa7(`p8l4?P$HT zy^{gMz-XC|_W&kjTO1_+=ODG;_=?QOqxPGS?|1MCt(Af=^WWIN`kGHX{!Yp-lS9yJ ztaZMLwbV{STKBoH2Z>BbH)zmUu*}sxo{=omphvn!0N1E}!mp#xtTXvi2?m9-56E`G z5z_320LokA=0z3v0X%*fBHkN{sD1efviFI94Kc8dBVsb8Bt_v$upf>bIf$c2?Qr#KsE9uO zTho6DNSTt7j7yjNaKLsqjvU^N&=60gy(eWZfP-&IzTcMnpG7=>xNZhJKDZZ(Q%=WW zYikQHFK@hlO-{ydOj%i(2)`CW^I^DcC{~5eZAfvy4=gd2NeWz_zB68@h18q(@%nNo*36tG%BWebGWJ;QME=Jd zypDYdgUw1c3AI)2E2%d{f-@I-JqV} z7gGHO4Pd&{0!bO^NY2WDo{^ydW(XjqfdP`!(h#5Y4jXi~Nb*@^yb+KI!n zlSYk3xT`1fBctJLy&s+1wiD&g9y=cQT)pt&?i1JC znid+@s{KI@bT$O-1hXv z^zjo>g81E=w-g}Af(xOzwf`6vQ2w6)DF+TksFf6@#@ECW13GjP{IR|I5})P`@y~5F zLiZ-k1(0&ayt%mj;DIR3}l(CNAhkP zB<^vJ_A!Os_c zd&;6Z_3w*|ff8uL!^874kaFr2MBKV1qOdrfIfIT}x`?%E;gqR(b}0ymensoAF&Y)> zYNh#j^*j>D%f`Ol7N}iKLGV|tSdN%i(MU^6{{F=Zwy zk`DUKK*|ms;CRYO#CdMA>rXKFNY<)X+h=5_m!MJLFOT2KSSqdkyT<6F~uf zf**LnM?{ild5kX#q&#;PUAlEc3F6P3FbQ}4FX6+hH*m1DMjO&c0!X=ZDV|0~{ydO! z=T6kER}Yos!xlLie%{zCd$Af=5BvOj!46wSfqYgVIdh4N@w zt1gbN(?*`}6+AfPh`9rYNkGc(-EfM=mgju<^>BU8Tkpsm25=i+=x>NvB zXnwYn0Vx@ndF7Jy8~l_$ek5Dz)ej)$zm52u$*%%ZQa;Xqmp(H{l6b)fPh!zzOLT2- zgk{sL5PF2{d(QjHpX_;}+uq{L3ZL`QUu6qJ68*yJft2LC-amX&taC>-H=|YE`lJuaVEO!oh`JJn?8uj} zHZVrR`t>14`cZSC5|V;L@sa#$+s#|hq((JSZz0uHs02&$4YI>;BK2xGbe1WjGWk-q z>3Op~WdD4~!>h!2sA_7UJn6K?_3FdM)C@T>ZxDGc0!!xd{#0qSZqWjEd-mc(Tq5oT zg<$p+MF~jRz5|>OA4PurJB0fMVA9xe5|FZEXL#9=PxK%Pm+entL?78D5WV~2lD#7e z?nlAhY9G2alP-}NGin%ahKG{$3gG7Kg!U~Z{^ZFMaqGc7v5GsKJ|!*#Vt-9(`b<2b ze&@W5#eOpj0df>T%5hT=ear)5b(Ipj$;bsN-|fRwp8h<^PVYt+;}0V$6hv=x^OynOly3+K!bZEV`G z9!?xPBHI4w;ayBuoFw>ds2nFNYZOGsA)I{53FLzcUER7JybX5>fAO02;hzF2i z;iGZW_88zF2Dj~|=)srpkh~RV&%&*HcZEFIUShlH+d#@@!hTx0dNp3e#2}OUVzJAd z`m8{Dvl!MbT8N}OcaanM494paMklP=S5V)O{f7GXIZ+;1c7tMtrlc>7<(jy*Qm z?{Esiw;zcpfgJfcG57a@)P6T*6^KZM92N8VyL9-|@9gkVI~+N37$H}Jk@}wZ?Ed}~ z`RqAPo;e3oD;pd->4H%D4RQNfX1^EM_j`$fh%B$SB)6!9_wc!N1qTluhP|B~u7!mO zyYBB!Z1+EW@)XCMoQ2GeI=kWelb49k#&>1L*W9HMJxk2OfkRg@ZR{ReyG)?76KRv=#by z*2m!PM&g#eF$4bzWd6HpbU#y!=x%_Ky^S$#mL1kuML_>b8qCR7wRi}a-O7XMjT{(- zXTsoGI#C9UZ)9T!^Ka(D=z5mmF&SRZhB5I>Zsv)<97WQYiSnA<{y=|;=(|EbKfi*%RQGOOZOFS;0%KBEBpX>kJhQjYY@nt;0r#w>LulUqv<8PJ!sop%% zUv8IZbA(JLx9ItZ&oU|O3-{B6>nbdt>9@3}kSC}Jzvy=|o|ONmeE$1|%!`cOr*?jl zxok|sv#4)5Fp)j~MZHDnbG@=UzpDFt&lUat%V&%5Kg}PKIm!8x%)irS{>=Ro{bV`( zQu$we_Z7bged2$w9Ioq|d}_ap$8-3TY^g7WeKX;C#h+z)3#qU?)7u|m$%jXy0BzqF z=(kV{rR1xlihM<^QCWq@Pw7iex#Vy?36sEhKQ>nXo%9_bCEpxwq+*Llr_V^BKi*({ z;)k4IcbwUA4D-hC7COmd-9f~8aa2Z61lTxY`7|p5!qi)}A9qhWe=eVIsQXs^|7PM% z)`;^c2=zv)PY7a8KE!kTD7m<-;4!zm<4%`=KxL!#r`>_9WIX z+KUl=cc4!PeGKZXhp|I;VxFoi)}43)Jz5V;9u#25-H$?ln9#aqNV-s;bRMtIV!bZP z4F=j8 zrSFYsoJ=BQWy;F=UcE)>dwG5{pFhjS+KAd+ROUQaq&D+>lJTj{+_xR{tmvn#oWinx zcYCO;Pkf?ZD-(W>=dNfcwU-#JOz{8!|MW>jK~!zZqI09LZ$j6~o-ZV+Ug@*>17Oc{ zvVZRZi>y7+pa*X<7sr6!KY*0~bMze`<)RV#IHR;08JiV99Sq{bLJX$lJgjFIjns$F zGG$Rt{DzsZnx%mWgG{ktgaMousvvpObm;()EF$Iq6!C$dt)s*NpxtJw@sge17&TJuCQM(I9wb{6)9iG31&zHlA< zqTa98-cS4@^sD(_%b~EpOtO0UdsF%>wUeLu+|FnS>ah=eUfwhH^qpV};BF4Md$VGEe-zh{u0*uVrJ) z)eKnN%*54XfbW=1O@0R}Qv<1S=<{A-B&u=9yj zx6Y+R3{^2gxta}8paTz+3U9dM3Qy+Kb!af@RB8q(YbSb%$Yp}o*pN}dqaQ^X(Y+sC;l~* z{~@00WRP1bVxn)u+)Nh(2K2+gfrDUSu@iA|+^*l2{!2hgK0I!qua7=`dSU30e%NDW zgoHQb?2BV7?*&lxTXO%iNPuyXj_k}=a5-}nii#7_wQEQ={;=E6MMTOSrf5*V9!ixcj%7=i;Kg%}#+e0c z>piGX{BmVVW9^C+h&6{KYJ{#nurs2u`2T)Q{A~}^on+A>Gpksg!S8w6&2^Y*9F1XSob6OGKoU z0V(+~>~Y5vXx+M%$Uk-RB!v9|5h>Nzt`!kmi>4ny%6}>S8XzU_q4J&_=jYFcU&;|I|6(BJrA7U}8If|r z_;Cmd3KGBHfB=6Cl0_37F?`r3aNHLWDM=qi+?Ifpr_Q>FXnh=+nGfYZK6w`TAvbVz zqYj$W8d$1yNi0)dj_0JS^K!DWcaN2bj#!rTsha8<#KuYyDLF#&H-VJ;MSzqGmLb*6 zA8}6Z(3m{q{{f^Vok?wf_>_T^QvRay7&m6LIGE2V=<+2D8#+YrhYlWuOEO@oua7tS zeJdiR>p1~JWH91P($9BE9v`mVg~N6up%)pvxOn-pp9fNAX24?iE&;TtRIWTW%u_^ zb#Q3IR(!m215ce?v5q0)Bi<1)yvT_i5ISAuM zNzwKO3>pMq**Xz;B^X0wQT<1D?}eZP_B4kczr%co33l#3^_FJFiCx{YX9qZTSul!N*jRlMOy zmGOzN-L(rXn||q2HmX+-mb5OX#lFV7=P$5XLjwwxi@h0||h z(f|oq+NVz+c%7v=pO%5k-o6+yM2gTkbkJa2^z=qSYC61}UC^hybUDQMabt0vd~tpY zaMtAvI+H)feD=fckUrw~Q4Xh^(1!TzuPKe4h$k){qMiFUZ%5Pm4N#u+rpiP`L?1tg zjPrr8P%Z>g%1IF^&-x(wyuSpbl&eI#x(4)gb&(twkA&z~*sxARTmn#+>>$1{AtNgj z(J`++MWp1Y$_H%s<3nC9qBtVu!Ubes6+^3*E#Pp%LFnZBj~-y=+}Wb-of=O2ZJr$wwZhhq`WTyOW9ufE|8M!EViFk zke%`3wiKD2n@tRB_*`))CJS#EWjxbKhbuU**lsL-|E|+MGQuicEd@XMaDqK&=h_8 z^~aFGgJET9iG&2csP6Ag97)vE(-Sji%@#77qqGdJ{=ovM%Q9n7p|klsZasYmMz%2VvkanS@bA}Ue2*BoDedl(x%eOwT3ffHXRqEEHF5+F z9yoxM6#nK%`l}PIO+V5rP;k`f)+pOzZwa67@QWzgsn(pr`E$BONaoZuNrhCO2%+ zJVW`M$!Ym{(pEo>jVg}Vq~-*DV?XS5i^Pcs**F&sctz&H^JX&a{GMXtL0`-xe#LebCx^LL$t+VNoQ3XOv!wbAZrF1C9=q%ys+>X1Kn_($QylN{LJ&%k!i`=YLu zw!ScRy^9ke@vy%fE46K(7gip;08{t-I1!czdup>TwSO7&j|Rdt=p|0PEP#DvE_8h! zVHuZmH~^-XnGYO&oQ2KjA~2WQrnJi$re62p5}6IBhw0ev8i9FcC$Y%P2_{aVI1}<3 zr-_VRZeY2MH&)pC!C2OwV?nQ>=X?Xpm`^e>al1z{OvJH}H_$snd}_~%!~QT1pnlPK z9DAG%eV@lzcEA@as7=PMcW|6!evHb}KYNSX$>saP*zGRyxtw_D3BDu;^K-Z1Lb5$Y zvek14!h9OLh15=?Q(;m&gJYoYcm?x!IAQ_ux1YEQhf6VV2#SM&%PlOYa+LS`!idT| zPCN&arvbHp`95zbAG!b||41CCF<~+dc!pI+0x*xpY?j3t%(HaGYLfX5>bvypYZ&sg z)OTg#8(xTn!;@U192ojO!E)-OGRee<_{SqZ;280@x!=Kjnj;IS-3BMF!1+q7AVa6C zq8|%rJoIUPoW2x|Gr_SibGeBXRQ~dVelVbU%w==ixSiZyuG@g*><}D><5%9mh~%S8 za^ij(oVh95c$~(_h(0TGIn*8lzb7;fQaQ%dHf1V%IX$CK^MuEzkiUZZwA|JQ`reP= z@Gy%g6ME-wW1+<f|GU&Eohsc<1RbT$?^O}~*-)K5WCAK=79pP8JW7r>jAwhOe> z9SM!blO7RH}$ot5%9zPedx9wfNO-^2P$myYLa4YXxB*f_}33^Mn4G^fN$8 zzGdzq11b6Ym;MsbGp9}LF=2=~dUr8~(HdJMU2qd1%UF+dIJo5qHZI-=gVnaM*FB1W zeU6Ac>moK?afixbVgMkO!<(yLwB_GMvK#AolQrw&MI^b0BZ1bcx2`vk;uVUF3qfMz zHUBF8HVX60p8aBDnK!&yF1)FIpNRkdTH=lBNFo}eCuh#!$hMMD-jPJ-Ku57-;@0;_C1FpG5UdWVZRdLvQd2NQok^N(SzT_9|( z#f$a9>S`R;(t5XeuLm|dU&nzPNn*X&cP#;0r>|krp7WS)bOKYhAHi&62W)b>0{c5u z*Q)~T4S9uCwqBylb$0$ZeEkj8^$`cIy@3X;txBZ7wa#3J?d=pekS3~7 zu99Ah`iQ;McMV6Wo$H;#U=yAw%HK=2hK6H^DF3JYFZ1*ITa>R!di)cgWd9{UK`Mv- zYS8n{-(Y_kNBkn;cJ&Qx$<9%=b`$MXJ9>fS`&uUF*W%yIUv1?EE7JX^g5SW#`zh8R zy)4SnAica#RzAyhlS_n<=WNoav(3+lJR50@Sccp-ZX>rzJWFllJ{0oT7xHNg_j2D! zr`X(hhxIfDEEA2Bq1a1xoZ_fc;qh2QvgWp}Ip~f3S6_)XAEG&;ZXbY|G!`mWuCO_O zNA%I|LKHR~3&LV5U!Cf)<~CFL`)?+RvFGx6YU@BYy)3H%R!n;n$)iY*W*Pyc`j?voaQ;R ze4jf^1EX;AMLy2P0cXf=((qh~KmC=M}^fRwW5IVz?8)Q!-dv>Cf+YKmx; z;VMc<QOq3+;0nCZ?euojMpapz5NiDTf4&C|1n(1A3hzGLv}y;E#wcZup!^f`6l_cu{aj^ z3i?jrpM5#@k;qRt_8=4b7HHAw%$Q!EqZc*B@{5B8Uik*}ps zzUy)-hkY0JF$&8u@OmhG4fZ*V$?rN&W!RICy4Ce2`Jzt3=P>fTgEQ2|(@(Qt;C>4W z%*kIeKZWh&lN_VG4&=A654N1jP$qxQi0V3ik$gWQ;in1T&j*I=7k!gYepDf!J~NNM z`+`qpDpUKHQ~M3cr*(MtQIH|Ygyp78KHmaooeUv=?$e*eUig5 z?jw!0-f?Q1=}F8d`Rcme5Oe3u(`=Y{-NmAv&eUc{Y(EBWn1B$@L5&2IPmqxDMOt0~(o<8gcc&>@ zHED<+K+0d63dA059ue=%@~g48A0b{m^2@Z}^5X4}q*$sqCjF!I;#Jy2{z>|yz!kqQ zNp-W_cn6txwE5+5e9k{aZuB{wx81*y{$9ELx0392+kYa-y8NF_uC8wA-CK%+*1la! z*xT(9?+~T;UMXL^SNyX{0#fpdo+$uwt5+>TnKC6&wrn}5sHoz_i!XsIzb5^cfRud5 zOG#-7N|Y#tN|h==YyD~gNR{4Ar~eH=O4cwr$jXR@&0bS9Ybr&B8#-(_E?khJ9{rXS zA0LlRn>IsUL5lXYVE#1Ry>p4a;Yg*KU_c{-Bc*qwZxQqIDGpkDV!q;DESzqG!(_j` zhc?K{B1;rJh>vlwh)Zf=gojT?)D zd&`$E$Fpb81c1WY+8T`-HiVp<9MspXL+qP4q-A8l)NBW8)vEPVaE6W!s#d9jnl)>} z*u(^>>FJ0|h=<1d^{7~}A{x}M5360fkd>N-=TT8uu}V5*-@H~`99q8xd6&ZR$nh-Z zj~*`$7q)NG430X6_(*&P!726~BmpUV_ktU(Ey(+Tt3kmSGg>+fJ80lQ_<8#PA3nn0 z?*ax5l@5Q7?%MM+kW$|aJ)5^e@scH=IBO*#yg#HMu)ClP^wsQ%o{us509Qg zp8r)G*4l~|^%{u7u*wq^@%+?ziux>8txu-5`YpuwAqFF;bpWFeF}k;ic=AO{W{I_k8nP9S_Y&niP>}J;GT%Um=C)XC(xRs zicq~vMvg<|aTnzHg}_FABO2HG29R>48tRY?6lnZ6Em({M_W&e$UxMzEm8dGOfa*jB zi&r4U%^z=^y`VL79x9fvfO^$yV3*1|Wcmc-CCPmCUE8)|zA8?ehR{%s%JSRN7eGpd%2=zm z_9yy?ft1UC04e`{^jm?HoL_%DQnjaxJ=A5CpD#GGoT-7w>hnbu%1?RuFYn_Sjz!X@ z8R9aunZt}Qi)jBGbtx((=i`0a!u&m3blMoy%MW_v=^>@e+2{<@v)TmDYOLup72}ntLXUe2W zxN$8U1-W@Red?4Hk&^gxhm61jJJLsiVK_?qsAcVXLT@f#wGvU!pNTVQlCEwfz-$a^ zV{n@QQkt56CnBXTs#dR#nw1n_MDsG$HyClQe$bdc2NlbdMFX-2EUowa6iC^uISw5x z0;F89Kmt;7^k}k6KGK@_@a{bFDj4mX1{pla$=lmo zfC_&Kq;y4>o(!ZchME0`;O>Fr_~3UH4kV8@9QCVQSu9pwj;CZ-%iXBkumLKSDTfWy<{+MQPO|F-=*?Rq00-4-F_6+w($!=WZrHE^^78ViTemJO zEG#|&DY;B8o9p1ZxX!0fpJMUi#llu-)20m^PEZ?3XWqSkA2Vmq7QmG*ojb$jw6hrF zYgeyg!nkn~IHO;`Zv!bwM&ATdl5Pq=a18muH{h&mg3e8v3n1m}`SWm(>ia-?(Egac z06cO;vL#EF2%skeK5h2dph@EQ_F$dgYbEcMW~Hhj2z9SIC9CpH{#rrUAG*n0x2 zX70hnA?DboydT%?NyqS+pThiwRM@tE8!<@o2Ki9*H^V;&F-{K=dE^CRPu-_~(|W^a zdm_$Df4}woA4vj8NqXV_MLa)u1e=v+q3&0a8QZsOk0YdaveMG=lJwE)H7Y1a>rJzo zb#P$aCgcW$;xVl&><^Tp-+en;KO9e<6u!)zTemP{+H|oVbnn&;=UmRB;A1{QY5g55 zyM$*zzy9zgUx|InKw2+`441%hBgt0^+Gzs>gu&g=0=?Tv>&oN-gK^!K*5ANu5|C0v zq%4WKBgf)CwS#@xqnmZns$pZ4DODQFCrm-qNmpc(-(pScX`^a2P@z&KtX;2(*!VZd zr1g@0K3-Rg_M5?fmR44HpPq(E@){(^KB#)r*%C6z^JLHJjLnPm^bMuyPruOHPPaAH17?XNR`*nTD zcl7e3-vXt}WWz9^pZP;sSCc;C-3M=9AN1?rU&!MNU48Z}x^(F(%AYxX2JVut^dUP3 z4&*C|h?K-vqH%g+cUrWQFUDzFyRPsZRmgXYcJZb;coi0F)}b!>fg&R1Cm>~j1f*nL z&6ge+8X%eMnS?i_tF^SgsjJtlStE2c>pY>Wb7i`kbfUPRh-B_yXD8Ztmwd;W-aZoFgKR<54v5KCAF` z%`YalUnfnRB>L2`V@I4KUClcAdU!a-jU6NU+l1zj`M!gQNy~$@v-{VB0(obbcOq{_ z#Xwn2gKUlpC`EEr=F2snJfUy?{&ePyGkW!qfX8h+c81Ndlj40I%Pg73<6C|EvxsHJ z@99`>q4y&(Z|MpYFIh@l8nTXTxtM||_F?&1ujkFaq>(;9;?9ZGRQ8XEK!%-=} z?iYi2d1v_f+iW{r05MtaZ?YJK`{naLM+x*C&oKs5 z24265sk7#Zc2yzYN@wd!R*k*B{{V zgIK(XO2zZYR6M+wK!$EKBCb6rdVw3^OfSDfFAx#-3^yq6?R#-}6q|u(sriUa`G}O5 zbR^z*3IAgrShh$NExQdu-$}D!<>-p2q#Rs}jDx!2F0}490)2)|#4dxqcpP{ap>842 zP}_!f{YIhx)CI6~a>wJOT!cPLz`7lK(Qe2D^dG4RD7aG5q3Aty zB6jQVL8RXe+;k1b_6_gh$F)~USZ{8F_JhZx z?}XXdY43uEiCGALo+$VosJuSIr@%tj3J-lrZmw6LrJ;`w1ID87=;<)0HarZVHh6?$ z!#X{5=syO1CeMYrqZ=N+&qH`jGB)nCMF(ng@A0!>?r;_lQY8L*v%P5Be?B!cE-r3d5F-V6tsD z?q9ft8{XmAqHT)KB!?cKhD(4n%dS5604s;qk0X>ILru@6`kn-L>AA&8KB!|8u z(PhwB80lExA<6uX_ciEjG(v}d#2+{o`diF#m)d!Y%F)?uhAt$B?!zX-fXcZ`^1S60 zj%^!FDDOCQA2$Q~hfd;dVx}N-8jJpu=b=`+UZ~Wp6Kd1g4IDQMJ4xpE=-Jy;rtYR4 z=t?r_HfB2XNQQS3GH^RS9lACS=t}bFMx?jz81BTS3fi{U4xNTgM5n$Zpi6V(o=-UL z`(B6MmL2F!^Q2S%QDV;Ab-#)SBts+Wdv_|o%V>V(=C&Ceb`tI7c5l-%#x3_y z-1WK+gRMJ7|G1wz^z1E?75Cq83ptWB$2(JdbZm~{=9@I!dz%F#>Ti$H)6kh@yLHbI z+@$gO#HT)WqWQOF_aWSjPQtyoG#JveU8(&%Z`AZGaQR_05@;#qqYcrtj6P2*_$Eb@ zx4_2|*uV~d@(L^0ZA6u7ZFa^f8`BzUz2R(l);jD!52g%%4Av#_{O?8=X*%<2uJQ^e<|PhZmi=m;NK8$ zeE(MBvElKf=TigE9gaW z7cpwq5;W~L5DV2e<3hwE;(sLmC5)cE6ivDfzibJEie-oY5Ra1~ng8G(iC zbl`LSk>H=X6oJ_)8_}fuU{oT#Dc`6qn)e)x)mu$)@&0o}lga0JAp~RREk~2C129iz z1AMOCLv(sRocuyCagmBBbH4gkcyXEO1#k=q!^CB4(W2Kd%wMnuUdKG~Jm?`D_c>vr zqLRozPeTWu;SWR`PF@Jb#Ko#;(R(=NscnX5*nPowBK{;9f3B)FJVNh@HLfUs4&`^} zK9bk(6c~mHi&l$v&RnU9^C7oIIj6XM>U)!}{V`*O2HdXP!V43QpWj%E_ikE9?sdE!qlk?MLQK&tfTxl@QUQgfBE0cpE6}3Tqxg* z0Lp*B1vBV*ZqGDo50}g3dy_ntZqOHUu1xxrsX2{>lIHeLGUT>%o45_!cJ2fBrI62M z3O<)fvUQ^|nYCt^A<{TW8%zZa6I6Q=T{!V)4@yPlN=_|+&o2dlz&G)*Y0E9nho?j z8h~Lll(6r#CnDc}5ZA4|Ay<@T!tMAL#gR+HUTRzpaO|8n`tc@n@#5&!vj<$y{Qy$_ zPtkXPlwU-oG#U$-juQDEsm~Wc$v(YzLve_)I9MbO4jGTZN1Z963^(HOAtU9{y4XHt zlelSJ8~|d_9|Hxq7XcFfYl-@!!-st)3ZUdiy#>fIQbD?zKHly*j@SGB5Wn}V_;mWK)UF<6uQ(gDTuf7?dhAsQ-MLFzq7?DrH{?bjdVYY5C zCO>B!dXVp8bjV4RbMsX)bSw{}^RP+67co5Gh2KVDyB=Y#X`9fW(o!!Xi z)Z1*1JAxl3@u`gx-|Q2gKQoWN)1}YMyTkmArsy_sJi3mV2Hk@vaGPaI{+J%s+ijF0 zx{jDa{+>PVC8Xm%eW!oG0i8!oLPuKnw`u6%F8Rw3Ji}l>_42*|`>9*BjD-JokNh`7 zYFl^mb-RorKW(o)ZpOZ+@y^8V6RsGnxDa*P_ZGfrtq#3~|8J_dNBE&EUzRh=o8`{( z=W*ciFt$H~9usDvGx_3MG!1cs+HjwqG1+d3p449U>9>#%dBctPG)6|`({}4W8tuui z*4|}{ThZ_Eh-7Pe-~_r+U)quHw`qqpZoPaf<`&N}o@+ekHtn=U1m)*By5pFO@clc| zyxF9oPo^sMoqYWr2G;03Qc>&~Y}7Kub&pUyB)*xh6?zYwf)3jkh)Z~jtc(oU zTJJ{dW{rOUDSuVs4O(f3ws3cr#4Yv>_$3;H0sn45V0>1AcoXn-V!Mjz?@8a68^5&V z_6xcF4YHHu_CdTbXAoU+DngZ%KS;UQ25u%9WE7<;YG5y=Q1Frr8OGWZ3wwCa!_BZf!H_kNXyEEsks?y)&2@d`7Q}M zn{`mNVinY^S_{TTrbx}qK-}9zXl&eoit?4vpjK^IZPP>6lV^Ayd<`pRFGShmWzoD& zBOKBIcFAb-n%OSDV<%= zp<`DGNZEfl9v(c2Jl`ugtf7q-b?S*IQ_8dF;<>tRl)6 zD~+c0n_$0*1#(`*;>ndzD9xTDzz}VkG=l^2KiqqYyRP1tIeH>W6f1!)4VuG6+Yklb zS8#3j0Ziy215)cuBHZJ$@R>6)%HkHR{1uZ6k7huHf;}Q!*fBNdaa&zW)F| z#wFr*KoF)+m?GNVy-_Qi+rHy7kaF}lfRz1)A=Fw1q%_}y{vA4rc{aK4AlyB`hhZPU zN1y!FCT)bg=S-M}$iPrCY*TS|-(hrU(Mo_=IC8?Bo8(k|C-D_6-}>DOAf>vdkVj>? z%2>N*EnYnT0i^t&px+9l6#H@$k-bF`w^SG6#DX=jnxz4o+3Rp}p$hzHedc{svA4>X zsPXz%4c>j@bp+b;;qjhS8oY@Hw4%`^ovX9{xtfnKuZ5W3>hE;QVtu1pv#3oN)Pnx z-dn8KGlq}HV_(YuCJpCpkD+U;jws3N&8P`@;O2|GyH9Y`zyvKDG(_2Q<*W&@x#Jr^O4ijn+fh}a8fsRo3M0}}sW*$R}UwY_w#!ncJOP6Ip%1f6qVz3NIIcOLz1{48O_WK5q@~kVmbnPhtDSOhoY;A`R zUO{kJw;63%Ka)HbuP6ef+`Au58#G1vlI5YYQU%d3zXnp4#fGV~5KlTM+1VRd9D?V_h|gyiI(0a8*~Tqc*zb#Pq*NEulKNJ;w0!SRI9nRg#NB;7Dq=%X$z+QDV- zLDI>uam~#W6NU~GW8Zf`e-UY%6U%~S!m?o*v8*_G`FNvGf61nqICvPsUCtx_$qP8| z+K0|f+t6GgT}}1eyY~$s<u!V(pCnfq`Ag(j^`fFL7s~ONcrp;maR}0AlEjH zo5IP$8hDY2X#Y?wnlN3ow@b?oIKTgxnDdYPf-#-+Gs~k(w{AFf^0esp_tLj?sQ{uJ zIE41C+Y22&X5<(I_@L;Az)Nvf>|c2Hhe49JsNeLLFG!C*@fc4Iz9PH*p#WAQ{4>zl&AT|M^?p_!x1M?5)(G$MM$<`;|G4P}dhV+&I>m%EBLy+lS zfcy=2y&dS?x}DHXllu?CbsIb6U$~0X+6L%UznQ3a?u4nh@9B@cN6&E7)B>%VHkBe$ z&X|QLzl+Ge^$^w@x1mwh+Ne;rBG!`KA4_^BGdBnO4{@~ruYi=KUo2@oeV?6$gwzyl z+O7kIs#Q>@dUcp@+Jf{)k$4q)1FA~P#d_XYp(bortV7QEi-;uuXvyda5|FY%BiLzf z!3WRFxM$;l+2rH0JUTaQ0q0Ey$R{5zoNTH|WQ%aUeFhJLm%nr&)#czT7%^0e&^eTK z^`#(jg5BHC7yU?Y30*yLBCbVT7krmm7g(&tu>~_CcFgt%RK< zfRu+$BFFtA_OH}L(<-%5z7*-|c}j@B9Eyy)k72P{2X)DI5z(V(%tQPcFC?G406p>- ztCg*UY6{h%r@0Bq4d?5CXJgU+hQdpJSMsR6B1t-(Y13Y z(XJM4+F|d}6Mr9&k}8#U!-1>!o?_O*C8AxWN|qp-aLqp=iYL#rqeqUQRg31LZ5_LI z$I;WS0!Ya+`xcNgk-p;#T%%KR1#t4<;ZT^G_`%HVf{0Lg>g*kaM8x3b+e{>ran2N< zUGS4~zD0#VO5PC;2)~U9(`JcwG5Azld(#gf<=>QO41T14N|KGqKQ4XzkcI551Z1SW zL}vO6?28=R* z^Y5ZJf(r8ABL8C=^)naw1^@rPio_w)<5S2Xia73^EBj$GehygYFhtHw-38OqQ}3dJ*pbW@w&RUf# z!%SHP8Qzx>f7TnCGv)|>O=_p2O8)wZ!#j<6Eyo{HuK$=G&5~=*6d?VtgQu&FlzSuNp z0XcZ(P_1G`7%f&7<-9%T18u>l{KPjP=Qh>dAMYqX^W|mv^%pIZ_>_Ok%z02KQwG&) zRD+(r9^NOtBT5poYFNMC7h~6?RxMbrUQ2BYK(ebJbY?F=Wpb*jRI37AJzb={dxzw= ziP*Mv3o6T3LKOvhY}46_YtyGi$3+2`^Wv{{&K%l&-=rG z%CBC&JSr(vg7y||yiJHlT5>XssK3>!RzanTa@e#%3yCy7sWN``YE@B@=D|j-^+@FW zG=9d027=G?W`*){JbC;`_JjNNDK0h^+M70^MvWSfqdB&Wfs{{WK+0@#GWnLet8PHZ zk6Y^gmGnzMN@p36@~I#2&=(n(-Ngp!(y5l1Jj_Dmxp&eT9F0_Lsy_A=H_^FTIAHM< zON^&-^j7T`8>*k`;=JE#%fFdqH`Z}<%2c1Lc;)y=-2NKpd>2`P7pd>`+xW9@tYi7I zYC;l}lF!9VMA z=Fc35*1PjviX!2F2KFpw?vt4XI;>} zYZvj|gmGhWEsSrXE)Y@odUWsh6TZ8LJ9_q&HYvwgW^UrcRf?|Y$|OOjGZ_h zpHa=-pE~ggAE0XoOx35qwW7r%$h*fTC|tcFy{Ppn!XG_y1VVx?i*|Yw zzi;ne5`WZ4gaq>o+yeM`d7@vRK7!91VpljnrK0?i!-pYQ_Ttmm#~c0oNw?K8KS;*+ zBUufQz1$l@`u~I<5a^G=!=x9@L)&%4MSkfP z6oCLUD-3SaUhszuABw<>(n~S^%S@eRP@8SkZlO>p?pEC0-QA13Q{3GNR@@zmySuv- zcXuuB1%d`WdA}ob{xK6K$z-0$z4yA-^=Tm#Ky1}3eb{H^z?h2zpo^b8!c;t9@yjgy zi$j@9P0EJnve}_9b<*le3=i7kK7P91g!KowGK_OYJd>Mqk2czAOJ!@PF_0fOG3b>3 zQhJE_PQRVI+a^i>jC)-?P)9zrfL+8d?^?>dd@&8Gc&p;j6iAyoX*gm%9x|OVodx8Q z3s8Ig@ctK*!(zD4Z3~A2#jfJN);oy1srG#_w?=nxJK>q*$qN~%j|s@k5|Hj7$ZZnv z%-AjP<0lXVM7${r5q)kZ)uFt{mA`j`wu+=tvR}c+j@`m*NLpzy&i6y;QVkcR>_|pb zH5+`!!T1Bu?$>b2$r2e>v0Wxp>L2g>QF5DY{Y1-s5;X?gpCrgAl&(aOFGak-8jrWE zNR)RwJSGR#Sx$XY2dw}LoXGU>YclUAf51`}^>*V-TTK9h3`iP{xY=Z(Y_{|spF?w~ z2n(vASi?M}$UWbqh^l_A2`2*&FT$4)0qLPd_;z=v{!$r{enWCxd*t7iG%#Un9>O|QsPBUt?oob0kOtU${~tE<;PdyMaV#2S*5e0l)A2! z%hkx_800F*Z3@1NQhUMb)J8*rfOvJf6G9N*RC?K?dX*~POXp^yoc}sMP_h*@uV|g! z$Z?aao4POBkNh!lP0J)N(vSMFU@d*2VD?f^gLI+fu{hy6{l`$RCTYOt03~|fTZ#Q4 zvqpjct>;^Y_gl_C0ZMgJqh^=oiMjRC;Nl?(AZSM{{k%q3N3_|xs+H>&BP4I+!)*Cn zBl_98*Gs9_R7Kc+vRl&YMCt?o7U{Wk)#ZrPNQY=cj~ij#Y_47Rxu! zxP%6VdUq?})S({C-Wk73F%GHmo^c5OsL0Obtc>m`sc1mHJ){S2?emVf)+EfjCT!aE zE)ukyuSkWWQ|7aJ^X)5kkuP4LPo-)oce$9W(-?fez_d&fya;00*JCgU-N2$R=+^hH zUR>(8%{Oa)bkj-e2Wm7x+AF5W-D;hr6i0 z(RjHedMX77`Nhd(1eKSq=671a9Ue{;kevZIIo-Pfprqbc`!jI9=WtL49B3^AP886s zx8rkHi(Xdo-^aQ&A6`SMS|E^=)WqWHw%E}fua6}b?R`G{IWtN`M@O$WpVU;Z(u6XX zOaHY{n9=ST{xtNw76T@QF5aK_V)MH%wav<;4z@4K+VRli{Eln2Yuvu!s}nkXOqo;k z9e{?k1gJi0%TvH)%70j%lb~(5zh6n#CBNstC=6$)fETmjs+KV9`Z-d zg@whRod@`6w0PMCHNllG8Y)dL3c%5r#LP@8$zn5-l3xPf)vJ){TOP(by^v;e1RG4M z$<_x;=DJl72pV_dyHnH!I=%7lPNu;8u>I!1Z8@P(uhL)*eSvZ|3)XOSs!05Z{a=e_ zgmxc%Efk``-$D!i`}s`Ue=S>xzx6yWKr6uYNRdp+7v;y=aU!%UB{v=1J3cuqcUlTzDS7DEX$7$c1lWmC_$I zXXh<4KqN6X!KyY9yk$8pGT{cQdl{l}iVH8`B-iO!Ub1Od;AzJmv8!KIw% zrk^i0V{mc($%`ii93HXR2{wtA^Ug$+TWPYX$q1O$8WV)ydiKsuaSan z{yAO!zE48`4blGb#uVff`~5{D^pOD%X;^5aW6Zknuzb;eDu!6Eg=! zR~avk#L9T##TNfwo!jn4(!i=L;u{||Mg|R8zTX_Dz&2Z8M#^;9)Ib+gtXEU5r;x96 zR2ibQR^lgK5Hf(jH{v9%GwH7~+xndc37>KOQQDNUhwuLs4e!nVi`6^W@?eLP@qXq9 zv*JcyXkWoVqS_16NN{l37_2X%u(<8L%|_e=LtKaW=mCgUsQ&XyZCKZQg9>{JMS#m= zws(6#)?4d!ao$(e+GUC5C=HbkfO)kB=)YN60eDuHay96DIDW~fcI$9}xTT>NF?hFB z?~#qXG+Pfz|vSMNPxD(Rfh@|Zwi_DuW zl4`+TePd2YFq>mghDMk2mMS7=7+~E~L2CphrPoT%$wcHln=3A^frNE*IF~O9rLC*u z52Lz3fSyjoVHYR<3VulHc-DXhbh%X8;K$g^e&;SUp|Gu?L5!1nz!t~Cl`vFsw-+8c z4EU9X3V@NpGlZ5|FF}>z#r1q59E4qHK+e>gD@B$rW_U7}kb_ZOaf|{|PKcWigBNsB zO=$nky@qjo*l~(6wv4ENK)XhhJQ2S30wJ;|! zaF^~y{0fsMWnraSc3b9b_Cl4=sQ#4|)|(0Z(}jjXulGl`v+ZIdR(5&KZa$`me-{#cQ1Jdu;o5!uUo&(PhkPx?&o!0Zuqqb&)1H3MK} zKp#jqKq@C;)OOj3;b3_auOV(G)(H=rfc-R_h-fAR)q-G@>AY!1Pu2RSk;I zJ+zJzBvH_YX661(oKMcuTFT=zlH@V6ktDZr#xQKJu}MZWafAD z>#8HX(PMcHqi%~;5L?NlamRv*#CA{oWt(h0*LI{7C;prdZFoy{l~EtINXI*@-EgOF z^RB`o34Bq zyX|IR0>g8q-x5@9tL8GE?BY#5KGDR8a`0P2*g;@c-?qRWDWeis_)GgIw}@Wr|RpmRE42n9@~1ziSnDP+Qf)lW-20;Hd@ zo3w!`@GaovYAjZZ&np?%l+HJh%aKaD9nQ*K^)=Xzcl5oWO6SCHVYwJ#-H=AXLp|#SX|G4$G22v5nQE{*B;dN6+e_1d90e3K(7K?F?98pO1RpWR^>Lf6!A+Aak$ z)l6RMy~_mn6?WW`zpEwL{rGS`Tdu;nd2kL5emC@LC&p>3a8Z|W0we&=j%JKxzY>E& z5A|;MFPA5~+W(#eVNfgfPfo(l<_dq7vVAa~^+6N^?x?VXAPt>(T9vvfe7%IVAK7S+`vh`t^;y=BRG8xg$;tJ%)I+p)5(RyRVwi2Q zwFh39r4HTNK9}qn$pYeqSF?UqPvNw?U$6-X%#xAJq12i50)lS4R1KLDMBF6=`g69q ze#=T>FtdUSkwc~px%Nkb#ywv7Aj^ethKpO!V5s%ZhT;BA}1o=ZM0OG)^Sev zbu$dj^VPcyt41n?wLO@aV|qc8{9CiG{!U6bQ%A`RYg>av)9ws={UtcR$qVjKKA@vx zvs1;7V6z1yB3AOPMo*jFv6kh=R#PLeWEdGRMHPO<$xB?78cMESq>p#0sTUo;>PY_Q z6J6bMD&g5&-gGtT==m>RphTIgGCq4Q!MI6zElIcm-(kIAK#&Aoz*S-BFC&Fo0ftsP zsh!DmFW)DoB@h+=u~zN3J3^`EQuDv|CIlFc*I8qHV#>{5E9JYg#@G1CQKQuA_YJ{o||Lhilv*ANpo^n3)tvU zjcV;=?N*hgrOYT6@&x|0a?+KHJdCMiwb^fn+%Hj>6_*%qK29f8DN6|y74Za5+=#(E z1iVi1mdkX*Q%3gL;VsmLk+U;=@tI63B1=`veb!UgNRTqOl#&uPG9~0~E0O5pW>yum zk-=@O-46T!OJWXFF~P>J;@k%c%UK+lrW5g8FY^k5E6%Fhk9kuwVPBZRhwlkxF4}SU z*7~YefZvW1NAxMQp=|0;FtIH%vVh?1draJmGm!!S9_!A89Ky}z?URwNKXXq>i)Ym% z)z3G0lA|baVCj?gr3E}YhVHo_CsTD98Wh)ykH|XlGJQZ498{r^T#{vq2Hu6y?OL>1 zj|=^-B7^$vaonJ?&=ac}X&59-R#H+H(Yz7@kAIG-H5zuaQ~-8xIQ z+Lil4sra2Ay{#@BO_;cLM)7p5GxV<#fuPt3GwPe7y&n9>v&x_|efCw-7w8u+lQogE zPz@Dba3Kj140M8nQpoF{;L|OAzHUyBC6=vk$$@c1Co}o-uUzN>L#X?UK4JhR&$t;9 z`)@JUo5*NgkBQtw@JfVGZZ&FJH_|G+dj;8fm&R>Pr#mX0q5fd==Qor@NRoq@u1+1R?&nj(z$_{XfD{fzLupA z$dpf)z`U{9|KPQgYvyZV8QUkdTLNL`XLX|V$up^E4I0Jo)X$RE3u`Lv*bL(#n=|(2 zLTx#(B+nGVq>Z4tEm2DoMJ zpJfsI)6+@#J;t9ufo*P98bZ|juERH;h)fLbHT*x%8Tq|!P)eAoWG=UE(_gTPkinuF z$9R13Ze_qSqmf5BrOmyP=WuY=i&2jh`MZ5iHKT*cQ>g%e-xn-Dd+vw*)xI+jEd8mQ zL-IPY7u*G{@XLRjyRSMH_UDVJVDmw&PaoHK_u359#~2)*!qyti7aLIq%l5D|IWr zOhx2n<-|RWN3tv}i)u_v+RBk~)~F%C#>C!`@_mORX|vY#;;giR$l6@Lwf7w-Pj zA{qC*na(UNb?ROm9wu%%t~#znz9HqWMH+xFI4STE_aBG0IRl_8`_dvE4iKniKe#ou z(=rH-Iy`aBYOOY;e9lN1-pOCPkYx=?>#c}IuVOMbf{j86L|oN_ejV&RRD7wgCS9hL~iwB|vX zMoag34*z&Y99Tj>M!LIT)&ftr$aB|jGyW{FQ_AsALoQXsI;rTGkCTG3TZ$<*ydsDR z1UwqbUQ{-SEq-1do0JL7C?Kj#if4Ydq4P?|m3C!x3d*DXm}^VR0|A=u%|mD~X# zuoyLCE|(k5)Cv7W-$}Zj^~J?TNYB@e+^3QC)9%vz8p$y#Jg2{FZbR|N(N55!F(CtZ zm%B{7WaAc%wSnJnE8x>WgTrBp0vCjk~`Kp|9ouwQ2jgU>6VsD}Ik53g`!V#78##f|yOdkeaX8EiJCXQ5J~z^H ze*o~$^(y(P7;#qr31Ww1Df00}At>NN1C?Zmh5d)bbv?6ZI!v$U4|uMy0yk%k5WL^* zU5Z#uJ9-p910%u{c~1V(KDII^5#u~%?iRht1eg~-oCznJ8GOuA#kI0qB^-jyKr3j} z1dowV3Ja_e;1ep4U#b)UQ#USzQvxU@+x4r85d%|T0Bk(uhn7hdodWbq^@=F>3yu#| zl9Gmh+TGq3(1&Xz!uBW&`-}-M$91H&^upV^ON6gWftNulR?13WRA=7F15=c8MRdHs z9@1%&@t1z*vaJH2ssYW1=|L%ZBQYbRUv*JQ!8Fz2IU9 z`H>+Z7YtV%Wvj*ATkWN2D+gA9;^$8Xh7a9iLz+u>G z$-caawN7vPogTmN!$Y&v(~EqUtBG=zKA4#4n8cCvi|)wqur#nUsWRjxwd#+}1D_d~ zbigUwZZH9wrBo`@1cPZh?%7S-n`UU8CD_7CCAqO_}Grt zs!Ndfi0dhW;(JJWltrlUf5==bs17rWkM_EDmwgTdyF4V8UzQ7`{ppR`lTq=*k?@}j ziM^Lp%D+UX+9sY6N8xG_mrrGeHl|L1V|<-!eWLi@L8~|S&pI?n#Po0RD})<^K_j_! z0qsxEq!vuM(fbsAOf^{t)eU$L*VqFnM5E8W3p>Vrk2!x$M{uULhbS+ga5uX6qf%+Z z_TGI+tv=BpB_7z?q#W0WU6Wss1|Fc0Q$As4PP6jWsw`-jw7xW9&pYnz7yzawg5Fj5 zn{5_hN@V;At|%tX1YE!ttLc$xtF?0EiShKH^X&Bq=erVrgHRAW=Tcf7qBo4{`6&r2 zEgY?N#oYGK2s(;%8wz45U9FwhujD&|5W23|Vzv6-OBI^n`sr12dJ@FCu~B_NSD5iq zj!do!SiP&7XH?7}YU=HJt4j&UPW#Pz);Px{$&XOwEnWZtyA+~$B#?5h$5gK!s1L^f zH(?1IoDQFvgHkwzOXCT`SoAW9Q%-(maKgAHJl2GJTti+Pq1Q` zoyQ>bx7twPh<56i=fo~LABKOf!9^wS`OU-P5wqU}eaMe1imFPXv$?VdJSH8lt!Yc6))2c%flbnZO!v+hoV?9wqVaWdic647T4cub5;zlOWMgnuWY? zxN*`unv(6{;cGF`2y#MT3JRXg_^X2nSR~H@!XuJi*IsaCA&RbMTr?Io!cv35H+B0Y zT;mnTXt=OkbVV$t*zbN5xNi9#E4~1xvjmg~o5Jx-cbvotGP|(nGn-WM2amuL0Hq?b z_m5`jao=vX1=9V=!?9XWIX^5dzvsbn5UK=mXOQO#@))}gc@TaZdxUCQUWFB2pUke+ z~xQgEs{)lk_ zJQdpBbF`*hNrl4a%IB#qZjR~Vp>_5nNjj6n1@*P=67kcow`b;RrpJRXcy;Gh~2U>Az`Id z>%uPOMs-9Co_b{b^!Ut$M#jULSTy3czD=#uPN%1&&Qvk>10Xp$lPg=TJ~Ty#6=}$` zDQRl`d;tZO)5b#JZx)AvvePJ0tzRsFOQ(hpWPEnS^k2oEPC|x4r<>9XCkLbP($Ac| z_t-oa|Du@QWdl?`G6uuR*D|lf*@R(sJtblB;i=LKg3_>i`_9&G-wd8 z+_Ac&yhE#}7_={s%cCDu5`$9Ax~Q{J`h9mvP8rqG+&IU@ad4HMLrW(OI*oO0OLCO0!Hbh^W=Gk z5Dp-c(|+0N-wvUF1QiN|X21WG&ME)lqp8_ieo=cFgX;IV?)pEW{AP5(qp|_%p2#h6-NL}HO#r~Kd*?Qq1J+*=4%}g4KI35 z$crzV%@ehLa7m#MF8B%}~0^$4)%4&07>8AVfj;w_ZE#J)8E_B8|1Qk_oGS>l=6BWQ7Pj825*!~X+$s9 zx6Bc4|DKrwrqbQqC({^*U%Ika{#Y`P)s;Sszw`@n7#kRLbk{%VXf-+PKcuWK7rtA( zn{-&>EF>i*KEK3jrytR4VGE^#Tr|vH3`M7O9Ltlg$9i#IjW44cl(5|=2<1nVq-cR) zfvpv^@4_r`#qN_*_Mhs8qT!dd3IqAy?0w#|Ma||-SRr*4Xl9>d1#{&j(uk?X-K|V z8b|V8nt9jFnk)8a1e2MNbh@@^cgLJ;t}ezutt0u6dKZ=tsn2WlLn}ECzn(Q*pZeiN zI((4XP#PulzvMg!OoF+@On2LmT8E{|c}oKYkAeN8$&l^2PDMYS`fC~cZp)L941hIX zOV4B=k<%?nj|lDC2vSTQo8*0qW0l9vj;KH9bmUDDHH+&MO=6$ZlpH2=5a{msV8woi z7;5I#r(iTeNQ@{d7H2?jTJCq8S6IUM%ratT)p4bsxteB_W|{7=G|ZajGQvDsl4 ztqX-pgLWM7c74rM|6=8JP<(R4>lih|Y<9(sSpw7WvAlGufFBZW`SA~X0%fI`| zUFLc+;}1_ZS7PZ%z_Z8jLgg_SfF`3O!4(iV1y*w~wAI7j>uGnuxNs;{YE^~B;x`ZF z4(;Z6<>v7C6Kr?gdjHu!<+u74mv@J$Lak##;0?U+@0m%6c%9{M>yTirc*}wxym$6x z>5hkMZkZ)N^$^&XJ?<8tyw*Gj2%Q20jLec-gVmwYDy{n7Xd!Y&O4s0pxue{@FW9zE zE6YXo*^Wto8M0iZ6(tV7vS!2DPGk?^Nhq0vWOo5@cb4c-|Ga2_&KWo*z^ZGcvO4`0 zVK8jO+%eO>{TcPC^ui25>$nxs55uUCq-XS>e%exz7#&Tzg!SFl@!VVtGMvKV;Rc(V z{7b!c5g$zQA8y}A0v`T6w6>)8fCX^>alQQ}b_^~nSSF+xc6SCfHuV?hYp4xv(8di8 zI+SK8Ug9t6croMrKDZ(&q|xjSSErYI+V5%(tDoe~5);tibxc+8Z-p7SzHhcm#b}S~ zmy(7KT!p-3l<&3j>9nC?K=`$?>L`JD5sA&bk;=q_A;>|P*zd;ILbhmsfT`fUaz~@3;G}xO1yKZ8nq~ZO3b&tc^6ukaZ#jy5*h@;jw`Y24WmA|rkZ#=lsF2xjxQgn% z>Oj824^g>j5oLL?T!$b(xlOh)VCy2J&ii7Xu~4qp!{>2U1}Swz!`XJ6pGKyd`nkwmFnC1C0lV$}U5BR43*^D*!^1&^##q9$|s zr<9bu#SaE_BH_@|^Y7|3M#!ABeP;vaV@?m^zZSi#^Z1}#O5_Tucyp*K&&R$+ikOJ7 zG-ESLg*KWi2NNJBOHL;E&!9veIyoFhq?gD?%Os7+rzHME3v6RDT;s7%iwINg@zKDw z-woqP8r%dsStGOWqf!r*YwUDzr`5zZc#XRGuOP4RT*q(eV{O!XgOV`4*&@j`W^&`@ zQrhSq6aqd>z(Y^bq$cWCWq)s%<4Vm+8RUJNZj{b2b+R;#;P0wSEn+tMMN|x06_Kau zv=07r8C|IG>K5i5@N*i5p-<_92`*=Jt&E0Lg$e4lg@N`joiefte_rpO)-3Yr3o~dE z$VAS}u8W0~UieO-&#T7GCY+UO{vA7)p`A$o)*o4uxY_HpmQl=0m zYdoQs!aSvR*x@q-C*4Mf)wbuHivi;iAr$b)ej3lM-nU#EfPYI>1y=j}UMoWuAL3u^ zEAEQVl!)AJ=YeEN?5166R3T|)DRfW4j4zA4?p?ahmbz}EOPteAw^I9xL%%aiu2+ZkW-@3T95q;a6 z-i>&@+3A4w)0OQXP%)Jvr3kr`N23KlJD2;RLhv6T@-U=#g2aVQgm?2c>sVaY%SDAQ zoHx8V8v9aYVm4e+0q)<|7qO7<#pxk~VTc3f>yr!t!o-M|%sn(mr}63LS&eUvwsXd3 z5OZ@veophL$E&aE(Ak3FqCLfrh^5z1P-cNYUj8>_=oNQNc64$QHb!RS;vLF7KR`YJ z_IM|q$QB@WTy1F{yKZ)%{8D1WRrIs)|7^W-{}|wkX1NZqdeOS$|u@N#EpZwrOfW$2LHJkm2kOB2c_QA-2rE>Op6b|MAUCuDM<+H)Nz@vSUgyWDJ zmaJZ)pH(>W`9gH`3ci^TT5P8afDxa>@SyZ`EhfnoY`VJuTD}tGaf?ZoLbNeX{m5s( z+6V#c@Du4gGVeAd_}0a1(Jo;>;S`{2UfI|wzWIAV1d8_U)iXh&3+%Er8&LosY}{bRDmij5sntEP#K5vee(XW z;^a_!UALL4GK?3i;We$ujbe@@dt#_7im%28xA1Ar*&h6e|2} z33UVW1iVpwJB?UgN^fTSjt1@8&n_BcCoAN{rfa_ z>OzfK3Pe3s$*MwC$~9{a-AKR$AztV8g$T72R01f~3xymtwQ$~+aOPQzg_iXqfwqqG z*o}L)O*>t%)0qHoa_=5Gje65{I*R1JX`nf8Q@74Ph5(}fhOFkmqu)yI_WE7ekxIE_ zIWMM-?({YZ-Rn53sygH&yumM4a&MVKC*P7UI5`tY$dpP4me#&;R%u|l%Dd)t{{~HzXJs$s-pn4^Jh=Yu)ArRd)&XuF@TElNZut{c6#`> z>EG`rV>B2ff(Z9!aPdN;<0JWdYNXp}0M-BK0>Pvf|KAWOX>hPN{Y@xx*MN=Yiv8$l z2p-*gh@Vy{X^>ueFEPF@67=H@!LolNYu0>hf|df@shS>FK4z%!eC8M)9O49_|>BnV{)9min{A87Cb&fR{Qd$qkw+*{(UA$JjQ`!~WBX z;$bN8Km(;De7yg5gt6q=5pNCSNc|Gq0|?Ke7G$aJx=d>F$Z-bE(e6`| zp1xV%YhH$!Oh^Ab?e2}Zc_Jex`6~1pS73^M|p@muXv`%fK+`+`K>M$A}L31b=6H+^` zn{uTKZ{C#}l$(JqBoHq;QbS|KXh;d1Oiv$FR3LnD?iuOgf2y}R_=0IG-}@M7W7dYR z_U;^U3pe~rC0XJ_N+EOq(AiQY;4lkEd5Z^QSQlG7OGV+Y|2Ye4)-Qg`cb3Zd=J53% z4PWRrzNGnQWGzAcxd16{Oj}JvsFV94P9x8WPofW4r9yf7Gq#q7`z=9BqoiHE_FSI8 zm7;OSd|+7(Q9MnrS;CL50$jxrVmk zDv!acRBLeU<2!c5jjn{Eivlf~*cp^x%mfbq zeHgy9x3s>)EI6SY6$t4%)b2-LQe14@bY!7^ZA1v)gOzVl7=}{^0t}s~e=Dw52CEp; z=wJ>$6cTC#iPkt_>whx_(ekn#p}bhm$5jM^Fhv4j0O_?uNu+wLm1U5KR5harv66um zh4(#h?^v@pJm`3fmeJ#f40nbnh&(fT!M8m~EX7@{9<(-O-ireLG0Xx*mb`VWf{s!k zMk>fK_8Vn!yf``hddo+u%dCR9gQk?dy5?`J-#Lu#D*&~t5-TGCUvA$Rvj=^aP;#7U z)&#<=I79-zTE~-ok9(_0PORvI8Rut6XnrMOv$MOsom1>jbBi8^|At!pC}WIq#QgHc z9Wi<0Q}3Rw&fFL9yo9ae+bhX$v9LCUWjt{)31jMC218>2tdeOvDBH6bQ@DLa>cR;_ zo0QJiel@{ub0KipNq>g?i8JpjbXHe7tn6t_smov1KVXAYT7w(7kW)^edxw3-G+gBg zctm#M@%i#_v5a%XtWyu|nA>E4u*S2Q|6;tLMi?bJ{X-%9iDrz6gx?94ZbCz19G$X3 z$CkZOtuZkx9f1&^Fy-G!r!KP8V{uhQOmp$nY-cApw8q+oPW3~~0?Qn)fR>*jc0~1GqJ6nbqK6EEx95H2Y-P3T^1^5;;};{zspsnb#S;VO zyCoyYeSali>F6yH=&DUgVyR(KlqA_JzBjTog2%+y>v22nhWL2bO~c(hP9Lb53RYnz zoI>&Dn^g8c`&JDFMm!ntW)#_SVDnchdu-{Zfc%VeY{2S-c>@t74u(Lb2C*ZcCbF(ny1Q2ah- zo*tCuX!|#>y+xr%Ge!87wD#9fM}FPuqGt*UH=y_g7n2Gq%uf{Mx7qIY11wp0(A=G{ znm?LQ@Qed!d;(+$l6-DsmN}t5)v1WdO9NL2D!*{&>2-+P(=h~ZzVrY;nbt${%KQ@v zPOp)4VYz2EW6=Z=8|0k1e?8`vo|M0(bA%-xw)q)+xPD*s`o38{+3w&8Gm-3>+?OA& zp~mR6oCb)uRp**!RDoS}58eR8e-Q4)rPrSPwQz>#%8DEGc}FAI2B4lO#Q!Zgum*I? zxp@6}kW>Z5pI%=lB1ucUw>0jtz6^V-h0x@c2cMt>lYM1L`b59x^OMeV{l)aRN9o&6 z4vKW;6X&5J1zwo4?|@Y=Yrd+0G$`YF{aX1C=Q(@gZ%>BcuKTV7E@6s}o89a2uuac+ z;6l4}&JIef4u*1t-Ig_el;8TuqJBwSQ`S15b#t+@QF&Yys;JnG45wE<&d>l2q|G z)F<{+{u}_mZUF4`S*(-rIWb7Cx3#&p*^V9TTmjFcYf|EZ72+7`J1+xHOVF|HCi<~F4zE$0?#B3WFjf7W=Hqer z@sjOkp?-?>LyuSUxwB@JBlMy|ypZ@wpUK$HaoqEAp@jdfr`SFD0p)@;O*)|DBhQ`l ztm@x(F}p&M4@y6wR%X_%wUcLqbk^;(Bq(Z9R_hKgZwxw{qfx9VGwHhBxSP!6OKr3V z(U#*c-S;t1icRy>47we2;K#Q!8wC2XWWoVZ%Q17h8z(5zr!@0WEgieJB{z{zNFYYc zVvXEt+JK6Q#XO((!aI%j;(VE^IM_;Yi9q$Psg{aA=;|v|m&(HikF^M>A~rFdSpFg*^dgh(z5p6mk{o&qJwV6QneeA2G`jPUHmvxo!f10%3_9uMwpCvue{{J zvx>{>lI6mMqNV>t2ux|E&X(Nt=6)l5Xt2ur9W@d2C-}bVnIfyOk*=88?D6&l?B2O$ z&rT;-rF#eeyB`cv&>*i8Yk*g7B!X(+xfbFBC)IoKDX}?5q^A?1(pJd+P0s16AzrVN}n@n*R2^Ki6g~(uVH~Uu^1Yj2gViB$8{7RrF`pqZF$6*NmZo&Qj zos>4x=;cEg9g6lqR!~|%EaU-gin6SVVfv($QO~&U%AeITw+|4cQE97esEDj!vov7X z>vt#iEtkLJ=R-&ZjZr1XcWy_Ri+8TE!Z+~N)TAYoj!wNgVr1FYBt8nJ@lEVz;7mZB ziZ1FcHj{Ih2%q-zqMgTXvlWTc)*nx{&~y>IezP4(N9a$4&>75fK)vtxiS!_w`of{SwE| zZtDazEfrBncdW{OKu6Tr=SvI@2bN_t>ZdzCSAU!V2Q~Ww8V+&%Ll#$|YU;$kht{Hb zRpPljp7RM{c)Aq0^uec5H5f7>`_LZ2&9t+|;tP35KUUzeY{R0{AhkvCIb_ zto#AphOj0Oz)E-b6UqewDXmtb(U%0>;Jw~^$3&gfA8G%BJr78o`!t&NU;5k7@a7>U zCGqe${_qa6Ub_W5)^-(Sa+$eYg)+(cU8bP7yU5ZVo2keWOF34?bKb@0@ykxm-C%1+ zv`XrWc8#Wj-Rf%5?uH&kk8ilW*`-DiNV!E!@^ngLfPZ54;cUj}*ZERwC8w>3w2~HX z2*9==H<7dwtazY1I;wYnB_sbwX|>x88yb?JC@U5L*Rpe-FO|Mw z)qAgep-|Op|6~Zyq*$Hc@Z_FV6Z4Cy{=GH-3YZ&%x1B9US*P;q^|qL5yIh2cvR$x6yM_YlgBKyet;D$4rP@V6vdAGmvxE>>Rx?fN^uxqKS4?SG0hO+3w>)5LR98Gd6=H>lEilw ze`z02cH(4EKLlISsk{2(X~G>XdVC%#|N8p)6gnE6{heEDFhxTjNMwOja&){08~qVR z-7Z4u(_ke)h9g~bb?&|@GruD-Pyw70DF+H5SVUYBU_6oFcTv%WnleccL-1rehz0NO z!2vnb545*La%a#2C1!%1U*s%oa)xlyGq}Bae03Ek7)Ca$kz6XKUoy@_ZhST#G9j4q z<7TfN7k7-Gs$GPQn9c0lcmZx0ny_-(t}qFNc$nPdsqzbcKbk1*tt$v~d>~X8BUdF8biO@HpaSMv^Oc2zjTVP!z zCH$AVJ)k0f%sB%j8Z0tCd>+C=Y$Lh!_BCfEP?TfP7ITSNdR$T|kJ z;`R>b)#*Tlh{bJu55Yb~=n$b6t)9@3fl?7u;?0r?jFF}|Vx>zOjRY3E5-}xDJj?oi z#1@Ocukl5F#7Wlf(NX+!9*QP^Li>MJ!(`y9;Q_tH&mRj%!8(h>*EhXDV+LzAB$sBP zCEFEr>=iB<`B@VD7fuci4&}tlN1uoD$Ox*lvoo)E-F+>x&w7WPP8Rmmg=$q;D{I9E<>to_{?Url-t{1*8=AX3sZj95R1}H*#2<0+s*hm7VT%H#Rf* zg$Z;9D>+P?TDj=7Y8e3LP<~Jp$)9;LLZcQrHRY;3nK$nDI82|1llG(mk&YltA$*X( zEaH+|PhgEJb^$!d01VnDGN-%=eRUkaULY0jrUOMSN4T{);`HLan(^`RmCIdOet1l% zjh)O+9bB)4<9y(^$gcK_JiCQ{;IC##d?Yb}VwbV#ir`zUFMgGH$qRjdP4GD^(?}=p zi+ktTzSnbWDR}Xicv%HlG9D-r?x%6VK zo6vkmCPwU$Fuwtn&wC_m*RI8@SmI}9ioKFTKJTHtijGDm<+mgmHf+)a z6-t+Z>g4H&KJ9@_zbmj(TaSh`ugg5jpI)pZ2>;#;d*B$jX9lASqp?+iOz}dJlV0Mnz-))qH|8)i94`Y>R=}J; z1xTri*jHR8A1x^aQvP%-`L6_|EIh9AOJm8OY1{?SFc!Jr7)z#~0x4VIj|WonUKY2Gdl|V?cLf%pGlxGeb-zzd2W>{uKpM+WomeN)s87vUoYDE>^|67ZO z#Lc%dvO?|3{GO)@3^y9$L&^u_(SKXdZbiviB~fl(Ihc5wh%z$bGq7&eI+mXn9I zKK(x4f5h9Q7%bbbgkqD5p|)~u9KFiF86f6y3}!3N7WtbrYyxNdGlKsl=_w{yOhmD9 z#n4W@HN5#EKT5YQgkfkOX+N=Nr|t+kdqEt+41N%Ro}0R(*jUo_x`S{tDpU-IucJHK zw~!92P8c--k0Ydmgf6#S&{VA%ij6OZS-YkoI{vBXtNpHns8hX`$iHO%QY5~V4ojW6 zdj?&%c15wF_s zUy=OEU3%v9)A&gK*Bh)nv>e5z6hn_xT3#HaSF9A1eO20J8wfQ60;IrvBo(sk2AsoAAbhRD!mI|_2M zkohtRn#$^;>`E0X!BWpc%$1~+IIK9h48goL&OGRNKSvlr;(9F`n&n#j<{rKLaUS6DbzM9D~t#;B%UMt;Yy6 zK7!#bq&?kY6=^KSS4JDn#;CQX3W`l99?7G`92$$YHPA-2G3pPmfwEOfqj-tpsMJOt zjg=ast!`U1)@h8oT6NLKsE+`HB&0F0`r9crGZkA;Zbfya>QGpq0JS4(cp)O%eohRe zT)IXEq%2(wrURNFck=`Z%*9~J!pM|A=$}Pqih#vbz+fi)7)UvID@JzLhrz`4h}K*r zU0TN9o6_H+&zTT0f9Din`)mQERP3vZu|4&%Z@M~CH_rpiWo2_-CN6`CKO4^u1mta*jy$84_;`3X3eKMg&XZ0!OnOJ3`nO>y(Gckw0jB&meX3*YSgBqHNfvF( z_sERuu%zGKj+wZzd@vS#14uc2+;rRy;>#w0@K@m&VKf58rWM0@=m`c}up;vcuB)TYEX_>JSM?8S{vB^&FAE3F)TO`;Uv$%dg)(gQ~+Sl$%=? z#oBz9$J8NHanoM{kY0E~^;E{tz;vQcpM#=r22U@Lb zEo3y+Y!aTmehB0MC-)sggW3|XbOGt3xJU^scrfT7YAmZE*?oKHDNILx9%4qEG$e^G@6rmQ!IF{P!p2%j#ud-|vwP zKUY}BPs%P*{`X{ajoNve!F+DGapX8Q%-x0I-3_p6>TU$vlKpm{#_Sc1-Lv4Ime0Ic z2FYH=wIgS+TG0|iy68iF=1zo(%L_l3EzW+05`{6*zr*<=^$Upe@`khVajc!O8_E;S zVZZeVk}r^5%wqE)H}Zo0@FD0D@-LH| zf@nSFNVuWYHj$6Zkn(?zJ_9M~-z(3;*RlegaY!kqx)+9?jRg7Z7#Y2J2>w zM}^W~>e9|FJK@w`8mH6(q@=vTx}$4QZgx4eP;CjvE7Cd}|2_e$52=VXr5NeX^7Bdx zU0Qp2WfYr0JkqiKHuu5ByVM3sxBahSct6?xREMs(?80Y7NVJbGqR-}DV*MSstv{~w zdO-e$pOXhVwU#bwA3KD6hRf2P%DHQ&&|(d(k5tDD>zR0wD(xk)uC7;G0=_Mow*(2# zB_F`%(tcE3BI)Wi$JXF|-g}`}94sBsu7Px^4f`I&1{OoJRSj^^aXU5kf$$wSPLY86 za-_p7w(lTil!p}Z9aRpm5bHVnVC;)=d*9r9iAB>TU$Z{7%d}oUk9&k^7E^@2 zXsOm5ZsE?NUH32D5I!L1@7%r%0?te8CfhEohgcqcxApz3t35BFTUY7)|HyVdaBn~P z>UUni{j?QYEunr>`BQgI#j`ll)uc*J?zR7^zQ@R&+A0vlKXL`Djzs!C!xBYS49n9ZPHW1l$#hMV& z_Y9<5JasXmBBToq0-gq<_trirKCL)r@0u>zF4Q)*m)LINXJ$>EjaLs}iTo$SPtv%w zM7cTTu=e;`$#x+-%+AUV4Qn+L`S}8;#tUkr<@$z@Ushi1qwxHbTU-XsHR_?&(uSzf ztujiMDS?vZOQ2d$1+-Y+0IfHX&$_-A8frDbU4|gC^@4fI%sx) z({(3d8{G(vz|;v}0Vz`@AY~H!<{1nGEJ_S;`wR;FEjzosbI2gJ!ZN-to56_EE*)?q zDi*8%EkMfS&Locl(O(8qe%-Hc$?SXbVk#;#2D27|0>33Q{!ZErr}ggN0;C)`1P*7v z1X6z8F9}Hb@e@Fm(>s#g$rCp*cbpXlbu+|lRSy^kroowhR_u-Njvh@fF@HExXJHv% zmt7P{8OT7&1&e;i zl>47a5|EPXPKKZFX$%=69j>lky#|bpP5$3N%FCDeV7UZVtWrfDdb(P8m&h-$Sa#`u zJ&;nUPOkesf-j%Pm@(2=$jev8wr$(-?w#}^@pq?eabk!(ke7(Ca>U$zBYz5{eDMOS z$jB=%R{>4x)W_b<#>l!Ajpu&Xv3lkrlrLQtjhi-s^}f9lkn-hAtX5S)1vxo1Cc}Tv zCPQT1e2Etqu0v&}k~oOhs96*2Ij|pD`DA>(dy8%QdIEUHeJiBqwVLCA>K0_W1>%*1 z3)IF>L4{JK(Xd%lSlaAGMou7H-g&O6TL)B^xv18{Rr03-!=4}GjZq$ODg1i7y z?pmRW4DZW`KIMs36K11$vGS-QUk4^@wUHKj8?pXD&{(vX92+^*t6E(gR!k%3EcWDi z2}oJCoOBRZR}bltFA#h4E;LrFpduN-bt_ke`7#xxxd-C)DR*d2oh5*mbsJH8_E;h< zHwSUa@34NeHY!!Fj5<}T!F26OamaPzmbe$?yVX}B7Qr-OV=9C9EOrHz+ z@)c0KW=)uAZNmE-_wXj$&&b zd(ZEG&wg0X_c43+nwd4T=Ur=m`<)3v!QC8WU4H_1dpBrPUPE17gxEWw;LK%YpEw6k zOKY)fh@qA)f~L+we%x{7Y(E0ev8K?W0FQT738eh_XD%U!@_Sj?Kvzc_1{&H3m|%gt zZAXw#5^d&)D)I;B#UhB5Hjd&2)tp_)a3h_{rL~|^N)sNVrX!bvyJuVD;Wusybk)?M zXP^&1H+MX{c?Y>S?ut6AsOUpkL4(>gjdbvfxXJLJJOldVMC^y*wNv1HO`e^Ct!p+AUSu~cP z7ZoBjEKDL&s{ctuN~({kxdpD>x-FgLA0O_Wksbn_*+=*9K72k!@;9OK|Bn1Dk&?-i zyb2%ZzfS#qFG*a_yHF199*tIwL*X`Up_HG`XQTj+rH9j7DbEmj9@nYzJiNo@O_K9G zR(3-?faOmidr1C|jUj#*dS;K2qiK*%%HaC!vpgXTPsf8sqwm!1>M8pOed%8Pz)Y6 z6sJy~5-&Gx-yVlXjhOtVfL^@@AuUZJQtsg$Bfk(S+u-E9W%!(O5<9#j(6~Y!k-vMN zAvkhO%D;E-9<*!s10v<#yyp7F6u(7sU_zzx$@5D@N~u0Qd-g?YD(~1y zwoN*QF5M)uVoxe3b;mBy1dpUG+tHQVMfL37yB`i7k$yZ(PCbFH-KB@S-MV!}N($YB zbfhFFi~l*D&!-%c^z(Imy-07{7RgjUWaiKi+KC5gWdiSWWbX+F?SgTwvcuiZPTeE zcFXfKDR_|FR(}&I|CZmBpCM8PmLO8{E|Ot=fzW<<;4qdhb4G{e;TYN>5F002;=T7! zAfh)A-GlP<#eK)|@EPKP)~)AYK<5aon{0!3-ot>9KBTJ`;6DIv(W_U;$jXAFi!(GR{xLQvhe*$06yDB8_T@(icJqVr zcMHSRtyBd|Y+dk@Vwv=Haj@+>8l_~)(A2MpfXEm;r?@w(AP;Wdo??~Ja{2}c_w>e# zJNJ=s=?3i0?NOTIAN8_&@V9V8!HEmVrr6Kj%tDIgD7N4jqkv*Rj^!jGrJ5j2hLE0u zn|G;h*Wqbv4{geCNbygQs|WIL+(FKj8}PJq5TrsTOa@xnBLCPKs4 zFTmOkdB@Ko_vmSOn_7s)as-hwJPi4-ULv>f1$=@6p-Zut9_jISc17N;dw6#1E_|F^ zDE8BV9_jI;I4bY%13aU)`OPA=6Dc({;Oydp%c!zY*<9EwVmUsYKdHWR1d(V;ZF zqA@(r+aHyf09i%}lgUrIuyh^y($^`TctA1CUEua3Act3s|84yJn@IWR9z5Q{cn4Iv z*LbXVB!6|={)6JjNq$QD-yl-*6Id?$ZcZUQgCn4$FD;PPwM!QyCsFMB7dT$i{W5>v zTpH)n%OlO(v=b}Hvc0(Oyt43*+V}4i{_&a1W+G*9%mS2?@6gb?RZGO}qS)i_<3DK7Y&wK0TxF*?3j-7`fRu zk^bZoo;FDSr7LWX+$C)$dFlOvzC@HDHq`WfRox0-ENe|>c3P;A>5Zt-!_aBu^Dl>=5K7ZT| z&UT$q&af<$mDMn5(hOXEd;v4|w}J1HUYb zLH+u*ArUDDd|9;Xj~x6G4FC2g5h+z*I%5K^U*$#0_;*5XW1bjO_?#`|`P+P)Eck%s z_bDVhP=N8?M=@Z?a!eby1S=NB;nwxDG$yYjFZUX?o7z`Aj;WnFSvT;q@DV<~CtcjW z?`L^Nj~<(tw-n1{49{}qn53(X_G{z`!kLr-gSN6Y*edP4P~^-VEmNvICbt6 z9=&*k(9}>=@FbtrRuwb1&cwy+i@5vnF1-D`VNk&Unug?~JKEyft!udR{0{t+{88J# zHtGe|L&(7p+Eh>3cLd(dOSafO; z(u>k@^3+L~lHY7%Y=RE$J7CqyRmjZC#No$>F=6clly@tS?hATg^YyLBdYy{{$;lWo ze58ma2J|0*xZS&v{VEr6clKbw(g7m>@RcKw_%I1sMY-6rbsKv0=!x>w&lAQ?z@fv3 z@bu+VtiG@s9p`j}iH8YhY%#@&tW!wOdy2(NmZDY5)~HmmB5bT|aPiVbJfgmvb9xTy zMbv|AEX6~$NR(tKU!goaJ-l)K&Mn+6dVruKVW<;QA9XycB4CLb?!<4y_0^jw4xNnZ zU|PWIm?2ElMo1U88yp zvW+Kh+`56=1-HM9mAZj-5tJH&yM_00?e-10xp|1O(x_o0M9+?qZ1e0gY~$>OZCXaO z!~z~GuQF+zp2bW{D^#dh2^|_V!73MDJl(q=$-5R{U|2^eS}LNkS1qjE;ESxpM5IJ7 z#Hda^QA$-2GJWc^&LkEx44B;kd*fn|y+0925eqS~+aMSkk$+u{&NG>58i}m}bX;^$ z&aE6YTr?q@MQIaAT9Igw5XQ+WlJp^&OfsHiqzt8Jmd2zNlaP8VmEyyfc=z!g@?Pd4 zrzi)n-@nG24{vb($$6M>G>4Xh7IYkS;IPdBkMgA5Ns5n~w`l&grC3^5ANn*8I5soJ zHH&U|>oo|kJO(1isV}nsha`)|u@6aaWI2=&{-4r+bj_b3Qkf(r%M|5u`mggpcj<|j zuHEs(VE{Hww}jcyNDLXU5WZu4kY+g^`OdviFc;C|U2xfEgg9SF=X0E-_6nPju&#IR-Gp4T9f9!i?ikQ-AzeF<^w{IB-C(Ly z4{?3TGXId^P2pA?U%ojNo*su`b-l$E3XC+8>ErKb7+-a4d?vhKllw>++*|7E6I^f4rxAFW;p>(u#rh&5~0;pvMU9DaHf6Shr+l5JVYx==fGNyr{O zyZ6NQZQI3;g8T38$56r{#-qW6OM7oiI}P&UwtWbX7{5l394!`1%__>mhRYk!bzT>N zcT+Y_#j(ue$Slah@)ax5u5CN9^OyNdbDTMQ22YBfAofJ8z){8wyEr>s%(;k%=?@VZ z5s8L`N7buUhr7EcVf9ViDZGb(gF&bhP)A5WasciW+`;u**WvEwF0hNQ;cHn3>tdZ> zu$s!^GP!K71J|Wpn|8m8)mh(RHMg1D&Ng6MuuTM3|1t#ecjA%#B3sz5chBAetH;oF zDF;*VwCE|;U0R1Oa@$PZFcoP}(vXqMe(^78+q$iIerZ9z`0f zf2d+dage8p@ckaXc!(>PuE59H2eqr$hN1ysZcDm$42ii6YL03GOLblKplDwjvgwrG zgQPqO-#^+UOx$D~okTKH$ePsKNO{I6Ym#1OWcTDlAx+AzL!Vikb8jquz0!;NU{>vBfEs~|4-xBB8$%DC2zr-<9mSf z$tCoc)LlY9eSSEdfES0hlaI3Di;j}Ig?>@)TJimP>UO+8mGF&@U+FI{_d>F$OJ34u zJl(ejnR{2$CznBz@U=34Nz>xIC%cHF%b^32)MVU>hb8-Z~^cFK^$A z0Ee}NrHf!OaS^shuS912db~{CfP&-=-`IsEpVIi;Q_8a|W(6!KF2taI3*ckB8W*-u zS-hL2T=zHiC)?lxzbCXEPf5?(kmVRZd?EVwUI5=&OL1!T8ayZ6g$LIoXa66!4d;_= zv+*0-l&t%&$Iadnw)tLni8A<;^Ko5H?*~rF<;(X(>H8TPCujFbpC?J@(NoeQjz+4K?@a1b?q6=>OS->)WgGsyLuK7MfhP%@5MghL zruFNgR9V6gIiA*TQX4U`b42WTF82baZk~>^Hf2%Svoa!+BJnu?F)pTGgnJy#*FH6% zK-l>WmYT|-=cI=bD@S5C#fBU^CK0xb95G7Fzy135!>+hEWKrz6=k7iXTsBC|PeWG> zL&E(;5kqaI`L`F%znp*E*zq{XvE%D(ti4R}`Ml0BaW}#AP1BH;F3rD;)ooh05qR(; ztgh-^70!E{arN0%fz{!m;iyrwhKMD3M?{|UDtQcn;9I`HqfufZ9vg{QARiYHb~Hp_YYt>nG~o{{9d0Ukep zgPWOoxbz?s7w>0?&&v;BsMil`11DD*8{@k@6S@4H_bJniv})G{mz6k@CN=Bjpz& zWdYKT?#0j{(#!4qBWh4k@V^d-Z$1GT1i2?OadysDjBnQ$Wfe=KTCEx-h?MtXX=Nc^ ze5+ci8Wuz?!Rx0VasN^}tft#QrK}37RIQBI1+n4(yK!IEi`~v-9#6Jj8+lr-I5>)zZ+WN6 zu;~_fvF9M3Zi|3SDp{1iE?QSw{ofB+O^K1KG!NARTjXzS|0P(uenlWdW{ z^9*vfo`mPIz!yUR}*As07jJHPKwo}O8ej3p;-B3lo zjeoH)k#e4#NSVDe8BQZjDUi{EB8kiJ>B!lVK-VY0f8sRg@e94w9)8I-@9+ua96Sl< zNwX-g4qc-Orx7!Rj{H5z2$*UHecstpTL-?D)_8X0Byta*hTAkdlv3c;XVu{_cnY#M zkrTUPKY}LDfC1&#CHs2Kv_j6I6XGS~z*+V%pnlU)SBK~LsU?V%C3d8wbg?7l?vguF zs>^qzOrZ2+giJP*b}?ZhrLPaN@}A-O%a;g^2!~NQCQ_>ZX++8yC5e=uUs9BJ5x9B~ zIL{A;;suHFZzARYA^BM%Wyu{W`TLDTvD@T^;MIa8*|u32yv-Kj-p=h}CrUx2yqEN= zJYJ@YaF_GkOa$(bo(p?u-se@;c(pl_Q}kVsDWx&Q#N1!i`L8NWkfhH~$M@s?(Il}G zW&XaCVpqz{Emx4Y_Y~e8Nuqqz=CjmBdH#Qk{E$dl8pB6V!P)coSW3Tx&!3q{nS_=t+KKcb!-nAW`E!CumUJ)$efvrN*;grp5rZb+ z?7=j0fuAF8{RXsZCg~hJWH3&hmI#nrw#1=M9f?TUrOO~3Jt`+g#_vPhPSQh^K`q+g zOKUAXzt~u&i3v52_hw>r=8^daw4Ui0NJ;D4;+i9v6%N9 z@te1zeJg2)%)b5m;VAiHtmh9CDGwY#r>>G;*t=C*9A35tpD)}%;(}%9+(aT1_UhZ0 z>R(Kx++Tu7*&nH?(n_=kl24;c56QMYTeL#zl9hDN+(6R8U(mH_bBRdVXCMyBSNls& zIfJg(IiA|-u(AyU%$l!MYLrFfIU9Z_?(!AqfxQw)0pZ^>79CfB~qqP{Mtvg@Ai1x&&$#c>5)wsUa(?dHFGNb}bMAXi z|22`a#Ez7_Qzhj;apttNBV{p>(vRXP8ha<^EWtp!Us#VIQtnTXh?Hl~U=WRI*7G-! z@^AS~`Db>d4Cyb@ZrP24{{RPcYZipD?Y*&Mk{RV8eUZI^s9uz(KW^Gjgy&!nv~4p7 zL%M`x^Hgif!$iNHq^ld?(Vc|8dr8E?&w@z#e~nB@ZT2C%_zuEnzY+KtFbQu%tWgvh zh}`+>k+GQKkl5`g3iHM%Z_4l974W1s`_Og&j`seFNU19)Qr1Ou>>4?d@)aB%y~U0i zCKZekH9HzbZ(buKCmW9LuF%lYg0YSPBCR}8Nbyp3;uQp2x(RY6&o#xuM9QUhE_g{k z|C6=5VI_|tl_}=*35h`g#l3<^>FWheie<`CtPnoS4lj7l@htny}(V_gjI1DcmlmFncSTx4V(OJYk2KxF4@bX69<8In;Y~gR?^YdgSWFEr57OoULgY9f}pQ!K>2mx z<>Q4MikI^W3J@3+Nb!$8bSNhG@(!i+Pso2kw_MmficgKH&1&$RLh)hBF%+hq#R7M4 z)UG1EbW$wpWy-;Kq7B86NfeJBMCf#L7-?#g-L-}NxgYW$XHtFqp;X#{uF=LUTMwjX zX5&TC3xrcF_k~DFF>@A;oq`vI@b!;?vN98HHDP7tf+tUS=gAj{{6eJEgfqqJnK?Nq zq%jvUCkDoqDhgtx6UC4j2M(j~*je#R!B|gUJbQ4owMF`kTPR9@il~rK8nYF|B2ErN zMu|n8igq7F^mMDQL`tg9lk6-My?uk(bAN|OS-c~qJ?}`Fj+ZoE1(C8!WvG=c3!72n z@i-wBuTEURTt_!lGBgqkVcA&E!Xt_~U*+auUQ7%sQGOM|!pU8G;lkoIz&VO*A7ukM z1whd&;Qa^S6C?AFkH3kOf9}CV%BaXlRHpvrvEIG!fWOp^lz!o}VIqGCtZ$#*{ey+J_;X>5nmqDm*?YnfthPZ^k%#M^1u}e_3W^GY7-bH`!9*SH4KK`Qz+5Ug# zkT>~%;^4UM`{Q70D!R+#*Ve6DVAsx{C4hax{d-riY2$JP2YJBP$4PvKhkAdJFcMyn zlh4hK3C5w+y?9yl+q-_1JaTg$!OhK){2whrq~zzp|IBYbH^`@$F~c0Hs_IZKOE}TF zD!g3#kdHA8;ekWQXB_Y!6)uy@_H^luE*&dFsf@JiorUEr+`Y@cFaGlfzgRPC)-2Me zfpSLV4+naq=y!Hm`+B@acE(L4?A?s$r~vr+IwLT^P4r*M{tOCqr@nMVXowfKZC#Bg zkAL>r{s*jIw;YWc)dv$P2MrpGlPCYUAHj>2hLF!*zPvH{bgHnlG{xgb(r$&nb?}I} z^cL9r5Qs^94#(K*unJ0sYv6K(ga#ra)Q!Ylv@axB8plC_Zdki!5pLe#o&SFBnMz9X zUb>GuQ~UTnY9n6A`ZJGZJC~vUi29JVkfG7EMsV5SB3_0cx^k!>k15$G36i1LUM~dh z2tB*4@KN3!L3P#|8 zKm=3W!qOrUd2}|ycSIpLhVpw;dQ=F)w^I5MN~iOjPvlRf^t32M9-fV`&6FM?qq{Pjv`IP`ocfw>TqWxiuo@&O&IQ3j)3TNN)&2*HD|kw?|4a z!j48DGL6#rQu+c)XM2Q@J=TytlD@YG3emCWX7MT>fd9V0uXko`!1 z$iXl~9EwIn!fb^85{{q%O7{;$=#mi1PuCo#F>#3O!foZTk{V9=sjYjW5xS7d^b0~z z5T&o8bhgd$61GXAwjLteP~SxCr1aQu8e3!+KYz@fV{9f-(ZY_WJzAQps2!QVRo18j#t zZMvp-3A<8A6`1ZcL%^W`jM*|4`hnE<6Y2Vl<_DNPA(qM zH84VHDyx%`3Vb`&Mr^-EnAf)vB6~LY-;iFCMD(bK(9Sgw)UFZ&+EgGh5uZWrDsW5S3@cPkM#UeVO{hY)~z;zJ61(t+lulsO~m)$j@1y}tp>I zl>n!i0SF${^~?E4ZYy0AA(!Z$b+Mp(B`oPx88IVzz|}4W(}PcAhTkDLn|dR(UmMKs zT18yX<$PQ2e_kS}4nbXN!@pAv%mA!j^@=rr58taXzpv5?C8T z=jH)P`+&3LFPy&%q#gpcuLL&C0oKPzc}refQZ|#`9i)HT61-g$fTOl!5Idkb0;nEA zU22JX{i?q5@f1USyr5TOvSR~SG^mOunp!9&lmE8FByE}(>QAi?%U>)Jc`y<)x6efN zfa;K0$xzj|DyFQPf~eFeEIF|lvBzTJm*|JSi~B*@K@GAQG8lVTz{GWv5JosU_vl|XrLUm$L`o-Jtn=4cO&BD{>ad7#%-a@=*+=HWYM%|7&25g- zHl?K(`pK{07x`-rs)@WP2OK{G%|+8+nkhcPnA_Y|_tdr8b9er2K3H%Fi|_ z&L5saHrP+;YbiZUZX5Ppifwa*+FFdg$%NsYpU27yN)M*=5@RLua0%PU^9w(154DxX z2alCt|6s%{kHNgedGI{oiC(LELEA@LT2Mgl>lk)2#BjIa2;LD4uYF$V7~2tLoXSAc zT@!tl^nri8KNjv;h{eAwMnpgarrA$J<*AhgE|zsFEBq(_RDW3Sn}zyw8%pF4)~!dv z_mCP16EAt83SO9iv6Mb5dR4^4wG)M%79L%QIVp35ZTNG+(FJtBgkkWq!O(QlMCn<1rXXO(SOl9- zq^ZjjHxKLtvhPzEP#~4@qJ(aimlR*|xuPuOUra;7(ilYBnZjSLqoi(@5c5gmT%^r( z>|Gd%oO4H{I zW=+OyYc6*>+-!U>&0!5@`ln*q#>;qomC9k=@3P3|q@T-r&Fvz)k!>Cy-ibXi?wI3f z1{bFYnED*RRR0sO^;nMpdsixNB0@|@AaKSQEOfV#Y;*7O&)Mca*=Fl1EF#;mZKZMZ zZQYB@rBdU_mXmn(yyG9mgN5F6T7%U>&oZPY!AMRa| z`n1?KFGyZeI|_60>E3NzNJzrss92a;nxjFlMkw8^G_-qZV^Gjg1Rn^8N3u8C&F?_? zQU=;i+UUQiKgB75SeQoh&GAJDKNyb5>!+ZCPbJ~IE7_}{*P`C=-S3MzgrzaZ=1TML z7Mg#fX};$9^!wP6=Xaie=~G}0rHj}xQa=CAAq*;xl_>TKlF!#1Hw!GIc|UA5&9j69 z0-Jt-)r`HfDJGqFcpiMV`k5jNyrb-c2h^M&=&BVGZFLVI3p(58^K=w zr1v*sN5-RXVn@Ok#v{T=j%67$xjl@bTwkuYh#jdN+#YV%@8VHOtlk_!_LJxLb4PfT zEh3jtjJVz&!MnYvK0yc}Tn(rA?N?YGOIS+v`x-k^`X9z>N*A#srE~1KNFFB)Zal;el=|dqBa3{H`H%ju!%AEL9+3;^lA>CQ@>2Izc8DKIpwrx-S?z z=cmpWZJnJo8w(CEK+NG7%-lRv_^XW1?dG&Y;(h6zs9UFRVpPA8f=Jn@K~t<=x0yF{ z{i);n{l{3jX&XEP!{FrQ2Y3Gvcn3$6kZ`z?&%);dBj+G4F$J0VOwats$J6{mxcm4) zPu~EVni_C$ut(NYnqU3`2X8LG@0D?%v}xY~+jdjj@)O5Ll1sPlW7)b*WUFAcl!gV2~*B%~fgP9gvD^^cDSnK`g=aDoQKF#7uX@bUH* zzk&W0jvYI8pjFG3;=RDGJ$fP`h2p!PIGFHw_R3W(TCoDI{^79oTLruDBQOs?jZxma z(aSy-J!b`BxUDy=-Te?9y9lXiCsFX`=lDz4?>)rynatl%6~DVjgooqZJ1+IFd;I7( zn*SdTx}W|&{wjyOPyTWT|7g;&qcr(8Z`Kg2SIz$~6Dj!t?;Cvnn2oqyYtXfe^ip?| zCe5&F)vA9Fk@As5r2O#TBvL+OBIS%fg-Dr_au5RsNNucDs~YCd4MyQ}3g$$g{XpGFXQaOB^XclZ&^h} zRI61B3zjUAh?Msqh}B{Qk+N!KELb=Xuirk${fD<;Wo1t3%BVv1iH%(#5h))$6felB z5^z_kQVH{8WAOUTb3Ax-8`iT3&{b7Xxl(1!pGSILzC^~8baIG%U}*3yk+NmInpo%U zhd0T`@o39l*bE*C)iO$`RJAJR%$tvwg+)kz{0NQ?Hqg~oL-pzvNM{UQ(HMCA=rL>^ z9iT=IYDFD=%${k3qWHte*t!?aBS%3`qb#+tGUicRUcP>XCm9)VaB+dUmL^QJbtNJt z34P{2atE3EMFes5fOM%ScuH5EVy`jKvP!-<+ZdWBIUkRJS9DXNJ)CiRWLzV zWF($He~zbFPvPd}2`yb+7?Cp>O8KA1A41ly18^HZ6K|uksv$Nsp;{$CyJs4_eB53M#AEdlQhqZ$F}~W}!;CZ+CF1Zd?I#tR1NisbVL|fax<( zUSA8w23iORO zvJ+q9Gie5Lb{?So$<*GNFfrtvU^L-RWj))QO!Yg6K(Y_-(xOXk^qMe@@+VUM6a-E) z7wJ0GAD*0El1NGYFNl-l>v_lp63LJ7*QAPn{_dDXIV5JUuB8%EI&f z0)&QyNIO!JekM}hyz%=lI{fJPo=9m%_u!4&@~!`0=Hk=ERJ=XB9UqQt$LAA!Bof!@ z_+Jyd{+7R{d{3kd`vH;i!goYUdH$D&_h3ilTFe+eR}dwGDgTv?TOoEQy^$z(oqR=k zc1Ep*>F{|{9xHhs9wQe?=a~e2JoT-7I>qDX|L_<(L-)bCII%nBi~VVMyx|7!t-O!S zt(OJ4QrPf6NTgisVuAXVjG;jO)9_IvarXQveERen+qP{)^X5&-ui_mkhvUqdvvMNk z4zy^|LJ+!!4I7NJXPA`uhJy6XXxUQQsdC7WAvk^N6#2Cuk+?q*y?aSSxMERPFN2xp z({SbLWpFsUb5|T%wQ41<9W-bVPMj#-NivT7_0~{UW-{j>oR$+TckkVcHXVw0q-=-d z3swM!&thL_G&(h?EAkH=IT$BTpAh-??Aaqoa;*OwBIUk)Ux}3c`XTM;Q80^iALTDj z?@Q^&(j;J9YCjU-K6v;EpT}DB78o03W;HJ(YanD zk>0C+KOBS^yk8jiiYA=-Qx(oJiRd z2M;kR@hy^*nKKPUW=X5@wLV7trU&q&r^oC8592pB7I)jsoR$)|E ziPXpESd^4x7&~@2^_^s^Lx&ED)#~{i*PYwI$C0!n=s%<%%JR#SO&TFNdYu4R&4jae@NmTydT3gH4z;qIis7 zwsxd=PeWT9#uQIPQv6UDe*oFL_9B?R8|&+fxu#g^R<4T0jvgr5f0TUx{qPt!9tN5e z$5PB06%#=*9>r#vPvPo8u^baAH7S;xO0gNm8d=-p;Wcgo47F5Hv8pj5XH)D)`LihY zDJk~jSk8UIREaQ2F$%{XoSseT9Fu$@QqHiXnC+m5T|CE3`Wmx^QOriM zVoq)@yu7_AHr5p}L_k1*cwUg3lM8QeZ|G1u{}>VA?~nXv&nP_yKJ;DJ&;WWAZ}?A~ z@g?r^q1dcAPV=Mhd2va2MzI=y=QQ4VlH)f{%OmlhFkR?iQl?K}0CMx5Aum54{{8_} zChst*18*M>1GkrAP&SkVZEhFYSmEQ+-`<_-%B`$D92b#cYhr%wf8GCDdOl`EI0`f0+$!-di_ zDgO(EQ;aQ$lob0pJ2{EipPvDU9VwYeNin_C@Uh6)vQI456lH2fV^9a`+FEdQb47Y) zCW>CZMAV!Zm{hJL7G)AUQf`YE<1gCWMm(cXr}{WJI}5^OQDGrwll}@OCcjUlw6haC zTD^Sr5;61V(Ri!^wK7Vu88iZqH|@r&gd>=1Zi`B~`cS3%+c-Gj5#@jN>J{cKh()Dp zRiRRSu@=)eQclQj5yuva43sLs{O{fa;`8zOKE!gYXCbl_QhERnoh&!tViR< zjc8tHBIO{QI`zl>h_`Rw%88Wag->T~ZAo#?&y6SkHSq`ypM_Td+fCQe&%z%Knl(pd zV|`Sqz+<5*s#d8e#%je1WOS_qK;K*+rN)#J`AbhN4FhKb zvD4C`v_-g|cON&iZz5oC0Gb9iMcbgZn7ex}9^QY5D>tveW2*-m1~)?Id0nvN_)?@l zPsjQ6^KjVdfOZJ35y zfweJg(J;gvk3;s8Y$Tpe#Q0_7Q7fP}#;qQQ#G8p?=c3(LcVqbS;iygNQ&&vIq4S53 z^*9S#j%>kz`2$d!^jK`Mz=;PZkXe|CwP)6%=fYl8pZc)h;DB@2&LQJb1~wkqh`|d7 zi(QwLZIw~Vf^1N{!^21!6h|vUX>wT@*czfsbQi=PUVwYg?&EgOErjgzM{~dWXyexe zvv)?|!M*#qe)~GSxBH+`NMm%I+YyV7Ey9!MPjDgQBAnx#Q9q;sy3gr>l_@Kc`6v^o zubjrLwX;w+pf36@>VpmEHi+HMj^00p8JkS09d)RFL$D)lr?5xz*cDKvOdNZdhNp#3u|91L`h;~s zU5Bc$Sz>`R7fuOc-|~Yi&^4x;sHe+r7hKA`gvSMsvEa}GbcpDP#?)4y&AzyP^E&QR zd!zP7p>=p`G>>SG(4b~*Lj=7mNyRyxk@ zL}P2QWSb`!;Iz#N^?d82M`TZ|N?tAMa{lUBcx`k=WAEC~oTUs!ezDb>=HyvQFt(?; z(V-G5Tb76BL^X*($pj7VJIYgfmIBl%Uv0O_uvux2;}?#K@v%B(4H^eDfy`2d-iv!9 z@m?ab-eqC^we@Jbu$@GrZ9>93e$ZSiGa>0m!aK{+HN0C0e=@0~vQK3(j_dl>MQyLz zsO41)b$q2=Rd^?vQkFE9NM9w_N(kN;jOVY}bNzZerTXx0r$$E7VpK{bRkc-6S6>5l zNNVY+|4&G5ZYxPm9aU6SFO5oM`-){~&MGZ^R%Q&=D1(~1YG3mHNY5V?p^H9iXq813 zRRvTeeC0Alx%8dWYG^5clb5BMngS}*`MTxxF=&z<+_qjr@XLG#kz9H~4bCrjE+D*Xk&v8l~4zBfpDq zXsZ7T_#J!!k3CN?!qOiNt5!l4vRhRZ8ER2EC9nN&iHT|{7?2&Bn&`uS%t$<3xe7RS z7Pvs5dYth5$Z=kAQj#NSBu9b6{4u$3UcTlBl16&Z zQ~opOk+psUBFNutRk=J2$u`ESilPsGR9BYTy6UK_t%2Ga>NM_Dpdl*_1)c+RNO)%= z!UT02I_Fgpwft*IJ3G%(6?21vIn6hAbbVl5IPP@B=|`u;JihGsF9LIT&gi$OKay@G ziS!MpH=y^N-l*qQ54NjqarVktq(4l@vZQ6`I=d?x(ERJR+YOg9FN^t?$=U5@w?mVV zCh*(tCw2k8ckiAc%e4$^i58J95S|>4yU*_8X3kAPLTMUKSRFxF9bW>gw-8nbHALsR zoe8U#{3cd2Hl4e69-iAh(I~Vrw4Ak3W>y&}%v6wG+GoFlcjp~f8fvC$sNqoqGuO?; z!JC9Tgh%n$<1u>WXkn*`zf2Uk#dx$MZ3hN39uZE>*f@i5j^<^y$GMH@ySOjeuO4Qt znArRI_-+bP4?(^%N4u0LTZ;XT`?jt^lg*_!=U4SNkiGEuTn!sHc` zagi5CFL`_fRxMvG-dPo8k(AffMs12gD(Gp$NJ|}Un>NDikU-=!M*R(NWDBgbdmnYg~Zj;7F8lk`?K(iP>D zQ!69N)i0}n0bSZ-`?{6CYnvC;hbh@WsMk}j}E9+wF|+ON4cVr|0N7biG({kuHH*Ze?k zkTGvlyN{g0)_`CP>d+p>G)`5@C_qnL1?`(R!Muo2-qT;+JEWaE4)aA8 z&@(hy#C)1`HVNZ@nIK}KF%&B$$YZ6w*Y;w>3Yvc@eaea{ zI4F;mwjJ9h=HEI&bun|ZIno|Tu@c9QOitsSo^99L;>=ZP{$;G@ou~y??~$7b zyKdikeNo=NJjzZfi&CR04&eBN7h|FMPSIKcWi1q8WT}Oo0Ufb)&q6%B`+#D{8}QlT zi^hcU9SDz@2*>j|$6y?baZJXs8PEG%{+TOhU_wAo1N3+c{Ma;*s zAIE^)zLc{mn8dLo&9ft^{rj%%`xdJM7^^2qSWU6Xj>B6qII53`p=PX{grj#3i+M-MEfiSFW>!)kk5X84Y;iQ4m)`VRg^KqZ?u8-7zno}v}bEqt^$=J73n{793tO+^3>_5T$TI= zJp-6js*GwiYL$?hFe+~hU3~*IY}^Dse*U=k;3sjo=p}sp{lUM@^Ny4b4i4hI?7zZs zKoBXrh(6)>y!OUR?|J>qk&u{#G2(4rN?${yT9TUIV_3DLGj`@D_*tBs2 z`t<67Dz)f-pXvd(UH1`is0d?2wxCvr0njigk21=t(9+dMyAB;OFLnX)3ch{r@>|D) zM~`7{!MqDOkuoCUpL$2`KXvf$Ge7!`=KqI-?x(+xzse!+lfT^YYa-=5ynFM6&hTp$ z`S|cI;~RPZmL*+$|NN0BQYMA*ovvV#lQRsM9Qj|Cy121V(crD=fAS~2YH`z-+ubQt1ECHeBXB^r8u1>pMt@E z)TjJYAea3NsVUq414K%1U>SALGf{D{!-Lf{vQ>@@nO(G7KjNKb(Tf%ZZV|&Fi?c?-bHeje7m;=34BV#MLQ9!n$}No$tJWwu zHVat?E#N+_3AEJ}U`Tcg@eV=(>B+u+2cC8w&{i{mp++eLS+zm_2|MJ_HJ)b8p`)Qd z4!#KjJpzz__aSm_+=Z9DCv-K8U_ki;D1Y852jrh|M6^3OfF@d^?~0|G0rk-|2jpx` z#=GQ1BrKQ)J4+4N+v*~5gFW6~J%`Lg=iolW4m#>O&@HP8_hD0!xh@{>6BDqX^4nW$ z!Hy(h(G0vxIe@2|55Qxz8T3@i30KyH8|BYfyASX8Cm=C)IvgxDVP~s@_zkvrcl|uF zj$MSexfAp?^+~@vyhcx^`oz;XIDo*ZW-!nqJ?a|Lj+8t0BY#&if~J_mkm{qYOzGp8 zNO?dICWB2aCE}&JCj2Iup=i%xyiYiRsA)DRucix4H7&T>*dy!eO+3Hz03jZpFx1l% z4!@0!EgsQ5@kbAS5!TJc4F);}P*+xmm8A{t-s6`{KI75lvxtk0z}6raTv$09?~m;Q zt{)ZzE?i9oE+qr!_5&yP;Pde~AvnGpIPtA~IY;?$mV%{=Nx&5fKv|d&_PrFJ`=WpE z&*^8PXR-dve?b4KlKNTC@901C&*^8q|5!i$e})N&f3AL04^SFBcA}*h~fSYH|BKQ0W zst=WSnd;BGVg6J0k=vo9UA{=Medzo7#D88te=@n0#|P_RVRGa-%6nl4<=ul9iO29@ z-A&y3Xi9oL!UybZB- zGf_=nS|FhR;5s;U#*Ko+rP#jR6wRBLBfqLN`5WVKhWbGeDYwR53TAYcNj7C*yNQ4)(3tiY~1rvY$#>8QQd#!NQ^pw(gjYyuzzu z<*iLy_Mmx_*5W}@zg~lIIF)yX{EQnn;t(F*8m6WSEK&Q@fB4zz%I2<+~pZ6a_Vo)?X*J&Wq1(EWoq$hEI3Ocv% zCDMC#t%lTtc9gmd2U6_NrKhwKXwQaikQ%mtl&2vnXdb%Ol1Pc&d)C6iR0okiIoSqX zyGpz7bnD)ad}ryU@sy-P=+;>x6L#%Xh5ok{`41klNB7>6{;thBAbH6ex-V`aZRJ)B z?$A%zvo!f)O`6IueYy;5)(l7HQ|Sk%#DqiW+EF4>wr|rJyLU-M%7>2+W8T6(m@z|! zXusyTo3fIZq{4y48_>O})Tiy*bwONQBH5PQzQ;!}e|~R~KPtK-?%bh!gN~#FN6@3I zoJiTGgXjb93+|IIL`t$f_Zc6&^Cb5r_bK-+_c8Z1_c`}H>uKA*y%-a$hsOwy6>ekO zR&B9ox12~xdioC`J+cdd;)rQ>nZBfvS~}~-2Oe0vNRfNDq>Cl`VxU__BbGNv_z)-TmJeI zG7N|q0)!631INh-8RCq-ZGthms~_T~S^|Efr1Rm_x52|n=rd#>eGb7>e{-yGpNkpx zJ2BmA6GCT%V$W1lTs0q!x9*fLXc*r5j6<5W6_!kJL+n_0Y@B3|gXUH^>1>a);r_V1 zBoYso2IAEmYtl>OCXmVsEH3K@_5G_zAlc1t5a8V(pS}8#^aofxdjW23g}nEhgseI1 zac9Lt+*|V)&sOfo$Hk$*Txx45-6uYDy+?1lrjN8EXR(f73G0^x-b`X;^6T%|hj*il z9!vM(7~Hq+0rLhG#1GuY)eR68I}U|Kv4m0KaCGhpb&82hj4C0@I~YZG9wOtyH8`5t zLqkOu6?Bv^*RcyeopZ;_G-pKGc1HzW4KddgOWjH}vBWD9@6O#5E7>Nju!PM_b-37- z$I(4OKyDUtFFk~-r3=LZ+Avhsg8wKp6ElwTt599aA!VOGK4d?_;|sUo zFw-8I6muGB>LG*|o;i5|Sw~O7-OLi&nwl`AxG==V0R?Gik)3)1p3}^st)c-#${%ED zkNl%h`u)6AqDDVaza8IJtduaNWnIlO%RpsS?^15I57Q0$s_`#y4S-G#T4Gju4P z(I@=@&aTM6aT~c5yZG2ULznXEQJwtFW+CtJDLgxJ3O<&zpsS+;Jq?O~C|=6jnt*3p z;^9j%66e)b(G=e~EstU>|FKgjT@AWMhVTgqLhkbd8m5gk!6%@!?>ih(~?L%!Hwew&*7Z z3r9S@cncr09wH^q8;*ARu(8#~o_+3k^X4gXbDkr>H;nqB9E}xC*xR_`(Zi?YC%wSI zgW+&?E>H2DCU);}#p`!jc$Qy;z@R7?85oOL-4P;~YRq8;5~qGJg4 zvTAT3{ZGzaLJ`I2vwZ_l!Kj?r+0x$80Z(}6NhVT8%|^xY6`@A??PirAQpV2zN~G*R z6pz>Lz^k|v%$+d{l_+LbrTjKD4j)~-f>)0-FfTj;m8d={yd!1jp12T8z6HO)z(mTA zKexuKAX54V(!FRxdQ@OVbL3YdWi0AcC;zFmGV1rA1lw(wG5;a3C=-}-hi-K$>OUp3 zZvwGTq_P7~y+lv@D5#XHLN=kcj~o5hBvO`=h?F~%k06h_gP$7ayazH~efmbyUw#zA z-?QHV`Q!%{Kau>=6U&n6&prZA-;mCik{)@;`IPoBTg_e5M$W z7e-jVe7SfDf=$t|Q6nr^un_6#8F=;THJ&}=1vxUlk^KAuynZb$G8q#y2MroD{NgMA zz9?Yy=rP#8KT+s-`SKOAva-L?otgO*FA9rjZZ8r$7Mhxx2~r@-j2Sa1?WCCZc_nUM z5QG=p`;qVh5&U}?|3xN+m4tgI{+5wW$k#f1wON#9F6e^E#_%fyo>>3H(^iTKRR=NVdT{(^(Y zPGQ98aX+lzkED6?7FfJ^iO|F2ffxTM*_SMw#^Z<=7>$gILakc0#I^jQ(xy$DgipkN z8oxyKqqebdKXcpICKoSWgq@u&^z`&lwrp999XpP2^{`mPgWLG@X%=0RLH?g4ypTu! z({vPMrQ=@uQ|y2664B>gVDj=*)a^eRGKDg~YFDvTty&F1!6CTCyOL8I3aE_l+n1aB zOq}C#`FHg;ZQ6)y8#HKu*w|R{I|eVr@$A_%%Kzi`eJ^|s3x9u}`y8+HU*q=u+lbs1 ziAElcAR9yVBi~*Kzlg{G^R5RoA)x-{a8Lu*MHR~!SFD2WMUVSrtLF{-|^b8jsUcl&iqahnXai+B~VpC#~ z_bv}<_tVfny1yu+x?OdwIJ`m-%#yDrqf1~HQO5>u4Y29VCcL27VfW?TXzkltq_^^F zh27_Ni~O5UY(_&@noEYs&^fp>l5Qs9`G@CNk+uRg9BYX5e&PLa?A9^lz0AXc0}Ehc zWg=dP92GlCkVo?0kFI@EElBl7nO>4n4B z4`hD245jc}^R@fsZF-DLnxSr!<$K%qYOQQaPdjp|0OG}K8nHy%} zcK&Uo7pB8~t2L3c)qaB`Sc5z^2;>H#*_W~k_;wcvLyEhlRucy z!{e6w$U+81GwQd=)MuQB$2wm_?dUgq0FrN|;Khd**m`a&TKcqv!Z-yC3>}Cg7mlFt zSs}I^--eDs9i{6UkT7|Qi66Y{2k!*JFP^gSy~6hp>1CS=;qP20Ir&!PFBYmx1?LKI z+~SDS4^HFd`-F=QQq%FxqLPBa)^NI{=2Z*b{;Lq&64W>gS>wYDTN_j zdSQ12VL<#*yh=WW>^+B&zBBpPvV-LS(zowN`qp@)Z`&t*-;q=z4}Jd)$%;FOmj_Ow zAmJF2VwPbHzjs!;><{YnJEBNZO8%)#^Ga2kS9;Jm;Q5A0VEke|-`jkz^UivVnLOt( zh7hg|jvkD|H^|Q*oZ67KLCnjF;}tPHW;jmXIVsW?9a@Bn&K1S=!|ECa`Nj(Cv! z0C%$Pz~$?tj@lQsmrE{`HP7x;k(0;Q<#Hu56)rK+))C9Osq|? z;NSujyf47HC+9G6@kHrmefeCiA?lJyx9R|k?#>s~#k^43mF$V~bEG0Y|8#`9u zDB}_1D&s5L-E@Viut(<0On7bgg6dRNl$uZqwrgy0Kj*#>{?2K9j`L&`Wr%C|TGsJf zSY6Jt9Ofj=5x&cBV)ZS;>S)4h!iKuOgw^K>t3MTD|F!)RR+9}Ft9P8hzioj~NO$n^N`0yg0zIggW ztbY3PDZ=)KiT23)P=Cq$Q(*#)>tYOLq5c&Z$C%4_#^aj%Uq0Szde;<-YjEGN4>f+# zcro_s*ww-E{mX?9dhX^qOj|Y$N|Tf%0y6LF%)3!mAmLrvip%4BiS_gS#5l^>OSTpG z%H?ypoK}pbRKAkA5{54tj-3~FBBzMo`Qv!x1MXzpLFAkWG;I9a@nz|<%9uE1DpEKG zApid*#UW2~a*TYG0xj}$fj6%)7EY1{@vovzb73#cfsm)>qQ+|M=r(1C3SOI`4sDNyY5iD z9X)>mnzSLGMv*X;ag6aynHLBu{f%ChGRi8LG<6yd9zOELHd$nw42~;VNPkJ&96f#l zGiI7YMeRqpQ;NzVo0Vm|(LAA07WG@SMQHRK+_-aB=q{l6lIxpEx^uIo&kIlTvE}X? z_#ex`(C|$#X(j!R{WDUjT|bOllqeSU+xrZ-oc&tVJtr$u=;pEt3X6o@Zr!~nh$ZFA zN$;Cf?lKgntB=6#zytJiodfj>(z^!5qNJjV$b_2@A?r?wYS-->a=eJk(ZlD0XL zb{x~qW=aq0|H9Aewh zxG8!?_f&Q+o@716lU$PLPm%rl8Qr1M?;e+*UWOC-!bUbUZ}C0PJN~g>&oL+a^gRDC zrgFSW=ju7t!}8SSB8EG4_Y_9X9f?xoOQDf#Bdk5T7KLvL1$m#bhp(^aR}UM{ZxmRw z_wrt}@uL`<=8zViEkx|d*tGrhb~JI5Vx>+&osf7vQRH8Jd^Ku0)e?2-6V?YuZXQAY z%X}$S?K7NubP7Y~4i$4|ROw=V!cPtmasA!t0{fvqxAjq~0jT>LsXbQ(2VDc*yqPc#UIPj%_(V zfBo}TVW=2u&$t;LBci{pIpS) zWrWp)CFPt5s}IeQ;_63))iFQD>YlS{UMa@va}rh`x_t-(qX$Z3k{8Zl3?lp##9le! zU-)@EHhG*DV+-Wqex~{TbFqJ?VQT zFTg8)4w{wqjqazsurS$!7cV|>{5Ymfn+jD`Rq<}Mxw$#coH_GT&%^&6!p}7M*(U#{ zK6lsK$ywmILlDI+6YEZ)raym9?HJYAh(q$4eISgqS| zn6EodxP2e}og$!BPI{lASX61On3~SOv13PtO%i>uwKU4luyZ#fx&v)5wEc`z|^Z%>wl=-h7)PH{;f0aYtCx5x) zTOwrxIg#=)o#EFi^6=pu6UDv?6UUfn`GG$1nVIpQ%Ek@!uyXMje0VXR&a5U`PSsrapOw$cq_RIP zMan)a^y^gvii+e|)oY4He;Sc82!+q@(i!ek-er^tf4}Zi{=_FH$MTPq{CddG^eK}d zbA`^|?$csoVJ;2|B4tlGk+Rmmfk;^a5#io=mUDy7@DByg@$om?`$Q0S*(QIiz0dIR zeTnvxzLNT-`-02P!|}Ae7&UUhpG%|!x6Kdu&;(}>mce*(O3RiZFt6Se3l^=B6Dgm- z($ozqin^$3EW?8NT6q1YKJGuN0W0e=>39QWAp{l5dD&=LE zKUd2C;Bie@+bcswodP6-8kp}8h}TEY;ZfW{*bTFQx`HlrwJ0btmEmBj40$;XsBVja z_c^$db_3JLSwW?g8jRJ95HQ&VISFTg+sP=rI|0|Ps^HG`a(I8w6}Wx|*VZRvM&C(L zQBXyBRYUlVvO)HygTR?M6kZ&G>lc-9=V2AR$2=6NreiC3JF2c6LQwB+<@cESx_&n4rAT&i1G_Y;o}Ts+`J7ZJ9}to zYErsBB4*j6@X#q_?n{O9h)K{;P)2#f3J8yip9G(*#pk3OC(q#zpD1-b5Cdj#G3{RI* z(4m0FK&v7G&E1iodKx*D-)o{Hbjy^70p$?E6o{{pFPZ#D2WFI1RThYT)J_eF~7g zs87jBqds-9^@pye5p-$Xc#WKlobCI?4whebq+}xHxGBilmVo>n2M{!oU$WJKb{R?^ zGY#34#ze{>)2};Q22QrXyM4!j#Iu+?!-2-5J~Wlo;X26-S;@!n{OB2kSlPl*OB-5r zjf1_DSf%xk9{i$*tCK4XG!3AkqyhU`4&-z`!P~cQuw~D zo(9s-0e7fwOsZs37!$>g?IhVjliN;`T_uFm<>#18c78u_jfr|kCArCRUZ=XCpov9?6?O-N!zi> ze<{Xx4@I9=!HBS4ij2Mcfct4Q5Kd5^a(SfxHhuECMR0gBmd4-4hKtXz=IV2- zIG=|t=bqx? z!+N+J`u{W6?-Y%3`ow7_>+(3JYe?_&{m1Zd-3{FSWkg6)jiBp>e%df+W_n^B^R~ zOGHW_j)K|NxN=1S**Syg|C9Le{xjCD-h-wMC11Hm+dfFxoJ_6?`P;8I^-^85oS z|8ac$@EPkUf3pU1B4w`E)UNK` z$yYgOBJw9Emq*vG68?4TK8$>48Ygt595{w<9fyhZt{r6be|eGrV5%{?3;mov0LeRP z+~hsSVe&hAx99u{s8fTmJV=Jimt}bSZa7^h>D;yB5ZOffL8f)H&V&aNF%me8r`f%! zk7USr*pA#x8j~*yv3qR-I=7H)(u(qL+K|W_M$&NvPqXCtGdj}$bYIZ1C+-Nkw38Dl zTXhh9z|Y`YBAicJwTU^&Kkocc|SIsdHCRVkT~E+=~&Nx{Ldz zS<6<~vT2*B&mTSF_r;?}=N_UyEgQGQ&TVv0Q+=37IfRLnrAnizmLfI|Y$%bq=1q{u zl)N+L-}2X&h>_G^bpJ$-K)Ul3gbsE>?-l`=)X5wBrda}kW2E!Z)VJY$4Sf$80E7<3 z%ejt7ShoZI+wQ=8(N#>b+ziWJUYOH&Ca##%I0za|dg+?rad_rA4QFRrV)a-j_znqx z`-~+BnVXE|@t2Ui{~$8gMgvikC@;;QA%m$Ls@IR|``;99e>k;?C4}tYM|Jh=gU{~0 z@Y$o6M2d9p4!F|%;?@E0d?z6*dKK<0yNP@2Gx2Q4ReX%2`*st>Zu9LScBFLeLeiD4 zm0$lG@}&%K-szLBW3ps%UB0g;6Pf3d{bR-<-L?;`8`gljObO+yDIzM?5QRncke*Q! zj;<-Q@FG32&@NAr?9wYnaU3l6!L0g&9DJBSV^+x`^N65K-51#gp(9zU_fsv84Bju}?$a!7>FCUJ7 z3}8TUZNOAZB-l1G@V9VwXujikI>zUV64A0e)krLyvUxHNN!y+13Pc&Y$vpAyNhfA~!z|d4z5L zJ~7bOtO^}98N5AIko&AQ@}Jj1K%gr0^<~i2tpqRMNaPeeN8XDf1P08bIJ6pcRAlgS zRYp#BE#w!}K~T6R49d%(qig`rkrv3_oPg)slMp)I5#^ODLRm?MVWVV-+b2WjeIwwJ zwTKVWDIRqjVov>|1C7!eupVlLJKNdMJB7D*Y;gOs4sP7m!OORv)X)5b&U1LUgi#Du z4(imemS(QFeTx^&dO>&`j@!4(ex$=aG{{>|c2J z6e8@LU~Fgz4HZ>5jTlQlX&ef7B++zW0pqgTP*>4{qm!F>!JvrxFKTwQc;=v?rbcnH z71D3qLQ(otLDGL6P{$qiIfquQPHp> z)Jm(t&dLstAN_zxIbawb(;W9I?jXgqwy30O2vtQD*iii+?M=a}v~!r}>WNC_jiEyM zCwJ|I3$ZK6hrR|p&HwowDYJ7B=o<(VeG{mVeXPu^#45f_q+D7|q%5P11_P(UA?_v? zk*SwG17aW1t$y!6DEEQI*;3h|=Rcs2^Bky_uO=r_j>4H!>_h+e5B>phP6;AqZ#j{Y ziF^Fikcolmg1q-to)mo)lJV*@a;e++DP+l~lHW`5lT3c9$xm~$=pQBnvJQz{_*Hi? zA&{TY-pP@OlvVyO5Gi{|M9OTkmw38Nb}88|7RhcOL>u`${{m5LpYLr}oLn@g|=vARrKqj!x*-tp~~wCi1mEBUP$Y#e@kHNr$i4q1)Zv z^Bdi6Ztn2)^%G(?(;N*OHu`cclhe%1%)|?mEUvDuaB*?@q44gNetv#phwq6KC!$WB z+TYaUuPF8E)x+e;lSQ3OtWS&^k#v*xh0vWBmpKb`j_ zo4Lc;$r<*xws3TCMCAM>NH}*7r*jGK6VIYUzY*Wm>Gwp1eB3^L`cfTS#dz@g!C2um z9!E}8X7}zr1i_Q9H6mYq#E21M{Bb|==a1UP!u`x`<2Ldx-p!jg|5ArqwQ5tFr;E1v z`udYCNDq};EN&z|?ym6naD^M$)6^pn1MLIQc=&XvRQ$(dqCGD%w!ewmM7zT+1I`K3U90gqp5=l}VoJKi;@x(sDnl))h9L5SKD zg|Gu*=p5boOTKRNyTLEX4=$Tr(0E#7$m+?U)J6%z{f1+1(p>m%@kO(#%^<5o=^d0X zICwCE4+LY@npvnZt_EbasNJ2_F)CyfLiUBge6cwy4XFfKO^Q`|>tOuc@d!;0#k57! zP;O8;$ZE(?u3tG!n>P)i@u8RyJpsBsbw&P)!z*Iu@|g%p4#B9%QPAk7A=0alst)U4 ztP#977=!%=qip-KqCQP$G!^6$-sNk2#CT|R(UM+JY)L|~ka+3US`iA?@|P%?SU~Cg za%cb8euzwpL_}N!y4m%BtQnnaB|~SouJGOG3%BjAXl_Acu0H8$ses;Yy%7)>0LL|s zXfUY(WVPvD=%|9x6sONWIv-x!ywGq;!!PN>0*48ESS+zXa9ZUA|9$@GF_+rPAO!y zZFGq00P}U`@Zal?m?JTWPK}2B5__~6NOoe<)^~Hs|EefeYlf-=W}xvDFSIfXK=Uc? zsNQD+N}K!}FO=SVj)nG)L0@PyaVYy_Trg`Tc+1bOtwdA}0{B~)zN6P;(cpzXw2(5WZA$op3o zlk$}?rq>{NO|yc(sV&^6SiohX8C)jGg}+ZQh0C}Za2Y#|WD3b7`hPN9#?${3>D)JY zrhk=jU&VdADg35d!F#d=CiWhTD&=Vo{XuyZ$hNn&$wTOG-6YMCn$gBz)j| zzY+=WRNItdd5Y$RS|e-0VzGsomnTL|gmGVEC^k_<%SkQay~Z1{sj(Qh zVjR@1$&aQvk9P^O+ii!y_(1gY>W5Nos4ObKm1!#kY!ASk#5ow~IS`61X>OzPTUfS$ z+Ya)r_jseDV@IhTRCb419R!|uZ1zBF3z}EBt}O_w-3Y7W39Gldpy|w}0;@~4E``1x zeG#}j5caF>QFlUJfkA4W)i5q{oWLgD0jk9e8e41&Iw$7bX)-8UDGGe#Iaj>E&;G<% z!duVku-$5lg{cc+HO~sh{b)WVdz2qs9y6BC5coVcW-PRN65cW%4XXl+r4|D3h6fFY zYA01uPOS;GV7ty1L5V>a7%&iJ+LsaYY{SV7;kedO;At<{UZQQ>#`ZSt5fUGQ*#~E% zuV-H=o$<)3Ej+h+3gPdfKj|FjiB5?Y*YLH)c4D31W3_TeWsD9ZtR`RK8?5d?SnW$# zy_c|h6JfPGjWfaseJ8@|ErivCy>`n9tB1<5x|cpCMNX2idNpCSJz+BCui`~my_>L_ zuzkkd8PMw~$7;IHa-k)H;|Z&m3>Bmj9xJ>fmsg^fSZv^Xtae=K_?uWgJ83qC_zsbL zASN`jFm~~nW>O{lfqYFdk&?%CXA<@wd3<$}(li`2Fl5yb1SSWh{bubEZj?RJac3%vz7y#|^zdv7BuU!X|r_F#5`RIN@ z!EmFP!^MOAZMnF4QVbFp4BvntOgA$}ou6L#heZKxC}J z;1GCFTs(B-DCikU?>zjBXzA%=;Lu^B&aA_e;@*k7gklR<-m$p*gO6nnEyin~cS+s0SeCJ@uiW};4g zX|d(+MWcEX)EhVz&Bi;S9+g{bs1;g|v%zRfXE;#I<>gIt6xEUI>&A7ac!xh{dH7<2 zdkA`2`=C~@k&y9%20zgMBQa{x1CxI_i0E6u+%q}w|78%PHf5SFE6a_`J>(m*x4&-Z#aT6fyU9w+AITX{n(D-q2a)pbjrG$~ACcD38w`lTfN|w6o@&eW3lY)F9_TtVVrgkx=$$v6mcmF z&jGzjm}JeqHv8G+i)(k$#)!ZX2-+J2`&IU6JgG4hnkb-R--c$IhJ}Rdbsp} zf1E#@);S66WHeMeOf)VFlP1` z5&LjExxH);{oaH}F%lke49T&iz#WP$?N-?dJThHm2L1lzlT!OCkE}{qZ7Hyt%i&m+ z%Vj)dTx5TNW7^_$#!tr4pTcTNZ#$E)dJ|za#g1*m39I=zgDGM4V#4a9gw?wVt1abN zO&HPBv8RX~9d{5``_sKf_@!)3SiPFCnqo(eLmN)~d8~F`Lsa#j~TUiHVE7iA(cNMWasq=PcOrAR#HOJHx`VHF} zV!*imaCLEpzn3q(d5&{+`9^$b9uEu%fQz#;diUxD75VeJpOK0cOfYWjSfL}p-yfbH z9^dG8cXNfGuMhnFd|_p2AxMhF*Ve6D7t^Qnb3ExeA3xLl(Q~nXm+-}Y~~L~2M2U&FTFb`L_q}=Tlc0|(i&|h zyQ0}RN7U>y38l+_je9GZl*hyg<4K2)(C^{y{*8Wjs*fMpgY9N!X8MJ!$kMoRV?m}Y ze)ju&&%^&6QfxD49xJ_jLrqOuWPlfu8ai|c^}oAllb7eW<3O^RkFc4YjSX5g|GMLN z8KcT*IeGxgKs3K5x|+J8S{vz|z3-)NojRB?eVVX~KR-Km=jXg%_4EHc#<*XmO`U>T zHEI0IujK_Jt*xzv&Ah$&dG&wRW+lbW&Q8>Y?YMdKX7QWM|IY{6|L^0ka>)DSFL(TU zN6Ptl_l9>7ss%2liWlpQI1^^!=2b!urNCTuX$ZwKT3^LZ%Bo{j8> zVgFHio*5;|F35<)mbFvRwNoW1D98wSnql#h<^Lj)QW3RkRm1$bAt-!)pU$wZ!XMhc zIE{CueDms$_37K!+^3n6jvwfj$gx~@K2lS5qU4U0F>~hpiA2iMs9J@0r1U{vE=95b zhW5TH`XlXqjuUC|Uv{LdRH+I={}dwSWfB__eVjcf!?^J>C@Jwuw9T<_!CD$K@9^M3 zIxMU_p`xabD&)v6SR}*iHwt)gUq;R#Ir_>JkW_Ah*w{6A^ZG3wK1_#|wHH*>4N$q7 z4D(|t|7#hg%V2Fs0f0I=PkOa5-^vfK6Vvc$a{^`!F@Hqe*0v+S&3(X&F~CO^;DZsF%K^A>6qlFn!_?kmp`xOU za{5N_p6Gz5{KDjocpz^y@UATBtxPhPXNYBiGqq7s#wbWr;!5C4qqfkg=k5`LwA}2Ci zPhZNfFN1-4MFdWEM!}v#$f5jR<9YYU@-U#FB_LFW{CpM#L`N&23je?+chp#_7*~M* zWG6h@bO^Yb0_0AkZd4~*84C))HLaxEVOb1kT;mr&O-ItT!ZF4_u(R?^+_>9*@V)==GR9bUylanTaSLtpfc=NdeM4W$%-KX#4VOlCa z3i2We69q+Gf6hMd({_JrkK%qUmJ;b-?NhAhd)>w9U-b+7)3sdAC;A_JeMvb(X=h3A zi3GeLYQHD>S6tQg^zu?70%Ch8dnBwf2D`I!Y9Xk`zqE&Awl$K%e zFd2@YlF_^%!{+VeQ@4>pK~@Gs+YH8;MVs*X&>8Fuh(*g-dZQe3~>bx&> zHrmyz4;kg}J7ge^ok(NlRIhk+X#bT+d5lS~RLz9_3Fz3lqo_}xetpEMU3~nCNO@RJ zq)a}5PCdJc{JmSW#Nl~MsNc^bF=9SC*KaKH_v+UVhmOdJln0KYbJt-af6v}Bq#j}$ zDk3RG_LWH4q!&_S$QLNb;Q}f@sRY<7CH? zB7fKJGWuW2e~>#s4u_c$x$z4Te~*q^u`y?XZ&W4LAO zmSiu9NO|V`MGPaqL7{XR)FZ!jVb9tqbfdA)WJ>>`cJ{|AqLfJ--gbnA`u z7zZTnPr=;FuVI(?5`#TYp?j|-nBLVB+s02vp6gH=3w;Pkdy$TQxG`%aBKn)7e;Yq^ z8NLByV(-E8^k=L*oq@9(m*YjiIHCKqYfrrM9Qecf{#QlVlj=pE@4N=%qc69Q<{p0@ z^K$W{G+(lj??Ai@u|m$=)p)S{G9GQoKtW2E5m`1iDE}fcn|!wp5Ktq ze#7y>XNc&F;<|ia&yPNXr5!8%hvEE;ZkSTLI#gv8r%{X=y+B5BngTL1WN>tqksmID ziFP$anR%ip;V8vp2jDc)5}K+8BIXR4Eko{e8J;|)*d|zp^8Atm%_+rFqjntxg)YE@ zCme6{;$SW$+7w5UWKryKE)kcO?Z%9L{2cF)Z z(9)&!@`hBOU`j7QPHwJv5Ur!50|NsC@gq<{ULJCCvf<_D105rMigh#*FvS%4ds2`a zmkjSoGoYiP34Mxz0w@;BrK70ZLpi6O@AW}}G zF;9A)?M;F2G&AVwXhBz7Q+($%KIc#RIWJ%16W}j)tr0}ZfH}}Nstz5BFTE+w%*~}3 zGLLK?z&}37s123j**w~X3EacTj@s}$cFl%qIRr5rrR z+ESc$kmBPb2%hdL77WrLT^0^9+`PxQR*r0MLq1sw9#cH(JlYC+6w9eo{VWDf!L3y^ zPA`$qmSYaQp_miYN05k=Po6x7n|m15xtt&s3Ip)4jC!*ygHDExwcNISiT%o zRh3~gatt1C-HTU=M={UF36%`XK}D%7Cim=%3rp8h404<7Mz(lMj^)ao$`Gglo&_@&Q?x=vHb*|!KhS@a*1pi?gq zK`{}R?UD2ZUCjMa)}SI($>vkWkNL~(NLi!$*BvS2l8=gACi$u1GxE=$zWVg7yk_}~ zO!}T)^d66%zrln2*CemR=fm%$_#A(K{Nf!lUVf14`TPUjpT7_c@8yymqvbnNDk@^o zzyW_vBBcWFNZA{k_9O~>v1gdaJ8%A~ZBqLryL}+Lz5N4rdy5RZrr1Wm(oMoM3YYzu z^hPiG1$6|Gl46p-iIo49gK+?byCHvafh${gT&8w$YVetN*KZ{hsKMPs}=vjf_#% zq^b~(hmIcQbTowa7;TiQUP`2w zs#Xfxqba7N{CuACaXMe8GeHN24u;SitSR)e{xbE-KxY!A+Zl@TxgJ~}jTRcBel^`| z!f2ooN*hwSY^T~J{DQxnm?y}l++McJRI0C;0`#2qP~E3Gs?Vwp-FCW=X;4{OGN^Q+ zSaufK!(0!_t;p`Gbd9bIYTeafU_)bix-OKOke#TU(&b9SXs{7#delT^i^@=MN_FG% zDNa)#Kz5?~a(hbGrg~93lqxI1c&ssMdeuaQsTH77hsF-usS4R?B-x4Vp*cbmij^gM z@cD|v!2p5$WEik9!_Kr2fFv=WLhRiRQ4r%xYUGUA4AcPTpy(dO3KEbb7>2*jXT-KmuzDyA49yGRq+_6_KBB4`9)GD z@Nk{1NzBL|0~DZ8lj_1_t~fuB18Rphj}ID8TBEg4x~61TO%+X4E>{^fE7gR)w!V~~ z{76B9Hf!U`Bn}L{r_H+HPL9e1tu;#1m~0-_?&nNx1zbk2bC=&Nf%{g3nq z3(qZl|MCm!O!nd(C~1yS?5+sK>NL0Vyj3zk6SbLK&DhB=#`C;HI+SWDL1!GzH;hw5 zv{0%-DJUot*43x^W(v(W-h?|e$MIapb6y$iGSGFQdDhwhYF*T%-G&H%wOVVT>a41$ z;Zj2o9~5<^I+SfqJ~!cvzO}wYKGdZA8WLeZ-;(BEbA70^C5+&Cljqs4G|v(S@h+6g zEy*UNTc$@?(^Fl-rfG!9jR}*Bv1zCro6IXiqY2>zV-w|7jX9xgFt=1=)i{xh>U&()b+b;k)D0u-d3J3y$GwRk1LL=2$dRgtfn?=4U}WG6WPF=Y``|NA*}W$tR|Zo4>g8Tg&$!x zl}Y%i6PXl zyeO;4%l97>Dj9nj+Zp4@w-V=gSIgd%ccuc$+Lnc;ttRyC^ijd10;>C0M-|5^(C$us z$#`7czTXfHex6T$wgLIbdhBbH@BAeOU>}{*IY#+WO!<$b6t!EMV*t{@W$5XBt6#(( z@^V!urumVM-xFnu8;a}5x=QM1(NtH3x^fv9mN!A`!ILm4W;>kY@5AfpbGRJL!L-#U z(Q2YIO8o{&QJrkVF-UnMLl_(CqdbX`0mW*_);HApGchMGZZ)8E*&k)oJid z&4DMCYrFRW#>T{<)zE1$GO0xMQxf&$`j(83bf`Vr6mzNR>Z6qMAG1yMjsr1s^)ZB9 z{0QqcN6>QMSZM3%QrxH{eh1PsGJ<~jaxgG14;^ZsVp+P+N?fl*c%iDTNB7=u>|R_- z5gOF5`sK?D9eU-A#NwB_q)RNENw(oJ!?yb^+x(vJxX~e8{H<}rW$4m5U22CYlky5| zWQ=4?_#FS$Nyy$Gur7a6OY5mwJ6 ztoEq^Lsy!YXVSb(^$~L^@@jT1z3wrP(4KKP4!~zWDFItBh{Ph&+TB`(Hu(l;8>d4DPkXPcjZ#h z8X@5k$B-OLayrM39Ak3q$T6o<6)KnUsE$0w=2)6yR6z`+av2X97a1Qpre*&@*op9y zarCFKn$o|C9c>A#OT>=V{m3?!C2T{OpxWUFv7OW0Dsd;7{&P{$wJe+y-CbMZz}5#>)O=4;q){hm=RzO5e>V92@d; z2Hu60bgR0kLeHIi8fuGLS2ZXYD$ra&V?sk8)lI64XE_?G^5=Bi|4feLXB1pFt_#Pg zyn8FB)7bigrHt+Tyn`{6?q{pND_jred4%bHb9>Rcz56qe9lgg|ON;?FHNz zt5=;si}4Onps`S#PgO-31|`b>UO%U^-Pk@Q^|P=Yzt-!&V>ABFJ3f}I7e5RAYO^1- zNq()4wl)+Mza0l`&N=C5xjlcTON;=Z9eNqR4M#L2Rfvw`gHF_Qb8n-8<*;;XUi; zHJ^)qtzW#(!ABu>?pTkuZKM^>YS*rVWy^l~6Nr?F=+UFE(5XU>$B+Rv5gj@RzbqV$ z#dAksZsd^vs4R>bj$amx#)8?yFk^Ca7#m3s7wXh$fW?cK{ZmBB0|%0*jnd9H6)PGe zW_AdQ3Nz(rKTzL&XYIjj^n!cW@|m4_5p#huRcG?G65QdlyLUjh!EgZCh60 z%H`vD^NM$!{H^x#y7GLyL)x(e7(7TCZyc2J3b_9|k&@fCoMaqMpRbEyylp?*vs^7K zp0@_z7m9LoVD9S#Sq&wWYcIp1O;suXKsR8CT1ko*(^(+?_elC#J zEDNJ{GA!6oMdZ(V+#Qw{y22SYR5!r_$57z>J>;aFg}uEM1w$%OtRurfa_HBsmEq>4 zW@O*3bS-c%GY`{z?8#YIgl+>(gm`%1^{Lx*;xrzfoQOTUWJubljrI1> z*+j8|iB4l}1p0X6<+*#*+;ezzauW9Jr2NSS$j@aW}SI4lake^owM`t&bDx(A) z3eqF3obmDWO%$9w2Pem=6yQ?OMZsyr96x+W&qBeKdvG?hM(I+ep-lmP_$(KEIDZGv z&s~O#`%ILk_G&d!LwKMQ-e0(m=ZDV2b=-86p}<0uoT1RLD4JtG;|1N@ZZW|qQ%?(; z6xfFRQUUKi55kM*{o(FW9!eCfXq3@Kh?yteoxFj<)0g4lVh<$>vNT%A5WKt+-ctUe z!v65^G)7qpqSZ_5AZUg=-k!LDqBB?E<>mzCI+{>#B11s54&G$8!`u5EFx#^dj5weq z8wt^tp?asPhz<$H^V4^LN7s;f#uD+nN+Tgr9Z$2ENckSmUVMPZ+z7ItA#@vR!^6oD z&yL@q{MYgHybTieltuh*C1jqTC3NJSxJh+zfnF0m>Qf!KyEq|NP5Jkg zMdmGMnkF)ke>Vp{p@GnEX+&d20|EA?;)T$+d(#j&-VAD`l~9)Y$z!?|icVa`yDPU5 z8RiM2mIlzKVAbEj6fYBx;QhYih?;5xJr&6z?a;9yB0{Y&H`X5gr?yAg21+n&q=R4w zbG+P}ijTX~Fn@|YDythpQK<~t4D0~^wE+67>QNFkuw+7Ud8;4-s;X(3F?5Fva^)Gj`#7cK7ta9g13-P(i!%C#D@SKJ15#G48V>RAh zI|=08{~i7EvL9W=!<1b}B>ij1zAL@#sQ%8_Ky_Z{Yme2Wb0w9r)NuxuI84VzKL?!M zxCF1Sq>+BoQSkT&`bqLAt$^g=EgU^?8NSgcFx7cK%^@qW&?gX^10At7$R3;M{%0E% z+irWfC+_Z{dHdcGAma*->^+HD?)xx&<}O6Xq~PJ{i;`~eLKaVf%y7;4J08NcrzwlKLh6 z1~QK&AccGtt~b|_+wpz<*U0O?Im8{OH!elt1+ouc&;85ei0jP%XWzlc+vjn3%NF=J z`@z&~Dpsx;ix-7ssXqo|`}W#$BBc^W4WEIl=jl2+4jes#j-&dEA6^;}7W;3KVf_gi zW(CP$QbUGPG9`@fG7i@_r2se6abW#MbR5z}JjANgTL!OS84f0vL4LX!X_ItriQkXr z!`eWhjsp6b4#m+k)Mh^3CF0`wwn$7=!0j7DDM3o#w*LTH4sR!3nCN3R3`fq>z~JLk z3NBsjAoAb3HkcB~j&$ruOhT)X9fbbDR)cZj#s!g{vi~4DcA~n`95tw27o1zO6S$R* zlntxUaS*TSu7H8ogK_ryIg$S$4}ssU$~`T?|wLajOHRb4xK%MF4MY;{Qbss!s*>q?%gaL{$(S&HES*M_wCysr{wt$ zpFM?cQwEFteN2^c;!-P0B|9B#h3?(?#V`f*Y26PeR_~$ll7(YC;?QG6Z)&d$y=JQ7 z_@&mAe;AG(Y>6J-qz8;WyAMZNs#O293un=D`f#DY$F#Eae@l`7_@P$l)m?gE)r0aM zBVU7$(>JbSpw$Fn&&nNSu=A8*|6Uog?vJLklC6@CokG`1eT2^TW4mGRL5W0)PbYEx zN?+KHyDt@8;3~pTQC*{A`nf$j@k(!cr20bQ9 z`8$p6gw&H#I`;|p4fhfE755n*=dNGCKnt>A4Fz=U*97eV*nxh)9T9)f0*TZu7K zvvYYkxsSp6Wqt^7nt|rEYX~A`E3xk6I-GoPl6*Gy+JF;hPGI14Y8Ta~_3+k+KP(YMuV1>22@_1|zAFO*Dq~7r zL#!Fn9J|N1!S>Ouuwi(M|4G?6yruYDKeQ><3}}E={pwiD?Tsf`B&v@ zSi&}VVEO@6oBO~aaE>yUcbG_)}mjUNUN>p3uWI{<5^tq7ap zfHgFKZ5>h{TZT3i`8N(}j7@!OVdJ0%SYta0%eL%6%(Zv$qT6rks%#8(IE0Cl7Q=Ux zDOQc>fZfCEB4tc%B#v!_h5cG$bc4>QU3nzx_6)<&MYrKaZtC2NFR^^#QmmWUopjg4 zrv7!P&dq;S*Z;Px8`>Nz2Q|i;!A+4cr5hf2PX!kH0Bgg6HDSO?^50hkP}oRm%l&}W z^YL+00$#=4#Onj(YaAzs;ymHu37XILQT(XB&b~XYSy|sY~#6bA?{Ra!{lAXQZ!;{Bju<9+Y9In+#?72SNUE zP>F&T4DWa8~Aw7M3WwM#fwOKO>`0H z?Tr_w34@ghf>%UP4E7O4&tJpW z(;qtOIxx~TLR9E%ynp){g>PTMeXb{z>MKE`sRn|UhTts)qpynI!QUqcI$C-#)X+nO zlMmkC$wblphwuz`fO3PfP_M6y0B;+-Id>H=k6niMG)pL#E(0|sN;k8`o8y#Deu0mh z1ypL6hH6uaf0hR0^~d*k_3|Bjd;_4OrUungW#Bj6nqu!8cy;kQ{CsVpTE8q*>M6mO zzQ4M3U7YhbwGnxF#~vRqKfKI;h1YN1!hb;&)EXO7on-KyYlN4t`{2!sz6kI&h8q9y zSXvui7G5a2{{Ps!3h=6uq?-hnK!UrwySux)y9Yu7arY2|0KwheEd))l;1FDb1$X!J z*XjFScw}H;c6N4W|DpNnyPK}5?rj&*$6>lMu>_q!|MxnGR8ZL zCxVB46U1MoQV1U|-G5~oDRGUYLofaK>;K6QDZs%eVDz;D%{#Hy?nH>QsiW!e`w-!|>|mD~y{y4moS* zMDo(fG2Cl7uHC(c*DqgV+Qdo7pF5WtD zczX94FQutC+jw7o*9T9X!`x+S;5#7zL+@xvn37M?kg-n}`b>X{l z;pSaDmthmrcqUDsFn#^3dfej|@ER9{tl4v_vNdYh5Ic5=-SRgGuV25$eaDfz5LdGh5u*}+5cmLuFC(Yz_p&fefz4- z1iUeq3;Vfq=SGekIkXK9=H_a{0f~Pbl3_fZySNd6-{#4aM?Dh~<4L)4j@%^N^Sy^JN;}E8~BQ{-|ueMTY!_b^V^q(wL@3io`}p zogz7kR;`cjK`Y^M@HNJ4yo{zposqv#5oAf17TGdq{BD`~WV`>-zQX>c|CW7E|NdLw z8j_wz-zReB%=w39mR8=SN|P4JP0f(nEWJ7)Bxg>oPZ;(K{ogDr%gk@Tm$qTPl!gAc z@H5|jkGP>Wy?J`INh)#j1e;S$jF2c=aU);F^mMnT?ukVM-Io2p4Fm|2)1O^-B4DmD-|ASTM12&r16g84{ur0$YhIb3j~ zAvb@fYNLzqAn|#0@p(+t{~6Es${|DI`5nJk@seJmY{D=0XwsrdResEu4jDn3t4 zEOO$;PCAls<0d!b^5zR3UL^d<@+MObluAzRQX_G0X@73iDyK!ry;t{zHC<#odtE9eN9rBAVtX(NZVcbrJdQ{CWA%ZdVW6XJV<8?u}2e` zCPK=JQrEsxSLsV?Gc09AKFW<7KIwEs*^3Mkr%Q~~HB-ZEu=EM*G)QJ4vLTtH@Q?pXj8* z-$p!-kgiLz(QiVS9^$Y`DxB`eiHAJ3^6f8-e!ib=lkB@h+|IBvgzR-?R7uy`qCNShj& z1aESP?&SA5a|+2bYj$a$EIE)ia}JoxGjldAef@jGJV{wHWk7~Bsgw?`(XKa!&)tKN z3&7lGz@itxjH|$yc{@C-nbM2yH&25?rOKg${dl+TtYd8vZm+eVsn*eiqvV<+(-5d8ROq4{4PfZl9Zj#Oqr1*Yc@>|s$9R7&A&tm z>q@z2%Z{9ao6I}2nc!7wQ*}6&Y08vHBhN1+|4${)G?by}1HrX4f@f(`r~dMu&s6e% zJx@B}`4-8yrt+R-CRm>-OJ>!^Yzx&M3CqoXl1bv_nX_zmhN9mwx zpzEmnr~}Q02u{@&{ghsFMo^B})Uk9bCt)+fBq<#{gq;L|2bHwMA z%~ER4DuQ>3i;4Vtn!;>^#5WS$q4S@VnfS@FvdqL)6LX2Doal5z=K?wm5WhrD3XiG@ z9#I~Hq<(ZPrTpkbz#E;2b$Vw(Nt-g~p$EUgYL01$)f_7rVs&Q0>ITxr!==wj+bL%b zJuXrPyw#D|%RWIFw7qPm;7pzV-I+b!NQG6^5v6uab z^_F&zceW5poFOsN)lG+-Bjh*WB>K}nEsTfBd-AjYv9C}DbflCzB^oJX9UGC8Hnt!=w+ej`ABZu4|0+MbaZz zwp{A>nKQfgd)NQ=sRuaD=J=YM=1EGQBq04e!*Tj|^@loOcCP*T(OrI$WYIV1O)#}rjbRAeASi0ybFzNJr3<+M0^0gZy zbB1&Zdx^oUV`gQ8hz^pplcp5erZAOpvHYDXjdHL|%RF@TGL#L!&nW3+PH&D<)#{PiIZTr#gJ}}c zA<2>}=fLdgG9bI)BMzO25k>{eyj+W!8^xzWPlO-;=YPQuZvO*Q<#vdZa|k;bM0YGpN6ar97-aba7G> z(FaL0AXoZa$WcUePxG`e=`X)$?yaTn5q&`1N!eKRxL^To&EzGLEB&Z&N^fiGb)uxt z!wKkkZazxN-&*vO&JoZkn-H;=*hD98I>8B6Cn+d;T!+=ETZ*ljU1vKMkv8tG!=@&J zO_?N5iBDW2G1ByscqtE^^Acy&VKs4q&T7=PhIS)$DQ!uXlloVOLA+JdxU24+UN*!R z+B?J}v5!*K6Foaj+NW=t_=66J4=EZ7ZcF|w2Oa1cpD2syV&o<^PufW*_exLYKUxz?ZW>} z+mYijIyg$35vx--kh&79$B2%xkUqpQi@x8muDpF&ht;aDu#ZSu#L_G_GTyVzjZ9-R zDJOn42I6hXw9AFxIFGbt3rUX$1?Ud-QT5~8LIxqRHBC)K&zj2kQhqn-tENYiPSOrT zrJs#SinL=zP6I_wwWObAkaYBTsG}4~Qy{y!=#qRnVOGNoCY?;wK@4ea(jvQkc4Qlr z4QZ;1t(jKZ#NfLm*^|Jmr5UnWWmC?sd1~fC&a63+DUV=pUCFDjvAS8D2VkTbA-3r# z88=u-Kal>Is9hq3#neM-lciO2NQOA0^c{5-b%^}Uc{Spbps8X8VO1N zPVWGyu*Oi|{LZ(3HRZU)9Am|`hj3} z_H6OCcS=*u_D(DIau%^`*}n|2iT&nB_13>5pME^1|Mo{?x&IV#-G#qtwj*yAjgHp# zWd45*QrBPM?-F!v^0y1imoHaW?Xrjk3+BhHnbYv`!x!hh&!69YMPI@PL`ChwsFA}^ zs$>!5$es!L1zd|4FZ2}^C?LkCyenEHKl=CYh45_~@!>tUC1~OM>3_oN)yq+#f_8mw z-n@A+b?US~?MS(G>sB;s)I_C8N-hJc!UfWzq!`D=i z(W1pMefq!VNV#p>Hq@%6ZC1~mITL~c{qgc89sG3RH!}aSaq9T-XgJu9LHY8fq}?(j zZ=M_|Uaa6(ZCa>c9`&wZfjsEiwF6eKT83AzxKT|Pev|%}up?p{>es8Q%0`3D*Vp$? zJ5usiqehJzt2AkRdwIFz$zwWp>cZ#u3Ci=OzA-V!;p*yuN|nkAXk|v8JlRn!VSNkb zRqyiW&xH;hT4U*w1$h33<3P_U%FQW?FwE2=Qv>hYxvU<(~=c6LgF{h=b7q zXxX}iN&Ifm4_6C7>3bx>w1^SHABl(8R%1vX&B-=h+Dw=dG=o1i zJbLp4L#A0M{&d|FBP@KV;(v5w4TkpD%5Rn`9m3ov01w{b`NKP~n`?taZDp`qMh5VO zjpVm#1nU76aB}w=`4+e@ePZx*NwcZcy`w3DmyH9Sy}_p&w=mUj5Ng#hLaSz`*fd|_ zpT5DvxLX(+I#`v#RQLmz`2#QB;`6QBm_BZZ;&0g^4K}WzBc+u2)pLxPIa(QwsXL@Z z$Vy+}^&7mna0ixshN?2BP9^#JgwO{NFFwA+=y_J^s{B-)QXyzluuA9U{nxOz7_0cX zNj1>U6S(sVuO8il^~{lK5;tY5#0Z#V1)MsMSK-Gnrd=<^pE5;C_&az3cVFZ6lLxSw zX{pjr(JmSMmwEutU*Pqnd$1iiO7SO8mI8k6QeSDpH&Q6uMNVRvnIL%wBlxcEC8gPk zH;=c%&Z>jrPiB$=KGu@XtrvLv_#qr-*+}}vNGAN=>oorNPs8CbrlaCdVw@aaquqd; z&++cbV>r%pgh`vENGf&km{1>|Pffz-y6q`zP}Ieee{E!|c$oo(US% zGsdFHwknMePhY@ykvB4S%ZT*C@3qha?;bys4*CpBr#qoh!z8F*(+CUQ2LgvqrVE_V}yJ?Go#4poJiSQ41Q^&99=SFe25i3?mi&=M=`s< zGYY5CHiafCo(%bh=0_=?QYh_L8YSIJqJ(RScq-;n47qG`A(u@qGz@O2oGDe1-_rOs zqp`FBdl9E2YuSLLWRQlP*(I)^-@JqyKKpLs#&Hu%1hd11&7rE8BI|zeM;0TQv?&-9B}tyj4pv6hl|n$=br@Z*2JNQ z^ISA-)*dxV6hyTmxlyxJ5!5PMLbXj9kx%oQRWRMx2~W-)0zSUN+3PP6u<0hoE{(;K z{rB9Bb8to(gi^shYi2N4L??%Tc@qhwska+m&2`Pp~*u3?q(2ynJX>?zH$?du@pN|6U- zob)Iz4j0Z|l(E4nQQzm}-6f%YShn02Wy*rDD51_G23x#t@x*4H_ zn-OaH$(WT3K&d6pC@BWD8i?3EQg%_GQKxsHiFZ?N159Nj#c}`_j_$-5%j#&{*ciSZ9q=Gliw}?4g=&s9)Ph{=fc7|{ zHMR7E+9KwT7Qc7PUevCkInlLg&>W|?iEflK z?>)8!wWT~N{lGRjbz8fwH*#wv>Qt|zob*~ZZH-g=wfLyZQK;)K<2>PSIj$v6#%X;f zYMaE@(8hl)8n?jlD9wR$-_`x7=UHFzxA1C$uUB)v)avYbd#hbO?xP2FB-Wumiii@iC;M!xiMMz zkKoYGiD;zbZ(OehqIYS1Bl`MLH1?4;75+xvrRD#VRQgADPezmaWfXrS;XkC~k9lw& zox^%6d2-S+b7{fNT1FV}+7%BjXfio;^%xp^H&bcWb!&jV7euxa;w~J6yJK^)ON`)P zQy;Ns1-~RjUp^`I20($$>Nq9K7Q2h2|>*C63&GGW^m6K@hA^d`E zbsXy;>Y^5Z>cA=0Hf*Ch(za|fhSPDU(b~6-O277)YS_!0Sw-fj!w;yoXB}%+uZ6u^ zwOc@A;$qO&x2>9}t!ZBqyU%HkotKYYM&FKokw|_QoLtY}sQ_vR)InLF@<=~4qpEM3 zo+(hmIxlKDltI}}1(80Rc1l_5%%&*ZwFqhk*F^nU^-*_PUDOV(joRadf`rD^Mirkb zDD74n)%~i&d7U$^KGN_j=4uSud$(8RsXDeQw#R6Wow28{V{orw>UYOHawe7X=1PzH z`7)wz-i)Z7JHtPZ@{pwN@~n{~EvjTphKgn;sFW@-s%1@$TDjBf-v1lqV~|(P9A>DR zDFrH;o1j8ku@TY;nHi&U`Xs2%e5GB!mv2oeXSEE8Q6pPw!TyFA?z_{n#^(a$l+VH_HiEQqSz zQmxDh%KG1?>N(6&CPQ*m%#s@YtCz)Qd$CpMjDART3~vwKwL?q=`F-rzCeLtQp({#V zbm>09utS2yM{fxJ%DD5G;O~LM!0w$I?+)SRcRM4#O?!lodF+qE(?#WrBnT&j*e>P%z!GHGNMe{jL0Knb2^0aH(FfAkc;NpZd99>2o#yZ2xfCN`nioXI*S7aPo1%_h8m@)4ePUNBE#jx1@jV1k#FS=o5+ zV86s(ExsjfpA=qeylEofVd;p^H=atqkKjT(yrnS`wMz{5)t-|6XG#APTx{LcSS68> z3EW27;nU4$_#kPyEwD%8)`?)!${4Ql_s8c?)jRi54vLrGxY)Q#+8^*yQgdJ7jU;VT zz*xpB&PzK9oNmMC7ZHL%J&~A;cTy(DPDAi+$0>X|aTZ=7qmZ;&VzmLraYbi=6zNC8 z?=AdExZr7&2=+s4@aF165zsr#+BO{phZNB^JQWqYtcVfXHZ{eDSz?Djc!G~&LwYQ5 zL$bEXRGV5)wZw~i_hlUT0;{LHqG^L9>Q?Ew)9mPNrR3|q${)$wr%?Q(=h)!+TN&F) zL#VY*FcJmSIEj z{Jsq0@4d!&CtsK*Po?-r4Y9=Y+uB^%_|4;yri);t^aG1o7I^kfY-YjcQ2$V*G1U&j z8qs4Ao}H6)-n_@ewSh?6S@ZNcTJArbBE*F(`$JB{57VAPC=fWn$1i~lrB5W@|A>n zfzn8uw*=DWDgGZNv;3u0-l=jFlJb06%0UvH&W7(k~h|J5szi7-=HD3@6-;x z(1&-@i492~p1gR4B`a2;U8gR{oxdP5XV0M)KMNHr5l;n+7DtZUdF5SBlrC2RHV#he zmTrAu>wYi&$IoBD*FOLmGif)z)u~el+qY}us{eMNBjtn%6V$>Y%T~2ob*$T{9iE{N z->cUP(KD;pZ$!6Vy^*hAVYP`WkI2tZZiPf{IrHRG?~0cygHcvv5OpwG=@WhUUivR3 z{m@Ba$eAm*DqE#W6|r{hTHV`U;Xf5b_WzimtMWf8T)lb~OP4NH>nL`1cJT7@LQqf; z#*G^XPft%cJ3GV4$w?9aHYCG%^7{MxBRDuXo|ujy>EiOm*44fV8;2b;^&TEgu z_0Su5L_UPy(j5T8Ks~<*8Xt;ae{Tej^ZRaj`8V6$!^7jN{0+;LFrIyd{Y(F?ySsZl zkKs4pB7Hh8E-tE0tQ*r|U4KtzE<*0E&Tw;a#u$4ibRFr41_K??Y?w2KIC#T%Tp&Wn z2V+8r)XSiLB>iuJd44Z_l0KfYV7*wbpZOjAhNO&qdbxVR*Tolh)^=#zu`yDYlW{O_ z9^i(^yhcb_HYMt}tq&J#7x;Vlqq}2wWFIbA&dq>y(BP)k0Y<1BQWtKUq(7|jMSI)! z$XGq2wwaZ-U3($k$Uy#1VuKA$hK3Uw!gh@xKIM$wp}mp4rIah5@Ygj%N8gSr zzFSZ?b@LMIlBsbf^?$~9^y>(-dS;40TZ?SyHKCV^XL?y1W>x7I?^+y#rw)eGYA1B| z>Wo~CWXw$GN7|~~%*k?c!zI^JOB$<<_-aEa?OHmD7;cEwZ@lDYO*+ExdpbTydKqeD zKy%yXuv=~i%LSIGGN=lYlud%P)zhM>T~j!$bijz&BT%jn55<#j=#;~ao6Mi{)s=)K zoxDx+qMNVOZ;dksh7CmFj)gVe!b02x%(8JqDL0@NGeWWU#n693e^u}9f!&d#Sq{yS zknO-tz2p~L#;CN|ucZ>BLiY+77B&n{>zvSTdOM^Wola|0Zu(^V@=50p`94Lt6sR?@ z7Dmq=4ZF3{w`(AwT;N?Ni>iK{>Er%eRHw7RHeALlCqr z2qRaGL{ZP88b3DxQ%gE%h8soHmDj>_DhLmBa)%Ae`O3_Fc|&j_CqoEV%qPoyAdi3tpH00OZd9^ z!rRqb>5t$1*8e|~=sF(sYj0}<|DXw2zT+VF-}{UMV%M(~^FHh*;2-@09*efa#mf&) zwqw*G!Ti=$WaZ)NBKq0|mUf~OESyk(kRzH8b;LkxCpZd^dM`xX{#CvX_L7#h`rCcV zBKYix#rR9W;wQlF4?y&5VDsr4m^6P0oZMvGV{ZdT7r_+4AvaGCczAihQLv=1r5zgd z9*OE*hokjCD~z-gT=jHU?_E6vvxr;q#24aBklj&Ru)MxqIJuauM?A-UseNR^9rcL)XEm(PcR5bRC8sT?fIg+n4v`HT>4O zTOT-gk@WcNHyF;NrQS{=11Z0=;Qd!}`J=@C$~2f3>nO5u_7W_U|GQX^g=_yIsxG!2 zyJK|wF0g3V86$-FRMffyELygMMcYoW=-m$%BS*r*(h?Q}2g9OscUZLP2#Z$2!)K>% zu;@2HrGNQcm_2{Rakr z>NL@X)rM5V=mn!;v(yH4E$b>Bl%iq^)EQL=W0#Co7*uYc=u@U4y1(YAny_7F3yZlH zDA~KD!V{D7Ca5>I9_&^MX3e!kje#|gylisK$%R-$9ZZa4zEW@Fih_YHMV}M@h>dg> zAT|!rVIyTge577u-KdL8$$P=!Qk_d-;3Q4|cJ}LxY>h>?N_^2yMKNf~AULgYLJOA` z$`O(8R6@QdUl>bg*(VTdg4K%zt6c=E={(7FS%0=Mv6r_M6RX=6L%+a&8dlF0thN=bCLj69OLvD}%ye z-?cI}-t30D6YFZ@AM)$^y!wXYI;mea`)p`GtGz1MuxZ0ks#htkpV6s=x3dXGXRewV zz54e;plcwkuZDuzNUo>2;S0);kNXd`4ko6kd3!bi?3Qyf! zLNJg)DIRG7%^gmdL|^slSYjirTF=sJ{^*w{?Mn*r-Oq7#*7(*k)ofz zmo`cNZnWqt+S5!&pN3)DhUxQf@>*Gm&NE0OA&nR^2*U^V!zj`5wqeWQwf7z-T?1A> z2ll-K4n6|bM4d$7#3^vFvlZ+et1y^#bP!w}BYJbtun}n4dpIh09*&BghNF4!5g0g3 z$~(#emLr*O0@*l=y<#Wn*xSN2a1y-M9zoz~VAefgo8);|@N`G)GlVT$2WvZf3?4EN zLx&GlI(n4o@6pzy)wB1oQE1$2II0P*HSTMPfmVXif||_TQv86ob ztcFkIZRJSmx+EH7M!LeHNlOf`+W;1gTfm}OTcI`>(X=&2Hg5}C!5i1kJ>k&3ANq73 zjCz8j#M5?ytCrpRD;)Lg(HCw#`oT%?%cgx-Shj4hz8}%Jm89KT!=)a*VKH>|g(KzhOH{T9l2#w=vcl#tjj95f7#8tcS{*_(@FF;i|$&DWiJVttTvoNx6uL ze6pN+ye09Qo_*xqa4r2Y-@?+-7@@<{k@9YgjL{q&9plSRGW<=A7$N;{xM1^$QPTg0 zW32OFjPdP(o?Z=6VyxI+#18JiA+E`9J!_?`=+dgkF`4vmm%sMwLz<+L3| zN2RHe1}$A$D%;UwrUfeZsf?thlWI7nw>7ChsYm7StYfpH>+G&d#|#`l5G6a5gmH1v z`%I5IjXKu=s|&O%5RcWJeFd9jd{1mD)}@%T9hq-4r)JuX#$tmM=u`lMr)%*Y+ytxZ z3sy5N;sWbN3}8J43yF)oAyeTc{4$W$+rvQLPmeJAxrt~MfXsh??WQ?&7H zqw3dhVm}mYS5V15ck|rn8Prq7^O>u;@at_y;uhtkvmFza6zuI$Ug>+L-_D~Q($`6^ zVF>F(=UBD_Z*i1%QFt_1@Mxap&tvr{!Rm#A)nYr=3=*vVmhDK#M9QYN$i`k|v)lm| z%PmmO=Nq;o?PTU9*p#Pn9(48Ss^$TPE***rJ{6F7P-3m$5J#Cd`x3`ztUnJ8Xf7mU znzBA+F?#uE1ce7-;DUk3>5x+^6P-+ni^6YG!$dhZs&-_1vi+GZ#|?Chm3~vDQ585? zI$)f~ICQk_sBQWr*|RF&noLmAUHl{_;y$c(1WO<}WKY^yc?2nq{=hocAj zSoA@W0Y#M4a(e6ZXcF24BNmT9mswqq&m*65q|7il0~!u%DEepw#*Q2dD+>*GeuFoH zL)2Tud3$@YYYg<7p}sTJdHmbf)>h%4K8=Lw>f=dL1tZ+-h$lRjVKG4(gg z#V6%p`2JhOca)ix;HjOprP!3xw(~Z^Z~sF~6s%tP%mAzRol=K|(mozN(gLG|^l2na zS07K(%Z%mH>uAR5-+$}dznb*23QeNP!PxEWy6kLs;|$3DfrrI*>*v19-1GSk!G!GqDie}5&bg$oxV zHufj?tN)J%-E!Nn@OKEhHu>9yb?erlZr!@-ircDHt77ru#rX8;`x|WxLwI;N+O=zs z#EE4fAXOxBv#ufO<4L?Fan-6-`0zoyQRGKq^XAQH)Tps4Tg8eMF@OI2KkZ1ld-ra1 z@7^7$Q)`=@v@$39Taclw>ej7;MT-{wOOBMgcI`r&Hf_`uyd_HTX3ME+6Uxto0|ySE zPoF;Os_QT1`F@*Lkv=nf_8h!?slRpd_d{f46gqV1psr9ZTC^xaLqqZ6#S7ire=V@g zojZ3%%9JTlxNu>NA3t7gR{EK6piqH=m=rPz&z?NP#U~dre8n)N8I=YFT?%2+ekt>Z zSGaiQDn<+*i8N`_qCma^m>4`!@n3p+2_sexM_NmXcPWU_sL6Qx{uM5rjTOUr6w(TR z{(Sin8Wf7B!hh}cb-3HYhFBtXDs$w_o)>|>A$a`g39i0~#pt!8VK&+fd7bhhI5HTIh5zcM zYZy7qN`ABE$dN4%0=$Fp=)q&eK97aC z0X_kEbpMg!AG2-@(pjZLZU>3q9e{`L9^#ttkF^|&^y$(gch1}x=PmIM9^v}yn;5&r z9_hzsM6OX8;V;J9gB{_xzHmKk+H^;T)M=0_Z(jKM2H=6Df8*6n*lx5FgCGNP+UJDd zETebs1y{%C&Q|>P(`Lr=ohD*@FAl!d{A9rru zg_EtT$RrCgq|X8udw1Nqc^9`|OPX7plniP3IBj*t?KgLDC+;4cg(pM$tm+$ndt1`D z^X4v`x4Ni0@*Br3j;dUDZ{L>zrVlb^&WUs>%;4C*FXEPLmhx?Z+q8kmFwzX>7N)SD z(g(L9Hsk)vaCmhegluN%ktu6dI6Ar_?&clbeRm)3JH3(7E(_8QO#$1`9=N%EBOa~Z zfyo1HQ7l`2?PMQLFwhw0sY^NoGsoym@SZUYw|8t2{s@E)7>h!g#fVQN@3}zPLG-{d z(E-DS1_^O8LPu(%o<_15z&x?eYoiz9p2Xq7iwE%8?4u@+O-Gr+YONKnzqpRa(qF?u z!%(zX(XaH0A*D;79+qOzojR>KH8Fhp{2A}wzmKO6AHQJd&0DwN?c;;|1+-fbene*G z=4#>R#7WJuhk;Q4z4YU5--e&RKMEA$rqpkzX-H|(nqk=R;W&0Y!KO`v^xqp`|LwbX z5fB)NLZY+3O3T}Qrcc608H0TKfah;N;N){)(M`a9=Up@yGal*lmyAy@A*B=y7&>?m zP9E3FfAJGA>k2ULpZtVL zH-Pb%0jHgJP` zB&B{bl9OP`jMVtGZv-DtUj|EmHjHK3Ag59&9V2! zUd6w1@oJPW!y6rq(Wrhi><-_f_}83WgDU=2RQk;(H^ZLm5-x>vnl@^R z-P`xz-RJjMdu|=7j+1yvzsbbL*cH1AZ{NSgx|Qott#UPWvS^bAP1KE(On?3P^{5_D zUGX;xZG@e%JEd>D!-iEGP`!%8OZpA#HN=iBJMiw~J8V3^QEhl3e?#Hl5xWC#g@4o9 z&B~dN{0-_hK*Z+liht9EO=^;p{Po8-MEDh^{~4RthNE_M%@MSIo%#qT|EKrZa%n5- z1WUZ|*9&QYZI_wvXKY!w9d&AIj-7RD)xp*cTU9x?3V+=YjlWKCUHN~6N`KqN9jI5k zf#R=Iv$lM{Me#@7jzY)z9h5xPBq$Fj5T2F|+hXUY-HIpTYJ`-vzDl!}lzr31O{y;8 z8zUqwEzK$wYlw_CDcMp64JS#z8f1i;!8Nc^`Wg8nHt$5eTH0Y4l`B-ox)mFw55L3C zYdg_+lJqO#uNGJh8!l{6ZNWBS+pvw;R_j)*Q|a%%z8g&@H&*;r1FK@~`E{zT+2(9} ztvpp!pJ3l$A89tFnZm*<0adW(+!~es;k}2^vt3VhL$^BVj}!S^IOS>j#9FDZTI?qF z@^3C|bFq>*%W)$YkoCVCPWj%}xrc;`H_FvhL){|Sqc(5@l z_*KC2nB}TGM-LuFzdrqtG`Z$T`HQ|m#8?w)FFL;(VBlXvy1Wu4(TIO5Z2$RuvlXw1 z&VCEwdGNW6`Ol(i_mN1H;)@NRkTMl2jaK#(;kx&s!pdp)fZ&UO#j+!)*kKS7rPLgG zzeU-LmPKc;IdI?iMDjX^>OHNHIMvto;oq4G<;sh3LoIQ8^)|uTvtl5};Qh{MyxVce zfDQ__9#A+NkF~^EiF+3z@A&>J{%_MK!Elww{!=(HdnrbC=mzsNX5TDVJQ)k|w{H+v ztVD54ojwCkp1nlu^;;NiZH?4vOpz^nHu!n_D|?Ujk9)WWve{q+e1WQQyeB5*{Tm<=|AYIUnQt z)f=!HHCD!KX<(i%6WpA=a8KD_w_v|XY&m7Ki7mH7Y_qrb#m2h<8*3Y+HBXC-nKHt| z#RK;>gjvS)Vn>?7txG@LUA_fz+c&{!QXgctFhe%S zobcG?qimepV(U2DyC8F>%*dK0D?FS%#D==3Y%iB>uE;Vri#n~;eVaS(ip_OL_+5lQ zOD2gIem6%qvC(Lc-GS>iH{s2yzHyVkX`|g0`_0|S9a%CBWb!J ztMF$Yn;Fhq+{6aFFL^(Phr1uL=E#Z6(%w!TdyDx8%)ad`OQ5ynT4M*&ZMk`0(JbHtKghP>44oUhb7r;|9(-LGFi96YEU+VP|C>eVZRO`ePr#fz)? zDw~jy?u+tix;6z9qmw-Z^}(bAD=8)GIZ_Q zMXeY7O!y$S@7%d_)ckN#`L=Q6#@MoDi|*~O@Sh6OcK?{5tMWf8yqDh@^(PObeDL4_ z9zA-5Cr_TJH5LA?kLUCEh`juU-~J5g)Bah~m+N2o){y>48UI`KXXRG z9tpj8@(|A-Kg7kj2UvDO#^<~5z&G+PHl2HbII)LbKYxN(&wjstKSK%2^+#l5NN=9L z!Kde+aP!(tOjtburL9YAV|s3CY-$9vJ~B3)Zi$$4F}Qo{E~agphLX-DHCv7wAcZ&8 z@KhMPax5<1zlfU;Zz5n_0P>E=t8EPBrbpU)`i+VmjF81T3w*cu;`-z3ID6|XY!=uc zL;nmKKj(~S^D%vHMwBuX9bFVNcFe%NXZH|wAqwq-+ry-b2}+JFiMe~`s`Z;KC$^xb zTTNA_qSi&RFlr$lzIce$(W_C>p`zLtS>C?9`ak&>MJ+<{F~t>s4c8jjd}6bTXL_Z^ zmQv}r4Qz|O=l807=kJ}5$__GSrgI24PU<(j@@eRxz&P5kB-*LpBF;H;u8e6(oePXC zfWQrbxbg4?Vs6G@=&Yeg*)t__49x-m_5Qf^_!f>`JBC5i2f?_DjCVK}$8TvLGk=yx z{$Jg>I+pBPf(OqZV9&WdXcyE@d&iBxls(JF&7W*vk#kG`me_i7tCG{&BWqFHO~zT= zEX+fSSSRvxW2uxeS@&e%#H;!T8{DGQm`Ceakrf;~}mHkQ5tLISkprC;|;Je4hW6`#-DhLjprWX!qpqNef=upg!t`^ z>(_AS<~7`s=fShFm>PKncAGB4XZvMrJa!eAuU^Alc^`MD|-?)hl$7A6gei1es zE?~;u%Q$fUI&Q_?#2tBmL!RU}q_{Y#L)>+oyAg-=m!Bgf<^uu_y~N6G=W%-ZHpDGh zfw=k0aed)xTwAmzo~{esS-1*uLdTYD#_UyxV88wxT-Tk!ij`3~zj(d8Uy0lD-tap` z3nZ<1E4AnPa9oQ%hHD~kRi+zKrv$S3!^A$Tlg+g|akzH(juv<1xR#&f8!P!=k+NJ_ zycSoM=xDM0f4;=eS&l19*Wt?UeYkS|0A}R+JeV-A7lH`?PwF)M#BTX=VpA-g)RJBAmVg{(l@IPt%^U~ROt;p z#!xR)SIM`1=JdhgYlm^?=^f07n1Ql3W#cg+eocno3T~wAl@enXjKR6MbGUl{Djb$N zAbtPzFz;s$yTx|6eD5;O+&Y6HGl!^hr|6YJ@mza&4JWRjK(EQYl+HHqZw{|DUJ9E| zUOx$o*%nCCTl6(`DC?V0|FXO+3w895@KIksNsBn#A-Dr}#_Wv8?Q(YIlwPKscAed& z@Mv=QWOW-h>)G7DIU>(TDtUx%4MjeSd`Q|oDf)!M+4- zJ-%^{oHF2(dFilv%keE5R-X{84iK#7d*-k26U04{+3#XC`4eC@Z}J*FcQj62KZUrb zahSAg5{kRX*of(phi#!BHMCmuV2^ zrT%3+%V0*-4BUBk2Zyg5R&Ao*(7V6g2($!02 z)}mRs_TU=UpI(pF!L3z4P%;+c-wnMRVt&+o+<0^Y@87=1+ox}F>GCD`ul7e_yTWQ8 zP_xix*m!EA8fOG=4@N$ReCl`9cwA$wj#`boad+|b-cvQ#o$&WgeW3KiPZAFiN|?s? zh-s7mTj_j@$ooV6WI#WY{`D)DaP#UVT)2D{ThHFZ#1pR(aOyKw-vF+>0$zRKJp(V* zKBL%J4R?vbOh4{=EN)%9ic{w=W6}PLaNKkO_8Tu?Zq!8_J9im3W3MQ>s>@3+o9jZi z1xs%Uow#xfOJklQ;KX}`od@*nd_R811F?0k3f^22-F#W{zQTG5uHL$(J@>?1 zMd+@J7%N!n7jX$&PD*`6Hxp}b3FhiCR8Kc=X;>O7JiD(x!nAYml_TY>o!1eya*trn z3f!EtM4rSC!5IU(Ie!K2ELe$)f}3kr?1jg=7}%{pj~T0@ad_z#g|o!l8#)Y)6+BiL zL>!yFOrxdiab;H&uADum>Y^xtY<`A*)2{5J5`RTxbtUeWJg?)*$^*3dY zl{#IxhzCbc;{J|Z*u8i%hWfTahT)=rb+|x1QEXH(Oj+CXJneT7rh%lt;tuIgJAD~_&EShM5w4zv$!ujv@- zGGZC|sjr9|5=RW$etNs&Ul6$fWo*kR%xWFbTG?RCcfytl$UZc?(*2CzcVVB3r%fA= z)g+dKV*x`f)MKT-oO(UXzZs{0&+n+$nGR)RC9>H%N$qbua`gy0Pw1?$CBwiB%BH;i z^fnG&I*2Y4x*&1a#3*W|+1U4=-&ggi?^R#bub^c?%-S_e)sMDf1Fr@u|7y@B%c1wz$lX_zR#r-OMy^XC7>mljo%6bux`UoD)6FjgTa~ zrC_z#j+gEWR<9APwvfKUHf38SupNtA702YQlNDAUzj+*k76?{ze<^| zqs5VnRoVAl*@xb< zlP1liyv^m=TAr<>yh8H#f0kNldCRkL;}-IFQ*`LiQJs1k6&0lxj{j(giHT7Td)>Nq zQy%x>;oI@<-4_Rk-wS8Yo<~4H5L&frE$voa-E7GlJPq5lUcLJ2UER9%F=~`0wr$%k z%JiKsh2Ib7&R;-KP_S|?q}M&2W&agtQNwWI!Ucqcgs3#>wZCc8X1sp=b7@|@bQ$9( zgrZH`cBodZI%?KreH(vW-}(*IyE=91V)*b8*tl^MUcLG`$J8rV#2^oyh#oz9f~P0Z zars|&9Oduk>)+0`*r%8=bs_rp>5uMxyTU%$4pX;J#pI|-aNOd6LCXhX_^MIxiWrY+ zQ7bTM-9k8edtp%j!BUrD@S5a_X%W*f={Ni#I{rmE{vjHFm^Y^Fo{6c4XTWd2KSpgB ziN=1-kg9Gb7@5kTId^Kb9N7ue!lz@&iN)~W<%=OJhG5t#`F6K_8$A;fHcW-3vokuj z8!W%=;jjqK zT(&t0{~$?!I6QWGW9p%qn7m~goX5Fh@PNS>Hgp&~CU{_KIAt;!&Rd;T`omUA{C0_t zlK71h?i#t`K}m@p_0D)4o@l141{fy_&_%d9ykO;2M>jNh&!gT{83?2ewJsD@Jl-3 zftV7t3}Ks=z-62th725rp+kniUCKWtLee=T=|s4SJSF`V!-YRUCqNBy5v;G9Xo?!Hli5;2+_Kx|8atlVVIPOweRTQ%pP%7SG>J$M5Ltiy0B~;J+sj z^`?j+Jwl8;3u82y-bDB(V{z0Yjo~YAL+}Q!l0=Nt_38Hk*9Mb1%ZhlJ;-Xj5nW&;jg|=g0zJzB6rQ!C{Vu>^44jG z%;oCAD3$0IUHTWY}+1*lWRB1d_^hDkgix2y&NN^tU^nd z$;j8BBaD)K-?@X-q(e6>-x-aI0>=kG0dwvG_S)vCRZzttNdyC&T=O3&BdpO7o``bH*6wuW05NN6Z>yFJz~lGsZedyd!2< z$#b-jjSCh8hGOabMVK^g2D4bybm%`QJf1&b8JDC;qpBkj9Leq+;T&%vyD3$T6H z9>m_frEX;5#PRMuyRmZRN~~VF3G3JF!Ms@;F`%ava%Rk@HY%5`S_Y029Wgy>I!14> zLd_ru~ti!o-QH464CpeCY9k1Q?U*kk(c=@?)?9O*LVmAV)sU*Xai6D0AG(=l?v z2;}ddF9H7y40ITQ^qC}H%ABWADOd;CVTR(j5dQp%zto6Q>a@1$dnDdd;tNT<@RzJm z8nzQf<_G3r7p(y~HY>zsO*b+Y;%k!f!p!UHCNq;-!kg zQ7~)q!Nu_0=z(f>Rn+Nz$_bxiAu}TsZd?iWQ{7aXx~z0Y$zf79mU&1~IIOT&@pb_& z$dg}7GgFp=80IWkD6+NLJQl_MiYcru<}3W$?65d`G3;e*lQ+M1i)O|w1u(>Mlxhpx zEjB3OFa1#Xi+C4--4>1CZGs!hmM^RL*|sCyM{4|=ZMA+Y{Dpmrz$RSEb9_16CVQex z#d3Z?xcolwrVoGMQq*F0)W$KQh6d^gnYR||=Y2rj0S@1!2T$dHmDWx?{uQL7Cyl#{Vp z+N|H;`FBwwB+XSE*#x8VHR_K1O?x7Dy^b(1Ra5ld7hL}l8JlH7`Hq9o(R&seTl*tZ zxvw1}5|T;g{HQ-V5YBtcy&D?BE@`9@Dk%*;@(RZp~Wn1qHS zypXl5=E(iOLMBO5p;^ra2pVONC7!`pbRBs~cA{5pMOWJBh<_W6>$AUJ9Q+RbZ^bhhT& z#v1B{)~MN6$00VqH@m$Fv=j`W-&qa{*B?kAq2CJ&4u@F zA7#^ySTzD6k-=Dcd?`ZKg`oZ*`7ITazFNc>4Td$qxV7Uj>)J62=n$X zg3m-h3>z{`?9{<<6-)}-947YV6u5_BNPS%+0>u8&@du5=kU_ s!dBu_S7RvT0i{XoKWqlc_~e z^XA!6t8r`e?m8MSegT-gbta}p&k)<*Q*30Zicj>CkXw!Uk)3#Nz-7Pe(7?EJmZrP~N}Y}FrDQkIFn)|fVZ3_Rxz z$1t(+X)Dv_r(9{%b1r~$0+fk!fUA^enwn$q*eUU=Bwo_zoC4<-ILE-b2E{)>;{7Ck zgTzaDIM+aVD}IsZ;DdchuU$Da+IYddi3alkdR